blob: 81c9bf8b56cfc043c0132a6a11943b29bea7bc0c [file] [log] [blame]
library ("sky130_fd_sc_hvl__ff_100C_5v50") {
define(clk_width,library,string);
define(def_sim_opt,library,string);
define(default_arc_mode,library,string);
define(default_constraint_arc_mode,library,string);
define(driver_model,library,string);
define(leakage_sim_opt,library,string);
define(min_pulse_width_mode,library,string);
define(simulator,library,string);
define(snps_predriver_ratio,library,string);
define(switching_power_split_model,library,string);
define(tran_timestep,library,string);
define(sim_opt,timing,string);
define(violation_delay_degrade_pct,timing,string);
technology("cmos");
delay_model : "table_lookup";
bus_naming_style : "%s[%d]";
time_unit : "1ns";
voltage_unit : "1V";
leakage_power_unit : "1uW";
current_unit : "1mA";
pulling_resistance_unit : "1kohm";
capacitive_load_unit(1.0000000000, "pf");
revision : 1.0000000000;
default_cell_leakage_power : 0.0000000000;
default_fanout_load : 1.0000000000;
default_inout_pin_cap : 0.0000000000;
default_input_pin_cap : 0.0000000000;
default_max_transition : 3.7500000000;
default_output_pin_cap : 0.0000000000;
default_arc_mode : "best_edges";
default_constraint_arc_mode : "worst";
default_leakage_power_density : 0.0000000000;
default_operating_conditions : "ff_100C_5v50";
clk_width : "0.0000000250";
operating_conditions ("ff_100C_5v50") {
voltage : 5.5000000000;
process : 1.0000000000;
temperature : 100.00000000;
tree_type : "balanced_tree";
}
/* Wire load tables */
wire_load("Small") {
capacitance : 1.42e-05;
resistance : 0.0745;
slope : 8.3631;
fanout_length( 1, 23.2746);
fanout_length( 2, 32.1136);
fanout_length( 3, 48.4862);
fanout_length( 4, 64.0974);
fanout_length( 5, 86.2649);
fanout_length( 6, 84.2649);
}
wire_load("Medium") {
capacitance : 1.42e-05;
resistance : 0.0745;
slope : 8.3631;
fanout_length( 1, 23.2746);
fanout_length( 2, 32.1136);
fanout_length( 3, 48.4862);
fanout_length( 4, 64.0974);
fanout_length( 5, 86.2649);
fanout_length( 6, 84.2649);
}
wire_load("Large") {
capacitance : 1.42e-05;
resistance : 0.0745;
slope : 8.3631;
fanout_length( 1, 23.2746);
fanout_length( 2, 32.1136);
fanout_length( 3, 48.4862);
fanout_length( 4, 64.0974);
fanout_length( 5, 86.2649);
fanout_length( 6, 84.2649);
}
wire_load("Huge") {
capacitance : 1.42e-05;
resistance : 0.0745;
slope : 8.3631;
fanout_length( 1, 23.2746);
fanout_length( 2, 32.1136);
fanout_length( 3, 48.4862);
fanout_length( 4, 64.0974);
fanout_length( 5, 86.2649);
fanout_length( 6, 84.2649);
}
default_wire_load : "Small" ;
default_wire_load_mode : top;
power_lut_template ("hidden_pwr_template11") {
variable_1 : "input_transition_time";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
}
power_lut_template ("power_outputs_1") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32");
}
power_lut_template ("power_outputs_2") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52");
}
power_lut_template ("pwr_template11x7") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7");
}
power_lut_template ("pwr_template11x8") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8");
}
power_lut_template ("pwr_template11x9") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
power_lut_template ("pwr_template11x11") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
}
power_lut_template ("pwr_template11x12") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
}
power_lut_template ("pwr_template11x13") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13");
}
power_lut_template ("pwr_template11x21") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21");
}
power_lut_template ("pwr_template13x8") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13");
index_2("1, 2, 3, 4, 5, 6, 7, 8");
}
power_lut_template ("pwr_template15x7") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7");
}
power_lut_template ("pwr_template15x9") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
power_lut_template ("pwr_template15x19") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19");
}
power_lut_template ("pwr_template15x21") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21");
}
power_lut_template ("pwr_template15x37") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37");
}
power_lut_template ("pwr_template17x100") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100");
}
lu_table_template ("delay_template11x7") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7");
}
lu_table_template ("delay_template11x8") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8");
}
lu_table_template ("delay_template11x9") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
lu_table_template ("delay_template11x11") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
}
lu_table_template ("delay_template11x12") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
}
lu_table_template ("delay_template11x13") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13");
}
lu_table_template ("delay_template11x21") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21");
}
lu_table_template ("delay_template13x8") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13");
index_2("1, 2, 3, 4, 5, 6, 7, 8");
}
lu_table_template ("delay_template15x7") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7");
}
lu_table_template ("delay_template15x9") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
lu_table_template ("delay_template15x19") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19");
}
lu_table_template ("delay_template15x21") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21");
}
lu_table_template ("delay_template15x37") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37");
}
lu_table_template ("delay_template17x100") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100");
}
lu_table_template ("driver_waveform_template") {
variable_1 : "input_net_transition";
variable_2 : "normalized_voltage";
index_1("1, 2");
index_2("1, 2");
}
lu_table_template ("pulse_width_template3") {
variable_1 : "related_pin_transition";
index_1("1, 2, 3");
}
lu_table_template ("rr_template11x11") {
variable_1 : "constrained_pin_transition";
variable_2 : "related_pin_transition";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
}
lu_table_template ("sethold_template_fall11x11") {
variable_1 : "constrained_pin_transition";
variable_2 : "related_pin_transition";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
}
lu_table_template ("sethold_template_rise11x11") {
variable_1 : "constrained_pin_transition";
variable_2 : "related_pin_transition";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
}
lu_table_template ("vio_11_11_1") {
variable_1 : "related_pin_transition";
variable_2 : "constrained_pin_transition";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
}
normalized_driver_waveform ("driver_waveform_template") {
index_1("0.0010000000, 0.0100000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 1.5000000000, 2.5000000000, 3.1250000000, 3.7499999000, 4.5000000000, 5.0000000000, 5.5000000000, 6.2500000000, 6.7500000000, 7.5000000000, 8.500000000, 10.000000000, 12.000000000");
index_2("0.0000000000, 0.5000000000, 1.0000000000");
driver_waveform_name : "ramp";
values("0.0000000000, 0.0008333333, 0.0016666667", \
"0.0000000000, 0.0083333333, 0.0166666670", \
"0.0000000000, 0.0108333330, 0.0216666670", \
"0.0000000000, 0.0216666670, 0.0433333330", \
"0.0000000000, 0.0600000000, 0.1200000000", \
"0.0000000000, 0.1800000000, 0.3600000000", \
"0.0000000000, 0.3600000000, 0.7200000000", \
"0.0000000000, 0.7200000000, 1.4400000000", \
"0.0000000000, 1.0800000000, 2.1600000000", \
"0.0000000000, 1.2500000000, 2.5000000000", \
"0.0000000000, 2.0833333000, 4.1666667000", \
"0.0000000000, 2.6041667000, 5.2083333000", \
"0.0000000000, 3.1249999000, 6.2499998000", \
"0.0000000000, 3.7500000000, 7.5000000000", \
"0.0000000000, 4.1666667000, 8.333333300", \
"0.0000000000, 4.5833333000, 9.166666700", \
"0.0000000000, 5.2083333000, 10.416667000", \
"0.0000000000, 5.6250000000, 11.250000000", \
"0.0000000000, 6.2500000000, 12.500000000", \
"0.0000000000, 7.0833333000, 14.166667000", \
"0.0000000000, 8.333333300, 16.666667000", \
"0.0000000000, 10.000000000, 20.000000000");
}
library_features("report_delay_calculation");
voltage_map("VPWR", 5.5000000000);
voltage_map("LOWHVPWR", 5.5000000000);
voltage_map("LVPWR", 5.5000000000);
voltage_map("VGND", 0.0000000000);
voltage_map("VNB", 0.0000000000);
voltage_map("VPB", 5.5000000000);
driver_model : "snps_predriver";
in_place_swap_mode : "match_footprint";
input_threshold_pct_fall : 50.000000000;
input_threshold_pct_rise : 50.000000000;
min_pulse_width_mode : "max";
nom_process : 1.0000000000;
nom_temperature : 100.00000000;
nom_voltage : 5.5000000000;
output_threshold_pct_fall : 50.000000000;
output_threshold_pct_rise : 50.000000000;
simulation : "true";
slew_derate_from_library : 0.7500000000;
slew_lower_threshold_pct_fall : 20.000000000;
slew_lower_threshold_pct_rise : 20.000000000;
slew_upper_threshold_pct_fall : 80.00000000;
slew_upper_threshold_pct_rise : 80.00000000;
snps_predriver_ratio : "0.5000000000";
switching_power_split_model : "true";
tran_timestep : "0.0000000000";
cell ("sky130_fd_sc_hvl__a21o_1") {
leakage_power () {
value : 3.3824283000;
when : "A1&A2&!B1";
}
leakage_power () {
value : 3.2462848000;
when : "!A1&!A2&B1";
}
leakage_power () {
value : 4.7138311000;
when : "!A1&!A2&!B1";
}
leakage_power () {
value : 3.2462792000;
when : "!A1&A2&B1";
}
leakage_power () {
value : 6.9197892000;
when : "!A1&A2&!B1";
}
leakage_power () {
value : 3.2462781000;
when : "A1&!A2&B1";
}
leakage_power () {
value : 6.0289782000;
when : "A1&!A2&!B1";
}
leakage_power () {
value : 2.4853284000;
when : "A1&A2&B1";
}
area : 17.582400000;
cell_footprint : "sky130_fd_sc_hvl__a21o";
cell_leakage_power : 4.1586500000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0047000000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0798689000, 0.0798442000, 0.0798180000, 0.0798494000, 0.0799628000, 0.0800209000, 0.0801442000, 0.0799996000, 0.0796093000, 0.0794033000, 0.0791972000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0739809000, -0.0740364000, -0.0740960000, -0.0739952000, -0.0736652000, -0.0735566000, -0.0733322000, -0.0732315000, -0.0729388000, -0.0727901000, -0.0726414000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("A2") {
capacitance : 0.0044900000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0762401000, 0.0758530000, 0.0754342000, 0.0748004000, 0.0728329000, 0.0730141000, 0.0733841000, 0.0733565000, 0.0732934000, 0.0732570000, 0.0732205000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0719568000, -0.0720095000, -0.0720661000, -0.0720641000, -0.0720432000, -0.0720563000, -0.0720755000, -0.0720107000, -0.0718179000, -0.0717212000, -0.0716244000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("B1") {
capacitance : 0.0048400000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0444336000, 0.0444068000, 0.0443784000, 0.0444355000, 0.0446237000, 0.0449018000, 0.0454624000, 0.0455279000, 0.0457185000, 0.0458152000, 0.0459120000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0176585000, -0.0175626000, -0.0174580000, -0.0175273000, -0.0177310000, -0.0177182000, -0.0176862000, -0.0176286000, -0.0174569000, -0.0173707000, -0.0172846000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("X") {
direction : "output";
function : "(A1&A2) | (B1)";
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085800000, 0.0171600000, 0.0343100000, 0.0686100000, 0.1372300000, 0.2744600000, 0.5489100000");
values("0.2451220000, 0.1080051000, -0.0241268000, -0.2857058000, -0.8065747000, -1.8470964000, -3.9231199000, -8.073825700", \
"0.2456093000, 0.1075629000, -0.0235580000, -0.2855893000, -0.8061720000, -1.8455762000, -3.9223258000, -8.074413400", \
"0.2435492000, 0.1055317000, -0.0256874000, -0.2877019000, -0.8085353000, -1.8477111000, -3.9248927000, -8.075964900", \
"0.2424194000, 0.1042838000, -0.0278310000, -0.2897978000, -0.8109993000, -1.8508170000, -3.9269846000, -8.078405100", \
"0.3012204000, 0.1553583000, 0.0199171000, -0.2455201000, -0.7685769000, -1.8095265000, -3.8869933000, -8.038958500", \
"0.4318569000, 0.2785374000, 0.1376873000, -0.1348222000, -0.6645858000, -1.7099044000, -3.7904047000, -7.9442755000", \
"0.7312559000, 0.5517685000, 0.3961976000, 0.1159746000, -0.4259924000, -1.4821021000, -3.5707285000, -7.7301132000", \
"1.0181759000, 0.8326323000, 0.6707594000, 0.3744714000, -0.1761536000, -1.2420922000, -3.3398100000, -7.5046320000", \
"1.8114310000, 1.6151698000, 1.4434074000, 1.1266398000, 0.5415723000, -0.5505224000, -2.6746248000, -6.8613163000", \
"2.2201054000, 2.0228495000, 1.8476054000, 1.5222850000, 0.9258773000, -0.1835778000, -2.3211764000, -6.5194797000", \
"2.6273703000, 2.4276661000, 2.2486814000, 1.9192180000, 1.3123966000, 0.1879876000, -1.9638563000, -6.1745301000");
}
related_pin : "A1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085800000, 0.0171600000, 0.0343100000, 0.0686100000, 0.1372300000, 0.2744600000, 0.5489100000");
values("0.2522515000, 0.3599624000, 0.4823444000, 0.7327539000, 1.2447442000, 2.2688132000, 4.3283969000, 8.427295200", \
"0.2509864000, 0.3586123000, 0.4814153000, 0.7331717000, 1.2408124000, 2.2678263000, 4.3225011000, 8.431289400", \
"0.2482321000, 0.3565081000, 0.4787193000, 0.7308452000, 1.2394631000, 2.2676694000, 4.3163294000, 8.429041700", \
"0.2508181000, 0.3589191000, 0.4807649000, 0.7324834000, 1.2409525000, 2.2690110000, 4.3175828000, 8.429141000", \
"0.3149885000, 0.4173392000, 0.5352193000, 0.7810042000, 1.2875551000, 2.3098120000, 4.3595612000, 8.471034200", \
"0.4492999000, 0.5433391000, 0.6551037000, 0.8924616000, 1.3933550000, 2.4082806000, 4.4546263000, 8.557721700", \
"0.7451462000, 0.8262816000, 0.9251369000, 1.1527003000, 1.6367216000, 2.6357958000, 4.6696896000, 8.768804900", \
"1.0386896000, 1.1132116000, 1.2096702000, 1.4222630000, 1.8959545000, 2.8812284000, 4.9028863000, 8.998913600", \
"1.8554762000, 1.9202622000, 2.0063633000, 2.2075125000, 2.6472460000, 3.6000879000, 5.5874235000, 9.643992000", \
"2.2757036000, 2.3381721000, 2.4197283000, 2.6133508000, 3.0517211000, 3.9797274000, 5.9552718000, 9.991080700", \
"2.6935103000, 2.7515995000, 2.8323079000, 3.0181916000, 3.4456684000, 4.3577427000, 6.3190442000, 10.340180600");
}
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085800000, 0.0171600000, 0.0343100000, 0.0686100000, 0.1372300000, 0.2744600000, 0.5489100000");
values("0.2877713000, 0.1465577000, 0.0121242000, -0.2513854000, -0.7733010000, -1.8130095000, -3.8899431000, -8.041887800", \
"0.2917862000, 0.1492748000, 0.0158286000, -0.2471350000, -0.7697679000, -1.8099565000, -3.8867740000, -8.038670700", \
"0.2903474000, 0.1479552000, 0.0145312000, -0.2483947000, -0.7710414000, -1.8113960000, -3.8878215000, -8.039625800", \
"0.2906688000, 0.1483275000, 0.0146435000, -0.2487167000, -0.7711572000, -1.8107460000, -3.8877897000, -8.039457000", \
"0.3558957000, 0.2068392000, 0.0698495000, -0.1970793000, -0.7217320000, -1.7639839000, -3.8416172000, -7.9941574000", \
"0.5001884000, 0.3460785000, 0.2025076000, -0.0712624000, -0.6036654000, -1.6509634000, -3.7323898000, -7.8871387000", \
"0.8377324000, 0.6556244000, 0.4986759000, 0.2155138000, -0.3314476000, -1.3918511000, -3.4838919000, -7.6445396000", \
"1.1637281000, 0.9767091000, 0.8119159000, 0.5137436000, -0.0439828000, -1.1187595000, -3.2216257000, -7.3909551000", \
"2.0661366000, 1.8691500000, 1.6970037000, 1.3725866000, 0.7782401000, -0.3256809000, -2.4635280000, -6.6606873000", \
"2.5352517000, 2.3349069000, 2.1574126000, 1.8267555000, 1.2176936000, 0.0941917000, -2.0587170000, -6.2721040000", \
"2.9999257000, 2.7960163000, 2.6141696000, 2.2807594000, 1.6590859000, 0.5191633000, -1.6526184000, -5.8815010000");
}
related_pin : "A2";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085800000, 0.0171600000, 0.0343100000, 0.0686100000, 0.1372300000, 0.2744600000, 0.5489100000");
values("0.2470166000, 0.3547994000, 0.4774255000, 0.7276225000, 1.2384420000, 2.2621644000, 4.3206363000, 8.426911700", \
"0.2466780000, 0.3548475000, 0.4777366000, 0.7293621000, 1.2395670000, 2.2624169000, 4.3177531000, 8.422181000", \
"0.2442374000, 0.3521298000, 0.4748576000, 0.7252532000, 1.2371188000, 2.2609300000, 4.3172622000, 8.423031900", \
"0.2452406000, 0.3529808000, 0.4751280000, 0.7250485000, 1.2341033000, 2.2598572000, 4.3139927000, 8.419768400", \
"0.3091396000, 0.4108236000, 0.5296117000, 0.7755372000, 1.2850460000, 2.3052894000, 4.3587272000, 8.461249300", \
"0.4562233000, 0.5484113000, 0.6617764000, 0.9019327000, 1.3985164000, 2.4139928000, 4.4592789000, 8.562371200", \
"0.7839635000, 0.8647678000, 0.9639237000, 1.1875260000, 1.6712600000, 2.6693206000, 4.7072619000, 8.799462500", \
"1.1100397000, 1.1849615000, 1.2795191000, 1.4919393000, 1.9621460000, 2.9457854000, 4.9717062000, 9.055592200", \
"2.0201923000, 2.0879271000, 2.1762456000, 2.3713731000, 2.8161359000, 3.7557750000, 5.7358377000, 9.789121900", \
"2.4970174000, 2.5620611000, 2.6432134000, 2.8304685000, 3.2565674000, 4.1761654000, 6.1455812000, 10.177650100", \
"2.9693206000, 3.0311854000, 3.1104715000, 3.2969298000, 3.7116781000, 4.6138501000, 6.5600827000, 10.572368100");
}
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085800000, 0.0171600000, 0.0343100000, 0.0686100000, 0.1372300000, 0.2744600000, 0.5489100000");
values("0.1930595000, 0.0622235000, -0.0680800000, -0.3280786000, -0.8473021000, -1.8852224000, -3.9612463000, -8.112389500", \
"0.1992474000, 0.0670484000, -0.0625062000, -0.3224465000, -0.8425596000, -1.8804866000, -3.9564244000, -8.107430100", \
"0.1980657000, 0.0657672000, -0.0642165000, -0.3247305000, -0.8441549000, -1.8817972000, -3.9580700000, -8.108750400", \
"0.2116366000, 0.0774864000, -0.0530224000, -0.3132436000, -0.8324355000, -1.8706367000, -3.9464852000, -8.097752300", \
"0.2981968000, 0.1552211000, 0.0208526000, -0.2425889000, -0.7640960000, -1.8033515000, -3.8803797000, -8.031859200", \
"0.4383611000, 0.2862242000, 0.1476963000, -0.1207407000, -0.6460608000, -1.6884187000, -3.7665258000, -7.9189232000", \
"0.7379362000, 0.5620464000, 0.4113873000, 0.1380884000, -0.3958185000, -1.4451187000, -3.5283172000, -7.6830086000", \
"1.0337998000, 0.8512699000, 0.6933683000, 0.4036163000, -0.1370451000, -1.1934913000, -3.2816922000, -7.4404814000", \
"1.8459931000, 1.6505736000, 1.4828010000, 1.1723623000, 0.5972296000, -0.4803450000, -2.5856969000, -6.7559653000", \
"2.2655719000, 2.0672449000, 1.8954756000, 1.5775646000, 0.9912113000, -0.1043689000, -2.2177636000, -6.3957831000", \
"2.6858928000, 2.4852007000, 2.3095261000, 1.9854102000, 1.3889806000, 0.2778971000, -1.8504564000, -6.0352040000");
}
related_pin : "B1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085800000, 0.0171600000, 0.0343100000, 0.0686100000, 0.1372300000, 0.2744600000, 0.5489100000");
values("0.1095705000, 0.2344117000, 0.3620213000, 0.6191203000, 1.1299752000, 2.1571053000, 4.2162987000, 8.318265000", \
"0.1191928000, 0.2432975000, 0.3699526000, 0.6258026000, 1.1405087000, 2.1676278000, 4.2253451000, 8.325414100", \
"0.1189824000, 0.2432941000, 0.3702282000, 0.6267795000, 1.1365933000, 2.1682109000, 4.2197470000, 8.325978200", \
"0.1333354000, 0.2544947000, 0.3804809000, 0.6378057000, 1.1496325000, 2.1764077000, 4.2291315000, 8.335317600", \
"0.2202551000, 0.3292422000, 0.4498562000, 0.7011065000, 1.2090124000, 2.2386419000, 4.2857393000, 8.399808500", \
"0.3727001000, 0.4684380000, 0.5813915000, 0.8270393000, 1.3304187000, 2.3543905000, 4.4020396000, 8.507732100", \
"0.6797787000, 0.7640084000, 0.8700366000, 1.0996999000, 1.5943604000, 2.6008270000, 4.6489883000, 8.749749400", \
"0.9840300000, 1.0598939000, 1.1623868000, 1.3864990000, 1.8639735000, 2.8647251000, 4.9010235000, 8.997733900", \
"1.8250679000, 1.8907071000, 1.9821908000, 2.1904851000, 2.6481401000, 3.6139625000, 5.6223559000, 9.702092900", \
"2.2553495000, 2.3203886000, 2.4091902000, 2.6082562000, 3.0576866000, 4.0072617000, 6.0034580000, 10.065193900", \
"2.6875340000, 2.7514096000, 2.8318751000, 3.0291750000, 3.4703397000, 4.4061657000, 6.3909036000, 10.441906400");
}
when : "(!A1&!A2)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085800000, 0.0171600000, 0.0343100000, 0.0686100000, 0.1372300000, 0.2744600000, 0.5489100000");
values("0.2066726000, 0.0696987000, -0.0624217000, -0.3233234000, -0.8446680000, -1.8841175000, -3.9608132000, -8.112287300", \
"0.2122165000, 0.0748176000, -0.0574323000, -0.3191305000, -0.8396424000, -1.8791702000, -3.9559331000, -8.107603600", \
"0.2108605000, 0.0728390000, -0.0585933000, -0.3201420000, -0.8418166000, -1.8810440000, -3.9575428000, -8.109126000", \
"0.2209907000, 0.0819460000, -0.0505736000, -0.3123714000, -0.8333305000, -1.8726381000, -3.9493969000, -8.100652800", \
"0.2970632000, 0.1518333000, 0.0172239000, -0.2479813000, -0.7709397000, -1.8114497000, -3.8886673000, -8.040486200", \
"0.4188848000, 0.2707987000, 0.1320296000, -0.1376064000, -0.6644604000, -1.7078436000, -3.7866591000, -7.9390834000", \
"0.7008496000, 0.5234712000, 0.3754539000, 0.0993672000, -0.4361892000, -1.4868295000, -3.5709054000, -7.7263560000", \
"0.9705068000, 0.7860214000, 0.6269229000, 0.3415078000, -0.2016984000, -1.2592074000, -3.3485088000, -7.5079980000", \
"1.7181477000, 1.5226930000, 1.3537692000, 1.0412695000, 0.4688580000, -0.6095925000, -2.7164207000, -6.8866177000", \
"2.1037368000, 1.9031099000, 1.7304401000, 1.4121065000, 0.8247765000, -0.2674543000, -2.3833739000, -6.5617183000", \
"2.4877345000, 2.2853386000, 2.1086733000, 1.7842731000, 1.1863842000, 0.0787420000, -2.0466747000, -6.2330944000");
}
related_pin : "B1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085800000, 0.0171600000, 0.0343100000, 0.0686100000, 0.1372300000, 0.2744600000, 0.5489100000");
values("0.1087850000, 0.2341110000, 0.3599877000, 0.6166187000, 1.1293969000, 2.1585933000, 4.2121798000, 8.324234600", \
"0.1207439000, 0.2446754000, 0.3713825000, 0.6291287000, 1.1430836000, 2.1719847000, 4.2221326000, 8.334422900", \
"0.1215845000, 0.2457364000, 0.3725636000, 0.6294066000, 1.1448430000, 2.1688614000, 4.2192534000, 8.328225400", \
"0.1355339000, 0.2568178000, 0.3830548000, 0.6401423000, 1.1541467000, 2.1828910000, 4.2309145000, 8.344274300", \
"0.2145086000, 0.3232770000, 0.4442998000, 0.6947340000, 1.2057587000, 2.2330798000, 4.2834706000, 8.394220400", \
"0.3552343000, 0.4516243000, 0.5639894000, 0.8106046000, 1.3114960000, 2.3313058000, 4.3867421000, 8.486219600", \
"0.6394157000, 0.7234261000, 0.8297882000, 1.0571809000, 1.5528692000, 2.5579249000, 4.6064079000, 8.701699500", \
"0.9210029000, 0.9970148000, 1.0972120000, 1.3198120000, 1.7990134000, 2.8010046000, 4.8279247000, 8.928147700", \
"1.6992425000, 1.7643351000, 1.8536879000, 2.0609628000, 2.5189619000, 3.4805875000, 5.4942266000, 9.566202700", \
"2.1015638000, 2.1635860000, 2.2470603000, 2.4487355000, 2.9000286000, 3.8444918000, 5.8416642000, 9.902102100", \
"2.5012493000, 2.5585104000, 2.6445505000, 2.8368249000, 3.2787175000, 4.2163286000, 6.1976857000, 10.241657000");
}
when : "(!A1&A2)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085800000, 0.0171600000, 0.0343100000, 0.0686100000, 0.1372300000, 0.2744600000, 0.5489100000");
values("0.2586988000, 0.1174786000, -0.0161144000, -0.2788658000, -0.8013634000, -1.8417653000, -3.9182600000, -8.070039000", \
"0.2626091000, 0.1204263000, -0.0133180000, -0.2760761000, -0.7985951000, -1.8390038000, -3.9156264000, -8.067313000", \
"0.2597261000, 0.1180290000, -0.0151803000, -0.2786302000, -0.8012739000, -1.8408391000, -3.9177495000, -8.069119900", \
"0.2676145000, 0.1256496000, -0.0077519000, -0.2708422000, -0.7934861000, -1.8335772000, -3.9104763000, -8.061943400", \
"0.3381582000, 0.1897304000, 0.0536622000, -0.2124217000, -0.7366051000, -1.7778247000, -3.8553269000, -8.007485700", \
"0.4576231000, 0.3060074000, 0.1661257000, -0.1044651000, -0.6328780000, -1.6772748000, -3.7568098000, -7.9099566000", \
"0.7318703000, 0.5535996000, 0.4072072000, 0.1288781000, -0.4085089000, -1.4611948000, -3.5459729000, -7.7021298000", \
"0.9993538000, 0.8140270000, 0.6542009000, 0.3680186000, -0.1773593000, -1.2371884000, -3.3278030000, -7.4877763000", \
"1.7391787000, 1.5429595000, 1.3715704000, 1.0587964000, 0.4855021000, -0.5958154000, -2.7039033000, -6.8769113000", \
"2.1197626000, 1.9185023000, 1.7444792000, 1.4235807000, 0.8357247000, -0.2586484000, -2.3767394000, -6.5568682000", \
"2.4994460000, 2.2956852000, 2.1182605000, 1.7914119000, 1.1927678000, 0.0825103000, -2.0453030000, -6.2339234000");
}
related_pin : "B1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085800000, 0.0171600000, 0.0343100000, 0.0686100000, 0.1372300000, 0.2744600000, 0.5489100000");
values("0.1173540000, 0.2387054000, 0.3642843000, 0.6192529000, 1.1326949000, 2.1629763000, 4.2169556000, 8.322983500", \
"0.1276102000, 0.2478142000, 0.3737783000, 0.6281391000, 1.1434527000, 2.1692978000, 4.2225473000, 8.330557600", \
"0.1285776000, 0.2491357000, 0.3754432000, 0.6291027000, 1.1436677000, 2.1723048000, 4.2279041000, 8.328300200", \
"0.1416924000, 0.2597162000, 0.3848613000, 0.6390629000, 1.1513445000, 2.1830976000, 4.2305851000, 8.343735200", \
"0.2216816000, 0.3285075000, 0.4484154000, 0.6982503000, 1.2059387000, 2.2317328000, 4.2886735000, 8.393225900", \
"0.3616144000, 0.4556917000, 0.5696904000, 0.8117716000, 1.3106069000, 2.3328846000, 4.3799716000, 8.483782800", \
"0.6431290000, 0.7275362000, 0.8340584000, 1.0579568000, 1.5514521000, 2.5567425000, 4.5981913000, 8.697251800", \
"0.9232835000, 0.9970919000, 1.0988543000, 1.3192342000, 1.7921596000, 2.7910682000, 4.8232870000, 8.916141200", \
"1.6938869000, 1.7577189000, 1.8469199000, 2.0558792000, 2.5094487000, 3.4732389000, 5.4764529000, 9.543722800", \
"2.0890789000, 2.1480703000, 2.2369001000, 2.4367788000, 2.8842590000, 3.8299569000, 5.8187699000, 9.881645200", \
"2.4828726000, 2.5447678000, 2.6254220000, 2.8187687000, 3.2599637000, 4.1960376000, 6.1656867000, 10.211615200");
}
when : "(A1&!A2)";
}
max_capacitance : 0.5489100000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085800000, 0.0171600000, 0.0343100000, 0.0686100000, 0.1372300000, 0.2744600000, 0.5489100000");
values("0.1258800000, 0.1860700000, 0.2341900000, 0.3244500000, 0.5010200000, 0.8540000000, 1.5613700000, 2.9760700000", \
"0.1286400000, 0.1886900000, 0.2368100000, 0.3270600000, 0.5036600000, 0.8567000000, 1.5639700000, 2.9783700000", \
"0.1301200000, 0.1901400000, 0.2382400000, 0.3284800000, 0.5050000000, 0.8581600000, 1.5652300000, 2.9791300000", \
"0.1344100000, 0.1941100000, 0.2421100000, 0.3323000000, 0.5089200000, 0.8617900000, 1.5689000000, 2.9830000000", \
"0.1566100000, 0.2167900000, 0.2641200000, 0.3535400000, 0.5292700000, 0.8817000000, 1.5888000000, 3.0028000000", \
"0.1860300000, 0.2507800000, 0.2991200000, 0.3885500000, 0.5628000000, 0.9136000000, 1.6191000000, 3.0320000000", \
"0.2252600000, 0.2990000000, 0.3528000000, 0.4474000000, 0.6244000000, 0.9723000000, 1.6744000000, 3.0860000000", \
"0.2519000000, 0.3319000000, 0.3899000000, 0.4904000000, 0.6755000000, 1.0271000000, 1.7263000000, 3.1354000000", \
"0.2979700000, 0.3901700000, 0.4563700000, 0.5697700000, 0.7727700000, 1.1523700000, 1.8630700000, 3.2636700000", \
"0.3133300000, 0.4102300000, 0.4798300000, 0.5984300000, 0.8095300000, 1.2006300000, 1.9309300000, 3.3300300000", \
"0.3252000000, 0.4264000000, 0.4989000000, 0.6221000000, 0.8405000000, 1.2424000000, 1.9912000000, 3.3956000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085800000, 0.0171600000, 0.0343100000, 0.0686100000, 0.1372300000, 0.2744600000, 0.5489100000");
values("0.1234900000, 0.1755100000, 0.2154300000, 0.2902300000, 0.4372900000, 0.7314600000, 1.3203700000, 2.5004700000", \
"0.1234800000, 0.1754900000, 0.2154200000, 0.2902000000, 0.4373100000, 0.7315700000, 1.3204700000, 2.4986700000", \
"0.1234500000, 0.1754500000, 0.2153800000, 0.2901700000, 0.4373000000, 0.7313200000, 1.3206300000, 2.4995300000", \
"0.1289400000, 0.1808600000, 0.2207200000, 0.2955200000, 0.4426100000, 0.7366900000, 1.3257000000, 2.5044000000", \
"0.1587300000, 0.2109400000, 0.2500600000, 0.3238600000, 0.4698900000, 0.7633700000, 1.3515000000, 2.5312000000", \
"0.1915600000, 0.2473000000, 0.2867900000, 0.3603000000, 0.5049600000, 0.7969000000, 1.3844000000, 2.5610000000", \
"0.2350900000, 0.2993000000, 0.3414000000, 0.4164000000, 0.5619000000, 0.8515000000, 1.4366000000, 2.6119000000", \
"0.2664000000, 0.3380000000, 0.3831000000, 0.4602000000, 0.6085000000, 0.9000000000, 1.4823000000, 2.6553000000", \
"0.3292700000, 0.4164700000, 0.4698700000, 0.5537700000, 0.7071700000, 1.0107700000, 1.6008700000, 2.7672700000", \
"0.3549300000, 0.4484300000, 0.5057300000, 0.5935300000, 0.7494300000, 1.0570300000, 1.6568300000, 2.8231300000", \
"0.3779000000, 0.4770000000, 0.5379000000, 0.6297000000, 0.7882000000, 1.0989000000, 1.7077000000, 2.8789000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085800000, 0.0171600000, 0.0343100000, 0.0686100000, 0.1372300000, 0.2744600000, 0.5489100000");
values("0.0323200000, 0.0875600000, 0.1409000000, 0.2508700000, 0.4773000000, 0.9379100000, 1.8620800000, 3.7099000000", \
"0.0323400000, 0.0875700000, 0.1409200000, 0.2508200000, 0.4773700000, 0.9380900000, 1.8617900000, 3.7093000000", \
"0.0323400000, 0.0875800000, 0.1408900000, 0.2508400000, 0.4772600000, 0.9384400000, 1.8618100000, 3.7085000000", \
"0.0324200000, 0.0877500000, 0.1410100000, 0.2507500000, 0.4775900000, 0.9378500000, 1.8620000000, 3.7082000000", \
"0.0377300000, 0.0922000000, 0.1437800000, 0.2520600000, 0.4774700000, 0.9376300000, 1.8617400000, 3.7090000000", \
"0.0496300000, 0.1054500000, 0.1545800000, 0.2583600000, 0.4798800000, 0.9378200000, 1.8615000000, 3.7087000000", \
"0.0690400000, 0.1329800000, 0.1830500000, 0.2841000000, 0.4951000000, 0.9417000000, 1.8611000000, 3.7080000000", \
"0.0849000000, 0.1550000000, 0.2082000000, 0.3112000000, 0.5224000000, 0.9566000000, 1.8636000000, 3.7090000000", \
"0.1215000000, 0.2038000000, 0.2648000000, 0.3766000000, 0.5972000000, 1.0335000000, 1.9044000000, 3.7118000000", \
"0.1383000000, 0.2255000000, 0.2897000000, 0.4063000000, 0.6318000000, 1.0776000000, 1.9392000000, 3.7222000000", \
"0.1540000000, 0.2457000000, 0.3127000000, 0.4340000000, 0.6655000000, 1.1175000000, 1.9836000000, 3.7443000000");
}
related_pin : "A1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085800000, 0.0171600000, 0.0343100000, 0.0686100000, 0.1372300000, 0.2744600000, 0.5489100000");
values("0.0355300000, 0.0856800000, 0.1355600000, 0.2402500000, 0.4566800000, 0.8965200000, 1.7770800000, 3.5401000000", \
"0.0355400000, 0.0856900000, 0.1355200000, 0.2401500000, 0.4567300000, 0.8966600000, 1.7773100000, 3.5399000000", \
"0.0355400000, 0.0856800000, 0.1355800000, 0.2401200000, 0.4567300000, 0.8965800000, 1.7781400000, 3.5402000000", \
"0.0355300000, 0.0857400000, 0.1356100000, 0.2404000000, 0.4567700000, 0.8965400000, 1.7774500000, 3.5413000000", \
"0.0394700000, 0.0889600000, 0.1377700000, 0.2413100000, 0.4570100000, 0.8963800000, 1.7789500000, 3.5423000000", \
"0.0498500000, 0.0983200000, 0.1452900000, 0.2461000000, 0.4594200000, 0.8976400000, 1.7796000000, 3.5383000000", \
"0.0691200000, 0.1191900000, 0.1636400000, 0.2611000000, 0.4698000000, 0.9009000000, 1.7788000000, 3.5400000000", \
"0.0859000000, 0.1389000000, 0.1818000000, 0.2773000000, 0.4848000000, 0.9115000000, 1.7814000000, 3.5388000000", \
"0.1265000000, 0.1869000000, 0.2301000000, 0.3207000000, 0.5259000000, 0.9561000000, 1.8133000000, 3.5467000000", \
"0.1457000000, 0.2094000000, 0.2533000000, 0.3426000000, 0.5454000000, 0.9801000000, 1.8358000000, 3.5584000000", \
"0.1639000000, 0.2301000000, 0.2758000000, 0.3643000000, 0.5643000000, 1.0003000000, 1.8635000000, 3.5766000000");
}
timing_sense : "positive_unate";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085800000, 0.0171600000, 0.0343100000, 0.0686100000, 0.1372300000, 0.2744600000, 0.5489100000");
values("0.1371300000, 0.1994100000, 0.2478300000, 0.3381800000, 0.5145500000, 0.8672100000, 1.5739700000, 2.9878700000", \
"0.1404900000, 0.2027900000, 0.2512200000, 0.3415500000, 0.5179500000, 0.8706700000, 1.5771700000, 2.9906700000", \
"0.1420100000, 0.2043000000, 0.2527200000, 0.3430700000, 0.5194600000, 0.8723000000, 1.5790300000, 2.9937300000", \
"0.1460000000, 0.2081000000, 0.2564600000, 0.3467600000, 0.5231300000, 0.8759700000, 1.5823000000, 2.9965000000", \
"0.1689300000, 0.2310300000, 0.2786600000, 0.3680900000, 0.5435900000, 0.8959000000, 1.6021000000, 3.0161000000", \
"0.2061900000, 0.2722100000, 0.3204300000, 0.4092600000, 0.5827900000, 0.9330000000, 1.6377000000, 3.0518000000", \
"0.2637500000, 0.3397000000, 0.3936000000, 0.4867000000, 0.6607000000, 1.0069000000, 1.7079000000, 3.1189000000", \
"0.3095000000, 0.3928000000, 0.4516000000, 0.5513000000, 0.7324000000, 1.0787000000, 1.7760000000, 3.1833000000", \
"0.4113700000, 0.5087700000, 0.5776700000, 0.6929700000, 0.8943700000, 1.2648700000, 1.9629700000, 3.3605700000", \
"0.4565300000, 0.5594300000, 0.6324300000, 0.7542300000, 0.9654300000, 1.3484300000, 2.0603300000, 3.4528300000", \
"0.4986000000, 0.6064000000, 0.6830000000, 0.8107000000, 1.0309000000, 1.4260000000, 2.1547000000, 3.5458000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085800000, 0.0171600000, 0.0343100000, 0.0686100000, 0.1372300000, 0.2744600000, 0.5489100000");
values("0.1267200000, 0.1787300000, 0.2186400000, 0.2934800000, 0.4405300000, 0.7345600000, 1.3238700000, 2.5038700000", \
"0.1286300000, 0.1806500000, 0.2205700000, 0.2954000000, 0.4424000000, 0.7367300000, 1.3256700000, 2.5043700000", \
"0.1280800000, 0.1801000000, 0.2200200000, 0.2948500000, 0.4419100000, 0.7360600000, 1.3252300000, 2.5030300000", \
"0.1287600000, 0.1806900000, 0.2205400000, 0.2953100000, 0.4424100000, 0.7366000000, 1.3254000000, 2.5051000000", \
"0.1442800000, 0.1969400000, 0.2363000000, 0.3102800000, 0.4564700000, 0.7500600000, 1.3394000000, 2.5162000000", \
"0.1617400000, 0.2195500000, 0.2600500000, 0.3342900000, 0.4792500000, 0.7711000000, 1.3586000000, 2.5354000000", \
"0.1771900000, 0.2447400000, 0.2893000000, 0.3673000000, 0.5156000000, 0.8059000000, 1.3900000000, 2.5657000000", \
"0.1816000000, 0.2572000000, 0.3057000000, 0.3874000000, 0.5406000000, 0.8363000000, 1.4184000000, 2.5920000000", \
"0.1719700000, 0.2644700000, 0.3222700000, 0.4140700000, 0.5775700000, 0.8916700000, 1.4907700000, 2.6568700000", \
"0.1607300000, 0.2600300000, 0.3222300000, 0.4189300000, 0.5872300000, 0.9077300000, 1.5211300000, 2.6904300000", \
"0.1471000000, 0.2526000000, 0.3186000000, 0.4201000000, 0.5931000000, 0.9196000000, 1.5447000000, 2.7246000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085800000, 0.0171600000, 0.0343100000, 0.0686100000, 0.1372300000, 0.2744600000, 0.5489100000");
values("0.0366400000, 0.0922200000, 0.1442400000, 0.2526900000, 0.4781500000, 0.9380900000, 1.8617500000, 3.7088000000", \
"0.0366400000, 0.0922000000, 0.1442500000, 0.2526100000, 0.4782200000, 0.9383100000, 1.8621300000, 3.7045000000", \
"0.0366400000, 0.0922100000, 0.1442500000, 0.2527400000, 0.4781600000, 0.9384500000, 1.8612700000, 3.7097000000", \
"0.0366800000, 0.0923300000, 0.1442500000, 0.2527200000, 0.4783000000, 0.9381300000, 1.8612600000, 3.7039000000", \
"0.0410500000, 0.0960600000, 0.1466700000, 0.2538000000, 0.4788100000, 0.9384000000, 1.8612600000, 3.7046000000", \
"0.0531100000, 0.1089400000, 0.1570500000, 0.2598100000, 0.4806300000, 0.9387500000, 1.8624000000, 3.7096000000", \
"0.0748800000, 0.1392500000, 0.1873000000, 0.2847000000, 0.4936000000, 0.9420000000, 1.8618000000, 3.7096000000", \
"0.0929000000, 0.1647000000, 0.2164000000, 0.3152000000, 0.5193000000, 0.9540000000, 1.8626000000, 3.7106000000", \
"0.1348000000, 0.2219000000, 0.2835000000, 0.3928000000, 0.6036000000, 1.0257000000, 1.8926000000, 3.7096000000", \
"0.1541000000, 0.2475000000, 0.3134000000, 0.4289000000, 0.6452000000, 1.0720000000, 1.9247000000, 3.7155000000", \
"0.1727000000, 0.2713000000, 0.3415000000, 0.4625000000, 0.6856000000, 1.1182000000, 1.9639000000, 3.7328000000");
}
related_pin : "A2";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085800000, 0.0171600000, 0.0343100000, 0.0686100000, 0.1372300000, 0.2744600000, 0.5489100000");
values("0.0355800000, 0.0857600000, 0.1355500000, 0.2403700000, 0.4567600000, 0.8963500000, 1.7776100000, 3.5416000000", \
"0.0355400000, 0.0856800000, 0.1355700000, 0.2403600000, 0.4566000000, 0.8972100000, 1.7772700000, 3.5401000000", \
"0.0355800000, 0.0856800000, 0.1355900000, 0.2403600000, 0.4567400000, 0.8967600000, 1.7775000000, 3.5389000000", \
"0.0355200000, 0.0857500000, 0.1356100000, 0.2401700000, 0.4567400000, 0.8962000000, 1.7769500000, 3.5404000000", \
"0.0397600000, 0.0892800000, 0.1378900000, 0.2414800000, 0.4574600000, 0.8963400000, 1.7777300000, 3.5408000000", \
"0.0510500000, 0.1011800000, 0.1478700000, 0.2476400000, 0.4595300000, 0.8969800000, 1.7792200000, 3.5414000000", \
"0.0716500000, 0.1259500000, 0.1714300000, 0.2692000000, 0.4754000000, 0.9028000000, 1.7783000000, 3.5405000000", \
"0.0899000000, 0.1483000000, 0.1941000000, 0.2906000000, 0.4974000000, 0.9200000000, 1.7829000000, 3.5417000000", \
"0.1353000000, 0.2023000000, 0.2512000000, 0.3473000000, 0.5548000000, 0.9840000000, 1.8291000000, 3.5492000000", \
"0.1571000000, 0.2274000000, 0.2782000000, 0.3755000000, 0.5823000000, 1.0153000000, 1.8633000000, 3.5701000000", \
"0.1783000000, 0.2511000000, 0.3038000000, 0.4025000000, 0.6094000000, 1.0451000000, 1.9001000000, 3.5925000000");
}
timing_sense : "positive_unate";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085800000, 0.0171600000, 0.0343100000, 0.0686100000, 0.1372300000, 0.2744600000, 0.5489100000");
values("0.0841100000, 0.1406200000, 0.1872400000, 0.2763900000, 0.4530300000, 0.8066100000, 1.5140700000, 2.9289700000", \
"0.0883500000, 0.1444900000, 0.1910700000, 0.2802000000, 0.4568700000, 0.8103000000, 1.5180700000, 2.9318700000", \
"0.0901000000, 0.1461100000, 0.1926900000, 0.2818100000, 0.4584900000, 0.8119900000, 1.5196300000, 2.9327300000", \
"0.1026600000, 0.1580300000, 0.2044500000, 0.2935000000, 0.4701500000, 0.8236900000, 1.5311000000, 2.9462000000", \
"0.1423900000, 0.1983000000, 0.2441600000, 0.3323500000, 0.5082000000, 0.8612000000, 1.5677000000, 2.9821000000", \
"0.1899600000, 0.2479600000, 0.2941400000, 0.3826200000, 0.5573900000, 0.9092000000, 1.6158000000, 3.0301000000", \
"0.2647100000, 0.3284000000, 0.3773000000, 0.4685000000, 0.6443000000, 0.9945000000, 1.6985000000, 3.1118000000", \
"0.3274000000, 0.3956000000, 0.4471000000, 0.5416000000, 0.7232000000, 1.0743000000, 1.7764000000, 3.1886000000", \
"0.4762700000, 0.5545700000, 0.6114700000, 0.7134700000, 0.9066700000, 1.2783700000, 1.9842700000, 3.3909700000", \
"0.5459300000, 0.6284300000, 0.6877300000, 0.7930300000, 0.9910300000, 1.3717300000, 2.0891300000, 3.4936300000", \
"0.6126000000, 0.6988000000, 0.7603000000, 0.8687000000, 1.0712000000, 1.4592000000, 2.1917000000, 3.5965000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085800000, 0.0171600000, 0.0343100000, 0.0686100000, 0.1372300000, 0.2744600000, 0.5489100000");
values("0.0651300000, 0.1080200000, 0.1453300000, 0.2190000000, 0.3663500000, 0.6607300000, 1.2499700000, 2.4292700000", \
"0.0691300000, 0.1117800000, 0.1490700000, 0.2227100000, 0.3699300000, 0.6643900000, 1.2535700000, 2.4323700000", \
"0.0710500000, 0.1136200000, 0.1509100000, 0.2245800000, 0.3717700000, 0.6665400000, 1.2558300000, 2.4344300000", \
"0.0806900000, 0.1225100000, 0.1596200000, 0.2331900000, 0.3802800000, 0.6747700000, 1.2638000000, 2.4428000000", \
"0.0987600000, 0.1423400000, 0.1789300000, 0.2514300000, 0.3975500000, 0.6917900000, 1.2802000000, 2.4597000000", \
"0.1070100000, 0.1551700000, 0.1922900000, 0.2647800000, 0.4098500000, 0.7025000000, 1.2906000000, 2.4682000000", \
"0.1039600000, 0.1604600000, 0.1992100000, 0.2728900000, 0.4202000000, 0.7119000000, 1.2977000000, 2.4741000000", \
"0.0908000000, 0.1540000000, 0.1951000000, 0.2697000000, 0.4188000000, 0.7150000000, 1.2991000000, 2.4737000000", \
"0.0338700000, 0.1104700000, 0.1588700000, 0.2375700000, 0.3888700000, 0.6936700000, 1.2929700000, 2.4630700000", \
"-0.0014700000, 0.0804300000, 0.1322300000, 0.2141300000, 0.3661300000, 0.6733300000, 1.2798300000, 2.4564300000", \
"-0.0390000000, 0.0475000000, 0.1023000000, 0.1877000000, 0.3408000000, 0.6498000000, 1.2628000000, 2.4488000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085800000, 0.0171600000, 0.0343100000, 0.0686100000, 0.1372300000, 0.2744600000, 0.5489100000");
values("0.0262000000, 0.0804500000, 0.1347500000, 0.2468300000, 0.4757100000, 0.9376600000, 1.8610500000, 3.7094000000", \
"0.0262100000, 0.0804000000, 0.1347400000, 0.2466800000, 0.4753700000, 0.9376900000, 1.8609800000, 3.7081000000", \
"0.0262100000, 0.0804300000, 0.1347000000, 0.2467400000, 0.4757100000, 0.9371900000, 1.8616500000, 3.7078000000", \
"0.0262900000, 0.0807000000, 0.1348600000, 0.2467500000, 0.4756600000, 0.9376900000, 1.8611200000, 3.7075000000", \
"0.0309500000, 0.0851300000, 0.1375600000, 0.2477400000, 0.4762300000, 0.9373800000, 1.8606300000, 3.7082000000", \
"0.0390100000, 0.0928600000, 0.1442700000, 0.2520200000, 0.4770900000, 0.9375000000, 1.8615000000, 3.7092000000", \
"0.0534200000, 0.1103100000, 0.1616000000, 0.2672000000, 0.4862000000, 0.9396000000, 1.8603000000, 3.7075000000", \
"0.0657000000, 0.1257000000, 0.1774000000, 0.2849000000, 0.5030000000, 0.9471000000, 1.8625000000, 3.7084000000", \
"0.0946000000, 0.1616000000, 0.2163000000, 0.3266000000, 0.5537000000, 0.9967000000, 1.8831000000, 3.7109000000", \
"0.1079000000, 0.1780000000, 0.2344000000, 0.3463000000, 0.5762000000, 1.0271000000, 1.9044000000, 3.7137000000", \
"0.1206000000, 0.1938000000, 0.2513000000, 0.3653000000, 0.5976000000, 1.0565000000, 1.9319000000, 3.7236000000");
}
related_pin : "B1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085800000, 0.0171600000, 0.0343100000, 0.0686100000, 0.1372300000, 0.2744600000, 0.5489100000");
values("0.0210400000, 0.0715200000, 0.1248000000, 0.2340000000, 0.4542100000, 0.8946400000, 1.7761200000, 3.5389200000", \
"0.0211000000, 0.0715700000, 0.1248400000, 0.2339900000, 0.4542000000, 0.8951100000, 1.7762300000, 3.5379000000", \
"0.0210700000, 0.0715700000, 0.1248200000, 0.2339800000, 0.4542800000, 0.8948000000, 1.7759700000, 3.5393900000", \
"0.0213500000, 0.0717500000, 0.1249400000, 0.2339900000, 0.4541700000, 0.8948300000, 1.7763600000, 3.5394000000", \
"0.0298600000, 0.0766400000, 0.1277200000, 0.2349200000, 0.4545900000, 0.8950100000, 1.7766400000, 3.5401000000", \
"0.0405900000, 0.0860300000, 0.1348800000, 0.2396900000, 0.4560600000, 0.8949400000, 1.7777200000, 3.5392000000", \
"0.0575400000, 0.1038500000, 0.1500100000, 0.2527000000, 0.4670100000, 0.9000000000, 1.7758000000, 3.5402000000", \
"0.0718000000, 0.1205000000, 0.1646000000, 0.2648000000, 0.4802000000, 0.9124000000, 1.7806000000, 3.5388000000", \
"0.1056000000, 0.1617000000, 0.2040000000, 0.2975000000, 0.5101000000, 0.9513000000, 1.8156000000, 3.5519000000", \
"0.1214000000, 0.1806000000, 0.2234000000, 0.3143000000, 0.5240000000, 0.9679000000, 1.8371000000, 3.5625000000", \
"0.1363000000, 0.1980000000, 0.2424000000, 0.3317000000, 0.5379000000, 0.9830000000, 1.8611000000, 3.5847000000");
}
sdf_cond : "(!A1&!A2)";
timing_sense : "positive_unate";
when : "(!A1&!A2)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085800000, 0.0171600000, 0.0343100000, 0.0686100000, 0.1372300000, 0.2744600000, 0.5489100000");
values("0.1008000000, 0.1614000000, 0.2095400000, 0.2997800000, 0.4764100000, 0.8294700000, 1.5362700000, 2.9510700000", \
"0.1054600000, 0.1655800000, 0.2136600000, 0.3038900000, 0.4804600000, 0.8335900000, 1.5405700000, 2.9550700000", \
"0.1065700000, 0.1665700000, 0.2146500000, 0.3048800000, 0.4814200000, 0.8346200000, 1.5417300000, 2.9553300000", \
"0.1185300000, 0.1781500000, 0.2261000000, 0.3162800000, 0.4928900000, 0.8459400000, 1.5531000000, 2.9666000000", \
"0.1632000000, 0.2232300000, 0.2705100000, 0.3599100000, 0.5358000000, 0.8884000000, 1.5948000000, 3.0097000000", \
"0.2218200000, 0.2826700000, 0.3302400000, 0.4200600000, 0.5949500000, 0.9464000000, 1.6525000000, 3.0657000000", \
"0.3204000000, 0.3865000000, 0.4365000000, 0.5279000000, 0.7034000000, 1.0530000000, 1.7567000000, 3.1695000000", \
"0.4065000000, 0.4768000000, 0.5297000000, 0.6246000000, 0.8044000000, 1.1545000000, 1.8562000000, 3.2681000000", \
"0.6188700000, 0.6984700000, 0.7569700000, 0.8605700000, 1.0532700000, 1.4206700000, 2.1229700000, 3.5291700000", \
"0.7208300000, 0.8044300000, 0.8652300000, 0.9724300000, 1.1706300000, 1.5479300000, 2.2582300000, 3.6624300000", \
"0.8196000000, 0.9067000000, 0.9697000000, 1.0801000000, 1.2834000000, 1.6689000000, 2.3923000000, 3.7955000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085800000, 0.0171600000, 0.0343100000, 0.0686100000, 0.1372300000, 0.2744600000, 0.5489100000");
values("0.0652500000, 0.1081300000, 0.1454400000, 0.2191200000, 0.3662500000, 0.6612500000, 1.2501700000, 2.4287700000", \
"0.0696000000, 0.1122500000, 0.1495300000, 0.2231400000, 0.3703600000, 0.6650800000, 1.2545700000, 2.4317700000", \
"0.0718100000, 0.1143900000, 0.1516800000, 0.2253000000, 0.3725200000, 0.6669200000, 1.2567300000, 2.4348300000", \
"0.0813900000, 0.1232600000, 0.1603700000, 0.2338900000, 0.3809400000, 0.6755700000, 1.2645000000, 2.4442000000", \
"0.0957200000, 0.1394000000, 0.1760500000, 0.2486200000, 0.3948200000, 0.6889700000, 1.2777000000, 2.4568000000", \
"0.0953400000, 0.1437000000, 0.1808200000, 0.2533300000, 0.3986100000, 0.6912000000, 1.2793000000, 2.4575000000", \
"0.0731500000, 0.1301000000, 0.1688400000, 0.2422500000, 0.3894000000, 0.6819000000, 1.2677000000, 2.4436000000", \
"0.0403000000, 0.1040000000, 0.1453000000, 0.2194000000, 0.3680000000, 0.6645000000, 1.2491000000, 2.4234000000", \
"-0.0724300000, 0.0049700000, 0.0536700000, 0.1320700000, 0.2816700000, 0.5854700000, 1.1855700000, 2.3578700000", \
"-0.1368700000, -0.0542700000, -0.0021700000, 0.0795300000, 0.2297300000, 0.5349300000, 1.1413300000, 2.3213300000", \
"-0.2037000000, -0.1165000000, -0.0613000000, 0.0240000000, 0.1751000000, 0.4813000000, 1.0929000000, 2.2840000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085800000, 0.0171600000, 0.0343100000, 0.0686100000, 0.1372300000, 0.2744600000, 0.5489100000");
values("0.0322900000, 0.0875600000, 0.1409200000, 0.2506900000, 0.4776000000, 0.9378100000, 1.8620300000, 3.7103000000", \
"0.0322900000, 0.0875600000, 0.1409200000, 0.2508400000, 0.4776600000, 0.9390600000, 1.8619900000, 3.7080000000", \
"0.0322800000, 0.0875500000, 0.1409000000, 0.2508300000, 0.4776700000, 0.9379100000, 1.8620800000, 3.7085000000", \
"0.0322600000, 0.0876900000, 0.1410100000, 0.2508400000, 0.4774000000, 0.9372600000, 1.8620200000, 3.7079000000", \
"0.0359900000, 0.0914500000, 0.1431800000, 0.2517100000, 0.4779500000, 0.9372500000, 1.8620500000, 3.7082000000", \
"0.0421500000, 0.0980700000, 0.1496700000, 0.2562400000, 0.4789700000, 0.9383700000, 1.8613000000, 3.7077000000", \
"0.0561000000, 0.1154000000, 0.1659000000, 0.2697000000, 0.4874000000, 0.9412000000, 1.8613000000, 3.7078000000", \
"0.0684000000, 0.1309000000, 0.1826000000, 0.2875000000, 0.5018000000, 0.9466000000, 1.8619000000, 3.7091000000", \
"0.0972000000, 0.1668000000, 0.2226000000, 0.3318000000, 0.5542000000, 0.9900000000, 1.8783000000, 3.7111000000", \
"0.1105000000, 0.1832000000, 0.2408000000, 0.3525000000, 0.5780000000, 1.0200000000, 1.8975000000, 3.7108000000", \
"0.1231000000, 0.1985000000, 0.2579000000, 0.3721000000, 0.6011000000, 1.0504000000, 1.9219000000, 3.7168000000");
}
related_pin : "B1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085800000, 0.0171600000, 0.0343100000, 0.0686100000, 0.1372300000, 0.2744600000, 0.5489100000");
values("0.0210400000, 0.0715000000, 0.1249300000, 0.2339900000, 0.4540900000, 0.8952700000, 1.7764200000, 3.5380800000", \
"0.0210700000, 0.0715000000, 0.1248000000, 0.2340200000, 0.4542100000, 0.8950600000, 1.7763600000, 3.5389100000", \
"0.0210800000, 0.0715600000, 0.1248100000, 0.2340200000, 0.4541900000, 0.8949800000, 1.7761500000, 3.5394600000", \
"0.0213100000, 0.0717400000, 0.1249200000, 0.2340800000, 0.4542100000, 0.8951700000, 1.7760600000, 3.5398000000", \
"0.0299000000, 0.0766600000, 0.1278600000, 0.2349200000, 0.4542600000, 0.8952600000, 1.7765300000, 3.5393000000", \
"0.0409100000, 0.0862300000, 0.1351000000, 0.2399200000, 0.4565100000, 0.8953100000, 1.7772700000, 3.5396000000", \
"0.0586000000, 0.1048300000, 0.1504600000, 0.2530700000, 0.4675200000, 0.9018000000, 1.7765000000, 3.5382000000", \
"0.0734000000, 0.1221000000, 0.1656000000, 0.2649000000, 0.4801000000, 0.9135000000, 1.7830000000, 3.5382000000", \
"0.1085000000, 0.1647000000, 0.2063000000, 0.2983000000, 0.5088000000, 0.9513000000, 1.8181000000, 3.5501000000", \
"0.1249000000, 0.1840000000, 0.2265000000, 0.3155000000, 0.5227000000, 0.9665000000, 1.8413000000, 3.5713000000", \
"0.1405000000, 0.2021000000, 0.2460000000, 0.3328000000, 0.5364000000, 0.9808000000, 1.8618000000, 3.5871000000");
}
sdf_cond : "(!A1&A2)";
timing_sense : "positive_unate";
when : "(!A1&A2)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085800000, 0.0171600000, 0.0343100000, 0.0686100000, 0.1372300000, 0.2744600000, 0.5489100000");
values("0.1198500000, 0.1827300000, 0.2312300000, 0.3216100000, 0.4980000000, 0.8505600000, 1.5577700000, 2.9724700000", \
"0.1232500000, 0.1856700000, 0.2341200000, 0.3244600000, 0.5008300000, 0.8536900000, 1.5601700000, 2.9745700000", \
"0.1241900000, 0.1865300000, 0.2349700000, 0.3253100000, 0.5016800000, 0.8544800000, 1.5612300000, 2.9744300000", \
"0.1350500000, 0.1971700000, 0.2455300000, 0.3358400000, 0.5122200000, 0.8648800000, 1.5715000000, 2.9851000000", \
"0.1815700000, 0.2433200000, 0.2909600000, 0.3805200000, 0.5562400000, 0.9084000000, 1.6149000000, 3.0288000000", \
"0.2436700000, 0.3066600000, 0.3547600000, 0.4442800000, 0.6187500000, 0.9699000000, 1.6753000000, 3.0891000000", \
"0.3504000000, 0.4186000000, 0.4689000000, 0.5592000000, 0.7337000000, 1.0826000000, 1.7864000000, 3.1988000000", \
"0.4434000000, 0.5161000000, 0.5695000000, 0.6633000000, 0.8406000000, 1.1893000000, 1.8905000000, 3.3016000000", \
"0.6718700000, 0.7542700000, 0.8137700000, 0.9168700000, 1.1063700000, 1.4694700000, 2.1696700000, 3.5755700000", \
"0.7811300000, 0.8676300000, 0.9295300000, 1.0366300000, 1.2315300000, 1.6041300000, 2.3107300000, 3.7139300000", \
"0.8868000000, 0.9769000000, 1.0410000000, 1.1515000000, 1.3516000000, 1.7322000000, 2.4505000000, 3.8528000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085800000, 0.0171600000, 0.0343100000, 0.0686100000, 0.1372300000, 0.2744600000, 0.5489100000");
values("0.0713900000, 0.1157100000, 0.1532600000, 0.2267700000, 0.3738500000, 0.6686900000, 1.2573700000, 2.4371700000", \
"0.0758700000, 0.1199500000, 0.1574800000, 0.2310000000, 0.3780400000, 0.6726400000, 1.2623700000, 2.4403700000", \
"0.0780900000, 0.1221100000, 0.1596400000, 0.2331500000, 0.3802000000, 0.6743100000, 1.2636300000, 2.4423300000", \
"0.0876800000, 0.1310900000, 0.1684900000, 0.2419000000, 0.3887600000, 0.6835000000, 1.2726000000, 2.4514000000", \
"0.1056800000, 0.1508600000, 0.1877400000, 0.2599900000, 0.4058700000, 0.6995700000, 1.2888000000, 2.4665000000", \
"0.1087400000, 0.1592400000, 0.1967000000, 0.2688000000, 0.4132800000, 0.7055000000, 1.2935000000, 2.4706000000", \
"0.0899500000, 0.1497000000, 0.1893800000, 0.2626300000, 0.4085000000, 0.6996000000, 1.2849000000, 2.4609000000", \
"0.0583000000, 0.1252000000, 0.1679000000, 0.2423000000, 0.3895000000, 0.6845000000, 1.2679000000, 2.4420000000", \
"-0.0554300000, 0.0255700000, 0.0763700000, 0.1564700000, 0.3052700000, 0.6065700000, 1.2035700000, 2.3746700000", \
"-0.1217700000, -0.0351700000, 0.0191300000, 0.1031300000, 0.2528300000, 0.5553300000, 1.1598300000, 2.3371300000", \
"-0.1908000000, -0.0994000000, -0.0418000000, 0.0461000000, 0.1972000000, 0.5006000000, 1.1091000000, 2.2972000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085800000, 0.0171600000, 0.0343100000, 0.0686100000, 0.1372300000, 0.2744600000, 0.5489100000");
values("0.0366200000, 0.0921400000, 0.1441600000, 0.2527300000, 0.4781600000, 0.9382500000, 1.8622300000, 3.7084000000", \
"0.0366300000, 0.0921600000, 0.1442300000, 0.2525600000, 0.4781500000, 0.9384300000, 1.8613000000, 3.7052000000", \
"0.0366300000, 0.0921700000, 0.1441200000, 0.2525600000, 0.4781500000, 0.9379300000, 1.8617800000, 3.7068000000", \
"0.0366100000, 0.0922600000, 0.1442200000, 0.2525800000, 0.4781500000, 0.9380300000, 1.8608900000, 3.7044000000", \
"0.0401200000, 0.0948300000, 0.1459400000, 0.2535200000, 0.4784500000, 0.9384200000, 1.8626100000, 3.7081000000", \
"0.0452200000, 0.1019100000, 0.1524400000, 0.2575100000, 0.4797100000, 0.9380900000, 1.8616000000, 3.7081000000", \
"0.0594000000, 0.1192000000, 0.1680000000, 0.2694000000, 0.4868000000, 0.9409000000, 1.8621000000, 3.7083000000", \
"0.0719000000, 0.1355000000, 0.1855000000, 0.2870000000, 0.4992000000, 0.9455000000, 1.8630000000, 3.7105000000", \
"0.1015000000, 0.1729000000, 0.2276000000, 0.3328000000, 0.5502000000, 0.9846000000, 1.8752000000, 3.7113000000", \
"0.1149000000, 0.1897000000, 0.2465000000, 0.3541000000, 0.5746000000, 1.0130000000, 1.8922000000, 3.7103000000", \
"0.1276000000, 0.2055000000, 0.2641000000, 0.3745000000, 0.5971000000, 1.0425000000, 1.9158000000, 3.7162000000");
}
related_pin : "B1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085800000, 0.0171600000, 0.0343100000, 0.0686100000, 0.1372300000, 0.2744600000, 0.5489100000");
values("0.0239500000, 0.0736900000, 0.1261800000, 0.2344800000, 0.4542600000, 0.8952300000, 1.7761300000, 3.5398200000", \
"0.0239700000, 0.0736400000, 0.1261900000, 0.2343400000, 0.4542200000, 0.8947000000, 1.7770200000, 3.5405300000", \
"0.0239800000, 0.0736600000, 0.1259900000, 0.2343800000, 0.4541100000, 0.8943700000, 1.7763200000, 3.5384000000", \
"0.0240400000, 0.0738800000, 0.1262600000, 0.2344500000, 0.4540400000, 0.8948400000, 1.7762900000, 3.5392000000", \
"0.0323600000, 0.0792200000, 0.1292400000, 0.2354300000, 0.4542900000, 0.8950700000, 1.7775500000, 3.5389000000", \
"0.0435300000, 0.0895500000, 0.1372100000, 0.2404600000, 0.4559400000, 0.8953200000, 1.7765500000, 3.5393000000", \
"0.0612900000, 0.1091700000, 0.1540300000, 0.2539300000, 0.4670600000, 0.9000000000, 1.7762000000, 3.5393000000", \
"0.0761000000, 0.1275000000, 0.1702000000, 0.2672000000, 0.4794000000, 0.9117000000, 1.7816000000, 3.5414000000", \
"0.1115000000, 0.1713000000, 0.2133000000, 0.3033000000, 0.5101000000, 0.9482000000, 1.8154000000, 3.5543000000", \
"0.1281000000, 0.1910000000, 0.2345000000, 0.3217000000, 0.5241000000, 0.9637000000, 1.8375000000, 3.5688000000", \
"0.1439000000, 0.2098000000, 0.2547000000, 0.3404000000, 0.5392000000, 0.9785000000, 1.8584000000, 3.5851000000");
}
sdf_cond : "(A1&!A2)";
timing_sense : "positive_unate";
when : "(A1&!A2)";
}
}
}
cell ("sky130_fd_sc_hvl__a21oi_1") {
leakage_power () {
value : 1.0409409000;
when : "!A1&!A2&B1";
}
leakage_power () {
value : 2.1930917000;
when : "!A1&!A2&!B1";
}
leakage_power () {
value : 1.0409363000;
when : "!A1&A2&B1";
}
leakage_power () {
value : 4.2924029000;
when : "!A1&A2&!B1";
}
leakage_power () {
value : 1.0409354000;
when : "A1&!A2&B1";
}
leakage_power () {
value : 3.4768262000;
when : "A1&!A2&!B1";
}
leakage_power () {
value : 0.3864866000;
when : "A1&A2&B1";
}
leakage_power () {
value : 1.2182739000;
when : "A1&A2&!B1";
}
area : 13.675200000;
cell_footprint : "sky130_fd_sc_hvl__a21oi";
cell_leakage_power : 1.8362370000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0046100000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0788617000, 0.0789726000, 0.0790930000, 0.0790584000, 0.0789562000, 0.0789257000, 0.0788674000, 0.0789723000, 0.0792700000, 0.0794231000, 0.0795762000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0733779000, -0.0733424000, -0.0733037000, -0.0732077000, -0.0729017000, -0.0727946000, -0.0725779000, -0.0724852000, -0.0722225000, -0.0720873000, -0.0719522000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("A2") {
capacitance : 0.0046100000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0778114000, 0.0777484000, 0.0776804000, 0.0770525000, 0.0750946000, 0.0752637000, 0.0756055000, 0.0755842000, 0.0755311000, 0.0755018000, 0.0754727000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0745511000, -0.0744647000, -0.0743709000, -0.0744238000, -0.0745838000, -0.0744941000, -0.0743122000, -0.0742698000, -0.0741470000, -0.0740845000, -0.0740220000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("B1") {
capacitance : 0.0050700000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0463979000, 0.0463744000, 0.0463491000, 0.0464443000, 0.0467437000, 0.0469805000, 0.0474546000, 0.0475046000, 0.0476450000, 0.0477176000, 0.0477902000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0161939000, -0.0172915000, -0.0184806000, -0.0184500000, -0.0183498000, -0.0183376000, -0.0183110000, -0.0183466000, -0.0184415000, -0.0184918000, -0.0185422000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("Y") {
direction : "output";
function : "(!A1&!B1) | (!A2&!B1)";
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078700000, 0.0157300000, 0.0314700000, 0.0629400000, 0.1258800000, 0.2517600000");
values("-0.0296548000, -0.1217119000, -0.2400666000, -0.4778037000, -0.9540215000, -1.9059587000, -3.8098445000", \
"-0.0113376000, -0.1207744000, -0.2378518000, -0.4747987000, -0.9501006000, -1.9015743000, -3.8052610000", \
"-0.0076375000, -0.1201797000, -0.2366525000, -0.4731695000, -0.9481095000, -1.8995189000, -3.8031600000", \
"0.0071359000, -0.1145590000, -0.2325224000, -0.4704450000, -0.9463145000, -1.8981334000, -3.8017912000", \
"0.0755987000, -0.0689381000, -0.1982472000, -0.4451285000, -0.9315642000, -1.8873899000, -3.7953773000", \
"0.1931018000, 0.0294030000, -0.1143291000, -0.3799160000, -0.8830016000, -1.8576586000, -3.7783650000", \
"0.4331685000, 0.2529855000, 0.0920660000, -0.2031518000, -0.7403873000, -1.7535268000, -3.7080303000", \
"0.6757422000, 0.4863427000, 0.3148619000, 0.0005490000, -0.5694095000, -1.6203517000, -3.6145406000", \
"1.3527139000, 1.1472781000, 0.9631014000, 0.6152388000, -0.0160711000, -1.1591013000, -3.2543607000", \
"1.7023162000, 1.4976088000, 1.3062683000, 0.9474515000, 0.2938427000, -0.8876813000, -3.0384204000", \
"2.0544074000, 1.8462770000, 1.6489249000, 1.2847775000, 0.6128447000, -0.6021717000, -2.8043215000");
}
related_pin : "B1";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078700000, 0.0157300000, 0.0314700000, 0.0629400000, 0.1258800000, 0.2517600000");
values("0.0654427000, 0.2085164000, 0.3280885000, 0.5640341000, 1.0351032000, 1.9776330000, 3.8596531000", \
"0.0771275000, 0.2057657000, 0.3254301000, 0.5613511000, 1.0355647000, 1.9775299000, 3.8614867000", \
"0.0792455000, 0.2037125000, 0.3238061000, 0.5613601000, 1.0332547000, 1.9773732000, 3.8608662000", \
"0.0978041000, 0.2095481000, 0.3268864000, 0.5624575000, 1.0338849000, 1.9777752000, 3.8597319000", \
"0.1720779000, 0.2648616000, 0.3688358000, 0.5936103000, 1.0558041000, 1.9906779000, 3.8659099000", \
"0.2908196000, 0.3704029000, 0.4648745000, 0.6715419000, 1.1111521000, 2.0301431000, 3.8954667000", \
"0.5308878000, 0.5964683000, 0.6786782000, 0.8647600000, 1.2751709000, 2.1471173000, 3.9753184000", \
"0.7682324000, 0.8316674000, 0.9056140000, 1.0788220000, 1.4626381000, 2.3004636000, 4.0887601000", \
"1.4462305000, 1.4954059000, 1.5559867000, 1.7008085000, 2.0442901000, 2.8133766000, 4.4958771000", \
"1.7973037000, 1.8439272000, 1.9017811000, 2.0347848000, 2.3608736000, 3.1085233000, 4.7414991000", \
"2.1488800000, 2.1930875000, 2.2496575000, 2.3760694000, 2.6846915000, 3.4047277000, 5.0070575000");
}
when : "(!A1&!A2)";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078700000, 0.0157300000, 0.0314700000, 0.0629400000, 0.1258800000, 0.2517600000");
values("-0.0282885000, -0.1215330000, -0.2395054000, -0.4780681000, -0.9530280000, -1.9063350000, -3.8108402000", \
"-0.0094982000, -0.1193979000, -0.2365094000, -0.4733997000, -0.9487839000, -1.9003768000, -3.8041226000", \
"-0.0046120000, -0.1176335000, -0.2342860000, -0.4708169000, -0.9458451000, -1.8972378000, -3.8009192000", \
"0.0093263000, -0.1113166000, -0.2297322000, -0.4678144000, -0.9437130000, -1.8952984000, -3.7991259000", \
"0.0686887000, -0.0718180000, -0.1990380000, -0.4457041000, -0.9288947000, -1.8853389000, -3.7924977000", \
"0.1736966000, 0.0136124000, -0.1268937000, -0.3884090000, -0.8878413000, -1.8578409000, -3.7770157000", \
"0.3878684000, 0.2126933000, 0.0548759000, -0.2342013000, -0.7645379000, -1.7697955000, -3.7193551000", \
"0.6057438000, 0.4219447000, 0.2536522000, -0.0538797000, -0.6152482000, -1.6525594000, -3.6373176000", \
"1.2167410000, 1.0186924000, 0.8353999000, 0.4942293000, -0.1256173000, -1.2472558000, -3.3264376000", \
"1.5332469000, 1.3300372000, 1.1433064000, 0.7920948000, 0.1509304000, -1.0091546000, -3.1339145000", \
"1.8502511000, 1.6439795000, 1.4513228000, 1.0941040000, 0.4356915000, -0.7590244000, -2.9282574000");
}
related_pin : "B1";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078700000, 0.0157300000, 0.0314700000, 0.0629400000, 0.1258800000, 0.2517600000");
values("0.0655925000, 0.2088638000, 0.3279769000, 0.5643295000, 1.0346076000, 1.9777377000, 3.8618020000", \
"0.0764709000, 0.2053549000, 0.3245690000, 0.5615767000, 1.0338975000, 1.9757550000, 3.8610947000", \
"0.0783780000, 0.2030325000, 0.3231868000, 0.5619905000, 1.0324698000, 1.9756478000, 3.8616738000", \
"0.0947707000, 0.2076900000, 0.3254712000, 0.5612980000, 1.0327532000, 1.9762063000, 3.8610790000", \
"0.1617172000, 0.2571882000, 0.3633299000, 0.5864468000, 1.0504464000, 1.9864497000, 3.8662946000", \
"0.2672481000, 0.3512154000, 0.4459685000, 0.6571493000, 1.1025568000, 2.0199199000, 3.8859678000", \
"0.4836389000, 0.5522738000, 0.6385434000, 0.8258222000, 1.2461902000, 2.1274241000, 3.9552651000", \
"0.7021055000, 0.7623129000, 0.8398969000, 1.0164862000, 1.4122606000, 2.2660981000, 4.0581709000", \
"1.3083459000, 1.3583031000, 1.4255173000, 1.5779668000, 1.9323629000, 2.7162002000, 4.4222555000", \
"1.6251166000, 1.6737704000, 1.7324386000, 1.8784093000, 2.2171526000, 2.9770769000, 4.6393933000", \
"1.9427513000, 1.9883930000, 2.0446657000, 2.1802529000, 2.5024887000, 3.2457952000, 4.8710309000");
}
when : "(!A1&A2)";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078700000, 0.0157300000, 0.0314700000, 0.0629400000, 0.1258800000, 0.2517600000");
values("-0.0284545000, -0.1216165000, -0.2397226000, -0.4775516000, -0.9534920000, -1.9058714000, -3.8090979000", \
"-0.0091806000, -0.1187060000, -0.2358035000, -0.4726935000, -0.9481470000, -1.8997695000, -3.8034952000", \
"-0.0040434000, -0.1168696000, -0.2335183000, -0.4699970000, -0.9449906000, -1.8965004000, -3.8001546000", \
"0.0091711000, -0.1106732000, -0.2293698000, -0.4672442000, -0.9430264000, -1.8947410000, -3.7983904000", \
"0.0655737000, -0.0731749000, -0.1997528000, -0.4462903000, -0.9289285000, -1.8849544000, -3.7918500000", \
"0.1671718000, 0.0100651000, -0.1294801000, -0.3906000000, -0.8885209000, -1.8581494000, -3.7771686000", \
"0.3753187000, 0.2041835000, 0.0483722000, -0.2399377000, -0.7683490000, -1.7732443000, -3.7209795000", \
"0.5899864000, 0.4086622000, 0.2419675000, -0.0631684000, -0.6226878000, -1.6588820000, -3.6415798000", \
"1.1864466000, 0.9905391000, 0.8114051000, 0.4731866000, -0.1437940000, -1.2608965000, -3.3374504000", \
"1.4979397000, 1.3002905000, 1.1132343000, 0.7654598000, 0.1275304000, -1.0286104000, -3.1477098000", \
"1.8094021000, 1.6069192000, 1.4180998000, 1.0619070000, 0.4066240000, -0.7837613000, -2.9470984000");
}
related_pin : "B1";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078700000, 0.0157300000, 0.0314700000, 0.0629400000, 0.1258800000, 0.2517600000");
values("0.1069461000, 0.2469423000, 0.3654603000, 0.6010601000, 1.0740082000, 2.0155293000, 3.9010271000", \
"0.1178253000, 0.2435332000, 0.3629109000, 0.6005584000, 1.0729503000, 2.0164065000, 3.9009621000", \
"0.1170570000, 0.2411735000, 0.3613316000, 0.5990621000, 1.0731326000, 2.0164318000, 3.9011513000", \
"0.1288746000, 0.2448691000, 0.3628606000, 0.6000553000, 1.0734042000, 2.0153267000, 3.9011276000", \
"0.1894073000, 0.2896191000, 0.3985815000, 0.6230118000, 1.0884206000, 2.0242258000, 3.9052408000", \
"0.2913669000, 0.3786725000, 0.4778082000, 0.6914404000, 1.1384223000, 2.0569508000, 3.9250224000", \
"0.5014033000, 0.5754573000, 0.6629424000, 0.8558760000, 1.2783293000, 2.1606396000, 3.9907610000", \
"0.7151714000, 0.7801743000, 0.8603208000, 1.0399190000, 1.4383570000, 2.2920419000, 4.0906939000", \
"1.3103775000, 1.3639498000, 1.4349962000, 1.5883097000, 1.9478763000, 2.7380204000, 4.4471691000", \
"1.6222949000, 1.6737627000, 1.7367722000, 1.8835189000, 2.2279645000, 2.9935822000, 4.6597295000", \
"1.9347662000, 1.9828583000, 2.0406623000, 2.1805443000, 2.5082183000, 3.2556648000, 4.8917855000");
}
when : "(A1&!A2)";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078700000, 0.0157300000, 0.0314700000, 0.0629400000, 0.1258800000, 0.2517600000");
values("0.0899511000, -0.0281038000, -0.1667331000, -0.3935480000, -0.8681798000, -1.8112626000, -3.7155377000", \
"0.0877307000, -0.0300173000, -0.1484080000, -0.3858854000, -0.8617697000, -1.8133000000, -3.7170760000", \
"0.0847762000, -0.0323024000, -0.1505597000, -0.3881779000, -0.8637066000, -1.8153905000, -3.7188620000", \
"0.0886105000, -0.0317766000, -0.1509340000, -0.3896338000, -0.8654557000, -1.8174730000, -3.7207575000", \
"0.1356046000, -0.0005456000, -0.1272962000, -0.3727657000, -0.8549517000, -1.8114525000, -3.7185171000", \
"0.2307604000, 0.0777052000, -0.0612340000, -0.3224675000, -0.8205867000, -1.7900249000, -3.7055145000", \
"0.4401816000, 0.2662874000, 0.1102881000, -0.1775917000, -0.7093294000, -1.7130564000, -3.6599475000", \
"0.6561060000, 0.4721482000, 0.3032642000, -0.0046569000, -0.5680343000, -1.6071761000, -3.5884097000", \
"1.2594111000, 1.0625176000, 0.8777597000, 0.5345069000, -0.0922434000, -1.2244769000, -3.3072374000", \
"1.5762005000, 1.3731747000, 1.1832923000, 0.8291351000, 0.1778986000, -0.9945347000, -3.1320279000", \
"1.8917579000, 1.6843278000, 1.4918806000, 1.1289092000, 0.4580081000, -0.7498916000, -2.9353517000");
}
related_pin : "A1";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078700000, 0.0157300000, 0.0314700000, 0.0629400000, 0.1258800000, 0.2517600000");
values("0.1088855000, 0.2397697000, 0.3566777000, 0.5929075000, 1.0645380000, 2.0071774000, 3.8924013000", \
"0.1086642000, 0.2403903000, 0.3596088000, 0.5955294000, 1.0677348000, 2.0089751000, 3.8949509000", \
"0.1098151000, 0.2381278000, 0.3581128000, 0.5953568000, 1.0660877000, 2.0093276000, 3.8942151000", \
"0.1130229000, 0.2350063000, 0.3552356000, 0.5927727000, 1.0655392000, 2.0086514000, 3.8943699000", \
"0.1629479000, 0.2642043000, 0.3764417000, 0.6074254000, 1.0740299000, 2.0134799000, 3.8961801000", \
"0.2628995000, 0.3477212000, 0.4461904000, 0.6604507000, 1.1138008000, 2.0378494000, 3.9119152000", \
"0.4748131000, 0.5437120000, 0.6264813000, 0.8171803000, 1.2350418000, 2.1269602000, 3.9693326000", \
"0.6894139000, 0.7493304000, 0.8252424000, 0.9973953000, 1.3893993000, 2.2455811000, 4.0532147000", \
"1.2967753000, 1.3483911000, 1.4056166000, 1.5515944000, 1.8892104000, 2.6693944000, 4.3795267000", \
"1.6127268000, 1.6609975000, 1.7171721000, 1.8513959000, 2.1719198000, 2.9160754000, 4.5815765000", \
"1.9309253000, 1.9750014000, 2.0295869000, 2.1557714000, 2.4599805000, 3.1752151000, 4.7955699000");
}
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078700000, 0.0157300000, 0.0314700000, 0.0629400000, 0.1258800000, 0.2517600000");
values("0.0860188000, -0.0317880000, -0.1500661000, -0.3889064000, -0.8623739000, -1.8172681000, -3.7195235000", \
"0.0872395000, -0.0305957000, -0.1490014000, -0.3868599000, -0.8625424000, -1.8140265000, -3.7178644000", \
"0.0839833000, -0.0333339000, -0.1516165000, -0.3892963000, -0.8649945000, -1.8165577000, -3.7201896000", \
"0.0849673000, -0.0344224000, -0.1528972000, -0.3907636000, -0.8664279000, -1.8183644000, -3.7219782000", \
"0.1348927000, -0.0015897000, -0.1287251000, -0.3739900000, -0.8563768000, -1.8127367000, -3.7190626000", \
"0.2409154000, 0.0841140000, -0.0566258000, -0.3188954000, -0.8192491000, -1.7891135000, -3.7061946000", \
"0.4767205000, 0.2949634000, 0.1342850000, -0.1594708000, -0.6965045000, -1.7046856000, -3.6530260000", \
"0.7187319000, 0.5268788000, 0.3515759000, 0.0334784000, -0.5390123000, -1.5899728000, -3.5768244000", \
"1.4025181000, 1.1946533000, 1.0003707000, 0.6419755000, -0.0061818000, -1.1617350000, -3.2670160000", \
"1.7565831000, 1.5437936000, 1.3453236000, 0.9747989000, 0.2998911000, -0.9014509000, -3.0669231000", \
"2.1139755000, 1.8969017000, 1.6936848000, 1.3118216000, 0.6171364000, -0.6266311000, -2.8460785000");
}
related_pin : "A2";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078700000, 0.0157300000, 0.0314700000, 0.0629400000, 0.1258800000, 0.2517600000");
values("0.1505629000, 0.2682811000, 0.3858893000, 0.6236310000, 1.0938595000, 2.0358393000, 3.9200388000", \
"0.1525346000, 0.2721314000, 0.3904085000, 0.6277128000, 1.0999582000, 2.0413157000, 3.9258523000", \
"0.1504869000, 0.2708221000, 0.3895817000, 0.6268044000, 1.0993414000, 2.0412121000, 3.9254103000", \
"0.1513640000, 0.2702196000, 0.3889733000, 0.6265663000, 1.0988050000, 2.0412987000, 3.9257819000", \
"0.2058728000, 0.3053227000, 0.4157689000, 0.6452940000, 1.1113153000, 2.0490684000, 3.9309427000", \
"0.3180199000, 0.3999171000, 0.4955819000, 0.7065511000, 1.1543484000, 2.0761514000, 3.9474956000", \
"0.5571544000, 0.6232337000, 0.7038896000, 0.8859923000, 1.2938229000, 2.1746148000, 4.0119866000", \
"0.7969516000, 0.8591296000, 0.9303026000, 1.0938885000, 1.4706174000, 2.3122627000, 4.1068057000", \
"1.4826240000, 1.5315627000, 1.5907796000, 1.7298719000, 2.0516509000, 2.7986754000, 4.4741876000", \
"1.8408070000, 1.8862084000, 1.9401875000, 2.0726975000, 2.3749602000, 3.0845926000, 4.7036762000", \
"2.1973106000, 2.2412234000, 2.2933156000, 2.4155141000, 2.7048530000, 3.3817205000, 4.9539284000");
}
}
max_capacitance : 0.2517600000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078700000, 0.0157300000, 0.0314700000, 0.0629400000, 0.1258800000, 0.2517600000");
values("0.0638000000, 0.1277400000, 0.1878700000, 0.3063800000, 0.5416300000, 1.0109700000, 1.9488700000", \
"0.0634400000, 0.1274800000, 0.1876600000, 0.3062100000, 0.5415000000, 1.0108700000, 1.9487700000", \
"0.0634300000, 0.1275500000, 0.1877900000, 0.3063800000, 0.5416800000, 1.0110300000, 1.9490300000", \
"0.0699600000, 0.1322800000, 0.1920400000, 0.3102800000, 0.5453500000, 1.0146000000, 1.9525000000", \
"0.0918400000, 0.1615300000, 0.2186300000, 0.3327900000, 0.5644200000, 1.0312000000, 1.9675000000", \
"0.1114100000, 0.1972700000, 0.2642200000, 0.3798100000, 0.6034800000, 1.0634000000, 1.9947000000", \
"0.1368300000, 0.2438800000, 0.3279000000, 0.4675000000, 0.7017000000, 1.1447000000, 2.0612000000", \
"0.1553000000, 0.2771000000, 0.3730000000, 0.5330000000, 0.7936000000, 1.2408000000, 2.1395000000", \
"0.1934700000, 0.3430700000, 0.4619700000, 0.6611700000, 0.9856700000, 1.5076700000, 2.3998700000", \
"0.2096300000, 0.3697300000, 0.4976300000, 0.7119300000, 1.0618300000, 1.6235300000, 2.5508300000", \
"0.2242000000, 0.3935000000, 0.5291000000, 0.7567000000, 1.1285000000, 1.7261000000, 2.6942000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078700000, 0.0157300000, 0.0314700000, 0.0629400000, 0.1258800000, 0.2517600000");
values("0.0522900000, 0.1288400000, 0.1970300000, 0.3330700000, 0.6046900000, 1.1477700000, 2.2340700000", \
"0.0548000000, 0.1317600000, 0.2005400000, 0.3369400000, 0.6087800000, 1.1520700000, 2.2383700000", \
"0.0580000000, 0.1332000000, 0.2024100000, 0.3391900000, 0.6112300000, 1.1545300000, 2.2410300000", \
"0.0643200000, 0.1368200000, 0.2062400000, 0.3434700000, 0.6160300000, 1.1597000000, 2.2461000000", \
"0.0781500000, 0.1570400000, 0.2240600000, 0.3571400000, 0.6266600000, 1.1684000000, 2.2538000000", \
"0.0928800000, 0.1875800000, 0.2606700000, 0.3924700000, 0.6533000000, 1.1879000000, 2.2677000000", \
"0.1114700000, 0.2301500000, 0.3203000000, 0.4700000000, 0.7305000000, 1.2464000000, 2.3104000000", \
"0.1227000000, 0.2598000000, 0.3633000000, 0.5336000000, 0.8129000000, 1.3217000000, 2.3669000000", \
"0.1375700000, 0.3123700000, 0.4439700000, 0.6578700000, 1.0013700000, 1.5578700000, 2.5704700000", \
"0.1403300000, 0.3303300000, 0.4736300000, 0.7058300000, 1.0768300000, 1.6713300000, 2.6935300000", \
"0.1412000000, 0.3445000000, 0.4983000000, 0.7469000000, 1.1425000000, 1.7733000000, 2.8202000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078700000, 0.0157300000, 0.0314700000, 0.0629400000, 0.1258800000, 0.2517600000");
values("0.0641000000, 0.1467700000, 0.2290200000, 0.3928900000, 0.7202500000, 1.3752000000, 2.6829900000", \
"0.0640500000, 0.1467900000, 0.2289800000, 0.3928500000, 0.7205100000, 1.3747600000, 2.6832600000", \
"0.0639500000, 0.1468400000, 0.2289300000, 0.3929200000, 0.7202100000, 1.3751800000, 2.6831700000", \
"0.0679100000, 0.1468900000, 0.2290100000, 0.3928900000, 0.7203900000, 1.3752300000, 2.6832000000", \
"0.1132800000, 0.1741000000, 0.2417700000, 0.3944500000, 0.7202200000, 1.3751100000, 2.6831200000", \
"0.1797700000, 0.2453800000, 0.3023900000, 0.4288000000, 0.7265500000, 1.3747100000, 2.6838000000", \
"0.3022100000, 0.3883700000, 0.4485400000, 0.5598000000, 0.8044000000, 1.3909000000, 2.6837000000", \
"0.4170000000, 0.5223000000, 0.5928000000, 0.7066000000, 0.9302000000, 1.4557000000, 2.6872000000", \
"0.7211000000, 0.8681000000, 0.9659000000, 1.1116000000, 1.3379000000, 1.7822000000, 2.8354000000", \
"0.8746000000, 1.0386000000, 1.1483000000, 1.3112000000, 1.5546000000, 1.9876000000, 2.9733000000", \
"1.0270000000, 1.2049000000, 1.3261000000, 1.5050000000, 1.7669000000, 2.1985000000, 3.1381000000");
}
related_pin : "A1";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078700000, 0.0157300000, 0.0314700000, 0.0629400000, 0.1258800000, 0.2517600000");
values("0.0459300000, 0.1476400000, 0.2505700000, 0.4573100000, 0.8704100000, 1.6972000000, 3.3502000000", \
"0.0459200000, 0.1476700000, 0.2506500000, 0.4573100000, 0.8704500000, 1.6959500000, 3.3490600000", \
"0.0458500000, 0.1476700000, 0.2506600000, 0.4573100000, 0.8703600000, 1.6965900000, 3.3476000000", \
"0.0517100000, 0.1480700000, 0.2506300000, 0.4571400000, 0.8707100000, 1.6963300000, 3.3489800000", \
"0.0917600000, 0.1676000000, 0.2596100000, 0.4583200000, 0.8704600000, 1.6966400000, 3.3495000000", \
"0.1553200000, 0.2259800000, 0.3026600000, 0.4799300000, 0.8742300000, 1.6967300000, 3.3493000000", \
"0.2662200000, 0.3525100000, 0.4252900000, 0.5748200000, 0.9213000000, 1.7048000000, 3.3492000000", \
"0.3694000000, 0.4679000000, 0.5514000000, 0.6962000000, 1.0099000000, 1.7416000000, 3.3520000000", \
"0.6586000000, 0.7591000000, 0.8652000000, 1.0435000000, 1.3383000000, 1.9638000000, 3.4304000000", \
"0.8134000000, 0.9045000000, 1.0164000000, 1.2112000000, 1.5242000000, 2.1193000000, 3.5212000000", \
"0.9705000000, 1.0484000000, 1.1646000000, 1.3716000000, 1.7062000000, 2.2898000000, 3.6274000000");
}
timing_sense : "negative_unate";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078700000, 0.0157300000, 0.0314700000, 0.0629400000, 0.1258800000, 0.2517600000");
values("0.0676100000, 0.1313700000, 0.1914800000, 0.3099800000, 0.5452300000, 1.0145700000, 1.9525700000", \
"0.0700300000, 0.1339100000, 0.1940600000, 0.3125700000, 0.5478300000, 1.0171700000, 1.9551700000", \
"0.0693000000, 0.1334400000, 0.1936800000, 0.3122400000, 0.5475300000, 1.0169300000, 1.9548300000", \
"0.0704300000, 0.1335400000, 0.1935800000, 0.3120400000, 0.5472700000, 1.0166000000, 1.9545000000", \
"0.0794500000, 0.1469800000, 0.2052200000, 0.3200000000, 0.5522000000, 1.0194000000, 1.9560000000", \
"0.0848100000, 0.1654800000, 0.2302900000, 0.3453000000, 0.5700000000, 1.0307000000, 1.9626000000", \
"0.0840100000, 0.1820900000, 0.2618000000, 0.3960000000, 0.6252000000, 1.0701000000, 1.9875000000", \
"0.0771000000, 0.1870000000, 0.2771000000, 0.4296000000, 0.6810000000, 1.1247000000, 2.0244000000", \
"0.0459700000, 0.1774700000, 0.2868700000, 0.4741700000, 0.7834700000, 1.2862700000, 2.1694700000", \
"0.0266300000, 0.1661300000, 0.2827300000, 0.4830300000, 0.8154300000, 1.3539300000, 2.2581300000", \
"0.0061000000, 0.1524000000, 0.2751000000, 0.4865000000, 0.8388000000, 1.4100000000, 2.3454000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078700000, 0.0157300000, 0.0314700000, 0.0629400000, 0.1258800000, 0.2517600000");
values("0.0675000000, 0.1384200000, 0.2079600000, 0.3467900000, 0.6239900000, 1.1783700000, 2.2867700000", \
"0.0706000000, 0.1420400000, 0.2117000000, 0.3506000000, 0.6279200000, 1.1820700000, 2.2906700000", \
"0.0719500000, 0.1438500000, 0.2136600000, 0.3527200000, 0.6300800000, 1.1844300000, 2.2927300000", \
"0.0763200000, 0.1475400000, 0.2174100000, 0.3565700000, 0.6340400000, 1.1885000000, 2.2968000000", \
"0.0944400000, 0.1671800000, 0.2334300000, 0.3679700000, 0.6418500000, 1.1937000000, 2.3009000000", \
"0.1193300000, 0.2033000000, 0.2721000000, 0.4018500000, 0.6654000000, 1.2086000000, 2.3092000000", \
"0.1587700000, 0.2616900000, 0.3445000000, 0.4855000000, 0.7409000000, 1.2617000000, 2.3428000000", \
"0.1912000000, 0.3085000000, 0.4023000000, 0.5609000000, 0.8278000000, 1.3346000000, 2.3930000000", \
"0.2660700000, 0.4121700000, 0.5288700000, 0.7244700000, 1.0460700000, 1.5789700000, 2.5878700000", \
"0.3003300000, 0.4579300000, 0.5839300000, 0.7946300000, 1.1399300000, 1.7049300000, 2.7107300000", \
"0.3328000000, 0.5005000000, 0.6346000000, 0.8588000000, 1.2253000000, 1.8217000000, 2.8405000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078700000, 0.0157300000, 0.0314700000, 0.0629400000, 0.1258800000, 0.2517600000");
values("0.0639800000, 0.1467500000, 0.2289800000, 0.3928600000, 0.7205800000, 1.3747800000, 2.6834600000", \
"0.0639800000, 0.1468600000, 0.2289100000, 0.3928800000, 0.7204400000, 1.3745800000, 2.6831600000", \
"0.0638500000, 0.1467600000, 0.2289300000, 0.3928000000, 0.7203800000, 1.3752600000, 2.6830200000", \
"0.0679700000, 0.1469500000, 0.2290200000, 0.3928800000, 0.7204900000, 1.3751000000, 2.6831100000", \
"0.1130900000, 0.1716200000, 0.2405600000, 0.3952000000, 0.7201300000, 1.3746600000, 2.6841700000", \
"0.1856800000, 0.2418600000, 0.2963800000, 0.4243900000, 0.7265200000, 1.3751200000, 2.6836000000", \
"0.3206800000, 0.3903400000, 0.4444800000, 0.5477700000, 0.7931600000, 1.3888000000, 2.6832000000", \
"0.4493300000, 0.5319900000, 0.5930300000, 0.6975000000, 0.9107000000, 1.4427000000, 2.6890000000", \
"0.8005000000, 0.9051000000, 0.9858000000, 1.1135000000, 1.3229000000, 1.7443000000, 2.8093000000", \
"0.9846000000, 1.0921000000, 1.1811000000, 1.3210000000, 1.5427000000, 1.9460000000, 2.9311000000", \
"1.1722000000, 1.2772000000, 1.3725000000, 1.5244000000, 1.7600000000, 2.1609000000, 3.0796000000");
}
related_pin : "A2";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078700000, 0.0157300000, 0.0314700000, 0.0629400000, 0.1258800000, 0.2517600000");
values("0.0641200000, 0.1695300000, 0.2755300000, 0.4877600000, 0.9119400000, 1.7604200000, 3.4566300000", \
"0.0640800000, 0.1695200000, 0.2755600000, 0.4878000000, 0.9119800000, 1.7601500000, 3.4563700000", \
"0.0642100000, 0.1695400000, 0.2755400000, 0.4877400000, 0.9118600000, 1.7603000000, 3.4564400000", \
"0.0685500000, 0.1698200000, 0.2755800000, 0.4877400000, 0.9119500000, 1.7604100000, 3.4562800000", \
"0.1091800000, 0.1885200000, 0.2839800000, 0.4889300000, 0.9119700000, 1.7600200000, 3.4569000000", \
"0.1813400000, 0.2500400000, 0.3277000000, 0.5098000000, 0.9156400000, 1.7602900000, 3.4562000000", \
"0.3133700000, 0.3920600000, 0.4601500000, 0.6091500000, 0.9633000000, 1.7683000000, 3.4564000000", \
"0.4404000000, 0.5277000000, 0.6030000000, 0.7400000000, 1.0553000000, 1.8047000000, 3.4581000000", \
"0.7991000000, 0.8843000000, 0.9757000000, 1.1348000000, 1.4099000000, 2.0387000000, 3.5386000000", \
"0.9901000000, 1.0656000000, 1.1603000000, 1.3310000000, 1.6166000000, 2.2038000000, 3.6284000000", \
"1.1843000000, 1.2491000000, 1.3433000000, 1.5228000000, 1.8227000000, 2.3865000000, 3.7434000000");
}
timing_sense : "negative_unate";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078700000, 0.0157300000, 0.0314700000, 0.0629400000, 0.1258800000, 0.2517600000");
values("0.0201800000, 0.0699700000, 0.1111800000, 0.1929700000, 0.3563000000, 0.6828400000, 1.3355700000", \
"0.0265900000, 0.0713900000, 0.1129700000, 0.1951100000, 0.3587200000, 0.6852300000, 1.3376700000", \
"0.0295500000, 0.0730100000, 0.1146100000, 0.1968900000, 0.3603400000, 0.6871100000, 1.3400300000", \
"0.0351500000, 0.0811900000, 0.1218900000, 0.2035400000, 0.3668600000, 0.6931500000, 1.3461000000", \
"0.0350900000, 0.1040400000, 0.1518300000, 0.2317600000, 0.3914000000, 0.7149300000, 1.3663000000", \
"0.0265300000, 0.1164500000, 0.1789100000, 0.2771200000, 0.4373400000, 0.7546000000, 1.4008000000", \
"0.0018100000, 0.1181100000, 0.1996400000, 0.3285000000, 0.5277000000, 0.8484000000, 1.4813000000", \
"-0.0267000000, 0.1081000000, 0.2029000000, 0.3535000000, 0.5872000000, 0.9484000000, 1.5724000000", \
"-0.1137300000, 0.0564700000, 0.1774700000, 0.3701700000, 0.6715700000, 1.1359700000, 1.8515700000", \
"-0.1609700000, 0.0229300000, 0.1541300000, 0.3635300000, 0.6913300000, 1.1983300000, 1.9735300000", \
"-0.2090000000, -0.0135000000, 0.1269000000, 0.3508000000, 0.7019000000, 1.2460000000, 2.0781000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078700000, 0.0157300000, 0.0314700000, 0.0629400000, 0.1258800000, 0.2517600000");
values("0.0221500000, 0.0854000000, 0.1385100000, 0.2434900000, 0.4531100000, 0.8720700000, 1.7101700000", \
"0.0286300000, 0.0859100000, 0.1392400000, 0.2447100000, 0.4546000000, 0.8738000000, 1.7118700000", \
"0.0318600000, 0.0873000000, 0.1407600000, 0.2464000000, 0.4564300000, 0.8757700000, 1.7139300000", \
"0.0433900000, 0.0977700000, 0.1498700000, 0.2546900000, 0.4643000000, 0.8834900000, 1.7215000000", \
"0.0693800000, 0.1395800000, 0.1922600000, 0.2918000000, 0.4967800000, 0.9123000000, 1.7483000000", \
"0.0992400000, 0.1910900000, 0.2538300000, 0.3598000000, 0.5569800000, 0.9647000000, 1.7939000000", \
"0.1486900000, 0.2713800000, 0.3537000000, 0.4826000000, 0.6941000000, 1.0872000000, 1.9004000000", \
"0.1927000000, 0.3381000000, 0.4357000000, 0.5869000000, 0.8225000000, 1.2229000000, 2.0199000000", \
"0.3045700000, 0.4950700000, 0.6240700000, 0.8230700000, 1.1259700000, 1.5940700000, 2.3904700000", \
"0.3597300000, 0.5679300000, 0.7096300000, 0.9283300000, 1.2606300000, 1.7674300000, 2.5945300000", \
"0.4139000000, 0.6372000000, 0.7903000000, 1.0267000000, 1.3853000000, 1.9293000000, 2.7925000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078700000, 0.0157300000, 0.0314700000, 0.0629400000, 0.1258800000, 0.2517600000");
values("0.0154300000, 0.0687800000, 0.1223000000, 0.2292200000, 0.4431400000, 0.8707100000, 1.7267700000", \
"0.0154100000, 0.0687800000, 0.1224000000, 0.2292300000, 0.4429700000, 0.8712100000, 1.7278300000", \
"0.0154600000, 0.0687600000, 0.1222500000, 0.2292600000, 0.4431600000, 0.8711800000, 1.7273100000", \
"0.0274600000, 0.0710500000, 0.1221500000, 0.2292400000, 0.4432300000, 0.8718800000, 1.7270300000", \
"0.0609400000, 0.1115100000, 0.1497900000, 0.2385600000, 0.4430900000, 0.8717000000, 1.7269800000", \
"0.1071300000, 0.1754400000, 0.2184200000, 0.2938000000, 0.4662000000, 0.8712100000, 1.7267200000", \
"0.1913700000, 0.2891800000, 0.3470700000, 0.4337300000, 0.5827300000, 0.9217000000, 1.7280000000", \
"0.2707000000, 0.3915200000, 0.4633500000, 0.5667000000, 0.7256000000, 1.0268000000, 1.7631000000", \
"0.4831000000, 0.6485000000, 0.7513000000, 0.8976000000, 1.1037000000, 1.4171000000, 2.0204000000", \
"0.5912000000, 0.7732000000, 0.8892000000, 1.0549000000, 1.2856000000, 1.6220000000, 2.2091000000", \
"0.6990000000, 0.8944000000, 1.0218000000, 1.2050000000, 1.4598000000, 1.8228000000, 2.4164000000");
}
related_pin : "B1";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078700000, 0.0157300000, 0.0314700000, 0.0629400000, 0.1258800000, 0.2517600000");
values("0.0290200000, 0.1089900000, 0.1886900000, 0.3479800000, 0.6652900000, 1.3026100000, 2.5732400000", \
"0.0289600000, 0.1090100000, 0.1884500000, 0.3475500000, 0.6653900000, 1.3019700000, 2.5728500000", \
"0.0287900000, 0.1089300000, 0.1883900000, 0.3476100000, 0.6655500000, 1.3008300000, 2.5732400000", \
"0.0413700000, 0.1096800000, 0.1886500000, 0.3475700000, 0.6654600000, 1.3033500000, 2.5730700000", \
"0.0705800000, 0.1373500000, 0.2032300000, 0.3506200000, 0.6653800000, 1.3013900000, 2.5730900000", \
"0.1099100000, 0.1867100000, 0.2506900000, 0.3803400000, 0.6725300000, 1.3023400000, 2.5728000000", \
"0.1809200000, 0.2801100000, 0.3499200000, 0.4764500000, 0.7346000000, 1.3168000000, 2.5724000000", \
"0.2504000000, 0.3628000000, 0.4452000000, 0.5763000000, 0.8249000000, 1.3692000000, 2.5775000000", \
"0.4503000000, 0.5675000000, 0.6768000000, 0.8458000000, 1.1076000000, 1.6040000000, 2.6952000000", \
"0.5579000000, 0.6664000000, 0.7861000000, 0.9725000000, 1.2550000000, 1.7492000000, 2.7978000000", \
"0.6666000000, 0.7642000000, 0.8904000000, 1.0934000000, 1.3972000000, 1.8966000000, 2.9182000000");
}
sdf_cond : "(!A1&!A2)";
timing_sense : "negative_unate";
when : "(!A1&!A2)";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078700000, 0.0157300000, 0.0314700000, 0.0629400000, 0.1258800000, 0.2517600000");
values("0.0202200000, 0.0700200000, 0.1112100000, 0.1930200000, 0.3564000000, 0.6830700000, 1.3357700000", \
"0.0268700000, 0.0718300000, 0.1133800000, 0.1955100000, 0.3590100000, 0.6855300000, 1.3387700000", \
"0.0302400000, 0.0737800000, 0.1153600000, 0.1976100000, 0.3612800000, 0.6875700000, 1.3405300000", \
"0.0360000000, 0.0821100000, 0.1228700000, 0.2045600000, 0.3677400000, 0.6944100000, 1.3473000000", \
"0.0311800000, 0.1023200000, 0.1511200000, 0.2316900000, 0.3918100000, 0.7159900000, 1.3669000000", \
"0.0129400000, 0.1071800000, 0.1723600000, 0.2734800000, 0.4355100000, 0.7541000000, 1.4012000000", \
"-0.0321000000, 0.0904100000, 0.1766200000, 0.3119000000, 0.5180000000, 0.8433000000, 1.4782000000", \
"-0.0811400000, 0.0609000000, 0.1614000000, 0.3207000000, 0.5653000000, 0.9364000000, 1.5651000000", \
"-0.2255300000, -0.0462300000, 0.0816700000, 0.2861700000, 0.6054700000, 1.0920700000, 1.8273700000", \
"-0.3025700000, -0.1089700000, 0.0297300000, 0.2516300000, 0.5996300000, 1.1333300000, 1.9360300000", \
"-0.3804000000, -0.1744000000, -0.0264000000, 0.2108000000, 0.5836000000, 1.1584000000, 2.0246000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078700000, 0.0157300000, 0.0314700000, 0.0629400000, 0.1258800000, 0.2517600000");
values("0.0263800000, 0.1086000000, 0.1775100000, 0.3136600000, 0.5854400000, 1.1285700000, 2.2147700000", \
"0.0336200000, 0.1084400000, 0.1777000000, 0.3144900000, 0.5866000000, 1.1299700000, 2.2163700000", \
"0.0367600000, 0.1091600000, 0.1786300000, 0.3157400000, 0.5880900000, 1.1315300000, 2.2179300000", \
"0.0502300000, 0.1184500000, 0.1863900000, 0.3226500000, 0.5947100000, 1.1381000000, 2.2244000000", \
"0.0836500000, 0.1634600000, 0.2275800000, 0.3575400000, 0.6240800000, 1.1637000000, 2.2477000000", \
"0.1253500000, 0.2253500000, 0.2967900000, 0.4250300000, 0.6821000000, 1.2122000000, 2.2890000000", \
"0.1976400000, 0.3303000000, 0.4201000000, 0.5645000000, 0.8196000000, 1.3310000000, 2.3884000000", \
"0.2640000000, 0.4211000000, 0.5269000000, 0.6924000000, 0.9636000000, 1.4654000000, 2.5034000000", \
"0.4368700000, 0.6429700000, 0.7823700000, 0.9979700000, 1.3292700000, 1.8677700000, 2.8696700000", \
"0.5232300000, 0.7487300000, 0.9018300000, 1.1386300000, 1.5003300000, 2.0680300000, 3.0752300000", \
"0.6085000000, 0.8506000000, 1.0161000000, 1.2718000000, 1.6613000000, 2.2622000000, 3.2865000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078700000, 0.0157300000, 0.0314700000, 0.0629400000, 0.1258800000, 0.2517600000");
values("0.0155600000, 0.0688000000, 0.1222500000, 0.2291800000, 0.4431900000, 0.8710300000, 1.7273600000", \
"0.0155300000, 0.0688000000, 0.1222300000, 0.2290500000, 0.4431700000, 0.8718800000, 1.7271000000", \
"0.0155000000, 0.0687900000, 0.1222600000, 0.2290300000, 0.4432300000, 0.8712600000, 1.7271900000", \
"0.0268300000, 0.0707200000, 0.1223300000, 0.2291800000, 0.4431500000, 0.8709300000, 1.7278800000", \
"0.0595700000, 0.1111700000, 0.1497700000, 0.2387200000, 0.4433600000, 0.8709700000, 1.7272200000", \
"0.1066500000, 0.1763100000, 0.2199100000, 0.2954100000, 0.4668500000, 0.8716200000, 1.7265000000", \
"0.1936600000, 0.2936000000, 0.3520400000, 0.4396900000, 0.5879500000, 0.9243000000, 1.7274000000", \
"0.2759200000, 0.4001500000, 0.4725000000, 0.5767000000, 0.7359000000, 1.0339000000, 1.7651000000", \
"0.4953000000, 0.6688000000, 0.7732000000, 0.9198000000, 1.1267000000, 1.4404000000, 2.0347000000", \
"0.6069000000, 0.7989000000, 0.9173000000, 1.0840000000, 1.3148000000, 1.6520000000, 2.2333000000", \
"0.7175000000, 0.9256000000, 1.0566000000, 1.2411000000, 1.4958000000, 1.8594000000, 2.4488000000");
}
related_pin : "B1";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078700000, 0.0157300000, 0.0314700000, 0.0629400000, 0.1258800000, 0.2517600000");
values("0.0424000000, 0.1475400000, 0.2506000000, 0.4573000000, 0.8702200000, 1.6966400000, 3.3502600000", \
"0.0424900000, 0.1472900000, 0.2506700000, 0.4573200000, 0.8700100000, 1.6966100000, 3.3477300000", \
"0.0421100000, 0.1473300000, 0.2505300000, 0.4573100000, 0.8704600000, 1.6965600000, 3.3489600000", \
"0.0513800000, 0.1470200000, 0.2505700000, 0.4573400000, 0.8706100000, 1.6972500000, 3.3506200000", \
"0.0803500000, 0.1664100000, 0.2585300000, 0.4573000000, 0.8704900000, 1.6973000000, 3.3478000000", \
"0.1206800000, 0.2113300000, 0.2942600000, 0.4757100000, 0.8719100000, 1.6966700000, 3.3488000000", \
"0.1943800000, 0.2991400000, 0.3827100000, 0.5494000000, 0.9099000000, 1.6992000000, 3.3491000000", \
"0.2658000000, 0.3828000000, 0.4738000000, 0.6386000000, 0.9773000000, 1.7293000000, 3.3491000000", \
"0.4688000000, 0.5909000000, 0.7079000000, 0.8937000000, 1.2188000000, 1.9011000000, 3.4072000000", \
"0.5774000000, 0.6919000000, 0.8185000000, 1.0211000000, 1.3508000000, 2.0145000000, 3.4732000000", \
"0.6882000000, 0.7903000000, 0.9245000000, 1.1430000000, 1.4858000000, 2.1380000000, 3.5586000000");
}
sdf_cond : "(!A1&A2)";
timing_sense : "negative_unate";
when : "(!A1&A2)";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078700000, 0.0157300000, 0.0314700000, 0.0629400000, 0.1258800000, 0.2517600000");
values("0.0228200000, 0.0747400000, 0.1162700000, 0.1982100000, 0.3614900000, 0.6877800000, 1.3404700000", \
"0.0295600000, 0.0767300000, 0.1186400000, 0.2008800000, 0.3643500000, 0.6908200000, 1.3433700000", \
"0.0329800000, 0.0786900000, 0.1206400000, 0.2029800000, 0.3665200000, 0.6930900000, 1.3457300000", \
"0.0415200000, 0.0869500000, 0.1280900000, 0.2099000000, 0.3731500000, 0.6995400000, 1.3521000000", \
"0.0441800000, 0.1093000000, 0.1567800000, 0.2368600000, 0.3970300000, 0.7210400000, 1.3721000000", \
"0.0320900000, 0.1167700000, 0.1796100000, 0.2790700000, 0.4405400000, 0.7590000000, 1.4055000000", \
"-0.0068300000, 0.1027200000, 0.1855100000, 0.3183000000, 0.5229000000, 0.8477000000, 1.4827000000", \
"-0.0533000000, 0.0743000000, 0.1707000000, 0.3269000000, 0.5697000000, 0.9402000000, 1.5692000000", \
"-0.1974300000, -0.0338300000, 0.0890700000, 0.2895700000, 0.6067700000, 1.0929700000, 1.8291700000", \
"-0.2758700000, -0.0983700000, 0.0352300000, 0.2528300000, 0.5986300000, 1.1322300000, 1.9363300000", \
"-0.3555000000, -0.1660000000, -0.0231000000, 0.2096000000, 0.5799000000, 1.1547000000, 2.0230000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078700000, 0.0157300000, 0.0314700000, 0.0629400000, 0.1258800000, 0.2517600000");
values("0.0431500000, 0.1253500000, 0.1952600000, 0.3342400000, 0.6115900000, 1.1659700000, 2.2743700000", \
"0.0504800000, 0.1250000000, 0.1955100000, 0.3350400000, 0.6127700000, 1.1672700000, 2.2756700000", \
"0.0521100000, 0.1257200000, 0.1964900000, 0.3363500000, 0.6142900000, 1.1689300000, 2.2776300000", \
"0.0657700000, 0.1345300000, 0.2040800000, 0.3432400000, 0.6208700000, 1.1756000000, 2.2841000000", \
"0.1043600000, 0.1802500000, 0.2447000000, 0.3779900000, 0.6504800000, 1.2014000000, 2.3078000000", \
"0.1537200000, 0.2446900000, 0.3157500000, 0.4455100000, 0.7086000000, 1.2504000000, 2.3495000000", \
"0.2374900000, 0.3572000000, 0.4441000000, 0.5881000000, 0.8470000000, 1.3701000000, 2.4503000000", \
"0.3129000000, 0.4547000000, 0.5568000000, 0.7201000000, 0.9938000000, 1.5057000000, 2.5665000000", \
"0.5058700000, 0.6924700000, 0.8267700000, 1.0388700000, 1.3690700000, 1.9136700000, 2.9359700000", \
"0.6010300000, 0.8055300000, 0.9532300000, 1.1859300000, 1.5459300000, 2.1180300000, 3.1437300000", \
"0.6942000000, 0.9145000000, 1.0740000000, 1.3254000000, 1.7129000000, 2.3164000000, 3.3570000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078700000, 0.0157300000, 0.0314700000, 0.0629400000, 0.1258800000, 0.2517600000");
values("0.0254900000, 0.0790600000, 0.1324900000, 0.2395800000, 0.4538300000, 0.8808800000, 1.7363000000", \
"0.0254500000, 0.0790600000, 0.1324500000, 0.2396200000, 0.4538800000, 0.8812300000, 1.7357900000", \
"0.0253900000, 0.0790600000, 0.1325300000, 0.2394500000, 0.4534500000, 0.8811200000, 1.7358800000", \
"0.0385900000, 0.0809100000, 0.1325600000, 0.2396700000, 0.4534600000, 0.8811300000, 1.7366600000", \
"0.0799200000, 0.1228200000, 0.1600100000, 0.2488400000, 0.4535600000, 0.8810400000, 1.7358100000", \
"0.1361300000, 0.1920800000, 0.2324500000, 0.3059100000, 0.4774400000, 0.8812700000, 1.7358600000", \
"0.2346200000, 0.3163600000, 0.3697900000, 0.4532200000, 0.5990700000, 0.9350000000, 1.7377000000", \
"0.3244400000, 0.4282200000, 0.4942900000, 0.5932000000, 0.7487000000, 1.0450000000, 1.7758000000", \
"0.5572000000, 0.7074000000, 0.8040000000, 0.9438000000, 1.1450000000, 1.4547000000, 2.0475000000", \
"0.6733000000, 0.8421000000, 0.9524000000, 1.1111000000, 1.3360000000, 1.6688000000, 2.2470000000", \
"0.7879000000, 0.9723000000, 1.0946000000, 1.2715000000, 1.5195000000, 1.8786000000, 2.4641000000");
}
related_pin : "B1";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078700000, 0.0157300000, 0.0314700000, 0.0629400000, 0.1258800000, 0.2517600000");
values("0.0628000000, 0.1694700000, 0.2755800000, 0.4877200000, 0.9120200000, 1.7599400000, 3.4562500000", \
"0.0628400000, 0.1694500000, 0.2755500000, 0.4877900000, 0.9120400000, 1.7602200000, 3.4564200000", \
"0.0626000000, 0.1694500000, 0.2755800000, 0.4877800000, 0.9119600000, 1.7601600000, 3.4562500000", \
"0.0671900000, 0.1692400000, 0.2755400000, 0.4877600000, 0.9119500000, 1.7603100000, 3.4564700000", \
"0.0971000000, 0.1850100000, 0.2816700000, 0.4876000000, 0.9120400000, 1.7600900000, 3.4563000000", \
"0.1374800000, 0.2292100000, 0.3141700000, 0.5036500000, 0.9125300000, 1.7602800000, 3.4568000000", \
"0.2140100000, 0.3149200000, 0.4009300000, 0.5734000000, 0.9477000000, 1.7624000000, 3.4564000000", \
"0.2850000000, 0.4006000000, 0.4903000000, 0.6609000000, 1.0124000000, 1.7890000000, 3.4568000000", \
"0.4781000000, 0.6132000000, 0.7274000000, 0.9120000000, 1.2476000000, 1.9514000000, 3.5083000000", \
"0.5812000000, 0.7156000000, 0.8396000000, 1.0405000000, 1.3762000000, 2.0628000000, 3.5711000000", \
"0.6868000000, 0.8150000000, 0.9474000000, 1.1632000000, 1.5086000000, 2.1838000000, 3.6515000000");
}
sdf_cond : "(A1&!A2)";
timing_sense : "negative_unate";
when : "(A1&!A2)";
}
}
}
cell ("sky130_fd_sc_hvl__a22o_1") {
leakage_power () {
value : 4.7181401000;
when : "!A1&!A2&!B1&B2";
}
leakage_power () {
value : 2.4271807000;
when : "!A1&!A2&!B1&!B2";
}
leakage_power () {
value : 3.7415329000;
when : "!A1&!A2&B1&B2";
}
leakage_power () {
value : 4.0185508000;
when : "!A1&!A2&B1&!B2";
}
leakage_power () {
value : 6.9458701000;
when : "!A1&A2&!B1&B2";
}
leakage_power () {
value : 4.6548840000;
when : "!A1&A2&!B1&!B2";
}
leakage_power () {
value : 3.7415213000;
when : "!A1&A2&B1&B2";
}
leakage_power () {
value : 6.2462830000;
when : "!A1&A2&B1&!B2";
}
leakage_power () {
value : 6.0334124000;
when : "A1&!A2&!B1&B2";
}
leakage_power () {
value : 3.7424268000;
when : "A1&!A2&!B1&!B2";
}
leakage_power () {
value : 3.7415204000;
when : "A1&!A2&B1&B2";
}
leakage_power () {
value : 5.3338165000;
when : "A1&!A2&B1&!B2";
}
leakage_power () {
value : 3.3917003000;
when : "A1&A2&!B1&B2";
}
leakage_power () {
value : 3.2476635000;
when : "A1&A2&!B1&!B2";
}
leakage_power () {
value : 2.6076663000;
when : "A1&A2&B1&B2";
}
leakage_power () {
value : 3.3978254000;
when : "A1&A2&B1&!B2";
}
area : 21.489600000;
cell_footprint : "sky130_fd_sc_hvl__a22o";
cell_leakage_power : 4.2493750000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0047100000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0805060000, 0.0803249000, 0.0801294000, 0.0801064000, 0.0800507000, 0.0799569000, 0.0797770000, 0.0797034000, 0.0795126000, 0.0794098000, 0.0793069000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0744528000, -0.0743987000, -0.0743395000, -0.0742573000, -0.0739856000, -0.0738891000, -0.0736893000, -0.0735947000, -0.0733187000, -0.0731787000, -0.0730387000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("A2") {
capacitance : 0.0046200000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0779149000, 0.0776713000, 0.0774082000, 0.0767524000, 0.0747179000, 0.0748965000, 0.0752626000, 0.0752712000, 0.0753107000, 0.0753271000, 0.0753434000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0741473000, -0.0741094000, -0.0740678000, -0.0740570000, -0.0740087000, -0.0740255000, -0.0740521000, -0.0740111000, -0.0738846000, -0.0738221000, -0.0737597000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("B1") {
capacitance : 0.0047600000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0837949000, 0.0834692000, 0.0831169000, 0.0830684000, 0.0829333000, 0.0828359000, 0.0826489000, 0.0826809000, 0.0827844000, 0.0828342000, 0.0828841000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0769473000, -0.0769200000, -0.0768899000, -0.0768441000, -0.0766868000, -0.0765657000, -0.0763172000, -0.0762239000, -0.0759523000, -0.0758144000, -0.0756766000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("B2") {
capacitance : 0.0048300000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0826126000, 0.0822631000, 0.0818850000, 0.0813345000, 0.0796295000, 0.0796794000, 0.0797876000, 0.0798144000, 0.0799045000, 0.0799471000, 0.0799897000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0788836000, -0.0788274000, -0.0787659000, -0.0787903000, -0.0788529000, -0.0787232000, -0.0784575000, -0.0784749000, -0.0785121000, -0.0785344000, -0.0785568000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("X") {
direction : "output";
function : "(B1&B2) | (A1&A2)";
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.2465262000, 0.1034865000, -0.0310397000, -0.2986359000, -0.8291837000, -1.8835659000, -3.9884746000, -8.189798500", \
"0.2533823000, 0.1100189000, -0.0244818000, -0.2921262000, -0.8220588000, -1.8767227000, -3.9804595000, -8.186881200", \
"0.2526002000, 0.1085998000, -0.0259205000, -0.2934469000, -0.8236320000, -1.8775981000, -3.9823081000, -8.187924300", \
"0.2572298000, 0.1125463000, -0.0226467000, -0.2900249000, -0.8195848000, -1.8741840000, -3.9785673000, -8.184627800", \
"0.3131971000, 0.1639211000, 0.0264819000, -0.2437097000, -0.7758288000, -1.8313077000, -3.9366968000, -8.142905000", \
"0.4276932000, 0.2711802000, 0.1289687000, -0.1475206000, -0.6847572000, -1.7444801000, -3.8522125000, -8.060553900", \
"0.6826591000, 0.5000529000, 0.3496599000, 0.0658623000, -0.4823211000, -1.5512958000, -3.6657957000, -7.8783337000", \
"0.9340373000, 0.7453536000, 0.5819291000, 0.2882975000, -0.2688457000, -1.3478615000, -3.4703340000, -7.6878462000", \
"1.6122737000, 1.4128999000, 1.2391358000, 0.9206859000, 0.3362322000, -0.7645647000, -2.9094595000, -7.1430846000", \
"1.9590365000, 1.7567279000, 1.5801410000, 1.2556492000, 0.6564302000, -0.4574412000, -2.6121427000, -6.8553483000", \
"2.3067594000, 2.1011374000, 1.9216697000, 1.5911985000, 0.9822731000, -0.1453043000, -2.3131768000, -6.5646162000");
}
related_pin : "B1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.1967574000, 0.3109239000, 0.4360092000, 0.6906341000, 1.2086393000, 2.2478527000, 4.3243003000, 8.489844600", \
"0.2022490000, 0.3153462000, 0.4411250000, 0.6954157000, 1.2153054000, 2.2533199000, 4.3335931000, 8.489952600", \
"0.2023854000, 0.3154999000, 0.4408930000, 0.6955918000, 1.2145652000, 2.2561646000, 4.3303238000, 8.494202000", \
"0.2106051000, 0.3229249000, 0.4478766000, 0.7028137000, 1.2218468000, 2.2585952000, 4.3374323000, 8.501888400", \
"0.2743663000, 0.3790330000, 0.4997205000, 0.7499688000, 1.2662151000, 2.3026545000, 4.3770430000, 8.537167200", \
"0.3981568000, 0.4915623000, 0.6072706000, 0.8499735000, 1.3568697000, 2.3906192000, 4.4649044000, 8.621224200", \
"0.6532521000, 0.7361401000, 0.8419487000, 1.0725665000, 1.5664626000, 2.5844083000, 4.6537684000, 8.802860000", \
"0.9073934000, 0.9849270000, 1.0831757000, 1.3041417000, 1.7849166000, 2.7941919000, 4.8450359000, 8.994500900", \
"1.6027975000, 1.6673849000, 1.7595114000, 1.9657114000, 2.4242904000, 3.3933732000, 5.4255617000, 9.543768800", \
"1.9591213000, 2.0236925000, 2.1061597000, 2.3089456000, 2.7592042000, 3.7149295000, 5.7336963000, 9.843379200", \
"2.3152198000, 2.3758523000, 2.4584479000, 2.6557332000, 3.0961027000, 4.0423452000, 6.0430746000, 10.137488800");
}
when : "(A1&!A2&B2)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.2290395000, 0.0928760000, -0.0410771000, -0.3060677000, -0.8351637000, -1.8860798000, -3.9902715000, -8.197355600", \
"0.2317072000, 0.0954269000, -0.0380014000, -0.3032524000, -0.8314854000, -1.8846182000, -3.9862706000, -8.192010100", \
"0.2306602000, 0.0933376000, -0.0398238000, -0.3052159000, -0.8324829000, -1.8858696000, -3.9880531000, -8.193417000", \
"0.2401857000, 0.1018692000, -0.0325534000, -0.2978959000, -0.8251540000, -1.8781293000, -3.9807730000, -8.185979000", \
"0.3183473000, 0.1726145000, 0.0347351000, -0.2342623000, -0.7645371000, -1.8191008000, -3.9231723000, -8.128846600", \
"0.4515221000, 0.3043991000, 0.1610617000, -0.1141776000, -0.6511779000, -1.7098494000, -3.8172527000, -8.024490500", \
"0.7586445000, 0.5780252000, 0.4266127000, 0.1431978000, -0.4052703000, -1.4742334000, -3.5888811000, -7.8007758000", \
"1.0496755000, 0.8643293000, 0.7014186000, 0.4068382000, -0.1505854000, -1.2300644000, -3.3530552000, -7.5707245000", \
"1.8524391000, 1.6544203000, 1.4814819000, 1.1625685000, 0.5748032000, -0.5278155000, -2.6770483000, -6.9126381000", \
"2.2659920000, 2.0638835000, 1.8845953000, 1.5604029000, 0.9590769000, -0.1596638000, -2.3203800000, -6.5677646000", \
"2.6741372000, 2.4685586000, 2.2887250000, 1.9592817000, 1.3490537000, 0.2134530000, -1.9612784000, -6.2200612000");
}
related_pin : "B2";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.1905562000, 0.3078981000, 0.4346998000, 0.6936923000, 1.2092366000, 2.2497726000, 4.3323344000, 8.489068800", \
"0.1918051000, 0.3087445000, 0.4351808000, 0.6918599000, 1.2103446000, 2.2502467000, 4.3328771000, 8.489579100", \
"0.1897071000, 0.3064692000, 0.4329445000, 0.6896069000, 1.2081726000, 2.2482432000, 4.3271495000, 8.487554700", \
"0.1947989000, 0.3106824000, 0.4368566000, 0.6932471000, 1.2119877000, 2.2534993000, 4.3317373000, 8.490595700", \
"0.2651090000, 0.3724788000, 0.4949398000, 0.7488206000, 1.2625820000, 2.3010443000, 4.3788330000, 8.544221500", \
"0.4063101000, 0.4997918000, 0.6177758000, 0.8623475000, 1.3690943000, 2.4034164000, 4.4877758000, 8.637610000", \
"0.6953484000, 0.7805800000, 0.8877997000, 1.1212822000, 1.6175939000, 2.6370795000, 4.7056211000, 8.863797000", \
"0.9876504000, 1.0675946000, 1.1673986000, 1.3913067000, 1.8746431000, 2.8851259000, 4.9411348000, 9.091833100", \
"1.7876262000, 1.8612982000, 1.9521706000, 2.1600050000, 2.6204598000, 3.5919904000, 5.6266331000, 9.755823600", \
"2.2038621000, 2.2697699000, 2.3594970000, 2.5595507000, 3.0129788000, 3.9670298000, 5.9920151000, 10.103984800", \
"2.6175017000, 2.6832766000, 2.7672741000, 2.9663633000, 3.4112767000, 4.3539087000, 6.3579631000, 10.455731300");
}
when : "(!A1&!A2&B1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.2475466000, 0.1041468000, -0.0307067000, -0.2988304000, -0.8288611000, -1.8831575000, -3.9886479000, -8.193586900", \
"0.2505653000, 0.1067571000, -0.0287636000, -0.2960164000, -0.8264393000, -1.8806857000, -3.9850335000, -8.190576300", \
"0.2492416000, 0.1049241000, -0.0304087000, -0.2977098000, -0.8275507000, -1.8827079000, -3.9868450000, -8.192273200", \
"0.2565677000, 0.1113522000, -0.0240677000, -0.2917732000, -0.8215853000, -1.8760010000, -3.9805250000, -8.186331100", \
"0.3223550000, 0.1733782000, 0.0354099000, -0.2354979000, -0.7678125000, -1.8239371000, -3.9289369000, -8.135541900", \
"0.4471940000, 0.2912065000, 0.1484783000, -0.1282180000, -0.6665219000, -1.7263823000, -3.8345147000, -8.042528300", \
"0.7232188000, 0.5403237000, 0.3924435000, 0.1068736000, -0.4421270000, -1.5125962000, -3.6279512000, -7.8403418000", \
"0.9943327000, 0.8052840000, 0.6419407000, 0.3503417000, -0.2089594000, -1.2896648000, -3.4134040000, -7.6318088000", \
"1.7283705000, 1.5302211000, 1.3558767000, 1.0375184000, 0.4538341000, -0.6530410000, -2.8015790000, -7.0378035000", \
"2.1057502000, 1.9031668000, 1.7261609000, 1.4004313000, 0.7996651000, -0.3164156000, -2.4775286000, -6.7247964000", \
"2.4827155000, 2.2771210000, 2.0968195000, 1.7655563000, 1.1546068000, 0.0233244000, -2.1500560000, -6.4084032000");
}
related_pin : "B2";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.1906124000, 0.3082229000, 0.4349710000, 0.6911383000, 1.2133133000, 2.2505911000, 4.3307396000, 8.488502600", \
"0.1925320000, 0.3096046000, 0.4361623000, 0.6928247000, 1.2137738000, 2.2529251000, 4.3299106000, 8.490390700", \
"0.1915805000, 0.3079189000, 0.4349995000, 0.6913521000, 1.2103212000, 2.2532937000, 4.3264628000, 8.491583300", \
"0.1975853000, 0.3138376000, 0.4401604000, 0.6978229000, 1.2153028000, 2.2552070000, 4.3371372000, 8.498962000", \
"0.2609952000, 0.3685618000, 0.4911566000, 0.7452004000, 1.2596117000, 2.2976637000, 4.3758140000, 8.540433300", \
"0.3900936000, 0.4837269000, 0.6009214000, 0.8464133000, 1.3541819000, 2.3898192000, 4.4720412000, 8.621215300", \
"0.6564213000, 0.7407319000, 0.8482574000, 1.0783598000, 1.5769662000, 2.5954394000, 4.6616552000, 8.823706000", \
"0.9239357000, 1.0034753000, 1.1041834000, 1.3298398000, 1.8104065000, 2.8221139000, 4.8837742000, 9.024139200", \
"1.6658820000, 1.7356094000, 1.8268372000, 2.0351776000, 2.4968578000, 3.4650293000, 5.5018488000, 9.628136200", \
"2.0512824000, 2.1161368000, 2.2072714000, 2.4075398000, 2.8590666000, 3.8122627000, 5.8343702000, 9.942748400", \
"2.4329966000, 2.4975252000, 2.5798626000, 2.7795399000, 3.2192671000, 4.1594600000, 6.1655826000, 10.264342800");
}
when : "(!A1&A2&B1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.2961561000, 0.1492421000, 0.0128822000, -0.2580573000, -0.7908445000, -1.8450172000, -3.9497182000, -8.151396800", \
"0.2996948000, 0.1521154000, 0.0154018000, -0.2544801000, -0.7851201000, -1.8406337000, -3.9459579000, -8.151846100", \
"0.2979890000, 0.1504353000, 0.0137230000, -0.2560048000, -0.7866187000, -1.8422129000, -3.9472122000, -8.153331200", \
"0.3022356000, 0.1547523000, 0.0180242000, -0.2511728000, -0.7817462000, -1.8375180000, -3.9422120000, -8.148415400", \
"0.3633476000, 0.2125443000, 0.0737903000, -0.1982441000, -0.7314980000, -1.7885517000, -3.8936527000, -8.100734000", \
"0.4863562000, 0.3287602000, 0.1852772000, -0.0925887000, -0.6318437000, -1.6930429000, -3.8015273000, -8.009980700", \
"0.7592689000, 0.5753614000, 0.4292437000, 0.1414608000, -0.4084956000, -1.4801230000, -3.5964127000, -7.8095469000", \
"1.0339833000, 0.8441977000, 0.6796504000, 0.3870824000, -0.1739462000, -1.2568839000, -3.3821465000, -7.6013809000", \
"1.7721775000, 1.5721110000, 1.3977110000, 1.0769496000, 0.4927924000, -0.6171921000, -2.7679353000, -7.0065755000", \
"2.1495225000, 1.9463113000, 1.7686624000, 1.4409949000, 0.8385610000, -0.2801418000, -2.4440489000, -6.6935675000", \
"2.5280533000, 2.3206979000, 2.1398903000, 1.8073926000, 1.1959257000, 0.0601934000, -2.1146420000, -6.3753421000");
}
related_pin : "B2";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.2008039000, 0.3138629000, 0.4402419000, 0.6943820000, 1.2110980000, 2.2499657000, 4.3289650000, 8.489179800", \
"0.2025836000, 0.3157567000, 0.4411899000, 0.6959870000, 1.2142555000, 2.2531323000, 4.3302691000, 8.491192900", \
"0.2016937000, 0.3146621000, 0.4402113000, 0.6963534000, 1.2138297000, 2.2525747000, 4.3384873000, 8.488604900", \
"0.2075120000, 0.3199869000, 0.4448699000, 0.6998821000, 1.2187828000, 2.2552628000, 4.3341684000, 8.497647100", \
"0.2699832000, 0.3753204000, 0.4966870000, 0.7473147000, 1.2633646000, 2.3000543000, 4.3763916000, 8.539143600", \
"0.3997098000, 0.4923198000, 0.6088312000, 0.8515534000, 1.3581830000, 2.3888215000, 4.4634752000, 8.619799900", \
"0.6673764000, 0.7521776000, 0.8579906000, 1.0871578000, 1.5823499000, 2.6002261000, 4.6700389000, 8.815733000", \
"0.9360739000, 1.0149932000, 1.1135511000, 1.3379665000, 1.8162201000, 2.8260229000, 4.8843367000, 9.023770500", \
"1.6787941000, 1.7454811000, 1.8365408000, 2.0448124000, 2.5056736000, 3.4710574000, 5.5047344000, 9.626473400", \
"2.0638763000, 2.1272563000, 2.2151641000, 2.4169261000, 2.8679273000, 3.8210829000, 5.8376502000, 9.940986000", \
"2.4459066000, 2.5066115000, 2.5899955000, 2.7867479000, 3.2286023000, 4.1731631000, 6.1697077000, 10.263093000");
}
when : "(A1&!A2&B1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.3123757000, 0.1800867000, 0.0488090000, -0.2166270000, -0.7449473000, -1.7985403000, -3.9022492000, -8.108698700", \
"0.3128469000, 0.1807276000, 0.0489993000, -0.2166756000, -0.7444335000, -1.7979619000, -3.9014904000, -8.104940600", \
"0.3105811000, 0.1785868000, 0.0468858000, -0.2182141000, -0.7465835000, -1.8000636000, -3.9037781000, -8.109069700", \
"0.3075911000, 0.1750629000, 0.0429374000, -0.2220244000, -0.7503658000, -1.8038951000, -3.9074980000, -8.113386300", \
"0.3637094000, 0.2228881000, 0.0872209000, -0.1818500000, -0.7129132000, -1.7678504000, -3.8728138000, -8.079362600", \
"0.4902753000, 0.3447250000, 0.2029462000, -0.0732173000, -0.6107384000, -1.6710585000, -3.7799902000, -7.9877316000", \
"0.8035951000, 0.6216812000, 0.4616543000, 0.1791672000, -0.3720609000, -1.4434120000, -3.5603657000, -7.7740962000", \
"1.0938892000, 0.9048934000, 0.7397201000, 0.4395473000, -0.1198865000, -1.2027418000, -3.3289979000, -7.5491890000", \
"1.8840843000, 1.6840896000, 1.5089562000, 1.1861067000, 0.5943047000, -0.5128413000, -2.6657140000, -6.9060015000", \
"2.2885274000, 2.0844827000, 1.9043984000, 1.5777760000, 0.9740373000, -0.1504917000, -2.3153719000, -6.5668865000", \
"2.6920758000, 2.4842677000, 2.3036090000, 1.9682174000, 1.3565909000, 0.2171591000, -1.9611042000, -6.2240709000");
}
related_pin : "A1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.2952188000, 0.3990763000, 0.5189357000, 0.7680927000, 1.2813403000, 2.3177303000, 4.3937559000, 8.563788600", \
"0.2990946000, 0.4022071000, 0.5244283000, 0.7740893000, 1.2880311000, 2.3251749000, 4.4008774000, 8.561004900", \
"0.2968957000, 0.4014508000, 0.5222847000, 0.7725151000, 1.2876901000, 2.3209019000, 4.4000856000, 8.556918600", \
"0.2980809000, 0.4011102000, 0.5232461000, 0.7728790000, 1.2877975000, 2.3215872000, 4.4007639000, 8.558667100", \
"0.3473525000, 0.4491429000, 0.5672184000, 0.8139722000, 1.3281126000, 2.3599801000, 4.4387608000, 8.594963600", \
"0.4704625000, 0.5661398000, 0.6786164000, 0.9192441000, 1.4250639000, 2.4548011000, 4.5230529000, 8.683298800", \
"0.7558051000, 0.8380420000, 0.9406854000, 1.1729231000, 1.6590863000, 2.6733719000, 4.7401152000, 8.889901100", \
"1.0381321000, 1.1143900000, 1.2126952000, 1.4293921000, 1.9115671000, 2.9119095000, 4.9676542000, 9.108287000", \
"1.8315071000, 1.8984924000, 1.9889210000, 2.1928553000, 2.6400029000, 3.6121716000, 5.6313796000, 9.746316300", \
"2.2378074000, 2.3022023000, 2.3891150000, 2.5903405000, 3.0355120000, 3.9834906000, 5.9916855000, 10.089627200", \
"2.6417705000, 2.7065289000, 2.7885852000, 2.9815081000, 3.4203942000, 4.3545127000, 6.3519228000, 10.435020600");
}
when : "(A2&!B1&!B2)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.2828149000, 0.1430463000, 0.0099333000, -0.2562011000, -0.7848223000, -1.8386064000, -3.9423052000, -8.147891000", \
"0.2830167000, 0.1437922000, 0.0099429000, -0.2558539000, -0.7842999000, -1.8380002000, -3.9420798000, -8.147632700", \
"0.2808568000, 0.1412661000, 0.0080102000, -0.2579229000, -0.7866843000, -1.8396977000, -3.9440246000, -8.149741000", \
"0.2775669000, 0.1371928000, 0.0037891000, -0.2622067000, -0.7907267000, -1.8445863000, -3.9481811000, -8.153690600", \
"0.3252903000, 0.1787865000, 0.0424067000, -0.2261485000, -0.7570172000, -1.8120587000, -3.9165991000, -8.123134700", \
"0.4461611000, 0.2938910000, 0.1525555000, -0.1234309000, -0.6607165000, -1.7204732000, -3.8282214000, -8.036049500", \
"0.7470689000, 0.5651504000, 0.4063622000, 0.1239680000, -0.4265465000, -1.4967708000, -3.6132396000, -7.8262775000", \
"1.0350194000, 0.8458227000, 0.6810755000, 0.3810431000, -0.1783415000, -1.2595135000, -3.3850920000, -7.6053775000", \
"1.8238781000, 1.6250337000, 1.4495888000, 1.1276482000, 0.5339801000, -0.5746597000, -2.7276015000, -6.9688360000", \
"2.2292321000, 2.0276783000, 1.8490377000, 1.5197926000, 0.9139378000, -0.2111721000, -2.3780333000, -6.6306063000", \
"2.6361050000, 2.4313025000, 2.2503641000, 1.9157544000, 1.2992623000, 0.1586868000, -2.0234152000, -6.2907841000");
}
related_pin : "A1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.2718563000, 0.3851398000, 0.5062302000, 0.7650754000, 1.2790898000, 2.3064568000, 4.3858723000, 8.547087000", \
"0.2779391000, 0.3855874000, 0.5084893000, 0.7604383000, 1.2774950000, 2.3131509000, 4.3952809000, 8.552012100", \
"0.2749793000, 0.3827984000, 0.5058523000, 0.7576652000, 1.2736562000, 2.3096622000, 4.3920993000, 8.548529500", \
"0.2755523000, 0.3833780000, 0.5062192000, 0.7587025000, 1.2749620000, 2.3105311000, 4.3942054000, 8.552684700", \
"0.3326556000, 0.4357974000, 0.5553629000, 0.8032754000, 1.3152696000, 2.3492271000, 4.4253417000, 8.585081300", \
"0.4596113000, 0.5582450000, 0.6707576000, 0.9104534000, 1.4141517000, 2.4427374000, 4.5146439000, 8.675088300", \
"0.7556355000, 0.8369424000, 0.9374066000, 1.1664952000, 1.6561253000, 2.6674256000, 4.7339502000, 8.885003100", \
"1.0476695000, 1.1218457000, 1.2202309000, 1.4337215000, 1.9143526000, 2.9102185000, 4.9564357000, 9.097141400", \
"1.8604121000, 1.9254366000, 2.0169695000, 2.2156632000, 2.6560760000, 3.6241475000, 5.6346160000, 9.744105800", \
"2.2789452000, 2.3426817000, 2.4242011000, 2.6202173000, 3.0599576000, 4.0013689000, 5.9985078000, 10.086847800", \
"2.6938210000, 2.7552768000, 2.8349791000, 3.0253910000, 3.4569779000, 4.3781964000, 6.3611554000, 10.430740600");
}
when : "(A2&!B1&B2)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.3342632000, 0.1891809000, 0.0543655000, -0.2132495000, -0.7432109000, -1.7969250000, -3.9024790000, -8.108290800", \
"0.3341227000, 0.1901477000, 0.0551034000, -0.2128867000, -0.7428342000, -1.7975720000, -3.9017394000, -8.107630200", \
"0.3325038000, 0.1877807000, 0.0525180000, -0.2148261000, -0.7450564000, -1.7993908000, -3.9040397000, -8.109948400", \
"0.3289043000, 0.1840444000, 0.0484512000, -0.2194797000, -0.7493366000, -1.8036376000, -3.9081546000, -8.114209400", \
"0.3694359000, 0.2204494000, 0.0830979000, -0.1867997000, -0.7188904000, -1.7746079000, -3.8797198000, -8.086557300", \
"0.4885271000, 0.3329696000, 0.1902923000, -0.0871990000, -0.6251313000, -1.6855423000, -3.7939205000, -8.001995300", \
"0.7861887000, 0.6012683000, 0.4450456000, 0.1590361000, -0.3936323000, -1.4659066000, -3.5832132000, -7.7973970000", \
"1.0751372000, 0.8863118000, 0.7199127000, 0.4197388000, -0.1437798000, -1.2284474000, -3.3559238000, -7.5772960000", \
"1.8694612000, 1.6703914000, 1.4945387000, 1.1696504000, 0.5718604000, -0.5398084000, -2.6954724000, -6.9404860000", \
"2.2775942000, 2.0745641000, 1.8948383000, 1.5644542000, 0.9534942000, -0.1747723000, -2.3444924000, -6.6031927000", \
"2.6844235000, 2.4792161000, 2.2972588000, 1.9611028000, 1.3399814000, 0.1955566000, -1.9913595000, -6.2621253000");
}
related_pin : "A1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.2919246000, 0.3971075000, 0.5179176000, 0.7691376000, 1.2835547000, 2.3178875000, 4.3963011000, 8.555081400", \
"0.2881857000, 0.3942447000, 0.5149895000, 0.7661144000, 1.2807904000, 2.3169253000, 4.3975917000, 8.556382900", \
"0.2856180000, 0.3909074000, 0.5125043000, 0.7630324000, 1.2782580000, 2.3152507000, 4.3928700000, 8.550309200", \
"0.2861063000, 0.3912207000, 0.5128582000, 0.7625984000, 1.2759779000, 2.3131167000, 4.3898442000, 8.548507800", \
"0.3412335000, 0.4432327000, 0.5615643000, 0.8084071000, 1.3191668000, 2.3497122000, 4.4316688000, 8.590228400", \
"0.4709763000, 0.5665382000, 0.6777265000, 0.9173245000, 1.4176377000, 2.4440739000, 4.5156410000, 8.671057100", \
"0.7653074000, 0.8442694000, 0.9453991000, 1.1758841000, 1.6596038000, 2.6689804000, 4.7279482000, 8.875009000", \
"1.0601792000, 1.1326496000, 1.2288549000, 1.4421274000, 1.9180138000, 2.9116473000, 4.9584644000, 9.098119900", \
"1.8754256000, 1.9385140000, 2.0266802000, 2.2270169000, 2.6647899000, 3.6304566000, 5.6343055000, 9.740572100", \
"2.2965101000, 2.3587659000, 2.4393692000, 2.6346698000, 3.0707493000, 4.0110265000, 6.0000483000, 10.080768100", \
"2.7155430000, 2.7760655000, 2.8543987000, 3.0433424000, 3.4696010000, 4.3898711000, 6.3660864000, 10.431009800");
}
when : "(A2&B1&!B2)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.3496508000, 0.2155585000, 0.0830079000, -0.1832964000, -0.7124452000, -1.7661725000, -3.8707749000, -8.075749900", \
"0.3532507000, 0.2182039000, 0.0860611000, -0.1800182000, -0.7092102000, -1.7630425000, -3.8668416000, -8.072172100", \
"0.3518257000, 0.2168131000, 0.0846150000, -0.1813768000, -0.7106094000, -1.7645467000, -3.8681984000, -8.073379200", \
"0.3515034000, 0.2169291000, 0.0834532000, -0.1822905000, -0.7113289000, -1.7653790000, -3.8692323000, -8.074594500", \
"0.4133981000, 0.2701012000, 0.1343164000, -0.1355046000, -0.6674182000, -1.7230872000, -3.8282138000, -8.034501500", \
"0.5574206000, 0.4077625000, 0.2661087000, -0.0113573000, -0.5510411000, -1.6122871000, -3.7209717000, -7.9294751000", \
"0.9036641000, 0.7200800000, 0.5630982000, 0.2762144000, -0.2782575000, -1.3529778000, -3.4722936000, -7.6873412000", \
"1.2318368000, 1.0418483000, 0.8767792000, 0.5758268000, 0.0102320000, -1.0787574000, -3.2092253000, -7.4325160000", \
"2.1306479000, 1.9311601000, 1.7548411000, 1.4290324000, 0.8301090000, -0.2880432000, -2.4498028000, -6.6987621000", \
"2.5928417000, 2.3894493000, 2.2093746000, 1.8775068000, 1.2673838000, 0.1307875000, -2.0470541000, -6.3112138000", \
"3.0547255000, 2.8488624000, 2.6657174000, 2.3266350000, 1.7047869000, 0.5526181000, -1.6418386000, -5.9199906000");
}
related_pin : "A2";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.2976284000, 0.4006226000, 0.5228026000, 0.7725382000, 1.2853965000, 2.3256327000, 4.4040019000, 8.558153100", \
"0.2968735000, 0.3999256000, 0.5219691000, 0.7716674000, 1.2858634000, 2.3220473000, 4.3986162000, 8.556900000", \
"0.2944774000, 0.3975535000, 0.5195009000, 0.7692792000, 1.2831348000, 2.3194577000, 4.4017157000, 8.560472700", \
"0.2940900000, 0.3970269000, 0.5192912000, 0.7695005000, 1.2833579000, 2.3194390000, 4.3951111000, 8.557179700", \
"0.3446001000, 0.4455272000, 0.5637883000, 0.8115393000, 1.3241671000, 2.3575336000, 4.4354071000, 8.591739600", \
"0.4767736000, 0.5749153000, 0.6864256000, 0.9262260000, 1.4298566000, 2.4601261000, 4.5291690000, 8.692342500", \
"0.7884499000, 0.8709797000, 0.9719939000, 1.2030235000, 1.6925366000, 2.7047538000, 4.7672609000, 8.920319100", \
"1.1063140000, 1.1829731000, 1.2820596000, 1.4969421000, 1.9783880000, 2.9758041000, 5.0293482000, 9.169938900", \
"1.9929577000, 2.0630315000, 2.1541257000, 2.3562047000, 2.7980650000, 3.7673650000, 5.7823525000, 9.893047300", \
"2.4549258000, 2.5223847000, 2.6094901000, 2.8054952000, 3.2492934000, 4.1895159000, 6.1904046000, 10.283325100", \
"2.9122488000, 2.9793447000, 3.0608687000, 3.2511153000, 3.6826287000, 4.6139032000, 6.5982504000, 10.675320100");
}
when : "(A1&!B1&!B2)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.3249721000, 0.1811123000, 0.0464285000, -0.2214199000, -0.7515180000, -1.8057834000, -3.9099991000, -8.115916400", \
"0.3284743000, 0.1844879000, 0.0492430000, -0.2183071000, -0.7479478000, -1.8023277000, -3.9067718000, -8.112615300", \
"0.3270787000, 0.1829380000, 0.0480211000, -0.2196675000, -0.7496721000, -1.8036907000, -3.9080956000, -8.114141600", \
"0.3273915000, 0.1823472000, 0.0470817000, -0.2207065000, -0.7508652000, -1.8048212000, -3.9096131000, -8.115173900", \
"0.3780381000, 0.2291533000, 0.0913805000, -0.1789495000, -0.7114201000, -1.7672662000, -3.8724757000, -8.078883400", \
"0.5149510000, 0.3598211000, 0.2157393000, -0.0624086000, -0.6015813000, -1.6627790000, -3.7714842000, -7.9799372000", \
"0.8508723000, 0.6666026000, 0.5095366000, 0.2215353000, -0.3330441000, -1.4076321000, -3.5270090000, -7.7422685000", \
"1.1792063000, 0.9885605000, 0.8202501000, 0.5183387000, -0.0483843000, -1.1366862000, -3.2675235000, -7.4911210000", \
"2.0823360000, 1.8821314000, 1.7043640000, 1.3752961000, 0.7728532000, -0.3472353000, -2.5128151000, -6.7651056000", \
"2.5501148000, 2.3482602000, 2.1659821000, 1.8286238000, 1.2117224000, 0.0729215000, -2.1117300000, -6.3799019000", \
"3.0153920000, 2.8092232000, 2.6262905000, 2.2856912000, 1.6558158000, 0.4983731000, -1.7039526000, -5.9881494000");
}
related_pin : "A2";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.2769673000, 0.3847082000, 0.5081420000, 0.7600367000, 1.2742377000, 2.3116297000, 4.3887803000, 8.553496200", \
"0.2751011000, 0.3833293000, 0.5061377000, 0.7582343000, 1.2757412000, 2.3115012000, 4.3889961000, 8.549708700", \
"0.2723720000, 0.3801961000, 0.5032914000, 0.7552236000, 1.2724306000, 2.3077678000, 4.3872630000, 8.550113800", \
"0.2717272000, 0.3791023000, 0.5021923000, 0.7538742000, 1.2679799000, 2.3063367000, 4.3890366000, 8.548587500", \
"0.3284348000, 0.4317796000, 0.5512972000, 0.7994951000, 1.3112455000, 2.3463207000, 4.4225713000, 8.586056100", \
"0.4682517000, 0.5635528000, 0.6788647000, 0.9189425000, 1.4209025000, 2.4511591000, 4.5221272000, 8.677060800", \
"0.7921582000, 0.8732172000, 0.9725708000, 1.2006569000, 1.6900079000, 2.6995717000, 4.7598697000, 8.915611800", \
"1.1194089000, 1.1952224000, 1.2912984000, 1.5035813000, 1.9788282000, 2.9748297000, 5.0208623000, 9.163295700", \
"2.0323958000, 2.1006004000, 2.1872238000, 2.3831745000, 2.8251618000, 3.7796568000, 5.7861071000, 9.887970200", \
"2.5044796000, 2.5702234000, 2.6513764000, 2.8407802000, 3.2775630000, 4.2055527000, 6.1967267000, 10.276713300", \
"2.9807640000, 3.0422330000, 3.1224670000, 3.3067853000, 3.7255488000, 4.6370837000, 6.6109379000, 10.675449000");
}
when : "(A1&!B1&B2)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.3731372000, 0.2261502000, 0.0895027000, -0.1795915000, -0.7105711000, -1.7660505000, -3.8708899000, -8.077114200", \
"0.3762308000, 0.2294476000, 0.0933916000, -0.1760075000, -0.7071785000, -1.7629557000, -3.8676574000, -8.074149800", \
"0.3754280000, 0.2281915000, 0.0914665000, -0.1771919000, -0.7087094000, -1.7638094000, -3.8682633000, -8.075902300", \
"0.3748966000, 0.2271049000, 0.0904495000, -0.1784755000, -0.7100077000, -1.7651700000, -3.8702948000, -8.076280700", \
"0.4222152000, 0.2703811000, 0.1314922000, -0.1400828000, -0.6733293000, -1.7301145000, -3.8355960000, -8.042702400", \
"0.5546611000, 0.3966751000, 0.2529294000, -0.0268130000, -0.5669445000, -1.6290269000, -3.7382999000, -7.9470443000", \
"0.8869026000, 0.7017063000, 0.5461642000, 0.2560356000, -0.3002903000, -1.3767733000, -3.4966744000, -7.7123941000", \
"1.2164191000, 1.0250078000, 0.8556815000, 0.5545917000, -0.0137266000, -1.1054030000, -3.2377790000, -7.4622572000", \
"2.1209386000, 1.9202818000, 1.7410405000, 1.4115073000, 0.8081179000, -0.3147500000, -2.4837883000, -6.7378310000", \
"2.5900847000, 2.3857913000, 2.2039596000, 1.8652639000, 1.2471581000, 0.1057356000, -2.0812636000, -6.3514863000", \
"3.0558413000, 2.8497813000, 2.6653497000, 2.3225202000, 1.6917539000, 0.5323010000, -1.6709354000, -5.9596731000");
}
related_pin : "A2";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.2877662000, 0.3928249000, 0.5139424000, 0.7651519000, 1.2795967000, 2.3185532000, 4.3938728000, 8.549197700", \
"0.2859765000, 0.3921204000, 0.5128623000, 0.7637923000, 1.2759747000, 2.3126031000, 4.3899098000, 8.553929500", \
"0.2833620000, 0.3887349000, 0.5103482000, 0.7608911000, 1.2741114000, 2.3108218000, 4.3916673000, 8.546166700", \
"0.2826629000, 0.3878584000, 0.5093544000, 0.7596886000, 1.2746000000, 2.3118985000, 4.3888512000, 8.546021100", \
"0.3378863000, 0.4393463000, 0.5579895000, 0.8050870000, 1.3152494000, 2.3481916000, 4.4235274000, 8.586856900", \
"0.4753351000, 0.5731186000, 0.6855519000, 0.9241069000, 1.4256315000, 2.4517423000, 4.5221621000, 8.682161100", \
"0.7985350000, 0.8788614000, 0.9798461000, 1.2071919000, 1.6927600000, 2.7011310000, 4.7591929000, 8.914143100", \
"1.1253262000, 1.2002547000, 1.2967651000, 1.5086318000, 1.9830515000, 2.9760839000, 5.0252658000, 9.161465600", \
"2.0388242000, 2.1040778000, 2.1883481000, 2.3865259000, 2.8244987000, 3.7824306000, 5.7844707000, 9.882619200", \
"2.5108337000, 2.5759389000, 2.6631604000, 2.8449542000, 3.2764762000, 4.2114762000, 6.1930085000, 10.270572500", \
"2.9802700000, 3.0424589000, 3.1222491000, 3.3088286000, 3.7258105000, 4.6397070000, 6.6108952000, 10.669938400");
}
when : "(A1&B1&!B2)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.1787324000, 0.0464772000, -0.0852348000, -0.3488861000, -0.8760432000, -1.9298170000, -4.0319891000, -8.234262600", \
"0.1889723000, 0.0555863000, -0.0765926000, -0.3403400000, -0.8665037000, -1.9188532000, -4.0217470000, -8.227188900", \
"0.1880129000, 0.0544393000, -0.0770694000, -0.3415310000, -0.8679604000, -1.9204364000, -4.0232236000, -8.228246100", \
"0.1962185000, 0.0615717000, -0.0711095000, -0.3350756000, -0.8617924000, -1.9138586000, -4.0169063000, -8.221905100", \
"0.2702724000, 0.1261973000, -0.0107587000, -0.2789266000, -0.8083732000, -1.8621215000, -3.9660832000, -8.171737700", \
"0.3971125000, 0.2427246000, 0.1010734000, -0.1722999000, -0.7063102000, -1.7634229000, -3.8694692000, -8.076317200", \
"0.6723936000, 0.4936422000, 0.3389021000, 0.0605012000, -0.4837848000, -1.5490021000, -3.6615398000, -7.8720293000", \
"0.9403505000, 0.7541917000, 0.5925772000, 0.2981359000, -0.2517972000, -1.3266624000, -3.4451704000, -7.6604475000", \
"1.6721179000, 1.4745609000, 1.3043011000, 0.9885949000, 0.4077020000, -0.6906571000, -2.8294457000, -7.0599571000", \
"2.0465763000, 1.8459456000, 1.6714562000, 1.3511519000, 0.7558923000, -0.3560505000, -2.5064832000, -6.7438862000", \
"2.4205050000, 2.2163972000, 2.0384361000, 1.7121629000, 1.1091798000, -0.0150707000, -2.1795325000, -6.4274476000");
}
related_pin : "B1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.1861508000, 0.3032778000, 0.4300305000, 0.6861062000, 1.2076713000, 2.2452868000, 4.3329003000, 8.484549400", \
"0.1909072000, 0.3078089000, 0.4345552000, 0.6907987000, 1.2119590000, 2.2477317000, 4.3379058000, 8.488566800", \
"0.1901851000, 0.3069694000, 0.4336555000, 0.6916727000, 1.2112636000, 2.2509094000, 4.3278286000, 8.491663500", \
"0.1978617000, 0.3132640000, 0.4398221000, 0.6961642000, 1.2147511000, 2.2572266000, 4.3328364000, 8.494441500", \
"0.2683471000, 0.3749871000, 0.4966800000, 0.7486157000, 1.2673817000, 2.3023909000, 4.3857482000, 8.544802100", \
"0.4007914000, 0.4947747000, 0.6119407000, 0.8568491000, 1.3672025000, 2.3992379000, 4.4758293000, 8.632002500", \
"0.6725160000, 0.7568954000, 0.8627601000, 1.0927848000, 1.5941786000, 2.6131682000, 4.6873539000, 8.839723800", \
"0.9444711000, 1.0233443000, 1.1234438000, 1.3482450000, 1.8342643000, 2.8439745000, 4.9053851000, 9.055295500", \
"1.6850833000, 1.7532203000, 1.8453419000, 2.0510715000, 2.5144580000, 3.4930750000, 5.5313345000, 9.659201600", \
"2.0687197000, 2.1352069000, 2.2245404000, 2.4251540000, 2.8819076000, 3.8423561000, 5.8673053000, 9.976244000", \
"2.4511228000, 2.5118988000, 2.5975421000, 2.7958759000, 3.2431888000, 4.1892768000, 6.2031829000, 10.308952600");
}
when : "(!A1&!A2&B2)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.1929168000, 0.0545149000, -0.0782816000, -0.3444326000, -0.8770211000, -1.9259283000, -4.0299626000, -8.234653100", \
"0.2022876000, 0.0641229000, -0.0693631000, -0.3354671000, -0.8639469000, -1.9175509000, -4.0211911000, -8.226810700", \
"0.2021711000, 0.0624013000, -0.0710889000, -0.3363849000, -0.8649438000, -1.9184719000, -4.0224262000, -8.228129100", \
"0.2077978000, 0.0679658000, -0.0657778000, -0.3312918000, -0.8599806000, -1.9137423000, -4.0172413000, -8.222772500", \
"0.2724414000, 0.1255944000, -0.0111570000, -0.2801361000, -0.8107824000, -1.8659240000, -3.9707918000, -8.176765900", \
"0.3850048000, 0.2339651000, 0.0929454000, -0.1822838000, -0.7178757000, -1.7762656000, -3.8834777000, -8.090771000", \
"0.6494919000, 0.4683046000, 0.3152996000, 0.0347661000, -0.5112719000, -1.5791791000, -3.6918603000, -7.9036823000", \
"0.9010893000, 0.7137567000, 0.5520970000, 0.2585689000, -0.2956832000, -1.3734500000, -3.4940062000, -7.7100765000", \
"1.5838607000, 1.3857120000, 1.2137619000, 0.8960702000, 0.3131515000, -0.7846748000, -2.9256425000, -7.1573976000", \
"1.9347818000, 1.7323047000, 1.5563599000, 1.2341405000, 0.6380573000, -0.4748152000, -2.6262701000, -6.8670517000", \
"2.2830167000, 2.0797446000, 1.9000823000, 1.5711823000, 0.9643449000, -0.1601344000, -2.3233462000, -6.5731739000");
}
related_pin : "B1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.1858198000, 0.3020530000, 0.4297252000, 0.6861210000, 1.2040348000, 2.2462121000, 4.3274483000, 8.485780300", \
"0.1918625000, 0.3085623000, 0.4355218000, 0.6935003000, 1.2135642000, 2.2532259000, 4.3291657000, 8.494478400", \
"0.1918991000, 0.3088636000, 0.4356456000, 0.6936370000, 1.2125124000, 2.2516997000, 4.3312523000, 8.495154300", \
"0.2004108000, 0.3161244000, 0.4423431000, 0.6988455000, 1.2176958000, 2.2577258000, 4.3418955000, 8.496756300", \
"0.2650898000, 0.3717936000, 0.4938635000, 0.7465296000, 1.2621066000, 2.3036062000, 4.3782862000, 8.540997500", \
"0.3896448000, 0.4823463000, 0.5985733000, 0.8445892000, 1.3545005000, 2.3869598000, 4.4590557000, 8.620885400", \
"0.6448977000, 0.7285722000, 0.8343128000, 1.0680392000, 1.5655701000, 2.5816874000, 4.6503409000, 8.803957500", \
"0.8998133000, 0.9762011000, 1.0777454000, 1.3024401000, 1.7842967000, 2.7951101000, 4.8510089000, 9.003466800", \
"1.6023236000, 1.6671190000, 1.7589792000, 1.9650018000, 2.4268283000, 3.4049030000, 5.4404355000, 9.560322200", \
"1.9621007000, 2.0258844000, 2.1104350000, 2.3122911000, 2.7659521000, 3.7299684000, 5.7475899000, 9.863086600", \
"2.3210725000, 2.3809047000, 2.4639179000, 2.6614624000, 3.1040823000, 4.0557140000, 6.0601176000, 10.158435400");
}
when : "(!A1&A2&B2)";
}
max_capacitance : 0.5560300000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.1273200000, 0.1905700000, 0.2400800000, 0.3319000000, 0.5096900000, 0.8641600000, 1.5743700000, 2.9952700000", \
"0.1298900000, 0.1931100000, 0.2426300000, 0.3344300000, 0.5121800000, 0.8668100000, 1.5769700000, 2.9961700000", \
"0.1316600000, 0.1948500000, 0.2443600000, 0.3361600000, 0.5139600000, 0.8684500000, 1.5784300000, 2.9982300000", \
"0.1379600000, 0.2008600000, 0.2502900000, 0.3420900000, 0.5199200000, 0.8743200000, 1.5843000000, 3.0047000000", \
"0.1646500000, 0.2276800000, 0.2763900000, 0.3674000000, 0.5444400000, 0.8983000000, 1.6079000000, 3.0277000000", \
"0.1975800000, 0.2647300000, 0.3142700000, 0.4050500000, 0.5804900000, 0.9325000000, 1.6405000000, 3.0611000000", \
"0.2414200000, 0.3172000000, 0.3714000000, 0.4660000000, 0.6429000000, 0.9918000000, 1.6966000000, 3.1144000000", \
"0.2709000000, 0.3531000000, 0.4110000000, 0.5103000000, 0.6934000000, 1.0444000000, 1.7459000000, 3.1609000000", \
"0.3202700000, 0.4151700000, 0.4807700000, 0.5908700000, 0.7866700000, 1.1593700000, 1.8696700000, 3.2769700000", \
"0.3360300000, 0.4358300000, 0.5047300000, 0.6192300000, 0.8210300000, 1.2017300000, 1.9283300000, 3.3337300000", \
"0.3477000000, 0.4519000000, 0.5237000000, 0.6423000000, 0.8495000000, 1.2373000000, 1.9792000000, 3.3894000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.1577300000, 0.2150400000, 0.2563500000, 0.3325400000, 0.4815900000, 0.7798600000, 1.3785700000, 2.5753700000", \
"0.1570900000, 0.2143900000, 0.2557100000, 0.3318900000, 0.4809000000, 0.7794500000, 1.3774700000, 2.5746700000", \
"0.1572900000, 0.2145900000, 0.2558900000, 0.3321100000, 0.4810200000, 0.7796300000, 1.3780300000, 2.5751300000", \
"0.1622200000, 0.2195100000, 0.2608000000, 0.3370000000, 0.4860200000, 0.7845600000, 1.3832000000, 2.5817000000", \
"0.1933500000, 0.2500500000, 0.2908000000, 0.3663400000, 0.5145800000, 0.8125800000, 1.4111000000, 2.6074000000", \
"0.2351000000, 0.2948600000, 0.3357200000, 0.4105300000, 0.5572400000, 0.8535000000, 1.4506000000, 2.6482000000", \
"0.2942000000, 0.3622000000, 0.4054000000, 0.4810000000, 0.6269000000, 0.9208000000, 1.5149000000, 2.7098000000", \
"0.3382000000, 0.4134000000, 0.4598000000, 0.5374000000, 0.6854000000, 0.9793000000, 1.5708000000, 2.7631000000", \
"0.4290700000, 0.5196700000, 0.5745700000, 0.6589700000, 0.8121700000, 1.1160700000, 1.7112700000, 2.8979700000", \
"0.4670300000, 0.5638300000, 0.6227300000, 0.7111300000, 0.8664300000, 1.1743300000, 1.7785300000, 2.9628300000", \
"0.5014000000, 0.6036000000, 0.6661000000, 0.7586000000, 0.9164000000, 1.2276000000, 1.8394000000, 3.0277000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.0336700000, 0.0906900000, 0.1441100000, 0.2535800000, 0.4793300000, 0.9406200000, 1.8659200000, 3.7193000000", \
"0.0336800000, 0.0906800000, 0.1441400000, 0.2535100000, 0.4797400000, 0.9404700000, 1.8664000000, 3.7178000000", \
"0.0336900000, 0.0906800000, 0.1441200000, 0.2534400000, 0.4793300000, 0.9391100000, 1.8656400000, 3.7174000000", \
"0.0338300000, 0.0908500000, 0.1442700000, 0.2536700000, 0.4792700000, 0.9399500000, 1.8664200000, 3.7191000000", \
"0.0396800000, 0.0955400000, 0.1471500000, 0.2549200000, 0.4797700000, 0.9404800000, 1.8664000000, 3.7176000000", \
"0.0520700000, 0.1092100000, 0.1582800000, 0.2616300000, 0.4820300000, 0.9407300000, 1.8661000000, 3.7190000000", \
"0.0731100000, 0.1370300000, 0.1859000000, 0.2853000000, 0.4957000000, 0.9436000000, 1.8663000000, 3.7184000000", \
"0.0901000000, 0.1594000000, 0.2103000000, 0.3103000000, 0.5190000000, 0.9570000000, 1.8689000000, 3.7188000000", \
"0.1283000000, 0.2094000000, 0.2657000000, 0.3695000000, 0.5830000000, 1.0206000000, 1.9005000000, 3.7209000000", \
"0.1454000000, 0.2315000000, 0.2904000000, 0.3967000000, 0.6116000000, 1.0563000000, 1.9324000000, 3.7305000000", \
"0.1614000000, 0.2517000000, 0.3131000000, 0.4222000000, 0.6392000000, 1.0886000000, 1.9695000000, 3.7494000000");
}
related_pin : "A1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.0426700000, 0.0942700000, 0.1428900000, 0.2466700000, 0.4652700000, 0.9119600000, 1.8077300000, 3.5976000000", \
"0.0426500000, 0.0942700000, 0.1429500000, 0.2469100000, 0.4651000000, 0.9115100000, 1.8063800000, 3.5972000000", \
"0.0426500000, 0.0942000000, 0.1430000000, 0.2468200000, 0.4653600000, 0.9118900000, 1.8068900000, 3.5971000000", \
"0.0426500000, 0.0942500000, 0.1429300000, 0.2467600000, 0.4658100000, 0.9114300000, 1.8066500000, 3.6000000000", \
"0.0440500000, 0.0953500000, 0.1439100000, 0.2476000000, 0.4652700000, 0.9109100000, 1.8066200000, 3.5990000000", \
"0.0526700000, 0.1033100000, 0.1500500000, 0.2507400000, 0.4670000000, 0.9113400000, 1.8070000000, 3.5979000000", \
"0.0703600000, 0.1228000000, 0.1668000000, 0.2631000000, 0.4742000000, 0.9141000000, 1.8067000000, 3.5986000000", \
"0.0857000000, 0.1414000000, 0.1839000000, 0.2780000000, 0.4859000000, 0.9217000000, 1.8088000000, 3.5970000000", \
"0.1221000000, 0.1864000000, 0.2291000000, 0.3184000000, 0.5231000000, 0.9581000000, 1.8318000000, 3.6013000000", \
"0.1391000000, 0.2070000000, 0.2509000000, 0.3388000000, 0.5410000000, 0.9789000000, 1.8522000000, 3.6103000000", \
"0.1553000000, 0.2264000000, 0.2716000000, 0.3586000000, 0.5583000000, 0.9986000000, 1.8748000000, 3.6229000000");
}
sdf_cond : "(A2&!B1&!B2)";
timing_sense : "positive_unate";
when : "(A2&!B1&!B2)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.1422900000, 0.2045400000, 0.2536500000, 0.3450700000, 0.5226900000, 0.8771200000, 1.5867700000, 3.0081700000", \
"0.1449200000, 0.2071200000, 0.2562200000, 0.3476700000, 0.5252300000, 0.8796900000, 1.5895700000, 3.0108700000", \
"0.1463400000, 0.2085000000, 0.2575900000, 0.3490300000, 0.5266100000, 0.8810300000, 1.5909300000, 3.0119300000", \
"0.1510500000, 0.2130000000, 0.2620200000, 0.3534300000, 0.5310100000, 0.8854300000, 1.5956000000, 3.0161000000", \
"0.1726700000, 0.2348300000, 0.2832500000, 0.3740100000, 0.5510400000, 0.9048000000, 1.6146000000, 3.0355000000", \
"0.2036200000, 0.2698800000, 0.3190800000, 0.4096900000, 0.5851600000, 0.9376000000, 1.6457000000, 3.0650000000", \
"0.2474300000, 0.3232000000, 0.3780000000, 0.4733000000, 0.6508000000, 1.0000000000, 1.7051000000, 3.1223000000", \
"0.2787000000, 0.3612000000, 0.4204000000, 0.5219000000, 0.7074000000, 1.0592000000, 1.7612000000, 3.1762000000", \
"0.3365700000, 0.4317700000, 0.4997700000, 0.6148700000, 0.8187700000, 1.1981700000, 1.9094700000, 3.3157700000", \
"0.3575300000, 0.4577300000, 0.5291300000, 0.6497300000, 0.8621300000, 1.2532300000, 1.9833300000, 3.3877300000", \
"0.3748000000, 0.4794000000, 0.5539000000, 0.6793000000, 0.8994000000, 1.3014000000, 2.0503000000, 3.4586000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.1373800000, 0.1923400000, 0.2336800000, 0.3104900000, 0.4602300000, 0.7592600000, 1.3576700000, 2.5551700000", \
"0.1365100000, 0.1914700000, 0.2328200000, 0.3096300000, 0.4594200000, 0.7583700000, 1.3569700000, 2.5545700000", \
"0.1364700000, 0.1914300000, 0.2327600000, 0.3096100000, 0.4593900000, 0.7584400000, 1.3569300000, 2.5538300000", \
"0.1411800000, 0.1961100000, 0.2374000000, 0.3142000000, 0.4640100000, 0.7630300000, 1.3615000000, 2.5591000000", \
"0.1707400000, 0.2254700000, 0.2660000000, 0.3418400000, 0.4907600000, 0.7890000000, 1.3869000000, 2.5844000000", \
"0.2046200000, 0.2628200000, 0.3036600000, 0.3790600000, 0.5264100000, 0.8231000000, 1.4198000000, 2.6164000000", \
"0.2484400000, 0.3153000000, 0.3587000000, 0.4354000000, 0.5831000000, 0.8775000000, 1.4718000000, 2.6653000000", \
"0.2790000000, 0.3535000000, 0.4000000000, 0.4788000000, 0.6291000000, 0.9247000000, 1.5160000000, 2.7084000000", \
"0.3378700000, 0.4283700000, 0.4835700000, 0.5695700000, 0.7249700000, 1.0317700000, 1.6296700000, 2.8148700000", \
"0.3608300000, 0.4579300000, 0.5171300000, 0.6072300000, 0.7652300000, 1.0759300000, 1.6837300000, 2.8679300000", \
"0.3810000000, 0.4838000000, 0.5468000000, 0.6410000000, 0.8018000000, 1.1156000000, 1.7312000000, 2.9205000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.0347000000, 0.0911500000, 0.1443600000, 0.2536900000, 0.4797600000, 0.9412400000, 1.8669500000, 3.7197000000", \
"0.0347000000, 0.0911400000, 0.1442900000, 0.2537700000, 0.4797400000, 0.9410600000, 1.8669800000, 3.7197000000", \
"0.0347100000, 0.0911500000, 0.1443600000, 0.2537000000, 0.4801100000, 0.9408600000, 1.8669800000, 3.7184000000", \
"0.0347900000, 0.0912700000, 0.1443900000, 0.2537000000, 0.4801900000, 0.9408100000, 1.8667700000, 3.7193000000", \
"0.0393000000, 0.0948100000, 0.1466800000, 0.2548100000, 0.4798900000, 0.9404400000, 1.8673000000, 3.7196000000", \
"0.0507200000, 0.1075000000, 0.1568400000, 0.2610200000, 0.4822100000, 0.9410300000, 1.8663000000, 3.7183000000", \
"0.0713400000, 0.1364900000, 0.1862600000, 0.2863000000, 0.4967000000, 0.9446000000, 1.8665000000, 3.7181000000", \
"0.0880000000, 0.1598000000, 0.2128000000, 0.3147000000, 0.5232000000, 0.9581000000, 1.8693000000, 3.7187000000", \
"0.1262000000, 0.2111000000, 0.2722000000, 0.3831000000, 0.6005000000, 1.0343000000, 1.9066000000, 3.7196000000", \
"0.1435000000, 0.2336000000, 0.2982000000, 0.4141000000, 0.6366000000, 1.0785000000, 1.9413000000, 3.7310000000", \
"0.1599000000, 0.2545000000, 0.3223000000, 0.4429000000, 0.6713000000, 1.1196000000, 1.9841000000, 3.7517000000");
}
related_pin : "A1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.0389200000, 0.0907600000, 0.1411600000, 0.2465900000, 0.4654900000, 0.9116300000, 1.8072100000, 3.5991000000", \
"0.0389100000, 0.0907500000, 0.1411500000, 0.2466100000, 0.4657200000, 0.9115900000, 1.8061400000, 3.5985000000", \
"0.0389000000, 0.0908100000, 0.1411000000, 0.2466500000, 0.4657300000, 0.9117100000, 1.8069500000, 3.6029000000", \
"0.0388800000, 0.0908500000, 0.1411800000, 0.2465500000, 0.4656900000, 0.9115300000, 1.8066300000, 3.5990000000", \
"0.0420100000, 0.0930000000, 0.1426200000, 0.2473300000, 0.4659900000, 0.9115900000, 1.8074300000, 3.5996000000", \
"0.0520300000, 0.1023100000, 0.1502300000, 0.2520800000, 0.4679200000, 0.9120000000, 1.8068000000, 3.5990000000", \
"0.0717500000, 0.1236600000, 0.1686200000, 0.2671000000, 0.4784000000, 0.9163000000, 1.8078000000, 3.5976000000", \
"0.0889000000, 0.1438000000, 0.1875000000, 0.2835000000, 0.4928000000, 0.9267000000, 1.8097000000, 3.5977000000", \
"0.1306000000, 0.1933000000, 0.2370000000, 0.3280000000, 0.5342000000, 0.9699000000, 1.8419000000, 3.6078000000", \
"0.1502000000, 0.2159000000, 0.2610000000, 0.3505000000, 0.5544000000, 0.9932000000, 1.8642000000, 3.6165000000", \
"0.1690000000, 0.2374000000, 0.2839000000, 0.3730000000, 0.5737000000, 1.0143000000, 1.8901000000, 3.6306000000");
}
sdf_cond : "(A2&!B1&B2)";
timing_sense : "positive_unate";
when : "(A2&!B1&B2)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.1610000000, 0.2259900000, 0.2757300000, 0.3675200000, 0.5450500000, 0.8991000000, 1.6088700000, 3.0288700000", \
"0.1636500000, 0.2286600000, 0.2784100000, 0.3702000000, 0.5477500000, 0.9018200000, 1.6114700000, 3.0318700000", \
"0.1650200000, 0.2300100000, 0.2797600000, 0.3715500000, 0.5490900000, 0.9032500000, 1.6124300000, 3.0335300000", \
"0.1692700000, 0.2341200000, 0.2838100000, 0.3755900000, 0.5531400000, 0.9072300000, 1.6169000000, 3.0374000000", \
"0.1900100000, 0.2544800000, 0.3036200000, 0.3947800000, 0.5717700000, 0.9253000000, 1.6347000000, 3.0551000000", \
"0.2227100000, 0.2908200000, 0.3406200000, 0.4313500000, 0.6067100000, 0.9587000000, 1.6666000000, 3.0861000000", \
"0.2710200000, 0.3490000000, 0.4045000000, 0.4996000000, 0.6758000000, 1.0243000000, 1.7292000000, 3.1463000000", \
"0.3059000000, 0.3908000000, 0.4511000000, 0.5530000000, 0.7369000000, 1.0868000000, 1.7880000000, 3.2028000000", \
"0.3715700000, 0.4695700000, 0.5389700000, 0.6555700000, 0.8591700000, 1.2361700000, 1.9445700000, 3.3500700000", \
"0.3958300000, 0.4989300000, 0.5720300000, 0.6943300000, 0.9071300000, 1.2961300000, 2.0227300000, 3.4255300000", \
"0.4161000000, 0.5237000000, 0.5999000000, 0.7274000000, 0.9484000000, 1.3487000000, 2.0944000000, 3.5001000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.1469200000, 0.2042000000, 0.2463500000, 0.3238200000, 0.4736600000, 0.7721900000, 1.3703700000, 2.5685700000", \
"0.1459400000, 0.2032300000, 0.2453800000, 0.3228600000, 0.4726900000, 0.7712300000, 1.3697700000, 2.5673700000", \
"0.1458600000, 0.2031400000, 0.2453000000, 0.3227800000, 0.4726100000, 0.7713100000, 1.3701300000, 2.5664300000", \
"0.1505200000, 0.2077700000, 0.2498900000, 0.3273400000, 0.4771400000, 0.7757700000, 1.3747000000, 2.5715000000", \
"0.1807500000, 0.2376200000, 0.2790100000, 0.3555400000, 0.5044300000, 0.8025000000, 1.4008000000, 2.5974000000", \
"0.2171600000, 0.2777000000, 0.3194700000, 0.3954200000, 0.5425500000, 0.8388000000, 1.4351000000, 2.6317000000", \
"0.2642200000, 0.3338000000, 0.3785000000, 0.4558000000, 0.6030000000, 0.8964000000, 1.4900000000, 2.6829000000", \
"0.2964000000, 0.3738000000, 0.4221000000, 0.5019000000, 0.6516000000, 0.9458000000, 1.5360000000, 2.7271000000", \
"0.3563700000, 0.4505700000, 0.5079700000, 0.5962700000, 0.7518700000, 1.0566700000, 1.6519700000, 2.8359700000", \
"0.3792300000, 0.4801300000, 0.5418300000, 0.6348300000, 0.7933300000, 1.1019300000, 1.7068300000, 2.8891300000", \
"0.3990000000, 0.5059000000, 0.5715000000, 0.6691000000, 0.8308000000, 1.1426000000, 1.7552000000, 2.9418000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.0395400000, 0.0968000000, 0.1486300000, 0.2564900000, 0.4810100000, 0.9413000000, 1.8668400000, 3.7223000000", \
"0.0395500000, 0.0967800000, 0.1486600000, 0.2564500000, 0.4809600000, 0.9410100000, 1.8668200000, 3.7152000000", \
"0.0395300000, 0.0967800000, 0.1487200000, 0.2564100000, 0.4808800000, 0.9408100000, 1.8676000000, 3.7218000000", \
"0.0395800000, 0.0968600000, 0.1487900000, 0.2564900000, 0.4809400000, 0.9415400000, 1.8668700000, 3.7209000000", \
"0.0431200000, 0.0994900000, 0.1505000000, 0.2573200000, 0.4813200000, 0.9409500000, 1.8663700000, 3.7198000000", \
"0.0537400000, 0.1114400000, 0.1600800000, 0.2628100000, 0.4831000000, 0.9416900000, 1.8672000000, 3.7224000000", \
"0.0750400000, 0.1415000000, 0.1903000000, 0.2878000000, 0.4966000000, 0.9446000000, 1.8673000000, 3.7150000000", \
"0.0922000000, 0.1660000000, 0.2184000000, 0.3178000000, 0.5228000000, 0.9570000000, 1.8678000000, 3.7201000000", \
"0.1313000000, 0.2190000000, 0.2809000000, 0.3902000000, 0.6036000000, 1.0320000000, 1.9040000000, 3.7222000000", \
"0.1491000000, 0.2423000000, 0.3078000000, 0.4228000000, 0.6417000000, 1.0780000000, 1.9387000000, 3.7305000000", \
"0.1658000000, 0.2638000000, 0.3327000000, 0.4531000000, 0.6775000000, 1.1215000000, 1.9818000000, 3.7498000000");
}
related_pin : "A1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.0417800000, 0.0948300000, 0.1446800000, 0.2491300000, 0.4665800000, 0.9120100000, 1.8070100000, 3.5993000000", \
"0.0418000000, 0.0948600000, 0.1447300000, 0.2490400000, 0.4665800000, 0.9114700000, 1.8066900000, 3.5983000000", \
"0.0417900000, 0.0948400000, 0.1447700000, 0.2490000000, 0.4669400000, 0.9115200000, 1.8078200000, 3.5996000000", \
"0.0417700000, 0.0948600000, 0.1447200000, 0.2490200000, 0.4665200000, 0.9115200000, 1.8070000000, 3.5988000000", \
"0.0443000000, 0.0967000000, 0.1461100000, 0.2498200000, 0.4670300000, 0.9120700000, 1.8086700000, 3.5981000000", \
"0.0544700000, 0.1063600000, 0.1538600000, 0.2547600000, 0.4690100000, 0.9123400000, 1.8086000000, 3.5981000000", \
"0.0745800000, 0.1286300000, 0.1732000000, 0.2701000000, 0.4792000000, 0.9161000000, 1.8089000000, 3.5973000000", \
"0.0923000000, 0.1497000000, 0.1934000000, 0.2876000000, 0.4939000000, 0.9258000000, 1.8099000000, 3.5989000000", \
"0.1355000000, 0.2014000000, 0.2463000000, 0.3356000000, 0.5377000000, 0.9691000000, 1.8406000000, 3.6030000000", \
"0.1561000000, 0.2254000000, 0.2719000000, 0.3600000000, 0.5591000000, 0.9926000000, 1.8621000000, 3.6143000000", \
"0.1760000000, 0.2480000000, 0.2963000000, 0.3843000000, 0.5803000000, 1.0144000000, 1.8868000000, 3.6301000000");
}
sdf_cond : "(A2&B1&!B2)";
timing_sense : "positive_unate";
when : "(A2&B1&!B2)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.1341600000, 0.1987100000, 0.2484200000, 0.3403100000, 0.5180700000, 0.8722200000, 1.5821700000, 3.0031700000", \
"0.1372900000, 0.2018700000, 0.2515900000, 0.3435000000, 0.5212100000, 0.8754500000, 1.5853700000, 3.0057700000", \
"0.1392100000, 0.2037800000, 0.2535000000, 0.3454100000, 0.5231400000, 0.8774700000, 1.5868300000, 3.0080300000", \
"0.1454400000, 0.2098100000, 0.2594700000, 0.3513500000, 0.5291100000, 0.8834600000, 1.5934000000, 3.0136000000", \
"0.1717400000, 0.2359700000, 0.2849000000, 0.3759500000, 0.5527900000, 0.9063000000, 1.6161000000, 3.0357000000", \
"0.2094200000, 0.2774400000, 0.3269800000, 0.4174100000, 0.5924800000, 0.9442000000, 1.6518000000, 3.0714000000", \
"0.2660900000, 0.3431000000, 0.3974000000, 0.4911000000, 0.6663000000, 1.0141000000, 1.7182000000, 3.1352000000", \
"0.3097000000, 0.3934000000, 0.4519000000, 0.5508000000, 0.7315000000, 1.0792000000, 1.7795000000, 3.1934000000", \
"0.4017700000, 0.4987700000, 0.5657700000, 0.6769700000, 0.8722700000, 1.2396700000, 1.9413700000, 3.3458700000", \
"0.4407300000, 0.5429300000, 0.6133300000, 0.7297300000, 0.9321300000, 1.3086300000, 2.0228300000, 3.4231300000", \
"0.4760000000, 0.5829000000, 0.6564000000, 0.7773000000, 0.9863000000, 1.3712000000, 2.1000000000, 3.5001000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.1618400000, 0.2191500000, 0.2604500000, 0.3366700000, 0.4856500000, 0.7841900000, 1.3825700000, 2.5799700000", \
"0.1629800000, 0.2202900000, 0.2616100000, 0.3377900000, 0.4867300000, 0.7853300000, 1.3831700000, 2.5805700000", \
"0.1626500000, 0.2199700000, 0.2612900000, 0.3375100000, 0.4865300000, 0.7850000000, 1.3830300000, 2.5809300000", \
"0.1629500000, 0.2202300000, 0.2615300000, 0.3377300000, 0.4866600000, 0.7852700000, 1.3837000000, 2.5811000000", \
"0.1791600000, 0.2364100000, 0.2772800000, 0.3528900000, 0.5012800000, 0.7995200000, 1.3977000000, 2.5946000000", \
"0.2054900000, 0.2667200000, 0.3081700000, 0.3833100000, 0.5303300000, 0.8268000000, 1.4235000000, 2.6200000000", \
"0.2388400000, 0.3091000000, 0.3545000000, 0.4324000000, 0.5805000000, 0.8747000000, 1.4686000000, 2.6639000000", \
"0.2591000000, 0.3368000000, 0.3860000000, 0.4674000000, 0.6199000000, 0.9168000000, 1.5082000000, 2.7012000000", \
"0.2878700000, 0.3812700000, 0.4394700000, 0.5306700000, 0.6923700000, 1.0060700000, 1.6086700000, 2.7941700000", \
"0.2945300000, 0.3944300000, 0.4565300000, 0.5525300000, 0.7188300000, 1.0387300000, 1.6546300000, 2.8411300000", \
"0.2982000000, 0.4036000000, 0.4694000000, 0.5699000000, 0.7406000000, 1.0661000000, 1.6934000000, 2.8881000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.0364200000, 0.0936700000, 0.1462600000, 0.2548200000, 0.4799200000, 0.9403000000, 1.8662200000, 3.7174000000", \
"0.0364200000, 0.0936900000, 0.1463200000, 0.2548800000, 0.4802200000, 0.9394300000, 1.8668400000, 3.7148000000", \
"0.0364200000, 0.0936900000, 0.1463000000, 0.2547800000, 0.4801500000, 0.9404800000, 1.8677100000, 3.7185000000", \
"0.0365000000, 0.0938500000, 0.1463700000, 0.2549200000, 0.4798000000, 0.9404000000, 1.8667600000, 3.7199000000", \
"0.0416700000, 0.0980300000, 0.1491500000, 0.2562500000, 0.4807000000, 0.9403200000, 1.8664300000, 3.7164000000", \
"0.0538000000, 0.1113600000, 0.1599200000, 0.2626100000, 0.4823500000, 0.9403300000, 1.8671000000, 3.7138000000", \
"0.0755600000, 0.1402500000, 0.1884000000, 0.2860000000, 0.4954000000, 0.9442000000, 1.8666000000, 3.7176000000", \
"0.0933000000, 0.1642000000, 0.2149000000, 0.3130000000, 0.5177000000, 0.9548000000, 1.8667000000, 3.7177000000", \
"0.1343000000, 0.2181000000, 0.2756000000, 0.3793000000, 0.5888000000, 1.0166000000, 1.8944000000, 3.7190000000", \
"0.1529000000, 0.2419000000, 0.3026000000, 0.4099000000, 0.6224000000, 1.0559000000, 1.9229000000, 3.7256000000", \
"0.1704000000, 0.2640000000, 0.3274000000, 0.4387000000, 0.6541000000, 1.0927000000, 1.9578000000, 3.7421000000");
}
related_pin : "A2";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.0426400000, 0.0942200000, 0.1430000000, 0.2468400000, 0.4654400000, 0.9114700000, 1.8063500000, 3.5967000000", \
"0.0426500000, 0.0942600000, 0.1429000000, 0.2469200000, 0.4651100000, 0.9112400000, 1.8084300000, 3.5982000000", \
"0.0426400000, 0.0942600000, 0.1429100000, 0.2468400000, 0.4655400000, 0.9113000000, 1.8066200000, 3.5981000000", \
"0.0426500000, 0.0942200000, 0.1430200000, 0.2466900000, 0.4651500000, 0.9111100000, 1.8065100000, 3.5980000000", \
"0.0444900000, 0.0957200000, 0.1440200000, 0.2472700000, 0.4652000000, 0.9113100000, 1.8063200000, 3.5969000000", \
"0.0531700000, 0.1049900000, 0.1514300000, 0.2515400000, 0.4670900000, 0.9111600000, 1.8066000000, 3.5975000000", \
"0.0711200000, 0.1272400000, 0.1723000000, 0.2691000000, 0.4781000000, 0.9152000000, 1.8071000000, 3.5987000000", \
"0.0870000000, 0.1475000000, 0.1925000000, 0.2884000000, 0.4958000000, 0.9272000000, 1.8097000000, 3.6022000000", \
"0.1250000000, 0.1950000000, 0.2434000000, 0.3383000000, 0.5468000000, 0.9809000000, 1.8473000000, 3.6054000000", \
"0.1430000000, 0.2164000000, 0.2670000000, 0.3630000000, 0.5706000000, 1.0101000000, 1.8742000000, 3.6179000000", \
"0.1601000000, 0.2366000000, 0.2893000000, 0.3867000000, 0.5946000000, 1.0363000000, 1.9069000000, 3.6374000000");
}
sdf_cond : "(A1&!B1&!B2)";
timing_sense : "positive_unate";
when : "(A1&!B1&!B2)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.1534500000, 0.2179700000, 0.2674900000, 0.3590800000, 0.5365700000, 0.8905700000, 1.6003700000, 3.0204700000", \
"0.1568500000, 0.2213900000, 0.2709100000, 0.3625200000, 0.5399200000, 0.8942200000, 1.6034700000, 3.0244700000", \
"0.1585500000, 0.2230800000, 0.2726000000, 0.3642000000, 0.5416100000, 0.8957500000, 1.6055300000, 3.0255300000", \
"0.1633200000, 0.2277200000, 0.2771900000, 0.3687700000, 0.5462200000, 0.9003700000, 1.6103000000, 3.0304000000", \
"0.1843000000, 0.2484900000, 0.2973700000, 0.3882500000, 0.5650300000, 0.9188000000, 1.6282000000, 3.0491000000", \
"0.2213900000, 0.2889700000, 0.3382900000, 0.4285100000, 0.6034800000, 0.9552000000, 1.6632000000, 3.0828000000", \
"0.2819000000, 0.3597000000, 0.4146000000, 0.5086000000, 0.6835000000, 1.0312000000, 1.7355000000, 3.1517000000", \
"0.3308000000, 0.4162000000, 0.4762000000, 0.5771000000, 0.7587000000, 1.1060000000, 1.8059000000, 3.2195000000", \
"0.4403700000, 0.5405700000, 0.6110700000, 0.7281700000, 0.9305700000, 1.3012700000, 2.0009700000, 3.4036700000", \
"0.4891300000, 0.5951300000, 0.6698300000, 0.7937300000, 1.0064300000, 1.3897300000, 2.1021300000, 3.5004300000", \
"0.5346000000, 0.6457000000, 0.7243000000, 0.8542000000, 1.0761000000, 1.4718000000, 2.2010000000, 3.5970000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.1413500000, 0.1963200000, 0.2376600000, 0.3145000000, 0.4642800000, 0.7632400000, 1.3620700000, 2.5586700000", \
"0.1423500000, 0.1973200000, 0.2386500000, 0.3155000000, 0.4652900000, 0.7643000000, 1.3629700000, 2.5599700000", \
"0.1417900000, 0.1967500000, 0.2381100000, 0.3149300000, 0.4647600000, 0.7638200000, 1.3623300000, 2.5594300000", \
"0.1417700000, 0.1966900000, 0.2379900000, 0.3148000000, 0.4646100000, 0.7635800000, 1.3623000000, 2.5613000000", \
"0.1561300000, 0.2115100000, 0.2522900000, 0.3283500000, 0.4774000000, 0.7759900000, 1.3737000000, 2.5722000000", \
"0.1739900000, 0.2342900000, 0.2761400000, 0.3522500000, 0.5000500000, 0.7969000000, 1.3935000000, 2.5904000000", \
"0.1895300000, 0.2596000000, 0.3057000000, 0.3853000000, 0.5360000000, 0.8312000000, 1.4254000000, 2.6206000000", \
"0.1934000000, 0.2716000000, 0.3216000000, 0.4052000000, 0.5606000000, 0.8607000000, 1.4518000000, 2.6450000000", \
"0.1805700000, 0.2762700000, 0.3358700000, 0.4299700000, 0.5958700000, 0.9137700000, 1.5211700000, 2.7061700000", \
"0.1673300000, 0.2702300000, 0.3342300000, 0.4333300000, 0.6042300000, 0.9286300000, 1.5501300000, 2.7376300000", \
"0.1515000000, 0.2608000000, 0.3289000000, 0.4329000000, 0.6087000000, 0.9392000000, 1.5721000000, 2.7694000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.0390200000, 0.0960500000, 0.1479500000, 0.2559400000, 0.4805600000, 0.9401700000, 1.8664900000, 3.7184000000", \
"0.0390300000, 0.0960400000, 0.1480300000, 0.2558100000, 0.4811400000, 0.9406400000, 1.8667800000, 3.7211000000", \
"0.0390300000, 0.0960500000, 0.1480400000, 0.2558100000, 0.4811000000, 0.9409100000, 1.8672400000, 3.7188000000", \
"0.0390800000, 0.0961400000, 0.1480900000, 0.2558900000, 0.4810100000, 0.9412900000, 1.8663400000, 3.7235000000", \
"0.0428000000, 0.0990700000, 0.1500300000, 0.2568600000, 0.4814800000, 0.9413100000, 1.8671400000, 3.7188000000", \
"0.0540100000, 0.1112200000, 0.1597100000, 0.2624400000, 0.4830000000, 0.9410900000, 1.8665000000, 3.7155000000", \
"0.0768900000, 0.1424600000, 0.1905000000, 0.2872000000, 0.4960000000, 0.9444000000, 1.8673000000, 3.7190000000", \
"0.0957000000, 0.1690000000, 0.2207000000, 0.3187000000, 0.5211000000, 0.9559000000, 1.8674000000, 3.7192000000", \
"0.1397000000, 0.2290000000, 0.2909000000, 0.3993000000, 0.6079000000, 1.0262000000, 1.8965000000, 3.7190000000", \
"0.1597000000, 0.2557000000, 0.3223000000, 0.4368000000, 0.6503000000, 1.0740000000, 1.9277000000, 3.7247000000", \
"0.1790000000, 0.2806000000, 0.3512000000, 0.4719000000, 0.6924000000, 1.1216000000, 1.9665000000, 3.7416000000");
}
related_pin : "A2";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.0388900000, 0.0908200000, 0.1411300000, 0.2466400000, 0.4657300000, 0.9122600000, 1.8078200000, 3.5988000000", \
"0.0389000000, 0.0907600000, 0.1411000000, 0.2465500000, 0.4657300000, 0.9117600000, 1.8067100000, 3.5989000000", \
"0.0389100000, 0.0908500000, 0.1411200000, 0.2466300000, 0.4655600000, 0.9121500000, 1.8072900000, 3.5987000000", \
"0.0388800000, 0.0907700000, 0.1412500000, 0.2465900000, 0.4657400000, 0.9119600000, 1.8067400000, 3.5994000000", \
"0.0422500000, 0.0935900000, 0.1432200000, 0.2476500000, 0.4662500000, 0.9124300000, 1.8068400000, 3.6002000000", \
"0.0531500000, 0.1052400000, 0.1526800000, 0.2536900000, 0.4685000000, 0.9120700000, 1.8063000000, 3.5979000000", \
"0.0740800000, 0.1303800000, 0.1765100000, 0.2751300000, 0.4841000000, 0.9182000000, 1.8072000000, 3.5975000000", \
"0.0928000000, 0.1530000000, 0.1998000000, 0.2971000000, 0.5059000000, 0.9348000000, 1.8119000000, 3.5994000000", \
"0.1395000000, 0.2085000000, 0.2584000000, 0.3553000000, 0.5639000000, 0.9983000000, 1.8583000000, 3.6082000000", \
"0.1620000000, 0.2342000000, 0.2861000000, 0.3842000000, 0.5922000000, 1.0308000000, 1.8913000000, 3.6261000000", \
"0.1840000000, 0.2588000000, 0.3127000000, 0.4118000000, 0.6200000000, 1.0605000000, 1.9276000000, 3.6515000000");
}
sdf_cond : "(A1&!B1&B2)";
timing_sense : "positive_unate";
when : "(A1&!B1&B2)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.1685100000, 0.2354500000, 0.2855900000, 0.3773400000, 0.5545900000, 0.9083500000, 1.6173700000, 3.0382700000", \
"0.1719800000, 0.2389300000, 0.2890800000, 0.3808400000, 0.5580700000, 0.9118500000, 1.6209700000, 3.0415700000", \
"0.1737600000, 0.2407100000, 0.2908600000, 0.3826200000, 0.5599000000, 0.9134700000, 1.6230300000, 3.0435300000", \
"0.1784600000, 0.2453200000, 0.2954400000, 0.3871800000, 0.5643700000, 0.9182200000, 1.6274000000, 3.0482000000", \
"0.1987800000, 0.2650500000, 0.3146300000, 0.4057500000, 0.5824000000, 0.9356000000, 1.6449000000, 3.0657000000", \
"0.2366700000, 0.3058500000, 0.3558600000, 0.4461200000, 0.6209500000, 0.9722000000, 1.6802000000, 3.0996000000", \
"0.3002000000, 0.3795000000, 0.4350000000, 0.5286000000, 0.7026000000, 1.0499000000, 1.7536000000, 3.1701000000", \
"0.3514000000, 0.4385000000, 0.4992000000, 0.6000000000, 0.7799000000, 1.1259000000, 1.8254000000, 3.2381000000", \
"0.4655700000, 0.5675700000, 0.6388700000, 0.7564700000, 0.9575700000, 1.3252700000, 2.0229700000, 3.4256700000", \
"0.5160300000, 0.6239300000, 0.6994300000, 0.8239300000, 1.0356300000, 1.4158300000, 2.1253300000, 3.5228300000", \
"0.5631000000, 0.6761000000, 0.7554000000, 0.8860000000, 1.1072000000, 1.4997000000, 2.2255000000, 3.6203000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.1508900000, 0.2081800000, 0.2503400000, 0.3278200000, 0.4776500000, 0.7763000000, 1.3745700000, 2.5707700000", \
"0.1519400000, 0.2092300000, 0.2513900000, 0.3288700000, 0.4787000000, 0.7774200000, 1.3753700000, 2.5745700000", \
"0.1513700000, 0.2086600000, 0.2508300000, 0.3283000000, 0.4781500000, 0.7768400000, 1.3753300000, 2.5720300000", \
"0.1513400000, 0.2085900000, 0.2507200000, 0.3281700000, 0.4779900000, 0.7765600000, 1.3751000000, 2.5725000000", \
"0.1663100000, 0.2238400000, 0.2654500000, 0.3421700000, 0.4912500000, 0.7892400000, 1.3879000000, 2.5844000000", \
"0.1868200000, 0.2491000000, 0.2917600000, 0.3683400000, 0.5160500000, 0.8125000000, 1.4089000000, 2.6045000000", \
"0.2064300000, 0.2785400000, 0.3256000000, 0.4058000000, 0.5559000000, 0.8502000000, 1.4441000000, 2.6384000000", \
"0.2128000000, 0.2931000000, 0.3443000000, 0.4287000000, 0.5836000000, 0.8821000000, 1.4726000000, 2.6651000000", \
"0.2036700000, 0.3017700000, 0.3628700000, 0.4584700000, 0.6242700000, 0.9402700000, 1.5453700000, 2.7292700000", \
"0.1915300000, 0.2970300000, 0.3626300000, 0.4635300000, 0.6347300000, 0.9572300000, 1.5759300000, 2.7619300000", \
"0.1767000000, 0.2887000000, 0.3584000000, 0.4645000000, 0.6407000000, 0.9691000000, 1.5995000000, 2.7947000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.0430500000, 0.1010100000, 0.1518000000, 0.2580800000, 0.4816600000, 0.9412100000, 1.8677900000, 3.7216000000", \
"0.0430500000, 0.1010200000, 0.1517700000, 0.2580700000, 0.4817800000, 0.9422100000, 1.8667700000, 3.7223000000", \
"0.0430500000, 0.1010300000, 0.1518200000, 0.2580100000, 0.4815500000, 0.9413900000, 1.8661100000, 3.7217000000", \
"0.0430800000, 0.1011100000, 0.1518900000, 0.2580500000, 0.4819600000, 0.9411000000, 1.8665100000, 3.7215000000", \
"0.0459500000, 0.1032900000, 0.1535000000, 0.2589000000, 0.4822100000, 0.9410100000, 1.8668300000, 3.7191000000", \
"0.0562800000, 0.1146400000, 0.1625800000, 0.2642100000, 0.4836300000, 0.9420800000, 1.8664000000, 3.7158000000", \
"0.0795800000, 0.1461000000, 0.1933000000, 0.2882000000, 0.4957000000, 0.9441000000, 1.8672000000, 3.7207000000", \
"0.0987000000, 0.1732000000, 0.2243000000, 0.3200000000, 0.5197000000, 0.9550000000, 1.8673000000, 3.7187000000", \
"0.1432000000, 0.2342000000, 0.2959000000, 0.4025000000, 0.6074000000, 1.0227000000, 1.8953000000, 3.7196000000", \
"0.1637000000, 0.2613000000, 0.3276000000, 0.4409000000, 0.6505000000, 1.0706000000, 1.9243000000, 3.7248000000", \
"0.1831000000, 0.2862000000, 0.3569000000, 0.4766000000, 0.6927000000, 1.1178000000, 1.9630000000, 3.7405000000");
}
related_pin : "A2";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.0417900000, 0.0949200000, 0.1447600000, 0.2490000000, 0.4668900000, 0.9114000000, 1.8087400000, 3.5968000000", \
"0.0418300000, 0.0948400000, 0.1447300000, 0.2490600000, 0.4664500000, 0.9114700000, 1.8060000000, 3.5979000000", \
"0.0417800000, 0.0948100000, 0.1447600000, 0.2489600000, 0.4665600000, 0.9114800000, 1.8062300000, 3.5981000000", \
"0.0417900000, 0.0948600000, 0.1448100000, 0.2490400000, 0.4669200000, 0.9115100000, 1.8067000000, 3.5994000000", \
"0.0446800000, 0.0972600000, 0.1465200000, 0.2500700000, 0.4668800000, 0.9117100000, 1.8088500000, 3.5978000000", \
"0.0551000000, 0.1086100000, 0.1558300000, 0.2561800000, 0.4694900000, 0.9129700000, 1.8077000000, 3.6008000000", \
"0.0758300000, 0.1339300000, 0.1799900000, 0.2772900000, 0.4843000000, 0.9182000000, 1.8079000000, 3.5980000000", \
"0.0945000000, 0.1570000000, 0.2037000000, 0.2999000000, 0.5060000000, 0.9336000000, 1.8133000000, 3.5999000000", \
"0.1417000000, 0.2132000000, 0.2637000000, 0.3597000000, 0.5652000000, 0.9960000000, 1.8554000000, 3.6067000000", \
"0.1648000000, 0.2395000000, 0.2923000000, 0.3895000000, 0.5941000000, 1.0282000000, 1.8885000000, 3.6241000000", \
"0.1868000000, 0.2644000000, 0.3194000000, 0.4179000000, 0.6226000000, 1.0585000000, 1.9252000000, 3.6495000000");
}
sdf_cond : "(A1&B1&!B2)";
timing_sense : "positive_unate";
when : "(A1&B1&!B2)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.0906200000, 0.1486200000, 0.1959200000, 0.2857500000, 0.4631800000, 0.8181200000, 1.5284700000, 2.9484700000", \
"0.0965900000, 0.1542900000, 0.2015600000, 0.2913600000, 0.4686800000, 0.8238000000, 1.5342700000, 2.9540700000", \
"0.0985500000, 0.1561500000, 0.2034100000, 0.2932200000, 0.4705800000, 0.8255000000, 1.5363300000, 2.9571300000", \
"0.1094900000, 0.1666200000, 0.2137700000, 0.3035300000, 0.4809300000, 0.8359800000, 1.5459000000, 2.9677000000", \
"0.1456900000, 0.2031500000, 0.2495600000, 0.3383300000, 0.5148600000, 0.8692000000, 1.5795000000, 2.9994000000", \
"0.1859300000, 0.2458100000, 0.2926900000, 0.3817400000, 0.5569400000, 0.9097000000, 1.6185000000, 3.0396000000", \
"0.2439200000, 0.3098000000, 0.3595000000, 0.4512000000, 0.6281000000, 0.9790000000, 1.6852000000, 3.1038000000", \
"0.2888000000, 0.3597000000, 0.4119000000, 0.5064000000, 0.6886000000, 1.0416000000, 1.7457000000, 3.1631000000", \
"0.3858700000, 0.4676700000, 0.5254700000, 0.6269700000, 0.8185700000, 1.1914700000, 1.9027700000, 3.3140700000", \
"0.4279300000, 0.5142300000, 0.5746300000, 0.6793300000, 0.8748300000, 1.2550300000, 1.9805300000, 3.3898300000", \
"0.4667000000, 0.5570000000, 0.6198000000, 0.7275000000, 0.9269000000, 1.3129000000, 2.0529000000, 3.4650000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.0936500000, 0.1427900000, 0.1825700000, 0.2581200000, 0.4077100000, 0.7067800000, 1.3061700000, 2.5028700000", \
"0.0962000000, 0.1450700000, 0.1848400000, 0.2603800000, 0.4099800000, 0.7091100000, 1.3084700000, 2.5045700000", \
"0.0970400000, 0.1458700000, 0.1856400000, 0.2611400000, 0.4106700000, 0.7099200000, 1.3092300000, 2.5059300000", \
"0.1046600000, 0.1532400000, 0.1929000000, 0.2683900000, 0.4179300000, 0.7171700000, 1.3156000000, 2.5151000000", \
"0.1300300000, 0.1793400000, 0.2187600000, 0.2934600000, 0.4421500000, 0.7405900000, 1.3398000000, 2.5358000000", \
"0.1527500000, 0.2057900000, 0.2455600000, 0.3205000000, 0.4684700000, 0.7658000000, 1.3634000000, 2.5606000000", \
"0.1770800000, 0.2379900000, 0.2801000000, 0.3574000000, 0.5075000000, 0.8039000000, 1.3993000000, 2.5951000000", \
"0.1897000000, 0.2571000000, 0.3018000000, 0.3810000000, 0.5348000000, 0.8352000000, 1.4289000000, 2.6228000000", \
"0.2006700000, 0.2816700000, 0.3333700000, 0.4183700000, 0.5774700000, 0.8920700000, 1.4998700000, 2.6889700000", \
"0.1993300000, 0.2858300000, 0.3408300000, 0.4291300000, 0.5905300000, 0.9094300000, 1.5289300000, 2.7214300000", \
"0.1953000000, 0.2865000000, 0.3446000000, 0.4362000000, 0.5999000000, 0.9222000000, 1.5511000000, 2.7529000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.0278500000, 0.0825900000, 0.1367200000, 0.2483600000, 0.4773200000, 0.9401500000, 1.8663800000, 3.7179000000", \
"0.0278900000, 0.0825700000, 0.1367000000, 0.2484000000, 0.4768800000, 0.9400800000, 1.8663800000, 3.7179000000", \
"0.0278800000, 0.0825900000, 0.1366700000, 0.2483900000, 0.4772400000, 0.9396700000, 1.8662100000, 3.7195000000", \
"0.0278600000, 0.0827700000, 0.1367400000, 0.2484400000, 0.4771900000, 0.9402900000, 1.8658300000, 3.7193000000", \
"0.0328800000, 0.0875100000, 0.1397200000, 0.2493900000, 0.4773700000, 0.9404400000, 1.8665200000, 3.7183000000", \
"0.0421600000, 0.0968200000, 0.1478700000, 0.2549200000, 0.4790400000, 0.9402700000, 1.8664000000, 3.7193000000", \
"0.0587100000, 0.1162800000, 0.1669800000, 0.2722000000, 0.4902000000, 0.9431000000, 1.8655000000, 3.7178000000", \
"0.0727000000, 0.1332000000, 0.1842000000, 0.2904000000, 0.5090000000, 0.9538000000, 1.8673000000, 3.7186000000", \
"0.1050000000, 0.1733000000, 0.2265000000, 0.3335000000, 0.5593000000, 1.0084000000, 1.8950000000, 3.7232000000", \
"0.1197000000, 0.1916000000, 0.2461000000, 0.3541000000, 0.5814000000, 1.0388000000, 1.9216000000, 3.7264000000", \
"0.1337000000, 0.2089000000, 0.2646000000, 0.3739000000, 0.6023000000, 1.0660000000, 1.9533000000, 3.7427000000");
}
related_pin : "B1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.0283200000, 0.0800900000, 0.1324600000, 0.2407300000, 0.4627000000, 0.9113400000, 1.8075500000, 3.6000000000", \
"0.0283300000, 0.0800100000, 0.1325200000, 0.2409700000, 0.4630100000, 0.9102100000, 1.8064800000, 3.5972000000", \
"0.0283200000, 0.0800100000, 0.1324400000, 0.2408400000, 0.4631000000, 0.9100500000, 1.8072300000, 3.5968000000", \
"0.0283300000, 0.0801300000, 0.1325100000, 0.2408300000, 0.4626500000, 0.9103800000, 1.8060500000, 3.5981000000", \
"0.0337400000, 0.0845400000, 0.1355900000, 0.2422600000, 0.4633200000, 0.9099500000, 1.8065300000, 3.5993000000", \
"0.0437700000, 0.0932300000, 0.1428500000, 0.2474000000, 0.4650300000, 0.9106300000, 1.8084700000, 3.5981000000", \
"0.0607300000, 0.1116400000, 0.1594100000, 0.2623800000, 0.4769000000, 0.9159000000, 1.8069000000, 3.5992000000", \
"0.0752000000, 0.1286000000, 0.1750000000, 0.2770000000, 0.4928000000, 0.9277000000, 1.8111000000, 3.5974000000", \
"0.1091000000, 0.1691000000, 0.2157000000, 0.3137000000, 0.5303000000, 0.9736000000, 1.8449000000, 3.6043000000", \
"0.1249000000, 0.1878000000, 0.2351000000, 0.3321000000, 0.5471000000, 0.9965000000, 1.8700000000, 3.6179000000", \
"0.1399000000, 0.2052000000, 0.2538000000, 0.3498000000, 0.5633000000, 1.0154000000, 1.8990000000, 3.6391000000");
}
sdf_cond : "(!A1&!A2&B2)";
timing_sense : "positive_unate";
when : "(!A1&!A2&B2)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.1070400000, 0.1698400000, 0.2190000000, 0.3104800000, 0.4881500000, 0.8425900000, 1.5524700000, 2.9742700000", \
"0.1139200000, 0.1763000000, 0.2254300000, 0.3168900000, 0.4945200000, 0.8490100000, 1.5590700000, 2.9786700000", \
"0.1154900000, 0.1777700000, 0.2268900000, 0.3183400000, 0.4959000000, 0.8504900000, 1.5604300000, 2.9821300000", \
"0.1256400000, 0.1876400000, 0.2366800000, 0.3281200000, 0.5057400000, 0.8602900000, 1.5704000000, 2.9901000000", \
"0.1664200000, 0.2282700000, 0.2764900000, 0.3670800000, 0.5439900000, 0.8979000000, 1.6073000000, 3.0286000000", \
"0.2180100000, 0.2810800000, 0.3296600000, 0.4204800000, 0.5961600000, 0.9486000000, 1.6576000000, 3.0768000000", \
"0.3002000000, 0.3687000000, 0.4200000000, 0.5127000000, 0.6895000000, 1.0400000000, 1.7457000000, 3.1635000000", \
"0.3687000000, 0.4418000000, 0.4957000000, 0.5918000000, 0.7734000000, 1.1250000000, 1.8287000000, 3.2452000000", \
"0.5294700000, 0.6126700000, 0.6721700000, 0.7762700000, 0.9689700000, 1.3395700000, 2.0467700000, 3.4564700000", \
"0.6038300000, 0.6913300000, 0.7532300000, 0.8607300000, 1.0582300000, 1.4372300000, 2.1559300000, 3.5645300000", \
"0.6746000000, 0.7659000000, 0.8301000000, 0.9407000000, 1.1427000000, 1.5285000000, 2.2623000000, 3.6705000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.0937300000, 0.1428700000, 0.1826600000, 0.2582200000, 0.4079500000, 0.7071400000, 1.3060700000, 2.5029700000", \
"0.0966300000, 0.1455000000, 0.1852700000, 0.2607800000, 0.4103100000, 0.7096400000, 1.3079700000, 2.5052700000", \
"0.0978600000, 0.1466900000, 0.1864600000, 0.2619800000, 0.4114400000, 0.7107000000, 1.3091300000, 2.5076300000", \
"0.1057900000, 0.1543900000, 0.1940700000, 0.2695800000, 0.4191100000, 0.7185200000, 1.3175000000, 2.5138000000", \
"0.1280000000, 0.1773700000, 0.2168100000, 0.2915900000, 0.4402600000, 0.7388600000, 1.3372000000, 2.5356000000", \
"0.1424700000, 0.1956900000, 0.2355000000, 0.3105400000, 0.4586600000, 0.7560000000, 1.3535000000, 2.5505000000", \
"0.1481800000, 0.2095300000, 0.2516100000, 0.3288000000, 0.4793000000, 0.7760000000, 1.3712000000, 2.5669000000", \
"0.1415000000, 0.2096000000, 0.2544000000, 0.3332000000, 0.4867000000, 0.7882000000, 1.3818000000, 2.5758000000", \
"0.0979700000, 0.1798700000, 0.2318700000, 0.3164700000, 0.4739700000, 0.7879700000, 1.3988700000, 2.5886700000", \
"0.0679300000, 0.1554300000, 0.2108300000, 0.2988300000, 0.4582300000, 0.7755300000, 1.3969300000, 2.5923300000", \
"0.0352000000, 0.1274000000, 0.1860000000, 0.2774000000, 0.4389000000, 0.7587000000, 1.3886000000, 2.5954000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.0345200000, 0.0910000000, 0.1442900000, 0.2537300000, 0.4796000000, 0.9405400000, 1.8664300000, 3.7181000000", \
"0.0345300000, 0.0909800000, 0.1442600000, 0.2536400000, 0.4797500000, 0.9397800000, 1.8669500000, 3.7184000000", \
"0.0345100000, 0.0909700000, 0.1442600000, 0.2536800000, 0.4801500000, 0.9395800000, 1.8663000000, 3.7187000000", \
"0.0343900000, 0.0910400000, 0.1442500000, 0.2537300000, 0.4797200000, 0.9408100000, 1.8672200000, 3.7182000000", \
"0.0381500000, 0.0946800000, 0.1465600000, 0.2547300000, 0.4800000000, 0.9403300000, 1.8663200000, 3.7197000000", \
"0.0455300000, 0.1029400000, 0.1543200000, 0.2601300000, 0.4819400000, 0.9404900000, 1.8670000000, 3.7182000000", \
"0.0616400000, 0.1223000000, 0.1730000000, 0.2763000000, 0.4927000000, 0.9443000000, 1.8663000000, 3.7186000000", \
"0.0756000000, 0.1393000000, 0.1910000000, 0.2956000000, 0.5101000000, 0.9535000000, 1.8676000000, 3.7183000000", \
"0.1081000000, 0.1789000000, 0.2340000000, 0.3415000000, 0.5635000000, 1.0042000000, 1.8912000000, 3.7200000000", \
"0.1230000000, 0.1971000000, 0.2537000000, 0.3630000000, 0.5877000000, 1.0365000000, 1.9151000000, 3.7239000000", \
"0.1369000000, 0.2143000000, 0.2723000000, 0.3834000000, 0.6103000000, 1.0662000000, 1.9446000000, 3.7356000000");
}
related_pin : "B1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.0283400000, 0.0800100000, 0.1324500000, 0.2407300000, 0.4628500000, 0.9103500000, 1.8079800000, 3.6004000000", \
"0.0283300000, 0.0800300000, 0.1324300000, 0.2407200000, 0.4631100000, 0.9104900000, 1.8059900000, 3.5960000000", \
"0.0283200000, 0.0800000000, 0.1324300000, 0.2409800000, 0.4626400000, 0.9099500000, 1.8059600000, 3.5976000000", \
"0.0283300000, 0.0801300000, 0.1325100000, 0.2407900000, 0.4630700000, 0.9104300000, 1.8057400000, 3.5977000000", \
"0.0338200000, 0.0846100000, 0.1355900000, 0.2421300000, 0.4629800000, 0.9103800000, 1.8057300000, 3.6012000000", \
"0.0441900000, 0.0936700000, 0.1431000000, 0.2478800000, 0.4653800000, 0.9104200000, 1.8062900000, 3.5981000000", \
"0.0620200000, 0.1126900000, 0.1600000000, 0.2629700000, 0.4778000000, 0.9165000000, 1.8068000000, 3.5973000000", \
"0.0771000000, 0.1303000000, 0.1763000000, 0.2774000000, 0.4932000000, 0.9297000000, 1.8117000000, 3.5972000000", \
"0.1126000000, 0.1727000000, 0.2182000000, 0.3143000000, 0.5296000000, 0.9755000000, 1.8502000000, 3.6107000000", \
"0.1291000000, 0.1919000000, 0.2384000000, 0.3328000000, 0.5460000000, 0.9963000000, 1.8759000000, 3.6241000000", \
"0.1448000000, 0.2100000000, 0.2578000000, 0.3515000000, 0.5617000000, 1.0146000000, 1.9037000000, 3.6452000000");
}
sdf_cond : "(!A1&A2&B2)";
timing_sense : "positive_unate";
when : "(!A1&A2&B2)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.1268200000, 0.1919300000, 0.2415500000, 0.3331900000, 0.5106900000, 0.8647500000, 1.5741700000, 2.9942700000", \
"0.1326100000, 0.1973200000, 0.2469000000, 0.3385200000, 0.5160200000, 0.8702500000, 1.5793700000, 3.0001700000", \
"0.1338300000, 0.1984800000, 0.2480500000, 0.3396800000, 0.5171600000, 0.8711900000, 1.5811300000, 3.0010300000", \
"0.1431600000, 0.2076600000, 0.2571800000, 0.3488000000, 0.5262900000, 0.8805300000, 1.5902000000, 3.0106000000", \
"0.1854200000, 0.2490500000, 0.2977900000, 0.3886100000, 0.5653700000, 0.9190000000, 1.6287000000, 3.0488000000", \
"0.2406600000, 0.3059400000, 0.3551600000, 0.4457500000, 0.6211000000, 0.9733000000, 1.6817000000, 3.1012000000", \
"0.3311000000, 0.4019000000, 0.4537000000, 0.5455000000, 0.7211000000, 1.0706000000, 1.7765000000, 3.1944000000", \
"0.4066000000, 0.4822000000, 0.5370000000, 0.6324000000, 0.8116000000, 1.1614000000, 1.8644000000, 3.2805000000", \
"0.5834700000, 0.6695700000, 0.7303700000, 0.8347700000, 1.0248700000, 1.3913700000, 2.0956700000, 3.5050700000", \
"0.6652300000, 0.7557300000, 0.8190300000, 0.9271300000, 1.1222300000, 1.4968300000, 2.2110300000, 3.6183300000", \
"0.7430000000, 0.8374000000, 0.9031000000, 1.0144000000, 1.2142000000, 1.5955000000, 2.3238000000, 3.7305000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.1023300000, 0.1536900000, 0.1942700000, 0.2704000000, 0.4198500000, 0.7185900000, 1.3172700000, 2.5157700000", \
"0.1053400000, 0.1564400000, 0.1970100000, 0.2730800000, 0.4225500000, 0.7212700000, 1.3203700000, 2.5172700000", \
"0.1066100000, 0.1576800000, 0.1982300000, 0.2743300000, 0.4236900000, 0.7227600000, 1.3213300000, 2.5205300000", \
"0.1144500000, 0.1653400000, 0.2058100000, 0.2818600000, 0.4313800000, 0.7303400000, 1.3289000000, 2.5267000000", \
"0.1391600000, 0.1908100000, 0.2307800000, 0.3059900000, 0.4545300000, 0.7525900000, 1.3516000000, 2.5489000000", \
"0.1571800000, 0.2127700000, 0.2532100000, 0.3285400000, 0.4761800000, 0.7730000000, 1.3697000000, 2.5679000000", \
"0.1669300000, 0.2311500000, 0.2743100000, 0.3517000000, 0.5012000000, 0.7968000000, 1.3917000000, 2.5868000000", \
"0.1622000000, 0.2335000000, 0.2797000000, 0.3591000000, 0.5115000000, 0.8112000000, 1.4038000000, 2.5970000000", \
"0.1191700000, 0.2047700000, 0.2587700000, 0.3450700000, 0.5019700000, 0.8131700000, 1.4209700000, 2.6097700000", \
"0.0881300000, 0.1794300000, 0.2370300000, 0.3271300000, 0.4861300000, 0.8005300000, 1.4184300000, 2.6122300000", \
"0.0537000000, 0.1500000000, 0.2108000000, 0.3048000000, 0.4663000000, 0.7830000000, 1.4090000000, 2.6133000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.0389600000, 0.0959500000, 0.1479300000, 0.2558900000, 0.4807200000, 0.9412200000, 1.8659000000, 3.7194000000", \
"0.0389700000, 0.0959300000, 0.1479600000, 0.2558300000, 0.4806600000, 0.9406200000, 1.8677900000, 3.7195000000", \
"0.0389600000, 0.0959300000, 0.1479400000, 0.2558700000, 0.4807300000, 0.9411200000, 1.8669500000, 3.7146000000", \
"0.0389100000, 0.0959800000, 0.1479900000, 0.2558500000, 0.4807200000, 0.9411000000, 1.8668000000, 3.7157000000", \
"0.0420200000, 0.0984000000, 0.1496600000, 0.2566600000, 0.4813800000, 0.9411300000, 1.8678300000, 3.7156000000", \
"0.0486000000, 0.1069400000, 0.1573200000, 0.2616400000, 0.4826000000, 0.9410800000, 1.8664000000, 3.7222000000", \
"0.0650000000, 0.1267000000, 0.1758000000, 0.2767000000, 0.4917000000, 0.9444000000, 1.8669000000, 3.7218000000", \
"0.0793000000, 0.1446000000, 0.1949000000, 0.2964000000, 0.5076000000, 0.9512000000, 1.8697000000, 3.7205000000", \
"0.1127000000, 0.1859000000, 0.2404000000, 0.3444000000, 0.5611000000, 0.9989000000, 1.8885000000, 3.7219000000", \
"0.1277000000, 0.2046000000, 0.2610000000, 0.3670000000, 0.5856000000, 1.0304000000, 1.9099000000, 3.7237000000", \
"0.1420000000, 0.2221000000, 0.2802000000, 0.3881000000, 0.6088000000, 1.0591000000, 1.9382000000, 3.7328000000");
}
related_pin : "B1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.0317900000, 0.0837400000, 0.1355500000, 0.2428800000, 0.4631800000, 0.9100100000, 1.8065300000, 3.5989000000", \
"0.0318000000, 0.0837800000, 0.1355400000, 0.2426800000, 0.4632600000, 0.9108300000, 1.8069000000, 3.5977000000", \
"0.0318200000, 0.0837400000, 0.1356200000, 0.2428300000, 0.4635700000, 0.9105200000, 1.8067200000, 3.5992000000", \
"0.0318100000, 0.0838400000, 0.1357000000, 0.2428600000, 0.4634400000, 0.9104500000, 1.8075300000, 3.5977000000", \
"0.0367300000, 0.0882000000, 0.1385200000, 0.2440400000, 0.4636200000, 0.9102500000, 1.8062300000, 3.6010000000", \
"0.0470800000, 0.0975600000, 0.1463200000, 0.2496700000, 0.4666600000, 0.9107000000, 1.8062900000, 3.5972000000", \
"0.0650200000, 0.1175900000, 0.1642800000, 0.2650700000, 0.4780000000, 0.9156000000, 1.8062000000, 3.5979000000", \
"0.0801000000, 0.1359000000, 0.1815000000, 0.2805000000, 0.4932000000, 0.9285000000, 1.8116000000, 3.5983000000", \
"0.1160000000, 0.1793000000, 0.2254000000, 0.3195000000, 0.5303000000, 0.9728000000, 1.8472000000, 3.6063000000", \
"0.1326000000, 0.1992000000, 0.2465000000, 0.3394000000, 0.5475000000, 0.9930000000, 1.8724000000, 3.6241000000", \
"0.1486000000, 0.2177000000, 0.2664000000, 0.3585000000, 0.5641000000, 1.0112000000, 1.8989000000, 3.6431000000");
}
sdf_cond : "(A1&!A2&B2)";
timing_sense : "positive_unate";
when : "(A1&!A2&B2)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.1054600000, 0.1651700000, 0.2127300000, 0.3025900000, 0.4797100000, 0.8343100000, 1.5448700000, 2.9643700000", \
"0.1084200000, 0.1680500000, 0.2156000000, 0.3054700000, 0.4826000000, 0.8374300000, 1.5474700000, 2.9675700000", \
"0.1099400000, 0.1695200000, 0.2170700000, 0.3069500000, 0.4840900000, 0.8386700000, 1.5492300000, 2.9690300000", \
"0.1208200000, 0.1800700000, 0.2275200000, 0.3173500000, 0.4945300000, 0.8491900000, 1.5594000000, 2.9804000000", \
"0.1624100000, 0.2216700000, 0.2681800000, 0.3569000000, 0.5330700000, 0.8869000000, 1.5969000000, 3.0172000000", \
"0.2145800000, 0.2759200000, 0.3226400000, 0.4111300000, 0.5855700000, 0.9381000000, 1.6465000000, 3.0660000000", \
"0.2987000000, 0.3662000000, 0.4156000000, 0.5055000000, 0.6797000000, 1.0291000000, 1.7352000000, 3.1536000000", \
"0.3704000000, 0.4431000000, 0.4953000000, 0.5882000000, 0.7660000000, 1.1150000000, 1.8179000000, 3.2339000000", \
"0.5435700000, 0.6274700000, 0.6857700000, 0.7865700000, 0.9741700000, 1.3376700000, 2.0394700000, 3.4484700000", \
"0.6255300000, 0.7141300000, 0.7752300000, 0.8795300000, 1.0714300000, 1.4424300000, 2.1521300000, 3.5580300000", \
"0.7045000000, 0.7971000000, 0.8608000000, 0.9683000000, 1.1645000000, 1.5418000000, 2.2632000000, 3.6667000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.1009500000, 0.1500400000, 0.1898100000, 0.2653400000, 0.4147300000, 0.7142100000, 1.3129700000, 2.5110700000", \
"0.1033500000, 0.1522400000, 0.1919800000, 0.2674700000, 0.4169500000, 0.7161400000, 1.3141700000, 2.5117700000", \
"0.1031000000, 0.1519500000, 0.1917000000, 0.2672300000, 0.4166100000, 0.7155600000, 1.3143300000, 2.5118300000", \
"0.1052500000, 0.1538400000, 0.1935200000, 0.2690000000, 0.4186000000, 0.7177200000, 1.3163000000, 2.5137000000", \
"0.1174500000, 0.1673400000, 0.2068800000, 0.2817800000, 0.4306500000, 0.7289500000, 1.3279000000, 2.5244000000", \
"0.1248300000, 0.1797500000, 0.2204600000, 0.2962400000, 0.4443200000, 0.7418000000, 1.3395000000, 2.5363000000", \
"0.1196000000, 0.1830000000, 0.2270000000, 0.3066000000, 0.4596000000, 0.7568000000, 1.3522000000, 2.5480000000", \
"0.1031000000, 0.1732000000, 0.2203000000, 0.3028000000, 0.4607000000, 0.7656000000, 1.3595000000, 2.5535000000", \
"0.0337700000, 0.1176700000, 0.1719700000, 0.2621700000, 0.4285700000, 0.7518700000, 1.3696700000, 2.5599700000", \
"-0.0091700000, 0.0803300000, 0.1380300000, 0.2317300000, 0.4018300000, 0.7314300000, 1.3635300000, 2.5623300000", \
"-0.0547000000, 0.0396000000, 0.1004000000, 0.1977000000, 0.3712000000, 0.7058000000, 1.3500000000, 2.5631000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.0316100000, 0.0862300000, 0.1391900000, 0.2496100000, 0.4779900000, 0.9403100000, 1.8660500000, 3.7180000000", \
"0.0316200000, 0.0862300000, 0.1392200000, 0.2495600000, 0.4778900000, 0.9402600000, 1.8657900000, 3.7134000000", \
"0.0316100000, 0.0862100000, 0.1392300000, 0.2497200000, 0.4779500000, 0.9398200000, 1.8660400000, 3.7166000000", \
"0.0316200000, 0.0863900000, 0.1393100000, 0.2495900000, 0.4778700000, 0.9397900000, 1.8643600000, 3.7197000000", \
"0.0360200000, 0.0904300000, 0.1417200000, 0.2506600000, 0.4779500000, 0.9398200000, 1.8656600000, 3.7188000000", \
"0.0447000000, 0.0994300000, 0.1495800000, 0.2554000000, 0.4796800000, 0.9404300000, 1.8655000000, 3.7173000000", \
"0.0616300000, 0.1195000000, 0.1684000000, 0.2707000000, 0.4885000000, 0.9422000000, 1.8676000000, 3.7197000000", \
"0.0762000000, 0.1375000000, 0.1868000000, 0.2895000000, 0.5036000000, 0.9499000000, 1.8678000000, 3.7173000000", \
"0.1100000000, 0.1795000000, 0.2319000000, 0.3358000000, 0.5548000000, 0.9940000000, 1.8854000000, 3.7217000000", \
"0.1255000000, 0.1988000000, 0.2527000000, 0.3580000000, 0.5789000000, 1.0243000000, 1.9056000000, 3.7216000000", \
"0.1401000000, 0.2170000000, 0.2726000000, 0.3795000000, 0.6015000000, 1.0526000000, 1.9309000000, 3.7303000000");
}
related_pin : "B2";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.0283100000, 0.0800100000, 0.1324300000, 0.2409800000, 0.4627200000, 0.9106500000, 1.8071000000, 3.5981000000", \
"0.0283300000, 0.0800300000, 0.1324900000, 0.2407200000, 0.4628100000, 0.9103100000, 1.8071700000, 3.5980000000", \
"0.0283200000, 0.0800200000, 0.1324700000, 0.2409300000, 0.4627000000, 0.9100200000, 1.8062300000, 3.5967000000", \
"0.0283700000, 0.0801300000, 0.1325200000, 0.2410100000, 0.4626800000, 0.9105800000, 1.8066800000, 3.5982000000", \
"0.0340000000, 0.0846500000, 0.1355800000, 0.2421200000, 0.4629800000, 0.9109100000, 1.8060600000, 3.5960000000", \
"0.0443100000, 0.0954000000, 0.1448700000, 0.2488200000, 0.4662500000, 0.9106500000, 1.8059200000, 3.6013000000", \
"0.0613800000, 0.1156900000, 0.1644200000, 0.2681400000, 0.4815000000, 0.9172000000, 1.8083000000, 3.5978000000", \
"0.0758000000, 0.1334000000, 0.1823000000, 0.2856000000, 0.5021000000, 0.9349000000, 1.8130000000, 3.5982000000", \
"0.1097000000, 0.1749000000, 0.2256000000, 0.3287000000, 0.5486000000, 0.9947000000, 1.8608000000, 3.6112000000", \
"0.1255000000, 0.1937000000, 0.2458000000, 0.3490000000, 0.5696000000, 1.0226000000, 1.8949000000, 3.6292000000", \
"0.1406000000, 0.2112000000, 0.2649000000, 0.3693000000, 0.5897000000, 1.0468000000, 1.9289000000, 3.6566000000");
}
sdf_cond : "(!A1&!A2&B1)";
timing_sense : "positive_unate";
when : "(!A1&!A2&B1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.1264200000, 0.1916100000, 0.2413500000, 0.3331400000, 0.5106800000, 0.8647300000, 1.5741700000, 2.9953700000", \
"0.1295500000, 0.1946200000, 0.2443600000, 0.3361400000, 0.5136900000, 0.8678500000, 1.5774700000, 2.9979700000", \
"0.1305500000, 0.1956000000, 0.2453400000, 0.3371400000, 0.5146000000, 0.8687300000, 1.5786300000, 2.9992300000", \
"0.1407100000, 0.2055600000, 0.2552300000, 0.3470100000, 0.5245800000, 0.8785600000, 1.5886000000, 3.0094000000", \
"0.1866000000, 0.2505400000, 0.2994000000, 0.3902900000, 0.5670700000, 0.9206000000, 1.6299000000, 3.0502000000", \
"0.2493900000, 0.3147300000, 0.3640100000, 0.4544900000, 0.6297500000, 0.9819000000, 1.6897000000, 3.1100000000", \
"0.3588000000, 0.4294000000, 0.4806000000, 0.5717000000, 0.7467000000, 1.0959000000, 1.8014000000, 3.2187000000", \
"0.4554000000, 0.5306000000, 0.5847000000, 0.6790000000, 0.8560000000, 1.2045000000, 1.9068000000, 3.3222000000", \
"0.6955700000, 0.7810700000, 0.8414700000, 0.9446700000, 1.1325700000, 1.4920700000, 2.1914700000, 3.6003700000", \
"0.8116300000, 0.9014300000, 0.9643300000, 1.0714300000, 1.2644300000, 1.6322300000, 2.3360300000, 3.7420300000", \
"0.9242000000, 1.0179000000, 1.0832000000, 1.1938000000, 1.3917000000, 1.7666000000, 2.4798000000, 3.8823000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.1010000000, 0.1500900000, 0.1898700000, 0.2653500000, 0.4148700000, 0.7137900000, 1.3127700000, 2.5120700000", \
"0.1037000000, 0.1525900000, 0.1923600000, 0.2678400000, 0.4173200000, 0.7164400000, 1.3158700000, 2.5146700000", \
"0.1039600000, 0.1528200000, 0.1925700000, 0.2681000000, 0.4176300000, 0.7164100000, 1.3154300000, 2.5126300000", \
"0.1067000000, 0.1553200000, 0.1950000000, 0.2705000000, 0.4199600000, 0.7188200000, 1.3175000000, 2.5146000000", \
"0.1157600000, 0.1656700000, 0.2052600000, 0.2802300000, 0.4289300000, 0.7276700000, 1.3266000000, 2.5237000000", \
"0.1148400000, 0.1696900000, 0.2103900000, 0.2863400000, 0.4346000000, 0.7321000000, 1.3294000000, 2.5275000000", \
"0.0902700000, 0.1536500000, 0.1973900000, 0.2766500000, 0.4299000000, 0.7277000000, 1.3231000000, 2.5187000000", \
"0.0536000000, 0.1238000000, 0.1705000000, 0.2523000000, 0.4095000000, 0.7156000000, 1.3103000000, 2.5042000000", \
"-0.0732300000, 0.0111700000, 0.0652700000, 0.1538700000, 0.3174700000, 0.6391700000, 1.2597700000, 2.4524700000", \
"-0.1461700000, -0.0562700000, 0.0013300000, 0.0935300000, 0.2600300000, 0.5864300000, 1.2199300000, 2.4237300000", \
"-0.2220000000, -0.1271000000, -0.0665000000, 0.0294000000, 0.1986000000, 0.5289000000, 1.1724000000, 2.3931000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.0394800000, 0.0967000000, 0.1486400000, 0.2563600000, 0.4810000000, 0.9412500000, 1.8664600000, 3.7196000000", \
"0.0394700000, 0.0967000000, 0.1486500000, 0.2564300000, 0.4809900000, 0.9414400000, 1.8669000000, 3.7211000000", \
"0.0394700000, 0.0966900000, 0.1486600000, 0.2564200000, 0.4813400000, 0.9413000000, 1.8672400000, 3.7208000000", \
"0.0393900000, 0.0967600000, 0.1486300000, 0.2563400000, 0.4809000000, 0.9411500000, 1.8670600000, 3.7194000000", \
"0.0425300000, 0.0992200000, 0.1504300000, 0.2573900000, 0.4813200000, 0.9417300000, 1.8664800000, 3.7157000000", \
"0.0485600000, 0.1073000000, 0.1577400000, 0.2619500000, 0.4828000000, 0.9410700000, 1.8674000000, 3.7195000000", \
"0.0645000000, 0.1257000000, 0.1746000000, 0.2758000000, 0.4913000000, 0.9437000000, 1.8675000000, 3.7193000000", \
"0.0785000000, 0.1433000000, 0.1934000000, 0.2938000000, 0.5045000000, 0.9498000000, 1.8675000000, 3.7206000000", \
"0.1117000000, 0.1846000000, 0.2387000000, 0.3422000000, 0.5556000000, 0.9886000000, 1.8814000000, 3.7204000000", \
"0.1269000000, 0.2035000000, 0.2595000000, 0.3649000000, 0.5811000000, 1.0165000000, 1.8982000000, 3.7225000000", \
"0.1412000000, 0.2211000000, 0.2788000000, 0.3868000000, 0.6048000000, 1.0466000000, 1.9201000000, 3.7270000000");
}
related_pin : "B2";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.0283300000, 0.0800000000, 0.1324500000, 0.2407900000, 0.4626700000, 0.9099800000, 1.8063700000, 3.5992000000", \
"0.0283300000, 0.0800300000, 0.1324200000, 0.2407100000, 0.4625500000, 0.9115100000, 1.8064300000, 3.5978000000", \
"0.0283200000, 0.0800500000, 0.1324500000, 0.2407500000, 0.4625600000, 0.9102600000, 1.8065700000, 3.5997000000", \
"0.0283300000, 0.0802000000, 0.1325600000, 0.2410200000, 0.4630900000, 0.9102100000, 1.8067300000, 3.5983000000", \
"0.0338800000, 0.0845700000, 0.1355200000, 0.2421500000, 0.4631600000, 0.9104800000, 1.8064100000, 3.5981000000", \
"0.0442600000, 0.0952000000, 0.1448500000, 0.2488900000, 0.4658600000, 0.9105900000, 1.8060800000, 3.5977000000", \
"0.0618400000, 0.1156400000, 0.1640700000, 0.2677600000, 0.4825200000, 0.9182000000, 1.8065000000, 3.5979000000", \
"0.0768000000, 0.1337000000, 0.1817000000, 0.2844000000, 0.5018000000, 0.9364000000, 1.8145000000, 3.5986000000", \
"0.1121000000, 0.1764000000, 0.2255000000, 0.3257000000, 0.5445000000, 0.9939000000, 1.8649000000, 3.6136000000", \
"0.1285000000, 0.1958000000, 0.2460000000, 0.3458000000, 0.5636000000, 1.0187000000, 1.8998000000, 3.6364000000", \
"0.1439000000, 0.2137000000, 0.2655000000, 0.3655000000, 0.5822000000, 1.0401000000, 1.9326000000, 3.6688000000");
}
sdf_cond : "(!A1&A2&B1)";
timing_sense : "positive_unate";
when : "(!A1&A2&B1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.1415600000, 0.2086300000, 0.2587900000, 0.3505500000, 0.5278200000, 0.8814000000, 1.5907700000, 3.0107700000", \
"0.1444800000, 0.2115200000, 0.2616900000, 0.3534500000, 0.5307200000, 0.8844300000, 1.5940700000, 3.0142700000", \
"0.1455600000, 0.2125800000, 0.2627500000, 0.3545200000, 0.5317700000, 0.8855700000, 1.5950300000, 3.0160300000", \
"0.1551200000, 0.2220200000, 0.2721500000, 0.3639100000, 0.5412100000, 0.8948300000, 1.6042000000, 3.0250000000", \
"0.2006600000, 0.2663700000, 0.3157700000, 0.4067400000, 0.5833400000, 0.9367000000, 1.6458000000, 3.0650000000", \
"0.2648800000, 0.3322100000, 0.3821400000, 0.4722900000, 0.6473000000, 0.9988000000, 1.7070000000, 3.1267000000", \
"0.3779000000, 0.4503000000, 0.5020000000, 0.5925000000, 0.7667000000, 1.1152000000, 1.8202000000, 3.2382000000", \
"0.4772000000, 0.5545000000, 0.6093000000, 0.7030000000, 0.8783000000, 1.2257000000, 1.9276000000, 3.3426000000", \
"0.7226700000, 0.8105700000, 0.8719700000, 0.9752700000, 1.1609700000, 1.5172700000, 2.2151700000, 3.6233700000", \
"0.8406300000, 0.9330300000, 0.9971300000, 1.1046300000, 1.2954300000, 1.6595300000, 2.3607300000, 3.7659300000", \
"0.9549000000, 1.0512000000, 1.1177000000, 1.2289000000, 1.4248000000, 1.7957000000, 2.5054000000, 3.9070000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.1095100000, 0.1608300000, 0.2014000000, 0.2775000000, 0.4269800000, 0.7255700000, 1.3245700000, 2.5233700000", \
"0.1122200000, 0.1633500000, 0.2038900000, 0.2799800000, 0.4293700000, 0.7280600000, 1.3268700000, 2.5238700000", \
"0.1124900000, 0.1635700000, 0.2041300000, 0.2802000000, 0.4295000000, 0.7286500000, 1.3273300000, 2.5260300000", \
"0.1151700000, 0.1660600000, 0.2065400000, 0.2826000000, 0.4320700000, 0.7309700000, 1.3294000000, 2.5285000000", \
"0.1261300000, 0.1780900000, 0.2183200000, 0.2937900000, 0.4423200000, 0.7405900000, 1.3391000000, 2.5359000000", \
"0.1291900000, 0.1861000000, 0.2274100000, 0.3035500000, 0.4515200000, 0.7488000000, 1.3462000000, 2.5421000000", \
"0.1106200000, 0.1763100000, 0.2210100000, 0.3006000000, 0.4527000000, 0.7495000000, 1.3445000000, 2.5399000000", \
"0.0783000000, 0.1509000000, 0.1988000000, 0.2811000000, 0.4375000000, 0.7418000000, 1.3351000000, 2.5288000000", \
"-0.0408300000, 0.0462700000, 0.1019700000, 0.1919700000, 0.3551700000, 0.6747700000, 1.2922700000, 2.4837700000", \
"-0.1109700000, -0.0180700000, 0.0410300000, 0.1350300000, 0.3013300000, 0.6254300000, 1.2557300000, 2.4570300000", \
"-0.1844000000, -0.0864000000, -0.0241000000, 0.0737000000, 0.2431000000, 0.5711000000, 1.2114000000, 2.4290000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.0430300000, 0.1009600000, 0.1517900000, 0.2580100000, 0.4815500000, 0.9414100000, 1.8677800000, 3.7195000000", \
"0.0430200000, 0.1009400000, 0.1517300000, 0.2580500000, 0.4814700000, 0.9412200000, 1.8670600000, 3.7191000000", \
"0.0430400000, 0.1009700000, 0.1517800000, 0.2580200000, 0.4816300000, 0.9410900000, 1.8669800000, 3.7211000000", \
"0.0430000000, 0.1010000000, 0.1517800000, 0.2580700000, 0.4816000000, 0.9414200000, 1.8667200000, 3.7215000000", \
"0.0451100000, 0.1027900000, 0.1532500000, 0.2587100000, 0.4817900000, 0.9417200000, 1.8672300000, 3.7186000000", \
"0.0511300000, 0.1109100000, 0.1603100000, 0.2631300000, 0.4829100000, 0.9412800000, 1.8671000000, 3.7209000000", \
"0.0673000000, 0.1294000000, 0.1771000000, 0.2764000000, 0.4910000000, 0.9437000000, 1.8675000000, 3.7193000000", \
"0.0817000000, 0.1478000000, 0.1965000000, 0.2944000000, 0.5033000000, 0.9490000000, 1.8690000000, 3.7208000000", \
"0.1158000000, 0.1904000000, 0.2441000000, 0.3444000000, 0.5537000000, 0.9847000000, 1.8796000000, 3.7203000000", \
"0.1312000000, 0.2097000000, 0.2655000000, 0.3680000000, 0.5794000000, 1.0121000000, 1.8952000000, 3.7216000000", \
"0.1458000000, 0.2278000000, 0.2856000000, 0.3906000000, 0.6037000000, 1.0403000000, 1.9169000000, 3.7263000000");
}
related_pin : "B2";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0086900000, 0.0173800000, 0.0347500000, 0.0695000000, 0.1390100000, 0.2780200000, 0.5560300000");
values("0.0317900000, 0.0837700000, 0.1355500000, 0.2429100000, 0.4633600000, 0.9107900000, 1.8071700000, 3.5986000000", \
"0.0318000000, 0.0837200000, 0.1355600000, 0.2428900000, 0.4631900000, 0.9100400000, 1.8059500000, 3.5981000000", \
"0.0318100000, 0.0837400000, 0.1355900000, 0.2428600000, 0.4633800000, 0.9105200000, 1.8059900000, 3.5993000000", \
"0.0318100000, 0.0838600000, 0.1356300000, 0.2429300000, 0.4633700000, 0.9102100000, 1.8064800000, 3.5971000000", \
"0.0365100000, 0.0879900000, 0.1385000000, 0.2439500000, 0.4635700000, 0.9099200000, 1.8064200000, 3.5979000000", \
"0.0467200000, 0.0987800000, 0.1479500000, 0.2507300000, 0.4662700000, 0.9106200000, 1.8063400000, 3.5997000000", \
"0.0642400000, 0.1197700000, 0.1678200000, 0.2699000000, 0.4819200000, 0.9173000000, 1.8063000000, 3.5973000000", \
"0.0792000000, 0.1381000000, 0.1858000000, 0.2872000000, 0.5016000000, 0.9351000000, 1.8138000000, 3.5980000000", \
"0.1144000000, 0.1815000000, 0.2310000000, 0.3299000000, 0.5448000000, 0.9908000000, 1.8626000000, 3.6124000000", \
"0.1308000000, 0.2010000000, 0.2521000000, 0.3508000000, 0.5649000000, 1.0164000000, 1.8944000000, 3.6373000000", \
"0.1467000000, 0.2194000000, 0.2719000000, 0.3713000000, 0.5841000000, 1.0375000000, 1.9274000000, 3.6655000000");
}
sdf_cond : "(A1&!A2&B1)";
timing_sense : "positive_unate";
when : "(A1&!A2&B1)";
}
}
}
cell ("sky130_fd_sc_hvl__a22oi_1") {
leakage_power () {
value : 0.5467302000;
when : "A1&A2&B1&B2";
}
leakage_power () {
value : 1.3292321000;
when : "A1&A2&B1&!B2";
}
leakage_power () {
value : 2.5330165000;
when : "!A1&!A2&!B1&B2";
}
leakage_power () {
value : 0.3649789000;
when : "!A1&!A2&!B1&!B2";
}
leakage_power () {
value : 1.8693433000;
when : "!A1&!A2&B1&B2";
}
leakage_power () {
value : 1.6691368000;
when : "!A1&!A2&B1&!B2";
}
leakage_power () {
value : 4.7010462000;
when : "!A1&A2&!B1&B2";
}
leakage_power () {
value : 2.5330167000;
when : "!A1&A2&!B1&!B2";
}
leakage_power () {
value : 1.8693287000;
when : "!A1&A2&B1&B2";
}
leakage_power () {
value : 3.8371595000;
when : "!A1&A2&B1&!B2";
}
leakage_power () {
value : 3.8371679000;
when : "A1&!A2&!B1&B2";
}
leakage_power () {
value : 1.6691368000;
when : "A1&!A2&!B1&!B2";
}
leakage_power () {
value : 1.8693276000;
when : "A1&!A2&B1&B2";
}
leakage_power () {
value : 2.9732783000;
when : "A1&!A2&B1&!B2";
}
leakage_power () {
value : 1.3197465000;
when : "A1&A2&!B1&B2";
}
leakage_power () {
value : 1.1775808000;
when : "A1&A2&!B1&!B2";
}
area : 15.628800000;
cell_footprint : "sky130_fd_sc_hvl__a22oi";
cell_leakage_power : 2.1312020000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0046300000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0783873000, 0.0783466000, 0.0783029000, 0.0782675000, 0.0781652000, 0.0782381000, 0.0783878000, 0.0784354000, 0.0785751000, 0.0786457000, 0.0787162000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0726423000, -0.0725834000, -0.0725192000, -0.0724348000, -0.0721644000, -0.0720644000, -0.0718613000, -0.0717688000, -0.0715053000, -0.0713700000, -0.0712346000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("A2") {
capacitance : 0.0045600000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0775862000, 0.0772810000, 0.0769509000, 0.0763300000, 0.0743969000, 0.0745434000, 0.0748416000, 0.0748033000, 0.0747053000, 0.0746519000, 0.0745987000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0736849000, -0.0736498000, -0.0736113000, -0.0736621000, -0.0738145000, -0.0737481000, -0.0736124000, -0.0735887000, -0.0735172000, -0.0734815000, -0.0734459000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("B1") {
capacitance : 0.0046800000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0810936000, 0.0811748000, 0.0812632000, 0.0812487000, 0.0812117000, 0.0811109000, 0.0809129000, 0.0809393000, 0.0810198000, 0.0810598000, 0.0810999000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0762012000, -0.0757537000, -0.0752687000, -0.0751596000, -0.0748129000, -0.0747186000, -0.0745274000, -0.0744539000, -0.0742445000, -0.0741371000, -0.0740296000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("B2") {
capacitance : 0.0046300000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0767770000, 0.0767320000, 0.0766837000, 0.0761220000, 0.0743742000, 0.0745078000, 0.0747797000, 0.0747963000, 0.0748510000, 0.0748771000, 0.0749033000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0736270000, -0.0734987000, -0.0733594000, -0.0733851000, -0.0734599000, -0.0735130000, -0.0736167000, -0.0735754000, -0.0734557000, -0.0733947000, -0.0733338000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("Y") {
direction : "output";
function : "(!A1&!B1) | (!A1&!B2) | (!A2&!B1) | (!A2&!B2)";
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.1139718000, -0.0121283000, -0.1253453000, -0.3591629000, -0.8234138000, -1.7573518000, -3.6245035000", \
"0.1108004000, -0.0045822000, -0.1209401000, -0.3535832000, -0.8199802000, -1.7530604000, -3.6197130000", \
"0.1086173000, -0.0063664000, -0.1225824000, -0.3551231000, -0.8218617000, -1.7543640000, -3.6208749000", \
"0.1110494000, -0.0058200000, -0.1229958000, -0.3562786000, -0.8225394000, -1.7558371000, -3.6223888000", \
"0.1522154000, 0.0240539000, -0.0986970000, -0.3388368000, -0.8107609000, -1.7494183000, -3.6190978000", \
"0.2420204000, 0.0996166000, -0.0340789000, -0.2868799000, -0.7742882000, -1.7244800000, -3.6046744000", \
"0.4491771000, 0.2886802000, 0.1396180000, -0.1375393000, -0.6581448000, -1.6419859000, -3.5534782000", \
"0.6654297000, 0.4942578000, 0.3351862000, 0.0403093000, -0.5071959000, -1.5294838000, -3.4751757000", \
"1.2734381000, 1.0894873000, 0.9163083000, 0.5897545000, -0.0165276000, -1.1226803000, -3.1692867000", \
"1.5923804000, 1.4037994000, 1.2261244000, 0.8900329000, 0.2627916000, -0.8801760000, -2.9772102000", \
"1.9133704000, 1.7219953000, 1.5396607000, 1.1945182000, 0.5508046000, -0.6248837000, -2.7713755000");
}
related_pin : "A1";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.1604186000, 0.2880098000, 0.4033934000, 0.6348926000, 1.0959523000, 2.0191966000, 3.8699580000", \
"0.1603196000, 0.2888214000, 0.4054510000, 0.6370780000, 1.0991103000, 2.0238636000, 3.8719897000", \
"0.1610386000, 0.2867515000, 0.4042806000, 0.6364760000, 1.0989931000, 2.0237156000, 3.8722181000", \
"0.1633898000, 0.2832040000, 0.4015144000, 0.6349902000, 1.0979052000, 2.0221657000, 3.8708405000", \
"0.2108845000, 0.3109150000, 0.4220020000, 0.6485724000, 1.1077673000, 2.0287370000, 3.8746866000", \
"0.3097893000, 0.3950818000, 0.4926167000, 0.7025082000, 1.1441195000, 2.0507218000, 3.8885685000", \
"0.5236154000, 0.5926013000, 0.6745734000, 0.8606505000, 1.2696383000, 2.1431344000, 3.9475550000", \
"0.7408199000, 0.7999695000, 0.8757887000, 1.0454750000, 1.4269043000, 2.2675033000, 4.0365202000", \
"1.3578294000, 1.4084015000, 1.4678161000, 1.6089205000, 1.9374131000, 2.7000625000, 4.3737122000", \
"1.6781927000, 1.7258705000, 1.7844443000, 1.9129510000, 2.2248837000, 2.9533143000, 4.5806484000", \
"2.0006671000, 2.0458258000, 2.1017065000, 2.2241010000, 2.5194670000, 3.2171092000, 4.8019772000");
}
when : "(A2&!B1&!B2)";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.1057722000, -0.0176347000, -0.1322205000, -0.3694767000, -0.8332219000, -1.7677543000, -3.6328510000", \
"0.1021546000, -0.0133622000, -0.1293694000, -0.3619360000, -0.8281280000, -1.7611324000, -3.6277218000", \
"0.0992795000, -0.0156037000, -0.1315468000, -0.3639952000, -0.8301775000, -1.7631148000, -3.6298222000", \
"0.1019488000, -0.0159075000, -0.1331939000, -0.3665386000, -0.8327099000, -1.7660829000, -3.6326876000", \
"0.1460127000, 0.0133940000, -0.1103192000, -0.3505924000, -0.8228957000, -1.7606424000, -3.6303929000", \
"0.2382363000, 0.0891398000, -0.0457734000, -0.3012767000, -0.7892561000, -1.7398332000, -3.6174484000", \
"0.4448421000, 0.2763263000, 0.1233962000, -0.1581536000, -0.6797943000, -1.6644056000, -3.5729844000", \
"0.6597954000, 0.4799568000, 0.3148547000, 0.0134269000, -0.5375291000, -1.5587098000, -3.5013886000", \
"1.2608051000, 1.0680721000, 0.8867480000, 0.5506673000, -0.0640076000, -1.1765435000, -3.2211184000", \
"1.5748597000, 1.3766777000, 1.1913540000, 0.8433186000, 0.2043222000, -0.9474213000, -3.0437268000", \
"1.8909661000, 1.6878524000, 1.4987850000, 1.1423449000, 0.4850787000, -0.7028378000, -2.8490454000");
}
related_pin : "A1";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.1210341000, 0.2479205000, 0.3641360000, 0.5945361000, 1.0568400000, 1.9804582000, 3.8296104000", \
"0.1211155000, 0.2489125000, 0.3656360000, 0.5976487000, 1.0599648000, 1.9839457000, 3.8316699000", \
"0.1220902000, 0.2469026000, 0.3647579000, 0.5975166000, 1.0600209000, 1.9832859000, 3.8329201000", \
"0.1231880000, 0.2431400000, 0.3613161000, 0.5952415000, 1.0587854000, 1.9840158000, 3.8334064000", \
"0.1672806000, 0.2687649000, 0.3796178000, 0.6065165000, 1.0659287000, 1.9872366000, 3.8338577000", \
"0.2633889000, 0.3475610000, 0.4464973000, 0.6578247000, 1.1014870000, 2.0098889000, 3.8481446000", \
"0.4707135000, 0.5405476000, 0.6237292000, 0.8106548000, 1.2220303000, 2.0971518000, 3.9028108000", \
"0.6864175000, 0.7469575000, 0.8204904000, 0.9913913000, 1.3743056000, 2.2161323000, 3.9885061000", \
"1.2921306000, 1.3414865000, 1.4002920000, 1.5443555000, 1.8761238000, 2.6397203000, 4.3140513000", \
"1.6075328000, 1.6548671000, 1.7091391000, 1.8409493000, 2.1532229000, 2.8849785000, 4.5151350000", \
"1.9244714000, 1.9696082000, 2.0211438000, 2.1469449000, 2.4444388000, 3.1445122000, 4.7331404000");
}
when : "(A2&!B1&B2)";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.1062694000, -0.0096911000, -0.1250293000, -0.3585245000, -0.8234396000, -1.7570729000, -3.6244323000", \
"0.1022724000, -0.0132131000, -0.1293888000, -0.3620300000, -0.8281620000, -1.7613538000, -3.6278652000", \
"0.0992720000, -0.0157944000, -0.1317731000, -0.3645361000, -0.8306743000, -1.7636576000, -3.6299908000", \
"0.1017963000, -0.0160196000, -0.1333693000, -0.3667420000, -0.8329056000, -1.7663188000, -3.6328970000", \
"0.1449609000, 0.0132506000, -0.1109504000, -0.3510674000, -0.8233542000, -1.7609364000, -3.6307058000", \
"0.2361135000, 0.0884228000, -0.0473645000, -0.3030014000, -0.7898353000, -1.7404020000, -3.6183539000", \
"0.4401895000, 0.2735099000, 0.1208124000, -0.1598925000, -0.6826437000, -1.6663066000, -3.5742577000", \
"0.6532670000, 0.4753445000, 0.3113843000, 0.0109330000, -0.5401230000, -1.5626955000, -3.5037909000", \
"1.2521086000, 1.0598376000, 0.8798608000, 0.5436753000, -0.0685598000, -1.1819301000, -3.2290934000", \
"1.5659288000, 1.3677236000, 1.1837500000, 0.8363826000, 0.1983298000, -0.9531344000, -3.0516052000", \
"1.8774100000, 1.6779909000, 1.4891678000, 1.1345217000, 0.4765053000, -0.7085023000, -2.8576301000");
}
related_pin : "A1";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.1631200000, 0.2856061000, 0.4015816000, 0.6326199000, 1.0961754000, 2.0198290000, 3.8688835000", \
"0.1637300000, 0.2867323000, 0.4036836000, 0.6365904000, 1.0982403000, 2.0240580000, 3.8719522000", \
"0.1633191000, 0.2849568000, 0.4028642000, 0.6361125000, 1.0998004000, 2.0235162000, 3.8716260000", \
"0.1614819000, 0.2815234000, 0.3995257000, 0.6340757000, 1.0989861000, 2.0229566000, 3.8715855000", \
"0.1999626000, 0.3053023000, 0.4169907000, 0.6452652000, 1.1045888000, 2.0266973000, 3.8740597000", \
"0.2925549000, 0.3806558000, 0.4820339000, 0.6955187000, 1.1392251000, 2.0503100000, 3.8874299000", \
"0.4969989000, 0.5707428000, 0.6561779000, 0.8457742000, 1.2604658000, 2.1350777000, 3.9429612000", \
"0.7118811000, 0.7768817000, 0.8515593000, 1.0246006000, 1.4116279000, 2.2547439000, 4.0282901000", \
"1.3149721000, 1.3681308000, 1.4274911000, 1.5724211000, 1.9079023000, 2.6760619000, 4.3521452000", \
"1.6303426000, 1.6797080000, 1.7376053000, 1.8724130000, 2.1854427000, 2.9210216000, 4.5541331000", \
"1.9460319000, 1.9927786000, 2.0482334000, 2.1743498000, 2.4771770000, 3.1792815000, 4.7709676000");
}
when : "(A2&B1&!B2)";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.1114110000, -0.0043217000, -0.1199250000, -0.3533203000, -0.8220058000, -1.7538284000, -3.6191737000", \
"0.1103296000, -0.0052861000, -0.1214159000, -0.3544637000, -0.8205871000, -1.7535644000, -3.6202800000", \
"0.1077605000, -0.0072437000, -0.1230541000, -0.3560389000, -0.8222010000, -1.7551327000, -3.6216685000", \
"0.1079340000, -0.0083047000, -0.1244798000, -0.3572252000, -0.8233182000, -1.7565129000, -3.6229510000", \
"0.1521289000, 0.0229588000, -0.1005591000, -0.3399946000, -0.8127737000, -1.7501645000, -3.6196689000", \
"0.2521391000, 0.1067083000, -0.0270911000, -0.2826286000, -0.7722967000, -1.7240793000, -3.6045724000", \
"0.4852941000, 0.3182616000, 0.1647401000, -0.1162830000, -0.6418448000, -1.6322147000, -3.5449539000", \
"0.7282840000, 0.5517149000, 0.3863324000, 0.0819094000, -0.4750897000, -1.5059548000, -3.4597452000", \
"1.4170973000, 1.2263290000, 1.0444838000, 0.7052976000, 0.0796805000, -1.0496615000, -3.1199952000", \
"1.7766096000, 1.5807067000, 1.3955814000, 1.0449060000, 0.3962520000, -0.7756433000, -2.9021541000", \
"2.1387403000, 1.9387575000, 1.7484765000, 1.3900947000, 0.7229425000, -0.4858731000, -2.6692647000");
}
related_pin : "A2";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.2017644000, 0.3150879000, 0.4310395000, 0.6701198000, 1.1164157000, 2.0500710000, 3.8972048000", \
"0.2035380000, 0.3203364000, 0.4363506000, 0.6683381000, 1.1313675000, 2.0563814000, 3.9046878000", \
"0.2016674000, 0.3194371000, 0.4358842000, 0.6676831000, 1.1301503000, 2.0564172000, 3.9042963000", \
"0.2019535000, 0.3186181000, 0.4356059000, 0.6676543000, 1.1313651000, 2.0564884000, 3.9043034000", \
"0.2544442000, 0.3530219000, 0.4608859000, 0.6861623000, 1.1439076000, 2.0646826000, 3.9098298000", \
"0.3662050000, 0.4482042000, 0.5435836000, 0.7479156000, 1.1870066000, 2.0913748000, 3.9273365000", \
"0.6082189000, 0.6754410000, 0.7540589000, 0.9327975000, 1.3318299000, 2.1935651000, 3.9904784000", \
"0.8525920000, 0.9142517000, 0.9845001000, 1.1468315000, 1.5159201000, 2.3348781000, 4.0900321000", \
"1.5486757000, 1.5977635000, 1.6558076000, 1.7923032000, 2.1082348000, 2.8360032000, 4.4746066000", \
"1.9112704000, 1.9577445000, 2.0134793000, 2.1389554000, 2.4370448000, 3.1334045000, 4.7152610000", \
"2.2745594000, 2.3189952000, 2.3705978000, 2.4899640000, 2.7753782000, 3.4388114000, 4.9726544000");
}
when : "(A1&!B1&!B2)";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.1024604000, -0.0121448000, -0.1273408000, -0.3630679000, -0.8231635000, -1.7623302000, -3.6215362000", \
"0.1016578000, -0.0138590000, -0.1299627000, -0.3627249000, -0.8288144000, -1.7619838000, -3.6283821000", \
"0.0985968000, -0.0165942000, -0.1324453000, -0.3652633000, -0.8313794000, -1.7641966000, -3.6307118000", \
"0.0985860000, -0.0182650000, -0.1345475000, -0.3672861000, -0.8337565000, -1.7672165000, -3.6330199000", \
"0.1447338000, 0.0118938000, -0.1120969000, -0.3526005000, -0.8245149000, -1.7620081000, -3.6309989000", \
"0.2479256000, 0.0951094000, -0.0412110000, -0.2984792000, -0.7878301000, -1.7389344000, -3.6179146000", \
"0.4803588000, 0.3038945000, 0.1463637000, -0.1408043000, -0.6666156000, -1.6554531000, -3.5658149000", \
"0.7212494000, 0.5338458000, 0.3623260000, 0.0511917000, -0.5096096000, -1.5405048000, -3.4889779000", \
"1.4015453000, 1.1966406000, 1.0078736000, 0.6563542000, 0.0206378000, -1.1134476000, -3.1796124000", \
"1.7545933000, 1.5486476000, 1.3514434000, 0.9877726000, 0.3256855000, -0.8540576000, -2.9791500000", \
"2.1106535000, 1.8983362000, 1.6988040000, 1.3246251000, 0.6414137000, -0.5805135000, -2.7611044000");
}
related_pin : "A2";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.1615522000, 0.2767251000, 0.3940000000, 0.6280097000, 1.0871354000, 2.0180828000, 3.8598103000", \
"0.1634441000, 0.2806864000, 0.3965854000, 0.6294564000, 1.0908385000, 2.0160400000, 3.8644435000", \
"0.1615801000, 0.2795387000, 0.3958402000, 0.6285199000, 1.0922712000, 2.0153217000, 3.8631522000", \
"0.1616684000, 0.2785387000, 0.3952526000, 0.6281211000, 1.0919027000, 2.0155149000, 3.8644530000", \
"0.2095624000, 0.3092662000, 0.4187434000, 0.6434946000, 1.1008771000, 2.0222053000, 3.8678045000", \
"0.3175514000, 0.3992173000, 0.4948518000, 0.7020359000, 1.1422925000, 2.0489031000, 3.8839125000", \
"0.5540438000, 0.6198761000, 0.6993621000, 0.8776792000, 1.2802410000, 2.1464949000, 3.9471185000", \
"0.7933254000, 0.8547196000, 0.9256355000, 1.0864649000, 1.4577816000, 2.2812119000, 4.0413357000", \
"1.4760656000, 1.5241772000, 1.5811204000, 1.7197862000, 2.0343296000, 2.7650982000, 4.4075104000", \
"1.8313855000, 1.8765206000, 1.9313593000, 2.0599900000, 2.3576128000, 3.0533546000, 4.6412498000", \
"2.1871203000, 2.2310550000, 2.2830492000, 2.4023414000, 2.6873861000, 3.3525253000, 4.8898775000");
}
when : "(A1&!B1&B2)";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.1035782000, -0.0122425000, -0.1285145000, -0.3617538000, -0.8346853000, -1.7620145000, -3.6252139000", \
"0.1020590000, -0.0135599000, -0.1297500000, -0.3624646000, -0.8285752000, -1.7617605000, -3.6282848000", \
"0.0988768000, -0.0161974000, -0.1321768000, -0.3648363000, -0.8310870000, -1.7641137000, -3.6306014000", \
"0.0988750000, -0.0178601000, -0.1342120000, -0.3670519000, -0.8334129000, -1.7662166000, -3.6330440000", \
"0.1449162000, 0.0125383000, -0.1117067000, -0.3514249000, -0.8242271000, -1.7616342000, -3.6308103000", \
"0.2465078000, 0.0958737000, -0.0409203000, -0.2985405000, -0.7876701000, -1.7385334000, -3.6176951000", \
"0.4763293000, 0.3032060000, 0.1472470000, -0.1385426000, -0.6660166000, -1.6559127000, -3.5651566000", \
"0.7154855000, 0.5316605000, 0.3613106000, 0.0519992000, -0.5072841000, -1.5401961000, -3.4885085000", \
"1.3928831000, 1.1925714000, 1.0054164000, 0.6561650000, 0.0224491000, -1.1086995000, -3.1786476000", \
"1.7466675000, 1.5417539000, 1.3491006000, 0.9875846000, 0.3278162000, -0.8497657000, -2.9741661000", \
"2.1036193000, 1.8935228000, 1.6951905000, 1.3244002000, 0.6432896000, -0.5747179000, -2.7552299000");
}
related_pin : "A2";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.1953764000, 0.3201995000, 0.4304147000, 0.6623902000, 1.1251746000, 2.0503599000, 3.9252114000", \
"0.2009938000, 0.3180111000, 0.4340152000, 0.6664053000, 1.1287969000, 2.0540553000, 3.9024266000", \
"0.1993419000, 0.3167755000, 0.4333542000, 0.6661569000, 1.1294951000, 2.0531824000, 3.9019448000", \
"0.1990759000, 0.3162152000, 0.4329218000, 0.6657702000, 1.1290804000, 2.0534161000, 3.9022759000", \
"0.2423591000, 0.3452986000, 0.4555407000, 0.6816593000, 1.1395271000, 2.0606329000, 3.9060607000", \
"0.3470164000, 0.4323997000, 0.5296103000, 0.7390085000, 1.1790210000, 2.0868526000, 3.9224016000", \
"0.5814297000, 0.6508428000, 0.7319155000, 0.9121014000, 1.3162971000, 2.1828642000, 3.9835143000", \
"0.8194638000, 0.8840093000, 0.9568308000, 1.1204114000, 1.4930809000, 2.3185423000, 4.0786340000", \
"1.5023598000, 1.5528535000, 1.6121324000, 1.7517200000, 2.0684895000, 2.8046385000, 4.4485939000", \
"1.8581745000, 1.9061307000, 1.9616989000, 2.0926933000, 2.3901519000, 3.0906348000, 4.6801946000", \
"2.2150599000, 2.2602334000, 2.3132325000, 2.4352841000, 2.7220758000, 3.3896377000, 4.9283381000");
}
when : "(A1&B1&!B2)";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0351978000, -0.0593924000, -0.1758922000, -0.4096319000, -0.8748287000, -1.8096011000, -3.6759798000", \
"0.0475829000, -0.0601072000, -0.1754345000, -0.4077785000, -0.8738715000, -1.8070690000, -3.6737731000", \
"0.0477452000, -0.0614480000, -0.1758492000, -0.4076459000, -0.8734556000, -1.8065051000, -3.6730461000", \
"0.0588470000, -0.0577446000, -0.1734132000, -0.4058941000, -0.8720235000, -1.8051294000, -3.6715338000", \
"0.1153065000, -0.0213076000, -0.1460657000, -0.3877639000, -0.8618578000, -1.7974315000, -3.6685714000", \
"0.2137348000, 0.0593186000, -0.0779421000, -0.3344121000, -0.8222223000, -1.7751664000, -3.6544974000", \
"0.4210625000, 0.2479342000, 0.0941592000, -0.1880471000, -0.7074813000, -1.6915145000, -3.6008309000", \
"0.6287055000, 0.4488238000, 0.2843750000, -0.0171514000, -0.5650576000, -1.5820088000, -3.5239835000", \
"1.2130622000, 1.0167788000, 0.8381701000, 0.5066360000, -0.0997422000, -1.1980884000, -3.2340812000", \
"1.5162964000, 1.3171053000, 1.1322399000, 0.7900429000, 0.1621604000, -0.9691991000, -3.0532164000", \
"1.8181780000, 1.6174672000, 1.4280838000, 1.0783513000, 0.4341170000, -0.7300504000, -2.8566182000");
}
related_pin : "B1";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0319488000, 0.1719363000, 0.2886307000, 0.5196413000, 0.9826170000, 1.9040676000, 3.7514824000", \
"0.0433080000, 0.1724098000, 0.2896451000, 0.5217628000, 0.9845522000, 1.9088051000, 3.7549148000", \
"0.0476454000, 0.1707697000, 0.2887372000, 0.5215241000, 0.9843031000, 1.9111154000, 3.7569267000", \
"0.0612149000, 0.1737621000, 0.2894234000, 0.5209834000, 0.9845822000, 1.9113898000, 3.7551578000", \
"0.1232946000, 0.2144712000, 0.3209930000, 0.5434687000, 0.9974379000, 1.9191174000, 3.7607956000", \
"0.2251248000, 0.3028249000, 0.3946061000, 0.6026241000, 1.0402940000, 1.9472756000, 3.7796929000", \
"0.4325039000, 0.4956705000, 0.5781077000, 0.7599767000, 1.1666465000, 2.0362255000, 3.8417333000", \
"0.6368902000, 0.6945847000, 0.7708489000, 0.9390439000, 1.3189709000, 2.1564517000, 3.9225055000", \
"1.2218150000, 1.2713635000, 1.3306549000, 1.4715754000, 1.8067638000, 2.5693289000, 4.2449926000", \
"1.5263549000, 1.5697261000, 1.6285298000, 1.7552810000, 2.0743155000, 2.8063466000, 4.4395860000", \
"1.8301718000, 1.8710765000, 1.9266326000, 2.0497990000, 2.3500473000, 3.0552586000, 4.6461875000");
}
when : "(!A1&!A2&B2)";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0353527000, -0.0603593000, -0.1762159000, -0.4100773000, -0.8741464000, -1.8123340000, -3.6674821000", \
"0.0481477000, -0.0594144000, -0.1744985000, -0.4068399000, -0.8730808000, -1.8061549000, -3.6730143000", \
"0.0495892000, -0.0595905000, -0.1741342000, -0.4059325000, -0.8716391000, -1.8047738000, -3.6712788000", \
"0.0610308000, -0.0548236000, -0.1702536000, -0.4028572000, -0.8690355000, -1.8020941000, -3.6686529000", \
"0.1104917000, -0.0227114000, -0.1465547000, -0.3863858000, -0.8595981000, -1.7952366000, -3.6654063000", \
"0.1992225000, 0.0480360000, -0.0870949000, -0.3398425000, -0.8247284000, -1.7745229000, -3.6539820000", \
"0.3885751000, 0.2184386000, 0.0665601000, -0.2104903000, -0.7243350000, -1.7032489000, -3.6082000000", \
"0.5786348000, 0.4012152000, 0.2391695000, -0.0581940000, -0.5973085000, -1.6074686000, -3.5422536000", \
"1.1140638000, 0.9212175000, 0.7439290000, 0.4168637000, -0.1800153000, -1.2650513000, -3.2868069000", \
"1.3929514000, 1.1958747000, 1.0126316000, 0.6749645000, 0.0553782000, -1.0631906000, -3.1257101000", \
"1.6713774000, 1.4697025000, 1.2834614000, 0.9378481000, 0.3016789000, -0.8479038000, -2.9535625000");
}
related_pin : "B1";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0318813000, 0.1717655000, 0.2883275000, 0.5192430000, 0.9813403000, 1.9043408000, 3.7564355000", \
"0.0425720000, 0.1721107000, 0.2897497000, 0.5214828000, 0.9840968000, 1.9086724000, 3.7563357000", \
"0.0469318000, 0.1702872000, 0.2884904000, 0.5208978000, 0.9843006000, 1.9082110000, 3.7577821000", \
"0.0589731000, 0.1722582000, 0.2884946000, 0.5211929000, 0.9830152000, 1.9086958000, 3.7570505000", \
"0.1157419000, 0.2097499000, 0.3164173000, 0.5392182000, 0.9959627000, 1.9155646000, 3.7622736000", \
"0.2082782000, 0.2882495000, 0.3846715000, 0.5932272000, 1.0337370000, 1.9403771000, 3.7741606000", \
"0.3982485000, 0.4652177000, 0.5490703000, 0.7350992000, 1.1453543000, 2.0199799000, 3.8280388000", \
"0.5899836000, 0.6495963000, 0.7240031000, 0.8981164000, 1.2826278000, 2.1289553000, 3.9021219000", \
"1.1208454000, 1.1707506000, 1.2354189000, 1.3819121000, 1.7233643000, 2.4986194000, 4.1874322000", \
"1.4004218000, 1.4463780000, 1.5036453000, 1.6439077000, 1.9676574000, 2.7161269000, 4.3647872000", \
"1.6798994000, 1.7238055000, 1.7787389000, 1.9097021000, 2.2186713000, 2.9428589000, 4.5545175000");
}
when : "(!A1&A2&B2)";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0362215000, -0.0589069000, -0.1753077000, -0.4085178000, -0.8749282000, -1.8087563000, -3.6754544000", \
"0.0484782000, -0.0589281000, -0.1740498000, -0.4063518000, -0.8725680000, -1.8056850000, -3.6724582000", \
"0.0502545000, -0.0589032000, -0.1735698000, -0.4052822000, -0.8710526000, -1.8041004000, -3.6706408000", \
"0.0612636000, -0.0543236000, -0.1696542000, -0.4022496000, -0.8683145000, -1.8015075000, -3.6680318000", \
"0.1087092000, -0.0234312000, -0.1473264000, -0.3862362000, -0.8589173000, -1.7949481000, -3.6648865000", \
"0.1950606000, 0.0455744000, -0.0883768000, -0.3414788000, -0.8254190000, -1.7746558000, -3.6532718000", \
"0.3788681000, 0.2116561000, 0.0618922000, -0.2143319000, -0.7286236000, -1.7053152000, -3.6087969000", \
"0.5649924000, 0.3914370000, 0.2305756000, -0.0648246000, -0.6034264000, -1.6124720000, -3.5449451000", \
"1.0902670000, 0.9030754000, 0.7270943000, 0.4013243000, -0.1941059000, -1.2764181000, -3.2957816000", \
"1.3645997000, 1.1732753000, 0.9910922000, 0.6556285000, 0.0385576000, -1.0779033000, -3.1379852000", \
"1.6363721000, 1.4434922000, 1.2591063000, 0.9144001000, 0.2807709000, -0.8652069000, -2.9666637000");
}
related_pin : "B1";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0705696000, 0.2099783000, 0.3256951000, 0.5575006000, 1.0196850000, 1.9443834000, 3.7916952000", \
"0.0848602000, 0.2100113000, 0.3275597000, 0.5606083000, 1.0230274000, 1.9480520000, 3.7967392000", \
"0.0865106000, 0.2085171000, 0.3264449000, 0.5598627000, 1.0229046000, 1.9489079000, 3.7970432000", \
"0.0940456000, 0.2095995000, 0.3265744000, 0.5599597000, 1.0244040000, 1.9479663000, 3.7961735000", \
"0.1441166000, 0.2449109000, 0.3516253000, 0.5767839000, 1.0349718000, 1.9559561000, 3.8008870000", \
"0.2328436000, 0.3186301000, 0.4187102000, 0.6279326000, 1.0707195000, 1.9766053000, 3.8127289000", \
"0.4180101000, 0.4897167000, 0.5759092000, 0.7684139000, 1.1793242000, 2.0563916000, 3.8646706000", \
"0.6063480000, 0.6703187000, 0.7481039000, 0.9237897000, 1.3136805000, 2.1602016000, 3.9378794000", \
"1.1287777000, 1.1815087000, 1.2500866000, 1.3990458000, 1.7441617000, 2.5244768000, 4.2169894000", \
"1.4035523000, 1.4545468000, 1.5128054000, 1.6567337000, 1.9863318000, 2.7361390000, 4.3897626000", \
"1.6772191000, 1.7253926000, 1.7838883000, 1.9191888000, 2.2324629000, 2.9627937000, 4.5764020000");
}
when : "(A1&!A2&B2)";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0377391000, -0.0618697000, -0.1782773000, -0.4119203000, -0.8774066000, -1.8130653000, -3.6771916000", \
"0.0402332000, -0.0618546000, -0.1770070000, -0.4093422000, -0.8755729000, -1.8087729000, -3.6755479000", \
"0.0432307000, -0.0636034000, -0.1777092000, -0.4093005000, -0.8749151000, -1.8077811000, -3.6744231000", \
"0.0522409000, -0.0618256000, -0.1769120000, -0.4087307000, -0.8741193000, -1.8068270000, -3.6731862000", \
"0.1124912000, -0.0242155000, -0.1484219000, -0.3885725000, -0.8612628000, -1.7994752000, -3.6693169000", \
"0.2214073000, 0.0630533000, -0.0752916000, -0.3324454000, -0.8211887000, -1.7728554000, -3.6527764000", \
"0.4454817000, 0.2701901000, 0.1108947000, -0.1742655000, -0.6963218000, -1.6837724000, -3.5957602000", \
"0.6724767000, 0.4871670000, 0.3206023000, 0.0124212000, -0.5408762000, -1.5637936000, -3.5139832000", \
"1.3091613000, 1.1107605000, 0.9271802000, 0.5881301000, -0.0308484000, -1.1425344000, -3.1943395000", \
"1.6418760000, 1.4373581000, 1.2496893000, 0.9005292000, 0.2585928000, -0.8937224000, -2.9932398000", \
"1.9708883000, 1.7657910000, 1.5726636000, 1.2157926000, 0.5573090000, -0.6307358000, -2.7778263000");
}
related_pin : "B2";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0788953000, 0.2024336000, 0.3160435000, 0.5475151000, 1.0183637000, 1.9370416000, 3.7847628000", \
"0.0858505000, 0.2050087000, 0.3217307000, 0.5534604000, 1.0180079000, 1.9420263000, 3.7884854000", \
"0.0846704000, 0.2034251000, 0.3207138000, 0.5517885000, 1.0161365000, 1.9408194000, 3.7883013000", \
"0.0980844000, 0.2091098000, 0.3228812000, 0.5541170000, 1.0173907000, 1.9424248000, 3.7886378000", \
"0.1667164000, 0.2578048000, 0.3616641000, 0.5818228000, 1.0369063000, 1.9532187000, 3.7965904000", \
"0.2760054000, 0.3549608000, 0.4467865000, 0.6476422000, 1.0822553000, 1.9855769000, 3.8135157000", \
"0.5020072000, 0.5677792000, 0.6470723000, 0.8246946000, 1.2232512000, 2.0829939000, 3.8772980000", \
"0.7300920000, 0.7898608000, 0.8601203000, 1.0232125000, 1.3941606000, 2.2165589000, 3.9700345000", \
"1.3635166000, 1.4149040000, 1.4728121000, 1.6146454000, 1.9369115000, 2.6762021000, 4.3231848000", \
"1.6952231000, 1.7432147000, 1.7991747000, 1.9308639000, 2.2381173000, 2.9471946000, 4.5428990000", \
"2.0272940000, 2.0730549000, 2.1258608000, 2.2501689000, 2.5451378000, 3.2255174000, 4.7745491000");
}
when : "(!A1&!A2&B1)";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0377811000, -0.0617920000, -0.1785484000, -0.4114875000, -0.8770433000, -1.8110017000, -3.6788235000", \
"0.0403861000, -0.0610371000, -0.1761008000, -0.4084424000, -0.8745359000, -1.8077460000, -3.6745321000", \
"0.0451721000, -0.0617024000, -0.1758571000, -0.4074260000, -0.8730816000, -1.8060491000, -3.6726098000", \
"0.0548142000, -0.0588770000, -0.1736755000, -0.4054693000, -0.8709988000, -1.8036922000, -3.6701643000", \
"0.1066481000, -0.0259335000, -0.1486297000, -0.3876969000, -0.8589209000, -1.7958957000, -3.6651687000", \
"0.2011365000, 0.0490008000, -0.0859147000, -0.3390142000, -0.8242058000, -1.7739182000, -3.6516100000", \
"0.4036467000, 0.2316211000, 0.0770925000, -0.2018347000, -0.7169186000, -1.6973018000, -3.6022587000", \
"0.6076975000, 0.4270344000, 0.2624191000, -0.0386294000, -0.5815962000, -1.5938687000, -3.5317271000", \
"1.1822879000, 0.9855446000, 0.8073195000, 0.4728701000, -0.1334867000, -1.2275124000, -3.2571260000", \
"1.4798071000, 1.2812398000, 1.0975053000, 0.7529728000, 0.1236708000, -1.0077372000, -3.0824618000", \
"1.7799891000, 1.5786964000, 1.3871456000, 1.0358245000, 0.3893579000, -0.7778972000, -2.8951555000");
}
related_pin : "B2";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0789996000, 0.2023750000, 0.3189864000, 0.5498278000, 1.0125217000, 1.9607406000, 3.7837015000", \
"0.0857376000, 0.2048931000, 0.3213114000, 0.5540649000, 1.0156719000, 1.9411114000, 3.7893079000", \
"0.0845496000, 0.2033306000, 0.3201249000, 0.5530104000, 1.0160095000, 1.9404083000, 3.7890700000", \
"0.0961326000, 0.2080562000, 0.3227601000, 0.5547448000, 1.0176903000, 1.9414693000, 3.7891498000", \
"0.1580132000, 0.2514426000, 0.3567740000, 0.5788743000, 1.0342487000, 1.9503885000, 3.7950585000", \
"0.2564141000, 0.3372334000, 0.4322426000, 0.6367800000, 1.0739694000, 1.9764383000, 3.8088947000", \
"0.4598312000, 0.5287466000, 0.6096571000, 0.7930017000, 1.1979616000, 2.0631998000, 3.8648091000", \
"0.6661991000, 0.7277123000, 0.8019935000, 0.9690596000, 1.3495046000, 2.1804960000, 3.9453579000", \
"1.2374688000, 1.2906990000, 1.3572744000, 1.5011888000, 1.8331186000, 2.5899083000, 4.2554683000", \
"1.5375550000, 1.5862086000, 1.6485260000, 1.7872764000, 2.1030245000, 2.8278612000, 4.4479414000", \
"1.8371262000, 1.8854849000, 1.9413972000, 2.0752142000, 2.3770396000, 3.0743327000, 4.6530944000");
}
when : "(!A1&A2&B1)";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0378505000, -0.0616910000, -0.1780552000, -0.4113426000, -0.8778588000, -1.8114062000, -3.6795229000", \
"0.0403937000, -0.0609077000, -0.1760649000, -0.4082692000, -0.8745062000, -1.8077182000, -3.6745187000", \
"0.0453203000, -0.0615971000, -0.1757404000, -0.4073119000, -0.8729396000, -1.8059186000, -3.6725026000", \
"0.0547734000, -0.0587639000, -0.1737056000, -0.4054227000, -0.8708139000, -1.8036471000, -3.6701442000", \
"0.1055435000, -0.0263477000, -0.1495770000, -0.3877447000, -0.8598374000, -1.7959814000, -3.6657092000", \
"0.1985324000, 0.0482362000, -0.0868642000, -0.3399117000, -0.8247297000, -1.7741580000, -3.6514315000", \
"0.3984548000, 0.2303244000, 0.0766150000, -0.2014309000, -0.7178497000, -1.6982822000, -3.6023246000", \
"0.6023477000, 0.4241106000, 0.2612021000, -0.0384087000, -0.5822087000, -1.5949183000, -3.5325462000", \
"1.1742962000, 0.9836728000, 0.8047284000, 0.4719623000, -0.1334250000, -1.2258884000, -3.2570969000", \
"1.4717298000, 1.2756429000, 1.0933172000, 0.7511657000, 0.1224106000, -1.0072166000, -3.0807530000", \
"1.7741063000, 1.5739002000, 1.3836853000, 1.0340014000, 0.3897986000, -0.7776726000, -2.8938289000");
}
related_pin : "B2";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.1193363000, 0.2392206000, 0.3544590000, 0.5877419000, 1.0436054000, 1.9682766000, 3.8211945000", \
"0.1239679000, 0.2421420000, 0.3583739000, 0.5914286000, 1.0546558000, 1.9784717000, 3.8266154000", \
"0.1223426000, 0.2410272000, 0.3572921000, 0.5897753000, 1.0529038000, 1.9781354000, 3.8268522000", \
"0.1314502000, 0.2447030000, 0.3600169000, 0.5920840000, 1.0551954000, 1.9781392000, 3.8272729000", \
"0.1883968000, 0.2859115000, 0.3925780000, 0.6158398000, 1.0714214000, 1.9885555000, 3.8328234000", \
"0.2846138000, 0.3690119000, 0.4653315000, 0.6719595000, 1.1106272000, 2.0137622000, 3.8470005000", \
"0.4865896000, 0.5585196000, 0.6409102000, 0.8268590000, 1.2316866000, 2.0999278000, 3.9026838000", \
"0.6915704000, 0.7560416000, 0.8321379000, 1.0009990000, 1.3833687000, 2.2167335000, 3.9831402000", \
"1.2616967000, 1.3182548000, 1.3863385000, 1.5318133000, 1.8659578000, 2.6246325000, 4.2919483000", \
"1.5612459000, 1.6133488000, 1.6768668000, 1.8171568000, 2.1353599000, 2.8625173000, 4.4844366000", \
"1.8608759000, 1.9109929000, 1.9691801000, 2.1047976000, 2.4093796000, 3.1085185000, 4.6899139000");
}
when : "(A1&!A2&B1)";
}
max_capacitance : 0.2468700000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0888100000, 0.1503400000, 0.2093200000, 0.3253100000, 0.5553500000, 1.0139700000, 1.9300700000", \
"0.0880600000, 0.1498000000, 0.2088300000, 0.3248600000, 0.5549500000, 1.0135700000, 1.9296700000", \
"0.0882500000, 0.1500900000, 0.2091900000, 0.3252900000, 0.5554200000, 1.0140300000, 1.9301300000", \
"0.0939200000, 0.1547700000, 0.2135200000, 0.3293200000, 0.5592800000, 1.0178000000, 1.9339000000", \
"0.1227400000, 0.1843300000, 0.2397800000, 0.3519500000, 0.5785800000, 1.0346000000, 1.9491000000", \
"0.1535100000, 0.2274800000, 0.2891600000, 0.3996200000, 0.6185700000, 1.0676000000, 1.9769000000", \
"0.1958300000, 0.2884000000, 0.3648000000, 0.4950000000, 0.7198000000, 1.1518000000, 2.0456000000", \
"0.2278000000, 0.3339000000, 0.4214000000, 0.5701000000, 0.8177000000, 1.2513000000, 2.1270000000", \
"0.2958700000, 0.4286700000, 0.5383700000, 0.7243700000, 1.0310700000, 1.5314700000, 2.3977700000", \
"0.3251300000, 0.4684300000, 0.5870300000, 0.7880300000, 1.1190300000, 1.6560300000, 2.5545300000", \
"0.3520000000, 0.5044000000, 0.6309000000, 0.8451000000, 1.1976000000, 1.7682000000, 2.7043000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0542700000, 0.1138200000, 0.1662400000, 0.2700700000, 0.4769600000, 0.8902200000, 1.7164700000", \
"0.0567800000, 0.1166400000, 0.1695000000, 0.2736200000, 0.4806200000, 0.8940400000, 1.7202700000", \
"0.0597500000, 0.1184500000, 0.1716500000, 0.2760400000, 0.4832400000, 0.8967000000, 1.7230300000", \
"0.0678200000, 0.1245900000, 0.1780400000, 0.2828500000, 0.4904200000, 0.9041500000, 1.7306000000", \
"0.0866000000, 0.1505800000, 0.2041700000, 0.3059700000, 0.5114100000, 0.9237000000, 1.7494000000", \
"0.1056000000, 0.1835300000, 0.2452900000, 0.3522500000, 0.5519800000, 0.9588000000, 1.7804000000", \
"0.1291900000, 0.2273400000, 0.3054000000, 0.4343000000, 0.6486000000, 1.0448000000, 1.8541000000", \
"0.1432000000, 0.2568000000, 0.3473000000, 0.4963000000, 0.7365000000, 1.1430000000, 1.9387000000", \
"0.1609700000, 0.3062700000, 0.4224700000, 0.6134700000, 0.9181700000, 1.4000700000, 2.2081700000", \
"0.1637300000, 0.3217300000, 0.4486300000, 0.6569300000, 0.9887300000, 1.5106300000, 2.3549300000", \
"0.1638000000, 0.3331000000, 0.4694000000, 0.6933000000, 1.0494000000, 1.6077000000, 2.4924000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0889400000, 0.1708300000, 0.2513400000, 0.4113700000, 0.7303600000, 1.3680500000, 2.6425100000", \
"0.0889300000, 0.1709100000, 0.2513600000, 0.4113100000, 0.7303100000, 1.3684500000, 2.6427000000", \
"0.0889500000, 0.1709100000, 0.2513500000, 0.4113700000, 0.7304100000, 1.3684100000, 2.6434700000", \
"0.0893500000, 0.1708900000, 0.2513600000, 0.4113600000, 0.7307100000, 1.3684100000, 2.6426900000", \
"0.1245300000, 0.1889200000, 0.2595800000, 0.4120100000, 0.7304600000, 1.3684100000, 2.6425200000", \
"0.1849900000, 0.2506200000, 0.3102300000, 0.4405300000, 0.7354300000, 1.3684800000, 2.6427000000", \
"0.2976000000, 0.3788000000, 0.4408300000, 0.5567700000, 0.8051000000, 1.3824000000, 2.6427000000", \
"0.4009000000, 0.4990000000, 0.5704000000, 0.6888000000, 0.9197000000, 1.4433000000, 2.6473000000", \
"0.6659000000, 0.8030000000, 0.9004000000, 1.0508000000, 1.2885000000, 1.7451000000, 2.7878000000", \
"0.7966000000, 0.9504000000, 1.0597000000, 1.2270000000, 1.4829000000, 1.9325000000, 2.9184000000", \
"0.9247000000, 1.0932000000, 1.2135000000, 1.3969000000, 1.6726000000, 2.1245000000, 3.0729000000");
}
related_pin : "A1";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0588700000, 0.1346300000, 0.2135500000, 0.3714600000, 0.6875300000, 1.3181400000, 2.5834100000", \
"0.0588300000, 0.1347300000, 0.2134700000, 0.3713900000, 0.6867400000, 1.3196700000, 2.5834700000", \
"0.0588500000, 0.1346900000, 0.2133900000, 0.3715000000, 0.6868000000, 1.3178900000, 2.5813500000", \
"0.0646300000, 0.1354900000, 0.2135800000, 0.3714500000, 0.6867700000, 1.3182300000, 2.5832500000", \
"0.1091100000, 0.1603000000, 0.2262700000, 0.3742800000, 0.6867500000, 1.3178300000, 2.5836900000", \
"0.1775100000, 0.2246400000, 0.2788600000, 0.4041100000, 0.6955700000, 1.3184300000, 2.5832000000", \
"0.2902400000, 0.3522500000, 0.4072200000, 0.5161400000, 0.7617000000, 1.3367000000, 2.5806000000", \
"0.3890000000, 0.4644000000, 0.5301000000, 0.6422000000, 0.8674000000, 1.3933000000, 2.5898000000", \
"0.6379000000, 0.7375000000, 0.8263000000, 0.9748000000, 1.2133000000, 1.6664000000, 2.7210000000", \
"0.7617000000, 0.8681000000, 0.9665000000, 1.1303000000, 1.3917000000, 1.8402000000, 2.8384000000", \
"0.8860000000, 0.9951000000, 1.0995000000, 1.2795000000, 1.5616000000, 2.0184000000, 2.9755000000");
}
sdf_cond : "(A2&!B1&!B2)";
timing_sense : "negative_unate";
when : "(A2&!B1&!B2)";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0678700000, 0.1323900000, 0.1921600000, 0.3087000000, 0.5391000000, 0.9978500000, 1.9140700000", \
"0.0668800000, 0.1315700000, 0.1913700000, 0.3079500000, 0.5383500000, 0.9971700000, 1.9133700000", \
"0.0668700000, 0.1315900000, 0.1914300000, 0.3080300000, 0.5385000000, 0.9972300000, 1.9135300000", \
"0.0729200000, 0.1359300000, 0.1953400000, 0.3116000000, 0.5418000000, 1.0005000000, 1.9166000000", \
"0.0959100000, 0.1646200000, 0.2210900000, 0.3335100000, 0.5603900000, 1.0167000000, 1.9313000000", \
"0.1158300000, 0.2001700000, 0.2662900000, 0.3798300000, 0.5990000000, 1.0486000000, 1.9583000000", \
"0.1408000000, 0.2455100000, 0.3284000000, 0.4661000000, 0.6962000000, 1.1293000000, 2.0243000000", \
"0.1583000000, 0.2771000000, 0.3717000000, 0.5294000000, 0.7864000000, 1.2248000000, 2.1022000000", \
"0.1928700000, 0.3381700000, 0.4550700000, 0.6510700000, 0.9716700000, 1.4867700000, 2.3614700000", \
"0.2067300000, 0.3621300000, 0.4876300000, 0.6986300000, 1.0440300000, 1.5989300000, 2.5110300000", \
"0.2193000000, 0.3833000000, 0.5163000000, 0.7401000000, 1.1072000000, 1.6977000000, 2.6513000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0584600000, 0.1354100000, 0.2041500000, 0.3408800000, 0.6139500000, 1.1600700000, 2.2515700000", \
"0.0610800000, 0.1383600000, 0.2076600000, 0.3447700000, 0.6181200000, 1.1641700000, 2.2560700000", \
"0.0640600000, 0.1398900000, 0.2096500000, 0.3471400000, 0.6206500000, 1.1668300000, 2.2586300000", \
"0.0705500000, 0.1443100000, 0.2144000000, 0.3524700000, 0.6265300000, 1.1730000000, 2.2651000000", \
"0.0853800000, 0.1640500000, 0.2316700000, 0.3660600000, 0.6375700000, 1.1825000000, 2.2736000000", \
"0.1004200000, 0.1944500000, 0.2674800000, 0.4000300000, 0.6630000000, 1.2009000000, 2.2867000000", \
"0.1213700000, 0.2385400000, 0.3283000000, 0.4775000000, 0.7387000000, 1.2579000000, 2.3274000000", \
"0.1353000000, 0.2702000000, 0.3730000000, 0.5425000000, 0.8213000000, 1.3322000000, 2.3830000000", \
"0.1574700000, 0.3287700000, 0.4592700000, 0.6715700000, 1.0136700000, 1.5690700000, 2.5849700000", \
"0.1639300000, 0.3497300000, 0.4917300000, 0.7221300000, 1.0914300000, 1.6843300000, 2.7077300000", \
"0.1683000000, 0.3669000000, 0.5191000000, 0.7658000000, 1.1595000000, 1.7883000000, 2.8349000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0721600000, 0.1529500000, 0.2329900000, 0.3929400000, 0.7119800000, 1.3494600000, 2.6250100000", \
"0.0720200000, 0.1529400000, 0.2330400000, 0.3928400000, 0.7119800000, 1.3501400000, 2.6241800000", \
"0.0717200000, 0.1529100000, 0.2331600000, 0.3929000000, 0.7119600000, 1.3495100000, 2.6244800000", \
"0.0743300000, 0.1528100000, 0.2330300000, 0.3929700000, 0.7119100000, 1.3496400000, 2.6243000000", \
"0.1192900000, 0.1792000000, 0.2455600000, 0.3944600000, 0.7119000000, 1.3494900000, 2.6249400000", \
"0.1869800000, 0.2511600000, 0.3068000000, 0.4297600000, 0.7184000000, 1.3493700000, 2.6239000000", \
"0.3121200000, 0.3955300000, 0.4545400000, 0.5624500000, 0.7989000000, 1.3670000000, 2.6240000000", \
"0.4294000000, 0.5311800000, 0.6000000000, 0.7108000000, 0.9271000000, 1.4342000000, 2.6299000000", \
"0.7389000000, 0.8810000000, 0.9763000000, 1.1189000000, 1.3399000000, 1.7689000000, 2.7845000000", \
"0.8948000000, 1.0534000000, 1.1607000000, 1.3198000000, 1.5576000000, 1.9772000000, 2.9275000000", \
"1.0496000000, 1.2217000000, 1.3401000000, 1.5147000000, 1.7713000000, 2.1908000000, 3.0976000000");
}
related_pin : "A1";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0494500000, 0.1502000000, 0.2538200000, 0.4611400000, 0.8759900000, 1.7053900000, 3.3655800000", \
"0.0494200000, 0.1503300000, 0.2537800000, 0.4612400000, 0.8761900000, 1.7061700000, 3.3660300000", \
"0.0494400000, 0.1502800000, 0.2537900000, 0.4613500000, 0.8761900000, 1.7058600000, 3.3649200000", \
"0.0540100000, 0.1508800000, 0.2539700000, 0.4613800000, 0.8759400000, 1.7056500000, 3.3652100000", \
"0.0905400000, 0.1682000000, 0.2618300000, 0.4622100000, 0.8762800000, 1.7059000000, 3.3661000000", \
"0.1556300000, 0.2249800000, 0.3027600000, 0.4822600000, 0.8796000000, 1.7062300000, 3.3656000000", \
"0.2699500000, 0.3524800000, 0.4246700000, 0.5751500000, 0.9251000000, 1.7135000000, 3.3658000000", \
"0.3754000000, 0.4691000000, 0.5512000000, 0.6954000000, 1.0110000000, 1.7492000000, 3.3655000000", \
"0.6663000000, 0.7642000000, 0.8678000000, 1.0442000000, 1.3376000000, 1.9684000000, 3.4443000000", \
"0.8217000000, 0.9104000000, 1.0197000000, 1.2118000000, 1.5239000000, 2.1225000000, 3.5318000000", \
"0.9790000000, 1.0561000000, 1.1683000000, 1.3731000000, 1.7057000000, 2.2920000000, 3.6384000000");
}
sdf_cond : "(A2&!B1&B2)";
timing_sense : "negative_unate";
when : "(A2&!B1&B2)";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0777200000, 0.1404300000, 0.1998100000, 0.3161400000, 0.5464000000, 1.0050700000, 1.9210700000", \
"0.0766600000, 0.1395000000, 0.1989200000, 0.3152700000, 0.5455600000, 1.0041700000, 1.9202700000", \
"0.0766100000, 0.1395000000, 0.1989400000, 0.3153200000, 0.5456300000, 1.0043300000, 1.9202300000", \
"0.0824300000, 0.1438300000, 0.2028500000, 0.3189000000, 0.5489800000, 1.0075000000, 1.9235000000", \
"0.1086500000, 0.1728500000, 0.2285100000, 0.3407200000, 0.5675500000, 1.0237000000, 1.9382000000", \
"0.1330300000, 0.2108800000, 0.2747700000, 0.3868300000, 0.6059400000, 1.0555000000, 1.9651000000", \
"0.1632400000, 0.2600600000, 0.3397000000, 0.4744000000, 0.7028000000, 1.1357000000, 2.0307000000", \
"0.1836000000, 0.2941000000, 0.3850000000, 0.5391000000, 0.7934000000, 1.2307000000, 2.1083000000", \
"0.2218700000, 0.3585700000, 0.4712700000, 0.6628700000, 0.9794700000, 1.4921700000, 2.3659700000", \
"0.2370300000, 0.3834300000, 0.5046300000, 0.7108300000, 1.0520300000, 1.6040300000, 2.5149300000", \
"0.2504000000, 0.4052000000, 0.5337000000, 0.7525000000, 1.1150000000, 1.7024000000, 2.6547000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0759000000, 0.1503800000, 0.2199700000, 0.3584000000, 0.6348300000, 1.1874700000, 2.2922700000", \
"0.0787500000, 0.1534900000, 0.2235400000, 0.3623500000, 0.6389200000, 1.1916700000, 2.2963700000", \
"0.0810800000, 0.1551400000, 0.2256000000, 0.3647000000, 0.6415500000, 1.1942300000, 2.2993300000", \
"0.0861600000, 0.1594200000, 0.2302200000, 0.3698700000, 0.6472000000, 1.2003000000, 2.3056000000", \
"0.1033100000, 0.1786100000, 0.2463100000, 0.3825400000, 0.6574300000, 1.2089000000, 2.3134000000", \
"0.1226400000, 0.2104400000, 0.2820500000, 0.4151100000, 0.6816000000, 1.2262000000, 2.3254000000", \
"0.1494000000, 0.2584000000, 0.3456000000, 0.4929000000, 0.7554000000, 1.2813000000, 2.3641000000", \
"0.1677000000, 0.2931000000, 0.3927000000, 0.5596000000, 0.8377000000, 1.3540000000, 2.4176000000", \
"0.1986700000, 0.3579700000, 0.4839700000, 0.6926700000, 1.0325700000, 1.5885700000, 2.6151700000", \
"0.2085300000, 0.3816300000, 0.5187300000, 0.7448300000, 1.1114300000, 1.7043300000, 2.7359300000", \
"0.2159000000, 0.4012000000, 0.5481000000, 0.7900000000, 1.1807000000, 1.8091000000, 2.8623000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0902600000, 0.1695700000, 0.2492900000, 0.4089200000, 0.7280200000, 1.3658800000, 2.6408200000", \
"0.0901200000, 0.1694500000, 0.2494400000, 0.4090600000, 0.7279000000, 1.3653400000, 2.6407700000", \
"0.0898600000, 0.1694500000, 0.2492900000, 0.4089300000, 0.7279000000, 1.3658100000, 2.6409400000", \
"0.0925600000, 0.1694000000, 0.2493200000, 0.4090700000, 0.7279200000, 1.3655600000, 2.6407700000", \
"0.1405900000, 0.1959600000, 0.2619000000, 0.4106400000, 0.7279600000, 1.3658600000, 2.6397300000", \
"0.2145700000, 0.2705600000, 0.3238800000, 0.4461500000, 0.7344300000, 1.3660000000, 2.6408000000", \
"0.3501300000, 0.4215800000, 0.4761400000, 0.5810300000, 0.8158000000, 1.3830000000, 2.6410000000", \
"0.4753900000, 0.5634700000, 0.6268000000, 0.7326000000, 0.9456000000, 1.4508000000, 2.6460000000", \
"0.8024000000, 0.9280000000, 1.0164000000, 1.1514000000, 1.3652000000, 1.7896000000, 2.8030000000", \
"0.9662000000, 1.1070000000, 1.2069000000, 1.3579000000, 1.5878000000, 2.0008000000, 2.9462000000", \
"1.1277000000, 1.2819000000, 1.3923000000, 1.5584000000, 1.8059000000, 2.2174000000, 3.1174000000");
}
related_pin : "A1";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0681700000, 0.1725900000, 0.2783100000, 0.4896500000, 0.9121800000, 1.7570200000, 3.4467400000", \
"0.0681400000, 0.1725400000, 0.2782300000, 0.4895800000, 0.9122700000, 1.7575000000, 3.4468600000", \
"0.0682200000, 0.1725900000, 0.2782700000, 0.4895700000, 0.9122300000, 1.7573100000, 3.4467200000", \
"0.0707600000, 0.1728900000, 0.2783300000, 0.4896200000, 0.9122400000, 1.7571800000, 3.4472800000", \
"0.1037800000, 0.1874400000, 0.2849100000, 0.4902100000, 0.9122200000, 1.7572600000, 3.4473000000", \
"0.1685800000, 0.2412500000, 0.3225100000, 0.5082700000, 0.9149800000, 1.7575000000, 3.4468000000", \
"0.2871400000, 0.3691500000, 0.4414400000, 0.5973300000, 0.9582000000, 1.7638000000, 3.4471000000", \
"0.3953000000, 0.4894000000, 0.5701000000, 0.7161000000, 1.0418000000, 1.7979000000, 3.4484000000", \
"0.6890000000, 0.7925000000, 0.8944000000, 1.0687000000, 1.3649000000, 2.0127000000, 3.5231000000", \
"0.8445000000, 0.9426000000, 1.0509000000, 1.2403000000, 1.5513000000, 2.1647000000, 3.6082000000", \
"1.0034000000, 1.0921000000, 1.2031000000, 1.4049000000, 1.7358000000, 2.3322000000, 3.7146000000");
}
sdf_cond : "(A2&B1&!B2)";
timing_sense : "negative_unate";
when : "(A2&B1&!B2)";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0935200000, 0.1550300000, 0.2140100000, 0.3299900000, 0.5600500000, 1.0186700000, 1.9347700000", \
"0.0947100000, 0.1563300000, 0.2153400000, 0.3313400000, 0.5614100000, 1.0200700000, 1.9361700000", \
"0.0942300000, 0.1561200000, 0.2152100000, 0.3312900000, 0.5614100000, 1.0200300000, 1.9362300000", \
"0.0948300000, 0.1562200000, 0.2152100000, 0.3312500000, 0.5613600000, 1.0200000000, 1.9362000000", \
"0.1097800000, 0.1706000000, 0.2269200000, 0.3395900000, 0.5667500000, 1.0232000000, 1.9380000000", \
"0.1265500000, 0.1963100000, 0.2559500000, 0.3664600000, 0.5860400000, 1.0358000000, 1.9455000000", \
"0.1445400000, 0.2296500000, 0.3015000000, 0.4258000000, 0.6458000000, 1.0792000000, 1.9736000000", \
"0.1537000000, 0.2499000000, 0.3313000000, 0.4713000000, 0.7088000000, 1.1386000000, 2.0148000000", \
"0.1607700000, 0.2788700000, 0.3789700000, 0.5513700000, 0.8396700000, 1.3180700000, 2.1741700000", \
"0.1591300000, 0.2857300000, 0.3932300000, 0.5784300000, 0.8882300000, 1.3979300000, 2.2709300000", \
"0.1553000000, 0.2894000000, 0.4034000000, 0.5998000000, 0.9283000000, 1.4675000000, 2.3667000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0656500000, 0.1193600000, 0.1715800000, 0.2751700000, 0.4817200000, 0.8943100000, 1.7189700000", \
"0.0685500000, 0.1226800000, 0.1749700000, 0.2786200000, 0.4852000000, 0.8978000000, 1.7226700000", \
"0.0703200000, 0.1247500000, 0.1771700000, 0.2809100000, 0.4875500000, 0.9001300000, 1.7250300000", \
"0.0767800000, 0.1309900000, 0.1835100000, 0.2874000000, 0.4941700000, 0.9069000000, 1.7318000000", \
"0.0981500000, 0.1563800000, 0.2080500000, 0.3086300000, 0.5127700000, 0.9237000000, 1.7477000000", \
"0.1250200000, 0.1934100000, 0.2506500000, 0.3535600000, 0.5507100000, 0.9552000000, 1.7743000000", \
"0.1653700000, 0.2496000000, 0.3199000000, 0.4397000000, 0.6454000000, 1.0361000000, 1.8407000000", \
"0.1967000000, 0.2931000000, 0.3734000000, 0.5099000000, 0.7362000000, 1.1318000000, 1.9197000000", \
"0.2640700000, 0.3851700000, 0.4861700000, 0.6570700000, 0.9379700000, 1.3935700000, 2.1805700000", \
"0.2930300000, 0.4241300000, 0.5335300000, 0.7185300000, 1.0219300000, 1.5118300000, 2.3252300000", \
"0.3196000000, 0.4594000000, 0.5762000000, 0.7738000000, 1.0974000000, 1.6185000000, 2.4644000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0889300000, 0.1708400000, 0.2514100000, 0.4113900000, 0.7303500000, 1.3678200000, 2.6433900000", \
"0.0889100000, 0.1708700000, 0.2513500000, 0.4113300000, 0.7304900000, 1.3684100000, 2.6435900000", \
"0.0889200000, 0.1709000000, 0.2513400000, 0.4112800000, 0.7304300000, 1.3684000000, 2.6422000000", \
"0.0898100000, 0.1708300000, 0.2514200000, 0.4113600000, 0.7304100000, 1.3684200000, 2.6426200000", \
"0.1222300000, 0.1870100000, 0.2590100000, 0.4127000000, 0.7303900000, 1.3679700000, 2.6437200000", \
"0.1873000000, 0.2461500000, 0.3044300000, 0.4364000000, 0.7354500000, 1.3684600000, 2.6432000000", \
"0.3090000000, 0.3798600000, 0.4365400000, 0.5447800000, 0.7940300000, 1.3808000000, 2.6435000000", \
"0.4218800000, 0.5062000000, 0.5704000000, 0.6798000000, 0.8998000000, 1.4293000000, 2.6491000000", \
"0.7183000000, 0.8321000000, 0.9178000000, 1.0538000000, 1.2738000000, 1.7063000000, 2.7606000000", \
"0.8686000000, 0.9927000000, 1.0879000000, 1.2380000000, 1.4733000000, 1.8913000000, 2.8741000000", \
"1.0189000000, 1.1505000000, 1.2538000000, 1.4170000000, 1.6687000000, 2.0876000000, 3.0117000000");
}
related_pin : "A2";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0711300000, 0.1488300000, 0.2279300000, 0.3867700000, 0.7044200000, 1.3396400000, 2.6095600000", \
"0.0710200000, 0.1487900000, 0.2279300000, 0.3867400000, 0.7042800000, 1.3396900000, 2.6091300000", \
"0.0712400000, 0.1487600000, 0.2279100000, 0.3868100000, 0.7042600000, 1.3393600000, 2.6090800000", \
"0.0759100000, 0.1493800000, 0.2280900000, 0.3867500000, 0.7043700000, 1.3396700000, 2.6097000000", \
"0.1206900000, 0.1732300000, 0.2406400000, 0.3901300000, 0.7042800000, 1.3393000000, 2.6091700000", \
"0.1952100000, 0.2405800000, 0.2937200000, 0.4200200000, 0.7129900000, 1.3392400000, 2.6092000000", \
"0.3244400000, 0.3812000000, 0.4315300000, 0.5357500000, 0.7804000000, 1.3584000000, 2.6092000000", \
"0.4415000000, 0.5096000000, 0.5679000000, 0.6711000000, 0.8899000000, 1.4158000000, 2.6190000000", \
"0.7471000000, 0.8340000000, 0.9114000000, 1.0430000000, 1.2605000000, 1.6994000000, 2.7533000000", \
"0.9031000000, 0.9928000000, 1.0783000000, 1.2231000000, 1.4581000000, 1.8820000000, 2.8726000000", \
"1.0608000000, 1.1496000000, 1.2403000000, 1.3964000000, 1.6489000000, 2.0725000000, 3.0137000000");
}
sdf_cond : "(A1&!B1&!B2)";
timing_sense : "negative_unate";
when : "(A1&!B1&!B2)";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0723900000, 0.1369000000, 0.1966500000, 0.3131900000, 0.5435800000, 1.0023700000, 1.9185700000", \
"0.0734700000, 0.1380400000, 0.1978200000, 0.3143700000, 0.5447900000, 1.0035700000, 1.9197700000", \
"0.0727800000, 0.1375300000, 0.1973900000, 0.3139800000, 0.5444100000, 1.0032300000, 1.9194300000", \
"0.0735300000, 0.1372900000, 0.1969700000, 0.3134600000, 0.5438300000, 1.0026000000, 1.9188000000", \
"0.0829200000, 0.1499700000, 0.2078000000, 0.3208900000, 0.5483900000, 1.0051000000, 1.9201000000", \
"0.0882200000, 0.1678500000, 0.2321200000, 0.3455700000, 0.5658700000, 1.0162000000, 1.9266000000", \
"0.0864400000, 0.1830100000, 0.2619500000, 0.3946000000, 0.6203000000, 1.0554000000, 1.9515000000", \
"0.0782000000, 0.1861000000, 0.2754000000, 0.4262000000, 0.6745000000, 1.1098000000, 1.9883000000", \
"0.0425700000, 0.1714700000, 0.2796700000, 0.4647700000, 0.7709700000, 1.2674700000, 2.1331700000", \
"0.0207300000, 0.1573300000, 0.2726300000, 0.4705300000, 0.7997300000, 1.3320300000, 2.2206300000", \
"-0.0023000000, 0.1408000000, 0.2620000000, 0.4710000000, 0.8197000000, 1.3848000000, 2.3064000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0737600000, 0.1451100000, 0.2150600000, 0.3544000000, 0.6326500000, 1.1890700000, 2.3013700000", \
"0.0768700000, 0.1487400000, 0.2188100000, 0.3582400000, 0.6365600000, 1.1927700000, 2.3051700000", \
"0.0783100000, 0.1506200000, 0.2208500000, 0.3604200000, 0.6387500000, 1.1952300000, 2.3075300000", \
"0.0832800000, 0.1553100000, 0.2256800000, 0.3654100000, 0.6439800000, 1.2005000000, 2.3130000000", \
"0.1013300000, 0.1742200000, 0.2411800000, 0.3767800000, 0.6522400000, 1.2066000000, 2.3178000000", \
"0.1264200000, 0.2098100000, 0.2786900000, 0.4093000000, 0.6746000000, 1.2205000000, 2.3257000000", \
"0.1680000000, 0.2697900000, 0.3522000000, 0.4927000000, 0.7489000000, 1.2719000000, 2.3574000000", \
"0.2029000000, 0.3186000000, 0.4119000000, 0.5696000000, 0.8359000000, 1.3442000000, 2.4066000000", \
"0.2842700000, 0.4282700000, 0.5441700000, 0.7383700000, 1.0584700000, 1.5898700000, 2.6006700000", \
"0.3218300000, 0.4770300000, 0.6020300000, 0.8114300000, 1.1550300000, 1.7177300000, 2.7239300000", \
"0.3576000000, 0.5224000000, 0.6557000000, 0.8784000000, 1.2430000000, 1.8369000000, 2.8540000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0719100000, 0.1528900000, 0.2330400000, 0.3929200000, 0.7119800000, 1.3499700000, 2.6239400000", \
"0.0718400000, 0.1528200000, 0.2331200000, 0.3927700000, 0.7118900000, 1.3499400000, 2.6249200000", \
"0.0715700000, 0.1528500000, 0.2329800000, 0.3929100000, 0.7119600000, 1.3496600000, 2.6248100000", \
"0.0746800000, 0.1529700000, 0.2331800000, 0.3927700000, 0.7119900000, 1.3498200000, 2.6240800000", \
"0.1183200000, 0.1766700000, 0.2447400000, 0.3953900000, 0.7119200000, 1.3498600000, 2.6247000000", \
"0.1912100000, 0.2467500000, 0.3004800000, 0.4253500000, 0.7184500000, 1.3500000000, 2.6237000000", \
"0.3274700000, 0.3952000000, 0.4486800000, 0.5497000000, 0.7876000000, 1.3648000000, 2.6241000000", \
"0.4570300000, 0.5373000000, 0.5973600000, 0.7000000000, 0.9069000000, 1.4206000000, 2.6305000000", \
"0.8091000000, 0.9111000000, 0.9902000000, 1.1153000000, 1.3204000000, 1.7294000000, 2.7568000000", \
"0.9920000000, 1.0983000000, 1.1856000000, 1.3231000000, 1.5406000000, 1.9331000000, 2.8823000000", \
"1.1778000000, 1.2832000000, 1.3774000000, 1.5264000000, 1.7574000000, 2.1502000000, 3.0352000000");
}
related_pin : "A2";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0674800000, 0.1724500000, 0.2787200000, 0.4912900000, 0.9163900000, 1.7660900000, 3.4656500000", \
"0.0673300000, 0.1724100000, 0.2786400000, 0.4912600000, 0.9163400000, 1.7660900000, 3.4661700000", \
"0.0675500000, 0.1724500000, 0.2787000000, 0.4913400000, 0.9164300000, 1.7662400000, 3.4661600000", \
"0.0708700000, 0.1727900000, 0.2787300000, 0.4911700000, 0.9162500000, 1.7663700000, 3.4654100000", \
"0.1071400000, 0.1890100000, 0.2860600000, 0.4922000000, 0.9163800000, 1.7659900000, 3.4655000000", \
"0.1792900000, 0.2482200000, 0.3274800000, 0.5116800000, 0.9196100000, 1.7660800000, 3.4658000000", \
"0.3134300000, 0.3900600000, 0.4580800000, 0.6084500000, 0.9655000000, 1.7737000000, 3.4660000000", \
"0.4410000000, 0.5261000000, 0.6009000000, 0.7382000000, 1.0559000000, 1.8088000000, 3.4677000000", \
"0.7988000000, 0.8835000000, 0.9740000000, 1.1318000000, 1.4076000000, 2.0404000000, 3.5455000000", \
"0.9890000000, 1.0650000000, 1.1588000000, 1.3276000000, 1.6126000000, 2.2037000000, 3.6353000000", \
"1.1828000000, 1.2481000000, 1.3414000000, 1.5196000000, 1.8191000000, 2.3851000000, 3.7488000000");
}
sdf_cond : "(A1&!B1&B2)";
timing_sense : "negative_unate";
when : "(A1&!B1&B2)";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0822600000, 0.1449600000, 0.2043400000, 0.3206700000, 0.5509400000, 1.0095700000, 1.9255700000", \
"0.0833900000, 0.1461500000, 0.2055500000, 0.3218800000, 0.5521600000, 1.0107700000, 1.9268700000", \
"0.0826900000, 0.1456400000, 0.2051100000, 0.3215000000, 0.5517900000, 1.0104300000, 1.9264300000", \
"0.0832500000, 0.1454300000, 0.2047300000, 0.3210000000, 0.5512700000, 1.0099000000, 1.9259000000", \
"0.0954600000, 0.1586500000, 0.2156300000, 0.3284900000, 0.5558900000, 1.0125000000, 1.9273000000", \
"0.1054000000, 0.1791700000, 0.2412800000, 0.3534100000, 0.5733900000, 1.0237000000, 1.9338000000", \
"0.1095500000, 0.1993400000, 0.2749800000, 0.4045000000, 0.6282000000, 1.0629000000, 1.9589000000", \
"0.1049000000, 0.2062000000, 0.2918000000, 0.4385000000, 0.6837000000, 1.1175000000, 1.9957000000", \
"0.0757700000, 0.1984700000, 0.3027700000, 0.4831700000, 0.7843700000, 1.2770700000, 2.1410700000", \
"0.0565300000, 0.1869300000, 0.2984300000, 0.4916300000, 0.8153300000, 1.3430300000, 2.2291300000", \
"0.0359000000, 0.1727000000, 0.2903000000, 0.4943000000, 0.8374000000, 1.3974000000, 2.3155000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0867500000, 0.1567800000, 0.2257400000, 0.3630300000, 0.6371800000, 1.1851700000, 2.2808700000", \
"0.0900900000, 0.1604300000, 0.2294900000, 0.3668400000, 0.6410500000, 1.1889700000, 2.2846700000", \
"0.0916600000, 0.1623600000, 0.2315600000, 0.3690400000, 0.6432900000, 1.1913300000, 2.2870300000", \
"0.0965800000, 0.1671100000, 0.2364300000, 0.3740700000, 0.6484200000, 1.1966000000, 2.2922000000", \
"0.1157400000, 0.1858400000, 0.2516100000, 0.3855100000, 0.6569300000, 1.2031000000, 2.2976000000", \
"0.1436700000, 0.2220900000, 0.2890900000, 0.4177000000, 0.6795000000, 1.2175000000, 2.3061000000", \
"0.1889500000, 0.2844000000, 0.3639000000, 0.5012000000, 0.7537000000, 1.2695000000, 2.3391000000", \
"0.2264000000, 0.3349000000, 0.4248000000, 0.5786000000, 0.8407000000, 1.3420000000, 2.3887000000", \
"0.3122700000, 0.4473700000, 0.5588700000, 0.7481700000, 1.0627700000, 1.5873700000, 2.5843700000", \
"0.3513300000, 0.4970300000, 0.6173300000, 0.8213300000, 1.1590300000, 1.7146300000, 2.7078300000", \
"0.3881000000, 0.5431000000, 0.6713000000, 0.8883000000, 1.2466000000, 1.8331000000, 2.8385000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0900500000, 0.1695000000, 0.2493400000, 0.4090200000, 0.7278900000, 1.3652600000, 2.6408900000", \
"0.0899300000, 0.1694800000, 0.2494400000, 0.4090300000, 0.7279500000, 1.3658200000, 2.6408700000", \
"0.0896900000, 0.1694100000, 0.2494100000, 0.4089700000, 0.7279000000, 1.3657400000, 2.6408800000", \
"0.0926800000, 0.1696100000, 0.2494000000, 0.4090000000, 0.7280400000, 1.3654800000, 2.6409400000", \
"0.1366500000, 0.1928800000, 0.2609900000, 0.4115400000, 0.7280300000, 1.3652900000, 2.6407800000", \
"0.2137300000, 0.2635100000, 0.3160500000, 0.4411200000, 0.7345200000, 1.3653100000, 2.6409000000", \
"0.3556500000, 0.4159000000, 0.4662600000, 0.5653500000, 0.8029400000, 1.3809000000, 2.6408000000", \
"0.4887800000, 0.5609600000, 0.6171800000, 0.7163000000, 0.9220000000, 1.4365000000, 2.6465000000", \
"0.8433000000, 0.9400000000, 1.0149000000, 1.1354000000, 1.3370000000, 1.7441000000, 2.7724000000", \
"1.0253000000, 1.1287000000, 1.2120000000, 1.3450000000, 1.5577000000, 1.9479000000, 2.8973000000", \
"1.2082000000, 1.3151000000, 1.4049000000, 1.5491000000, 1.7756000000, 2.1648000000, 3.0503000000");
}
related_pin : "A2";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0852600000, 0.1897000000, 0.2948100000, 0.5048400000, 0.9247600000, 1.7643900000, 3.4434400000", \
"0.0851700000, 0.1896600000, 0.2947700000, 0.5048600000, 0.9249200000, 1.7644500000, 3.4431700000", \
"0.0852800000, 0.1896500000, 0.2948700000, 0.5048300000, 0.9247200000, 1.7645400000, 3.4433900000", \
"0.0871800000, 0.1898700000, 0.2948100000, 0.5048300000, 0.9248400000, 1.7644800000, 3.4439800000", \
"0.1197100000, 0.2040800000, 0.3013100000, 0.5056400000, 0.9248300000, 1.7644700000, 3.4434000000", \
"0.1898700000, 0.2603700000, 0.3401100000, 0.5241000000, 0.9280100000, 1.7647000000, 3.4436000000", \
"0.3260000000, 0.4004600000, 0.4680900000, 0.6185100000, 0.9731000000, 1.7722000000, 3.4436000000", \
"0.4537000000, 0.5379000000, 0.6103000000, 0.7470000000, 1.0630000000, 1.8074000000, 3.4454000000", \
"0.8057000000, 0.8964000000, 0.9851000000, 1.1394000000, 1.4124000000, 2.0382000000, 3.5243000000", \
"0.9930000000, 1.0774000000, 1.1704000000, 1.3362000000, 1.6168000000, 2.2017000000, 3.6148000000", \
"1.1821000000, 1.2585000000, 1.3530000000, 1.5280000000, 1.8229000000, 2.3831000000, 3.7296000000");
}
sdf_cond : "(A1&B1&!B2)";
timing_sense : "negative_unate";
when : "(A1&B1&!B2)";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0308600000, 0.0986300000, 0.1563100000, 0.2710400000, 0.5002900000, 0.9586100000, 1.8751700000", \
"0.0370200000, 0.0991400000, 0.1574300000, 0.2726200000, 0.5021400000, 0.9606300000, 1.8771700000", \
"0.0386500000, 0.0999600000, 0.1585100000, 0.2739700000, 0.5036600000, 0.9622700000, 1.8789300000", \
"0.0459200000, 0.1061200000, 0.1638800000, 0.2789600000, 0.5085300000, 0.9671000000, 1.8838000000", \
"0.0550000000, 0.1334900000, 0.1921500000, 0.3026100000, 0.5284200000, 0.9844000000, 1.8995000000", \
"0.0603000000, 0.1608100000, 0.2321500000, 0.3505100000, 0.5686000000, 1.0175000000, 1.9273000000", \
"0.0622900000, 0.1920700000, 0.2836000000, 0.4296000000, 0.6669000000, 1.1008000000, 1.9955000000", \
"0.0598000000, 0.2105000000, 0.3168000000, 0.4859000000, 0.7532000000, 1.1982000000, 2.0759000000", \
"0.0436700000, 0.2352700000, 0.3710700000, 0.5864700000, 0.9247700000, 1.4557700000, 2.3401700000", \
"0.0328300000, 0.2402300000, 0.3877300000, 0.6219300000, 0.9891300000, 1.5635300000, 2.4905300000", \
"0.0209000000, 0.2420000000, 0.4000000000, 0.6507000000, 1.0435000000, 1.6570000000, 2.6297000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0225900000, 0.0862700000, 0.1398900000, 0.2459900000, 0.4579300000, 0.8816400000, 1.7287700000", \
"0.0292800000, 0.0884100000, 0.1423800000, 0.2488900000, 0.4610700000, 0.8849600000, 1.7322700000", \
"0.0335800000, 0.0900600000, 0.1441900000, 0.2509300000, 0.4632100000, 0.8871400000, 1.7343300000", \
"0.0436300000, 0.0996900000, 0.1529300000, 0.2592100000, 0.4713400000, 0.8951200000, 1.7424000000", \
"0.0626700000, 0.1369400000, 0.1916200000, 0.2936500000, 0.5022900000, 0.9235000000, 1.7693000000", \
"0.0814500000, 0.1787200000, 0.2456700000, 0.3559300000, 0.5580100000, 0.9730000000, 1.8138000000", \
"0.1082500000, 0.2373600000, 0.3253000000, 0.4629000000, 0.6839000000, 1.0866000000, 1.9142000000", \
"0.1293000000, 0.2819000000, 0.3857000000, 0.5473000000, 0.7976000000, 1.2116000000, 2.0252000000", \
"0.1763700000, 0.3759700000, 0.5121700000, 0.7234700000, 1.0473700000, 1.5448700000, 2.3673700000", \
"0.1974300000, 0.4157300000, 0.5652300000, 0.7969300000, 1.1516300000, 1.6931300000, 2.5555300000", \
"0.2174000000, 0.4520000000, 0.6131000000, 0.8630000000, 1.2452000000, 1.8273000000, 2.7342000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0266100000, 0.1063000000, 0.1860300000, 0.3455500000, 0.6652500000, 1.3035100000, 2.5789100000", \
"0.0265900000, 0.1063000000, 0.1861000000, 0.3455700000, 0.6652600000, 1.3038900000, 2.5806600000", \
"0.0265900000, 0.1062500000, 0.1861900000, 0.3455800000, 0.6652100000, 1.3027800000, 2.5811500000", \
"0.0363100000, 0.1063600000, 0.1860600000, 0.3455600000, 0.6645700000, 1.3037000000, 2.5789500000", \
"0.0686100000, 0.1370100000, 0.2013700000, 0.3478800000, 0.6647700000, 1.3038400000, 2.5787400000", \
"0.1154500000, 0.1972300000, 0.2594800000, 0.3837500000, 0.6725700000, 1.3031000000, 2.5812000000", \
"0.2011100000, 0.3126900000, 0.3837800000, 0.5037200000, 0.7504000000, 1.3206000000, 2.5784000000", \
"0.2816100000, 0.4172000000, 0.5022000000, 0.6316000000, 0.8672000000, 1.3857000000, 2.5836000000", \
"0.4967000000, 0.6784000000, 0.7962000000, 0.9691000000, 1.2265000000, 1.6930000000, 2.7364000000", \
"0.6068000000, 0.8046000000, 0.9367000000, 1.1296000000, 1.4115000000, 1.8782000000, 2.8674000000", \
"0.7169000000, 0.9269000000, 1.0717000000, 1.2836000000, 1.5890000000, 2.0648000000, 3.0239000000");
}
related_pin : "B1";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0295300000, 0.1110600000, 0.1915200000, 0.3521600000, 0.6733900000, 1.3161600000, 2.6001400000", \
"0.0295300000, 0.1110000000, 0.1914300000, 0.3521000000, 0.6734700000, 1.3173300000, 2.6042000000", \
"0.0294000000, 0.1108300000, 0.1915500000, 0.3522800000, 0.6734200000, 1.3154500000, 2.6005100000", \
"0.0415300000, 0.1112600000, 0.1915300000, 0.3519400000, 0.6729600000, 1.3157300000, 2.6003600000", \
"0.0748300000, 0.1414300000, 0.2067500000, 0.3548800000, 0.6731200000, 1.3156600000, 2.5995700000", \
"0.1192200000, 0.1990600000, 0.2613300000, 0.3885200000, 0.6812000000, 1.3159200000, 2.6008000000", \
"0.1980200000, 0.3066100000, 0.3777100000, 0.5002300000, 0.7516000000, 1.3327000000, 2.6030000000", \
"0.2731000000, 0.4014000000, 0.4876000000, 0.6183000000, 0.8581000000, 1.3935000000, 2.6083000000", \
"0.4817000000, 0.6349000000, 0.7540000000, 0.9302000000, 1.1913000000, 1.6681000000, 2.7421000000", \
"0.5946000000, 0.7469000000, 0.8789000000, 1.0764000000, 1.3631000000, 1.8393000000, 2.8606000000", \
"0.7077000000, 0.8564000000, 0.9990000000, 1.2146000000, 1.5275000000, 2.0134000000, 3.0001000000");
}
sdf_cond : "(!A1&!A2&B2)";
timing_sense : "negative_unate";
when : "(!A1&!A2&B2)";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0309400000, 0.0987400000, 0.1563800000, 0.2711100000, 0.5003400000, 0.9586700000, 1.8752700000", \
"0.0372300000, 0.0995900000, 0.1578700000, 0.2730500000, 0.5025500000, 0.9610600000, 1.8776700000", \
"0.0394700000, 0.1008200000, 0.1593600000, 0.2748000000, 0.5044800000, 0.9630700000, 1.8798300000", \
"0.0471200000, 0.1074100000, 0.1652300000, 0.2803400000, 0.5099100000, 0.9685000000, 1.8852000000", \
"0.0515500000, 0.1323600000, 0.1919500000, 0.3030600000, 0.5293900000, 0.9857000000, 1.9010000000", \
"0.0471700000, 0.1522600000, 0.2264500000, 0.3475100000, 0.5672100000, 1.0173000000, 1.9280000000", \
"0.0290400000, 0.1653200000, 0.2617600000, 0.4146000000, 0.6582000000, 1.0955000000, 1.9929000000", \
"0.0063000000, 0.1647000000, 0.2768000000, 0.4550000000, 0.7334000000, 1.1866000000, 2.0686000000", \
"-0.0662300000, 0.1349700000, 0.2779700000, 0.5054700000, 0.8623700000, 1.4158700000, 2.3159700000", \
"-0.1065700000, 0.1114300000, 0.2666300000, 0.5137300000, 0.9017300000, 1.5037300000, 2.4550300000", \
"-0.1478000000, 0.0846000000, 0.2507000000, 0.5150000000, 0.9301000000, 1.5754000000, 2.5801000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0257300000, 0.1075700000, 0.1768000000, 0.3136600000, 0.5868800000, 1.1329700000, 2.2245700000", \
"0.0331700000, 0.1095900000, 0.1793300000, 0.3167200000, 0.5901800000, 1.1363700000, 2.2283700000", \
"0.0378000000, 0.1107300000, 0.1807000000, 0.3183800000, 0.5921100000, 1.1385300000, 2.2303300000", \
"0.0496000000, 0.1192200000, 0.1882500000, 0.3255200000, 0.5991100000, 1.1455000000, 2.2374000000", \
"0.0762900000, 0.1593900000, 0.2252900000, 0.3575300000, 0.6269900000, 1.1706000000, 2.2608000000", \
"0.1068900000, 0.2124200000, 0.2872200000, 0.4187000000, 0.6799000000, 1.2160000000, 2.3004000000", \
"0.1563800000, 0.2961000000, 0.3915000000, 0.5434000000, 0.8052000000, 1.3245000000, 2.3936000000", \
"0.1995000000, 0.3647000000, 0.4770000000, 0.6528000000, 0.9352000000, 1.4472000000, 2.4990000000", \
"0.3069700000, 0.5235700000, 0.6708700000, 0.8994700000, 1.2514700000, 1.8123700000, 2.8334700000", \
"0.3590300000, 0.5961300000, 0.7579300000, 1.0084300000, 1.3929300000, 1.9904300000, 3.0215300000", \
"0.4097000000, 0.6647000000, 0.8393000000, 1.1096000000, 1.5232000000, 2.1597000000, 3.2149000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0267800000, 0.1062300000, 0.1860400000, 0.3455700000, 0.6645400000, 1.3035500000, 2.5775800000", \
"0.0267100000, 0.1062200000, 0.1861600000, 0.3455700000, 0.6643900000, 1.3026700000, 2.5810200000", \
"0.0267000000, 0.1063400000, 0.1862400000, 0.3455700000, 0.6652500000, 1.3027100000, 2.5781500000", \
"0.0355800000, 0.1063200000, 0.1860300000, 0.3455600000, 0.6644800000, 1.3037100000, 2.5776500000", \
"0.0671300000, 0.1368300000, 0.2013200000, 0.3478200000, 0.6652100000, 1.3026200000, 2.5784600000", \
"0.1151600000, 0.1988900000, 0.2611300000, 0.3850000000, 0.6722900000, 1.3025800000, 2.5786000000", \
"0.2046000000, 0.3199100000, 0.3915500000, 0.5110200000, 0.7549000000, 1.3217000000, 2.5812000000", \
"0.2888900000, 0.4301600000, 0.5162000000, 0.6461000000, 0.8784000000, 1.3911000000, 2.5839000000", \
"0.5134000000, 0.7071000000, 0.8280000000, 1.0023000000, 1.2594000000, 1.7173000000, 2.7446000000", \
"0.6272000000, 0.8410000000, 0.9770000000, 1.1728000000, 1.4546000000, 1.9137000000, 2.8898000000", \
"0.7407000000, 0.9707000000, 1.1206000000, 1.3360000000, 1.6425000000, 2.1123000000, 3.0521000000");
}
related_pin : "B1";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0419800000, 0.1496400000, 0.2537800000, 0.4613400000, 0.8761800000, 1.7058000000, 3.3662900000", \
"0.0424700000, 0.1497900000, 0.2539600000, 0.4611800000, 0.8760000000, 1.7056000000, 3.3647400000", \
"0.0422500000, 0.1496300000, 0.2539900000, 0.4612300000, 0.8761500000, 1.7052500000, 3.3662000000", \
"0.0512200000, 0.1489300000, 0.2538400000, 0.4611400000, 0.8761000000, 1.7052000000, 3.3654700000", \
"0.0850100000, 0.1703700000, 0.2616700000, 0.4610200000, 0.8765000000, 1.7062200000, 3.3638000000", \
"0.1305300000, 0.2232000000, 0.3041300000, 0.4822100000, 0.8771000000, 1.7063000000, 3.3653000000", \
"0.2123000000, 0.3275800000, 0.4106200000, 0.5712700000, 0.9231000000, 1.7101000000, 3.3642000000", \
"0.2891000000, 0.4236000000, 0.5186000000, 0.6786000000, 1.0053000000, 1.7445000000, 3.3654000000", \
"0.5035000000, 0.6596000000, 0.7875000000, 0.9820000000, 1.2986000000, 1.9526000000, 3.4338000000", \
"0.6176000000, 0.7727000000, 0.9141000000, 1.1294000000, 1.4588000000, 2.0926000000, 3.5157000000", \
"0.7331000000, 0.8826000000, 1.0348000000, 1.2695000000, 1.6200000000, 2.2455000000, 3.6186000000");
}
sdf_cond : "(!A1&A2&B2)";
timing_sense : "negative_unate";
when : "(!A1&A2&B2)";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0358400000, 0.1058600000, 0.1637100000, 0.2785300000, 0.5077800000, 0.9660300000, 1.8823700000", \
"0.0421400000, 0.1068600000, 0.1653600000, 0.2806500000, 0.5101600000, 0.9685500000, 1.8849700000", \
"0.0445100000, 0.1081400000, 0.1669000000, 0.2824400000, 0.5121200000, 0.9706400000, 1.8871300000", \
"0.0546000000, 0.1146700000, 0.1727500000, 0.2879700000, 0.5175300000, 0.9760000000, 1.8925000000", \
"0.0663200000, 0.1408300000, 0.1991500000, 0.3104800000, 0.5369100000, 0.9932000000, 1.9082000000", \
"0.0688300000, 0.1634500000, 0.2351500000, 0.3547200000, 0.5744000000, 1.0246000000, 1.9352000000", \
"0.0582700000, 0.1798700000, 0.2724800000, 0.4225000000, 0.6648000000, 1.1022000000, 1.9997000000", \
"0.0393000000, 0.1809000000, 0.2883000000, 0.4630000000, 0.7396000000, 1.1922000000, 2.0747000000", \
"-0.0306300000, 0.1516700000, 0.2887700000, 0.5116700000, 0.8659700000, 1.4189700000, 2.3196700000", \
"-0.0713700000, 0.1271300000, 0.2762300000, 0.5181300000, 0.9032300000, 1.5050300000, 2.4573300000", \
"-0.1138000000, 0.0988000000, 0.2585000000, 0.5174000000, 0.9294000000, 1.5744000000, 2.5808000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0424500000, 0.1244100000, 0.1946700000, 0.3341300000, 0.6125300000, 1.1688700000, 2.2809700000", \
"0.0504500000, 0.1264200000, 0.1973000000, 0.3372600000, 0.6159000000, 1.1724700000, 2.2847700000", \
"0.0536400000, 0.1276100000, 0.1987100000, 0.3389500000, 0.6178400000, 1.1744300000, 2.2871300000", \
"0.0656600000, 0.1357700000, 0.2061700000, 0.3460800000, 0.6248200000, 1.1816000000, 2.2942000000", \
"0.0983500000, 0.1766200000, 0.2427100000, 0.3780100000, 0.6529500000, 1.2070000000, 2.3179000000", \
"0.1369500000, 0.2328000000, 0.3065100000, 0.4391600000, 0.7061000000, 1.2528000000, 2.3582000000", \
"0.1983400000, 0.3244000000, 0.4167000000, 0.5672000000, 0.8321000000, 1.3621000000, 2.4524000000", \
"0.2510000000, 0.4000000000, 0.5083000000, 0.6813000000, 0.9649000000, 1.4858000000, 2.5588000000", \
"0.3791700000, 0.5748700000, 0.7169700000, 0.9415700000, 1.2917700000, 1.8568700000, 2.8962700000", \
"0.4403300000, 0.6549300000, 0.8108300000, 1.0570300000, 1.4393300000, 2.0391300000, 3.0862300000", \
"0.4994000000, 0.7306000000, 0.8989000000, 1.1645000000, 1.5757000000, 2.2134000000, 3.2819000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0426400000, 0.1221200000, 0.2018800000, 0.3614600000, 0.6803600000, 1.3182800000, 2.5930500000", \
"0.0425600000, 0.1220800000, 0.2020400000, 0.3613700000, 0.6801400000, 1.3187500000, 2.5946400000", \
"0.0425200000, 0.1220100000, 0.2018700000, 0.3613600000, 0.6803400000, 1.3183400000, 2.5932800000", \
"0.0507300000, 0.1220300000, 0.2018800000, 0.3613400000, 0.6805100000, 1.3181900000, 2.5968300000", \
"0.0913200000, 0.1525500000, 0.2168900000, 0.3637200000, 0.6810800000, 1.3182700000, 2.5962900000", \
"0.1488800000, 0.2184100000, 0.2782300000, 0.4009300000, 0.6882700000, 1.3183500000, 2.5946000000", \
"0.2510500000, 0.3465500000, 0.4129000000, 0.5289700000, 0.7713000000, 1.3379000000, 2.5950000000", \
"0.3439600000, 0.4628500000, 0.5423000000, 0.6668000000, 0.8961000000, 1.4071000000, 2.6009000000", \
"0.5829000000, 0.7520000000, 0.8645000000, 1.0313000000, 1.2823000000, 1.7367000000, 2.7621000000", \
"0.7015000000, 0.8909000000, 1.0180000000, 1.2055000000, 1.4804000000, 1.9348000000, 2.9086000000", \
"0.8184000000, 1.0248000000, 1.1652000000, 1.3721000000, 1.6715000000, 2.1362000000, 3.0723000000");
}
related_pin : "B1";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0638600000, 0.1720400000, 0.2786800000, 0.4912400000, 0.9163200000, 1.7661200000, 3.4652900000", \
"0.0641100000, 0.1720400000, 0.2786800000, 0.4913300000, 0.9163700000, 1.7661400000, 3.4656200000", \
"0.0638500000, 0.1719900000, 0.2787100000, 0.4912300000, 0.9162500000, 1.7660000000, 3.4659300000", \
"0.0678400000, 0.1715500000, 0.2786800000, 0.4912600000, 0.9164300000, 1.7663900000, 3.4664000000", \
"0.1019200000, 0.1887300000, 0.2846400000, 0.4909700000, 0.9163500000, 1.7661300000, 3.4664000000", \
"0.1494800000, 0.2408000000, 0.3234600000, 0.5092100000, 0.9169000000, 1.7661700000, 3.4657000000", \
"0.2360600000, 0.3437700000, 0.4277200000, 0.5937000000, 0.9588000000, 1.7689000000, 3.4663000000", \
"0.3150000000, 0.4424000000, 0.5351000000, 0.6995000000, 1.0376000000, 1.8008000000, 3.4660000000", \
"0.5232000000, 0.6846000000, 0.8083000000, 1.0004000000, 1.3241000000, 2.0007000000, 3.5291000000", \
"0.6315000000, 0.7996000000, 0.9368000000, 1.1489000000, 1.4815000000, 2.1373000000, 3.6062000000", \
"0.7419000000, 0.9111000000, 1.0594000000, 1.2901000000, 1.6416000000, 2.2862000000, 3.7055000000");
}
sdf_cond : "(A1&!A2&B2)";
timing_sense : "negative_unate";
when : "(A1&!A2&B2)";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0379600000, 0.1036400000, 0.1612300000, 0.2759200000, 0.5051400000, 0.9635100000, 1.8800700000", \
"0.0411600000, 0.1054700000, 0.1637500000, 0.2788800000, 0.5083600000, 0.9668300000, 1.8834700000", \
"0.0434800000, 0.1056100000, 0.1642700000, 0.2797800000, 0.5094800000, 0.9680900000, 1.8848300000", \
"0.0463300000, 0.1070000000, 0.1652300000, 0.2806800000, 0.5104700000, 0.9692000000, 1.8860000000", \
"0.0482100000, 0.1206000000, 0.1786900000, 0.2900400000, 0.5165500000, 0.9730000000, 1.8884000000", \
"0.0433800000, 0.1334900000, 0.2007600000, 0.3157400000, 0.5357000000, 0.9855000000, 1.8960000000", \
"0.0243800000, 0.1383300000, 0.2231100000, 0.3610000000, 0.5908000000, 1.0273000000, 1.9234000000", \
"0.0002000000, 0.1313000000, 0.2290000000, 0.3873000000, 0.6424000000, 1.0831000000, 1.9627000000", \
"-0.0780300000, 0.0865700000, 0.2099700000, 0.4096700000, 0.7277700000, 1.2353700000, 2.1115700000", \
"-0.1218700000, 0.0559300000, 0.1895300000, 0.4057300000, 0.7501300000, 1.2956300000, 2.1980300000", \
"-0.1669000000, 0.0223000000, 0.1649000000, 0.3958000000, 0.7633000000, 1.3438000000, 2.2817000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0349500000, 0.0922800000, 0.1458800000, 0.2524900000, 0.4653100000, 0.8907000000, 1.7414700000", \
"0.0395800000, 0.0950100000, 0.1487300000, 0.2554400000, 0.4683500000, 0.8938000000, 1.7444700000", \
"0.0414600000, 0.0966700000, 0.1504800000, 0.2572300000, 0.4701700000, 0.8956500000, 1.7464300000", \
"0.0535600000, 0.1065100000, 0.1594200000, 0.2655400000, 0.4781000000, 0.9033500000, 1.7539000000", \
"0.0821800000, 0.1467600000, 0.1990000000, 0.3004400000, 0.5089100000, 0.9312000000, 1.7799000000", \
"0.1151800000, 0.1971300000, 0.2584200000, 0.3643700000, 0.5651000000, 0.9801000000, 1.8234000000", \
"0.1689500000, 0.2756300000, 0.3542000000, 0.4814000000, 0.6944000000, 1.0944000000, 1.9227000000", \
"0.2162000000, 0.3411000000, 0.4328000000, 0.5802000000, 0.8160000000, 1.2218000000, 2.0336000000", \
"0.3345700000, 0.4956700000, 0.6140700000, 0.8035700000, 1.1017700000, 1.5725700000, 2.3812700000", \
"0.3922300000, 0.5677300000, 0.6969300000, 0.9036300000, 1.2280300000, 1.7359300000, 2.5741300000", \
"0.4485000000, 0.6364000000, 0.7752000000, 0.9971000000, 1.3451000000, 1.8878000000, 2.7607000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0266000000, 0.1062300000, 0.1862400000, 0.3455900000, 0.6646300000, 1.3024000000, 2.5787300000", \
"0.0265900000, 0.1063200000, 0.1860300000, 0.3455800000, 0.6651300000, 1.3026900000, 2.5771600000", \
"0.0266500000, 0.1062800000, 0.1859900000, 0.3458900000, 0.6650700000, 1.3023000000, 2.5780800000", \
"0.0349000000, 0.1065200000, 0.1862200000, 0.3459100000, 0.6648700000, 1.3024400000, 2.5789700000", \
"0.0723600000, 0.1324600000, 0.1981300000, 0.3484700000, 0.6645400000, 1.3029400000, 2.5777100000", \
"0.1257700000, 0.1933300000, 0.2500100000, 0.3761600000, 0.6714400000, 1.3039400000, 2.5786000000", \
"0.2217600000, 0.3117800000, 0.3763700000, 0.4877600000, 0.7336100000, 1.3181000000, 2.5785000000", \
"0.3114400000, 0.4192800000, 0.4953000000, 0.6165000000, 0.8408000000, 1.3683000000, 2.5876000000", \
"0.5539000000, 0.6911000000, 0.7944000000, 0.9523000000, 1.1961000000, 1.6436000000, 2.7000000000", \
"0.6810000000, 0.8236000000, 0.9382000000, 1.1130000000, 1.3787000000, 1.8205000000, 2.8129000000", \
"0.8107000000, 0.9532000000, 1.0772000000, 1.2680000000, 1.5541000000, 2.0081000000, 2.9477000000");
}
related_pin : "B2";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0451600000, 0.1267500000, 0.2078400000, 0.3699700000, 0.6940200000, 1.3416600000, 2.6368100000", \
"0.0451200000, 0.1267500000, 0.2078900000, 0.3699600000, 0.6938100000, 1.3416900000, 2.6368300000", \
"0.0449200000, 0.1266900000, 0.2079400000, 0.3699800000, 0.6939900000, 1.3416700000, 2.6368900000", \
"0.0546200000, 0.1269500000, 0.2078900000, 0.3699500000, 0.6938100000, 1.3416700000, 2.6367200000", \
"0.0947000000, 0.1560800000, 0.2226500000, 0.3726500000, 0.6938700000, 1.3416300000, 2.6367900000", \
"0.1475600000, 0.2170700000, 0.2767700000, 0.4053800000, 0.7014500000, 1.3417600000, 2.6367000000", \
"0.2421500000, 0.3353300000, 0.3995700000, 0.5177500000, 0.7710000000, 1.3585000000, 2.6369000000", \
"0.3309000000, 0.4429000000, 0.5194000000, 0.6405000000, 0.8768000000, 1.4166000000, 2.6418000000", \
"0.5752000000, 0.7138000000, 0.8193000000, 0.9779000000, 1.2203000000, 1.6919000000, 2.7754000000", \
"0.7041000000, 0.8455000000, 0.9629000000, 1.1401000000, 1.4021000000, 1.8650000000, 2.8933000000", \
"0.8371000000, 0.9743000000, 1.1016000000, 1.2953000000, 1.5800000000, 2.0430000000, 3.0304000000");
}
sdf_cond : "(!A1&!A2&B1)";
timing_sense : "negative_unate";
when : "(!A1&!A2&B1)";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0379400000, 0.1037100000, 0.1612900000, 0.2759700000, 0.5052000000, 0.9635200000, 1.8800700000", \
"0.0411900000, 0.1059500000, 0.1642200000, 0.2793500000, 0.5088200000, 0.9672700000, 1.8838700000", \
"0.0443600000, 0.1065300000, 0.1651600000, 0.2806600000, 0.5103500000, 0.9689600000, 1.8856300000", \
"0.0478300000, 0.1085200000, 0.1667700000, 0.2821900000, 0.5119700000, 0.9707000000, 1.8874000000", \
"0.0454000000, 0.1195800000, 0.1785500000, 0.2905800000, 0.5175800000, 0.9743000000, 1.8899000000", \
"0.0307000000, 0.1248400000, 0.1945700000, 0.3121600000, 0.5339800000, 0.9851000000, 1.8965000000", \
"-0.0093900000, 0.1105200000, 0.1999600000, 0.3441000000, 0.5802000000, 1.0208000000, 1.9200000000", \
"-0.0549000000, 0.0830000000, 0.1864000000, 0.3535000000, 0.6191000000, 1.0687000000, 1.9536000000", \
"-0.1931300000, -0.0203300000, 0.1100700000, 0.3219700000, 0.6584700000, 1.1877700000, 2.0815700000", \
"-0.2681700000, -0.0816700000, 0.0593300000, 0.2887300000, 0.6539300000, 1.2261300000, 2.1536300000", \
"-0.3444000000, -0.1461000000, 0.0042000000, 0.2489000000, 0.6391000000, 1.2507000000, 2.2213000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0419500000, 0.1163300000, 0.1860700000, 0.3245800000, 0.6010300000, 1.1535700000, 2.2585700000", \
"0.0471800000, 0.1191900000, 0.1890800000, 0.3277600000, 0.6043400000, 1.1569700000, 2.2618700000", \
"0.0486700000, 0.1204300000, 0.1904400000, 0.3292400000, 0.6059200000, 1.1586300000, 2.2636300000", \
"0.0622200000, 0.1293800000, 0.1984000000, 0.3365200000, 0.6127700000, 1.1653000000, 2.2701000000", \
"0.0983300000, 0.1724500000, 0.2368100000, 0.3693800000, 0.6409500000, 1.1902000000, 2.2930000000", \
"0.1444300000, 0.2336700000, 0.3035900000, 0.4325800000, 0.6948000000, 1.2356000000, 2.3320000000", \
"0.2232000000, 0.3389000000, 0.4245000000, 0.5666000000, 0.8239000000, 1.3455000000, 2.4245000000", \
"0.2946000000, 0.4303000000, 0.5298000000, 0.6909000000, 0.9615000000, 1.4712000000, 2.5305000000", \
"0.4785700000, 0.6544700000, 0.7832700000, 0.9888700000, 1.3142700000, 1.8535700000, 2.8714700000", \
"0.5696300000, 0.7617300000, 0.9024300000, 1.1268300000, 1.4797300000, 2.0458300000, 3.0657300000", \
"0.6591000000, 0.8653000000, 1.0167000000, 1.2577000000, 1.6357000000, 2.2327000000, 3.2662000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0266700000, 0.1063000000, 0.1861000000, 0.3459100000, 0.6645700000, 1.3037500000, 2.5796400000", \
"0.0267200000, 0.1063300000, 0.1860700000, 0.3455600000, 0.6646000000, 1.3038000000, 2.5802600000", \
"0.0267300000, 0.1062900000, 0.1861400000, 0.3459200000, 0.6643000000, 1.3025800000, 2.5775300000", \
"0.0341000000, 0.1064400000, 0.1862300000, 0.3455300000, 0.6646100000, 1.3027900000, 2.5791500000", \
"0.0699800000, 0.1317100000, 0.1980200000, 0.3483700000, 0.6646100000, 1.3020900000, 2.5773000000", \
"0.1234400000, 0.1925000000, 0.2502800000, 0.3765700000, 0.6709300000, 1.3037300000, 2.5786000000", \
"0.2209800000, 0.3128500000, 0.3782900000, 0.4910600000, 0.7361400000, 1.3190000000, 2.5785000000", \
"0.3118800000, 0.4234300000, 0.5002400000, 0.6229000000, 0.8476000000, 1.3713000000, 2.5880000000", \
"0.5525000000, 0.7026000000, 0.8074000000, 0.9664000000, 1.2135000000, 1.6590000000, 2.7097000000", \
"0.6755000000, 0.8384000000, 0.9556000000, 1.1321000000, 1.3996000000, 1.8439000000, 2.8247000000", \
"0.7981000000, 0.9700000000, 1.0984000000, 1.2913000000, 1.5797000000, 2.0382000000, 2.9681000000");
}
related_pin : "B2";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0644400000, 0.1720800000, 0.2782300000, 0.4896600000, 0.9121900000, 1.7572700000, 3.4471100000", \
"0.0644900000, 0.1720900000, 0.2782300000, 0.4896000000, 0.9121100000, 1.7571000000, 3.4470900000", \
"0.0639800000, 0.1720200000, 0.2782100000, 0.4896000000, 0.9121100000, 1.7572700000, 3.4470800000", \
"0.0704300000, 0.1713400000, 0.2780300000, 0.4895800000, 0.9121800000, 1.7572100000, 3.4466000000", \
"0.1076600000, 0.1909900000, 0.2854000000, 0.4893700000, 0.9121900000, 1.7568600000, 3.4470000000", \
"0.1579100000, 0.2450000000, 0.3256900000, 0.5088800000, 0.9132500000, 1.7572300000, 3.4467000000", \
"0.2510700000, 0.3528300000, 0.4328900000, 0.5948400000, 0.9561000000, 1.7604000000, 3.4466000000", \
"0.3381000000, 0.4576000000, 0.5445000000, 0.7025000000, 1.0353000000, 1.7928000000, 3.4467000000", \
"0.5746000000, 0.7213000000, 0.8362000000, 1.0149000000, 1.3260000000, 1.9926000000, 3.5116000000", \
"0.6987000000, 0.8493000000, 0.9759000000, 1.1725000000, 1.4872000000, 2.1302000000, 3.5886000000", \
"0.8260000000, 0.9735000000, 1.1106000000, 1.3236000000, 1.6520000000, 2.2805000000, 3.6871000000");
}
sdf_cond : "(!A1&A2&B1)";
timing_sense : "negative_unate";
when : "(!A1&A2&B1)";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0427400000, 0.1107500000, 0.1685500000, 0.2833400000, 0.5125800000, 0.9708200000, 1.8871700000", \
"0.0460000000, 0.1130700000, 0.1715200000, 0.2867400000, 0.5162200000, 0.9745900000, 1.8910700000", \
"0.0491900000, 0.1136000000, 0.1724600000, 0.2880400000, 0.5177600000, 0.9762600000, 1.8927300000", \
"0.0543600000, 0.1155800000, 0.1740500000, 0.2895700000, 0.5193500000, 0.9779000000, 1.8945000000", \
"0.0583700000, 0.1276400000, 0.1858600000, 0.2979300000, 0.5249800000, 0.9817000000, 1.8969000000", \
"0.0503600000, 0.1361200000, 0.2035200000, 0.3198000000, 0.5413300000, 0.9925000000, 1.9037000000", \
"0.0188100000, 0.1272200000, 0.2127900000, 0.3537000000, 0.5880000000, 1.0281000000, 1.9272000000", \
"-0.0213000000, 0.1038000000, 0.2025000000, 0.3654000000, 0.6279000000, 1.0762000000, 1.9609000000", \
"-0.1505300000, 0.0083700000, 0.1329700000, 0.3392700000, 0.6708700000, 1.1967700000, 2.0890700000", \
"-0.2224700000, -0.0500700000, 0.0849300000, 0.3082300000, 0.6681300000, 1.2363300000, 2.1614300000", \
"-0.2961000000, -0.1121000000, 0.0321000000, 0.2705000000, 0.6549000000, 1.2619000000, 2.2296000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0574200000, 0.1294800000, 0.1985900000, 0.3359800000, 0.6102300000, 1.1582700000, 2.2537700000", \
"0.0614500000, 0.1323800000, 0.2016300000, 0.3391600000, 0.6134400000, 1.1613700000, 2.2570700000", \
"0.0627600000, 0.1336700000, 0.2030400000, 0.3406900000, 0.6150600000, 1.1631300000, 2.2587300000", \
"0.0744400000, 0.1423900000, 0.2109400000, 0.3480000000, 0.6220200000, 1.1699000000, 2.2653000000", \
"0.1142100000, 0.1848900000, 0.2487200000, 0.3806700000, 0.6503000000, 1.1950000000, 2.2885000000", \
"0.1646400000, 0.2473600000, 0.3158700000, 0.4434600000, 0.7041000000, 1.2407000000, 2.3284000000", \
"0.2494800000, 0.3559000000, 0.4384000000, 0.5781000000, 0.8331000000, 1.3509000000, 2.4211000000", \
"0.3253000000, 0.4499000000, 0.5457000000, 0.7032000000, 0.9710000000, 1.4768000000, 2.5278000000", \
"0.5176700000, 0.6793700000, 0.8029700000, 1.0037700000, 1.3246700000, 1.8598700000, 2.8701700000", \
"0.6120300000, 0.7885300000, 0.9236300000, 1.1426300000, 1.4906300000, 2.0522300000, 3.0648300000", \
"0.7041000000, 0.8938000000, 1.0392000000, 1.2744000000, 1.6471000000, 2.2392000000, 3.2657000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0425200000, 0.1220400000, 0.2020700000, 0.3613600000, 0.6810500000, 1.3182900000, 2.5938700000", \
"0.0425300000, 0.1221300000, 0.2020600000, 0.3615000000, 0.6801700000, 1.3183200000, 2.5948300000", \
"0.0425700000, 0.1220500000, 0.2020600000, 0.3617000000, 0.6803700000, 1.3183100000, 2.5945600000", \
"0.0492500000, 0.1222200000, 0.2018000000, 0.3613800000, 0.6803600000, 1.3196000000, 2.5938900000", \
"0.0902300000, 0.1469400000, 0.2134700000, 0.3642100000, 0.6811100000, 1.3182900000, 2.5947900000", \
"0.1509200000, 0.2103200000, 0.2663600000, 0.3922800000, 0.6873200000, 1.3182400000, 2.5938000000", \
"0.2577000000, 0.3364600000, 0.3974200000, 0.5071600000, 0.7515700000, 1.3344000000, 2.5960000000", \
"0.3543000000, 0.4514200000, 0.5225900000, 0.6406000000, 0.8636000000, 1.3883000000, 2.6010000000", \
"0.6035000000, 0.7390000000, 0.8373000000, 0.9897000000, 1.2314000000, 1.6738000000, 2.7246000000", \
"0.7277000000, 0.8781000000, 0.9881000000, 1.1576000000, 1.4193000000, 1.8591000000, 2.8406000000", \
"0.8508000000, 1.0125000000, 1.1334000000, 1.3190000000, 1.6006000000, 2.0542000000, 2.9830000000");
}
related_pin : "B2";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077100000, 0.0154300000, 0.0308600000, 0.0617200000, 0.1234400000, 0.2468700000");
values("0.0829900000, 0.1893700000, 0.2947700000, 0.5049100000, 0.9248200000, 1.7644100000, 3.4431800000", \
"0.0829900000, 0.1893200000, 0.2947000000, 0.5048300000, 0.9248300000, 1.7645400000, 3.4438000000", \
"0.0826600000, 0.1893100000, 0.2947000000, 0.5048700000, 0.9248200000, 1.7644600000, 3.4434300000", \
"0.0858300000, 0.1888300000, 0.2946300000, 0.5049100000, 0.9248700000, 1.7644600000, 3.4432600000", \
"0.1225800000, 0.2052600000, 0.3006900000, 0.5045400000, 0.9249100000, 1.7644600000, 3.4432000000", \
"0.1725400000, 0.2570400000, 0.3387100000, 0.5227400000, 0.9255800000, 1.7647400000, 3.4440000000", \
"0.2703700000, 0.3653800000, 0.4452200000, 0.6067000000, 0.9674000000, 1.7681000000, 3.4430000000", \
"0.3602000000, 0.4722000000, 0.5565000000, 0.7142000000, 1.0464000000, 1.7997000000, 3.4438000000", \
"0.5965000000, 0.7411000000, 0.8517000000, 1.0266000000, 1.3363000000, 1.9995000000, 3.5092000000", \
"0.7177000000, 0.8711000000, 0.9933000000, 1.1852000000, 1.4972000000, 2.1374000000, 3.5859000000", \
"0.8405000000, 0.9973000000, 1.1296000000, 1.3377000000, 1.6624000000, 2.2874000000, 3.6852000000");
}
sdf_cond : "(A1&!A2&B1)";
timing_sense : "negative_unate";
when : "(A1&!A2&B1)";
}
}
}
cell ("sky130_fd_sc_hvl__and2_1") {
leakage_power () {
value : 4.6083906000;
when : "!A&B";
}
leakage_power () {
value : 1.8269370000;
when : "!A&!B";
}
leakage_power () {
value : 2.9407006000;
when : "A&B";
}
leakage_power () {
value : 3.9974397000;
when : "A&!B";
}
area : 13.675200000;
cell_footprint : "sky130_fd_sc_hvl__and2";
cell_leakage_power : 3.3433670000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0024900000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0343956000, 0.0344705000, 0.0345522000, 0.0345765000, 0.0346679000, 0.0346340000, 0.0345735000, 0.0345688000, 0.0345687000, 0.0345652000, 0.0345616000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0307880000, -0.0307980000, -0.0308086000, -0.0307846000, -0.0307025000, -0.0306088000, -0.0304181000, -0.0303728000, -0.0302402000, -0.0301730000, -0.0301059000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("B") {
capacitance : 0.0025700000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0311328000, 0.0310146000, 0.0308872000, 0.0305612000, 0.0295586000, 0.0295056000, 0.0294083000, 0.0294247000, 0.0294855000, 0.0295130000, 0.0295406000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0299497000, -0.0293993000, -0.0288028000, -0.0288395000, -0.0289473000, -0.0288917000, -0.0287772000, -0.0287654000, -0.0287265000, -0.0287079000, -0.0286893000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("X") {
direction : "output";
function : "(A&B)";
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085700000, 0.0171400000, 0.0342700000, 0.0685400000, 0.1370800000, 0.2741600000, 0.5483200000");
values("0.1777921000, 0.0288298000, -0.1051051000, -0.3679700000, -0.8889064000, -1.9271343000, -4.0020930000, -8.149432700", \
"0.1822458000, 0.0324233000, -0.1015025000, -0.3639193000, -0.8852174000, -1.9236845000, -3.9984291000, -8.145738100", \
"0.1813652000, 0.0308629000, -0.1026717000, -0.3653950000, -0.8867678000, -1.9249153000, -3.9995544000, -8.146637800", \
"0.1838819000, 0.0342414000, -0.1003273000, -0.3634422000, -0.8847745000, -1.9228543000, -3.9975292000, -8.144557100", \
"0.2241567000, 0.0684748000, -0.0689027000, -0.3339699000, -0.8576773000, -1.8976888000, -3.9730891000, -8.119993400", \
"0.3084065000, 0.1439784000, 0.0007114000, -0.2717494000, -0.8001143000, -1.8440345000, -3.9213741000, -8.070736500", \
"0.4884404000, 0.3051642000, 0.1528120000, -0.1297793000, -0.6699817000, -1.7227822000, -3.8073177000, -7.9596610000", \
"0.6653235000, 0.4735427000, 0.3119312000, 0.0198651000, -0.5312726000, -1.5941102000, -3.6858554000, -7.8436612000", \
"1.1384990000, 0.9357270000, 0.7616167000, 0.4459925000, -0.1319858000, -1.2206418000, -3.3346094000, -7.5084345000", \
"1.3773463000, 1.1712238000, 0.9930186000, 0.6689297000, 0.0785981000, -1.0233990000, -3.1479077000, -7.3306351000", \
"1.6174215000, 1.4082539000, 1.2278296000, 0.8971108000, 0.2945954000, -0.8209431000, -2.9576385000, -7.1508814000");
}
related_pin : "A";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085700000, 0.0171400000, 0.0342700000, 0.0685400000, 0.1370800000, 0.2741600000, 0.5483200000");
values("0.1735970000, 0.2819830000, 0.4049639000, 0.6565842000, 1.1675502000, 2.1932940000, 4.2403820000, 8.349071300", \
"0.1737145000, 0.2819975000, 0.4050096000, 0.6566489000, 1.1673444000, 2.1934099000, 4.2430435000, 8.346042000", \
"0.1734189000, 0.2815385000, 0.4046696000, 0.6561486000, 1.1664288000, 2.1923264000, 4.2440029000, 8.349769900", \
"0.1787595000, 0.2857660000, 0.4083845000, 0.6595289000, 1.1696938000, 2.1955472000, 4.2457881000, 8.351828400", \
"0.2309299000, 0.3294085000, 0.4476005000, 0.6936356000, 1.1996030000, 2.2255847000, 4.2710088000, 8.371937900", \
"0.3235438000, 0.4110744000, 0.5225629000, 0.7613585000, 1.2605746000, 2.2750245000, 4.3265702000, 8.425401200", \
"0.5128465000, 0.5892956000, 0.6892877000, 0.9160440000, 1.4015759000, 2.4019227000, 4.4380216000, 8.537031700", \
"0.6977674000, 0.7655090000, 0.8600954000, 1.0772225000, 1.5502952000, 2.5412287000, 4.5710092000, 8.657222700", \
"1.1959364000, 1.2550843000, 1.3366232000, 1.5370433000, 1.9818395000, 2.9410367000, 4.9409779000, 9.001471500", \
"1.4451713000, 1.5015208000, 1.5801315000, 1.7717114000, 2.2099092000, 3.1484569000, 5.1375359000, 9.189624800", \
"1.6964035000, 1.7497456000, 1.8250777000, 2.0094440000, 2.4419133000, 3.3635895000, 5.3394276000, 9.378476000");
}
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085700000, 0.0171400000, 0.0342700000, 0.0685400000, 0.1370800000, 0.2741600000, 0.5483200000");
values("0.2073526000, 0.0535908000, -0.0820299000, -0.3476708000, -0.8706747000, -1.9113051000, -3.9856931000, -8.133543600", \
"0.2092776000, 0.0555646000, -0.0806162000, -0.3458072000, -0.8687659000, -1.9094451000, -3.9838121000, -8.131624900", \
"0.2082801000, 0.0542625000, -0.0813898000, -0.3465534000, -0.8698201000, -1.9102684000, -3.9848335000, -8.132265900", \
"0.2125521000, 0.0576738000, -0.0788999000, -0.3442184000, -0.8670040000, -1.9073188000, -3.9816281000, -8.129672000", \
"0.2524819000, 0.0943858000, -0.0445888000, -0.3120849000, -0.8375947000, -1.8787270000, -3.9547921000, -8.103193800", \
"0.3394115000, 0.1735438000, 0.0293305000, -0.2454537000, -0.7768062000, -1.8228426000, -3.9024309000, -8.052680100", \
"0.5264152000, 0.3412386000, 0.1901853000, -0.0951156000, -0.6387701000, -1.6955760000, -3.7829023000, -7.9377039000", \
"0.7126580000, 0.5211156000, 0.3577715000, 0.0634776000, -0.4908975000, -1.5593745000, -3.6554147000, -7.8174603000", \
"1.2112278000, 1.0047716000, 0.8285790000, 0.5110057000, -0.0685366000, -1.1661623000, -3.2882534000, -7.4701473000", \
"1.4617858000, 1.2535008000, 1.0738377000, 0.7474319000, 0.1532350000, -0.9555493000, -3.0915037000, -7.2844860000", \
"1.7127301000, 1.5017152000, 1.3190377000, 0.9861629000, 0.3799421000, -0.7430995000, -2.8921961000, -7.0968024000");
}
related_pin : "B";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085700000, 0.0171400000, 0.0342700000, 0.0685400000, 0.1370800000, 0.2741600000, 0.5483200000");
values("0.1761464000, 0.2844900000, 0.4076001000, 0.6588968000, 1.1691430000, 2.1957109000, 4.2455264000, 8.348782900", \
"0.1744829000, 0.2825511000, 0.4056125000, 0.6570019000, 1.1670407000, 2.1928769000, 4.2432959000, 8.344621700", \
"0.1730627000, 0.2809448000, 0.4039486000, 0.6553241000, 1.1658594000, 2.1912705000, 4.2447927000, 8.346603100", \
"0.1762207000, 0.2836197000, 0.4069062000, 0.6592615000, 1.1676275000, 2.1931647000, 4.2425503000, 8.351033500", \
"0.2221662000, 0.3223293000, 0.4409721000, 0.6879212000, 1.1967771000, 2.2179265000, 4.2699296000, 8.367751800", \
"0.3162216000, 0.4016422000, 0.5157837000, 0.7555626000, 1.2559619000, 2.2727014000, 4.3161458000, 8.414969300", \
"0.5043573000, 0.5794705000, 0.6802081000, 0.9036720000, 1.3915211000, 2.3954026000, 4.4311851000, 8.529360800", \
"0.6880725000, 0.7573264000, 0.8508259000, 1.0667574000, 1.5371781000, 2.5286112000, 4.5596998000, 8.642370000", \
"1.1879404000, 1.2487376000, 1.3317820000, 1.5267250000, 1.9729944000, 2.9196361000, 4.9185516000, 8.985175800", \
"1.4481498000, 1.5022706000, 1.5815821000, 1.7692779000, 2.2037510000, 3.1308158000, 5.1148020000, 9.166916800", \
"1.6980613000, 1.7518172000, 1.8269828000, 2.0103604000, 2.4310653000, 3.3503959000, 5.3107515000, 9.351904700");
}
}
max_capacitance : 0.5483200000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085700000, 0.0171400000, 0.0342700000, 0.0685400000, 0.1370800000, 0.2741600000, 0.5483200000");
values("0.1228700000, 0.1825800000, 0.2300900000, 0.3199200000, 0.4974700000, 0.8534000000, 1.5656700000, 2.9920700000", \
"0.1285900000, 0.1879000000, 0.2353600000, 0.3251800000, 0.5027400000, 0.8586000000, 1.5712700000, 2.9978700000", \
"0.1304800000, 0.1897000000, 0.2371800000, 0.3270000000, 0.5045400000, 0.8603500000, 1.5732300000, 2.9997300000", \
"0.1417700000, 0.2007900000, 0.2481900000, 0.3379900000, 0.5154900000, 0.8713900000, 1.5846000000, 3.0103000000", \
"0.1900700000, 0.2476700000, 0.2940800000, 0.3828700000, 0.5595800000, 0.9150000000, 1.6272000000, 3.0525000000", \
"0.2538900000, 0.3142300000, 0.3604700000, 0.4479100000, 0.6225000000, 0.9763000000, 1.6878000000, 3.1119000000", \
"0.3568000000, 0.4241000000, 0.4729000000, 0.5614000000, 0.7339000000, 1.0838000000, 1.7926000000, 3.2161000000", \
"0.4433000000, 0.5162000000, 0.5682000000, 0.6600000000, 0.8353000000, 1.1831000000, 1.8885000000, 3.3105000000", \
"0.6493700000, 0.7334700000, 0.7924700000, 0.8930700000, 1.0784700000, 1.4393700000, 2.1414700000, 3.5561700000", \
"0.7458300000, 0.8344300000, 0.8963300000, 1.0010300000, 1.1909300000, 1.5595300000, 2.2687300000, 3.6798300000", \
"0.8381000000, 0.9306000000, 0.9952000000, 1.1036000000, 1.2981000000, 1.6729000000, 2.3939000000, 3.8030000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085700000, 0.0171400000, 0.0342700000, 0.0685400000, 0.1370800000, 0.2741600000, 0.5483200000");
values("0.1023900000, 0.1538900000, 0.1948900000, 0.2721400000, 0.4240300000, 0.7281800000, 1.3372700000, 2.5540700000", \
"0.1029900000, 0.1543600000, 0.1953500000, 0.2726100000, 0.4246700000, 0.7284800000, 1.3377700000, 2.5550700000", \
"0.1039100000, 0.1552600000, 0.1962600000, 0.2734600000, 0.4255000000, 0.7295400000, 1.3388300000, 2.5572300000", \
"0.1108700000, 0.1619800000, 0.2028500000, 0.2799800000, 0.4320400000, 0.7362000000, 1.3446000000, 2.5631000000", \
"0.1336700000, 0.1854700000, 0.2257400000, 0.3018100000, 0.4528100000, 0.7559600000, 1.3647000000, 2.5817000000", \
"0.1476100000, 0.2035900000, 0.2443200000, 0.3203800000, 0.4701100000, 0.7716000000, 1.3783000000, 2.5961000000", \
"0.1482200000, 0.2127300000, 0.2561900000, 0.3342000000, 0.4856000000, 0.7856000000, 1.3902000000, 2.6047000000", \
"0.1342000000, 0.2055000000, 0.2521000000, 0.3321000000, 0.4860000000, 0.7901000000, 1.3923000000, 2.6051000000", \
"0.0651700000, 0.1502700000, 0.2048700000, 0.2919700000, 0.4500700000, 0.7648700000, 1.3819700000, 2.5903700000", \
"0.0207300000, 0.1111300000, 0.1694300000, 0.2606300000, 0.4209300000, 0.7384300000, 1.3651300000, 2.5788300000", \
"-0.0271000000, 0.0680000000, 0.1296000000, 0.2249000000, 0.3878000000, 0.7075000000, 1.3414000000, 2.5659000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085700000, 0.0171400000, 0.0342700000, 0.0685400000, 0.1370800000, 0.2741600000, 0.5483200000");
values("0.0356600000, 0.0895800000, 0.1423400000, 0.2527900000, 0.4821800000, 0.9484300000, 1.8793800000, 3.7445000000", \
"0.0356700000, 0.0896000000, 0.1423400000, 0.2528000000, 0.4822900000, 0.9477900000, 1.8805400000, 3.7458000000", \
"0.0356600000, 0.0895900000, 0.1423100000, 0.2528900000, 0.4821700000, 0.9475500000, 1.8799000000, 3.7457000000", \
"0.0356600000, 0.0896600000, 0.1423400000, 0.2529500000, 0.4819900000, 0.9477600000, 1.8804000000, 3.7462000000", \
"0.0386200000, 0.0916300000, 0.1436400000, 0.2534400000, 0.4821600000, 0.9476900000, 1.8793400000, 3.7432000000", \
"0.0486800000, 0.1013500000, 0.1507600000, 0.2568900000, 0.4827600000, 0.9481400000, 1.8804000000, 3.7436000000", \
"0.0681000000, 0.1240000000, 0.1710000000, 0.2712000000, 0.4893000000, 0.9486000000, 1.8800000000, 3.7445000000", \
"0.0846000000, 0.1443000000, 0.1917000000, 0.2907000000, 0.5030000000, 0.9531000000, 1.8795000000, 3.7440000000", \
"0.1230000000, 0.1913000000, 0.2422000000, 0.3417000000, 0.5552000000, 0.9942000000, 1.8937000000, 3.7445000000", \
"0.1405000000, 0.2125000000, 0.2653000000, 0.3661000000, 0.5804000000, 1.0226000000, 1.9117000000, 3.7448000000", \
"0.1572000000, 0.2323000000, 0.2870000000, 0.3895000000, 0.6045000000, 1.0521000000, 1.9358000000, 3.7518000000");
}
related_pin : "A";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085700000, 0.0171400000, 0.0342700000, 0.0685400000, 0.1370800000, 0.2741600000, 0.5483200000");
values("0.0332500000, 0.0856700000, 0.1385400000, 0.2479600000, 0.4727500000, 0.9281700000, 1.8421700000, 3.6640000000", \
"0.0332800000, 0.0857300000, 0.1385400000, 0.2478300000, 0.4734400000, 0.9282900000, 1.8400300000, 3.6664000000", \
"0.0332700000, 0.0857000000, 0.1385300000, 0.2478200000, 0.4726800000, 0.9282600000, 1.8423300000, 3.6644000000", \
"0.0332700000, 0.0858000000, 0.1387400000, 0.2478900000, 0.4728300000, 0.9278800000, 1.8392200000, 3.6649000000", \
"0.0396400000, 0.0908100000, 0.1419200000, 0.2493200000, 0.4731200000, 0.9279600000, 1.8410500000, 3.6635000000", \
"0.0520400000, 0.1016300000, 0.1507200000, 0.2554700000, 0.4757200000, 0.9284500000, 1.8401700000, 3.6662000000", \
"0.0732600000, 0.1243700000, 0.1706600000, 0.2724000000, 0.4882100000, 0.9332000000, 1.8406000000, 3.6645000000", \
"0.0914000000, 0.1450000000, 0.1899000000, 0.2889000000, 0.5042000000, 0.9469000000, 1.8447000000, 3.6663000000", \
"0.1346000000, 0.1941000000, 0.2397000000, 0.3328000000, 0.5439000000, 0.9922000000, 1.8826000000, 3.6745000000", \
"0.1547000000, 0.2163000000, 0.2634000000, 0.3551000000, 0.5630000000, 1.0133000000, 1.9078000000, 3.6919000000", \
"0.1740000000, 0.2372000000, 0.2859000000, 0.3772000000, 0.5818000000, 1.0322000000, 1.9346000000, 3.7110000000");
}
timing_sense : "positive_unate";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085700000, 0.0171400000, 0.0342700000, 0.0685400000, 0.1370800000, 0.2741600000, 0.5483200000");
values("0.1351600000, 0.1960200000, 0.2434000000, 0.3326900000, 0.5095400000, 0.8647400000, 1.5773700000, 3.0029700000", \
"0.1389000000, 0.1997600000, 0.2471400000, 0.3364300000, 0.5132500000, 0.8685800000, 1.5806700000, 3.0068700000", \
"0.1408400000, 0.2016800000, 0.2490700000, 0.3383700000, 0.5152400000, 0.8705100000, 1.5829300000, 3.0095300000", \
"0.1527100000, 0.2133800000, 0.2607100000, 0.3499900000, 0.5268800000, 0.8820400000, 1.5946000000, 3.0203000000", \
"0.2043900000, 0.2637900000, 0.3102700000, 0.3986000000, 0.5745800000, 0.9294000000, 1.6412000000, 3.0678000000", \
"0.2791700000, 0.3406300000, 0.3867100000, 0.4733300000, 0.6470000000, 0.9999000000, 1.7109000000, 3.1358000000", \
"0.4078000000, 0.4754000000, 0.5234000000, 0.6099000000, 0.7803000000, 1.1287000000, 1.8361000000, 3.2589000000", \
"0.5215000000, 0.5946000000, 0.6457000000, 0.7346000000, 0.9050000000, 1.2499000000, 1.9536000000, 3.3737000000", \
"0.8056700000, 0.8898700000, 0.9479700000, 1.0451700000, 1.2234700000, 1.5723700000, 2.2675700000, 3.6793700000", \
"0.9434300000, 1.0321300000, 1.0931300000, 1.1944300000, 1.3766300000, 1.7316300000, 2.4275300000, 3.8348300000", \
"1.0774000000, 1.1699000000, 1.2336000000, 1.3386000000, 1.5249000000, 1.8853000000, 2.5864000000, 3.9889000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085700000, 0.0171400000, 0.0342700000, 0.0685400000, 0.1370800000, 0.2741600000, 0.5483200000");
values("0.1079800000, 0.1593900000, 0.2003700000, 0.2775600000, 0.4295300000, 0.7334700000, 1.3422700000, 2.5590700000", \
"0.1090700000, 0.1604500000, 0.2014300000, 0.2786000000, 0.4304900000, 0.7344700000, 1.3431700000, 2.5610700000", \
"0.1091300000, 0.1604900000, 0.2014700000, 0.2786100000, 0.4305600000, 0.7346200000, 1.3435300000, 2.5613300000", \
"0.1107400000, 0.1618700000, 0.2027400000, 0.2798900000, 0.4319400000, 0.7359500000, 1.3449000000, 2.5640000000", \
"0.1187300000, 0.1709300000, 0.2114800000, 0.2879200000, 0.4389800000, 0.7423200000, 1.3512000000, 2.5676000000", \
"0.1161500000, 0.1730400000, 0.2144400000, 0.2911200000, 0.4411700000, 0.7432000000, 1.3504000000, 2.5690000000", \
"0.0854500000, 0.1506700000, 0.1951700000, 0.2747000000, 0.4276000000, 0.7283000000, 1.3332000000, 2.5478000000", \
"0.0405000000, 0.1123000000, 0.1599000000, 0.2418000000, 0.3983000000, 0.7048000000, 1.3076000000, 2.5209000000", \
"-0.1143300000, -0.0291300000, 0.0259700000, 0.1150700000, 0.2772700000, 0.5971700000, 1.2191700000, 2.4289700000", \
"-0.2032700000, -0.1127700000, -0.0543700000, 0.0385300000, 0.2033300000, 0.5273300000, 1.1610300000, 2.3780300000", \
"-0.2956000000, -0.2005000000, -0.1390000000, -0.0424000000, 0.1251000000, 0.4521000000, 1.0951000000, 2.3255000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085700000, 0.0171400000, 0.0342700000, 0.0685400000, 0.1370800000, 0.2741600000, 0.5483200000");
values("0.0395700000, 0.0936700000, 0.1450100000, 0.2541600000, 0.4830000000, 0.9477000000, 1.8798400000, 3.7435000000", \
"0.0395500000, 0.0936600000, 0.1450500000, 0.2540500000, 0.4826900000, 0.9482700000, 1.8794300000, 3.7462000000", \
"0.0395700000, 0.0936900000, 0.1450400000, 0.2541800000, 0.4830300000, 0.9479500000, 1.8822600000, 3.7432000000", \
"0.0395600000, 0.0937500000, 0.1451100000, 0.2541300000, 0.4826300000, 0.9478900000, 1.8780100000, 3.7406000000", \
"0.0411700000, 0.0952200000, 0.1462000000, 0.2545000000, 0.4828000000, 0.9482400000, 1.8792000000, 3.7435000000", \
"0.0506800000, 0.1040500000, 0.1525300000, 0.2576200000, 0.4831900000, 0.9477700000, 1.8793000000, 3.7451000000", \
"0.0700000000, 0.1257000000, 0.1712000000, 0.2697000000, 0.4880000000, 0.9484000000, 1.8795000000, 3.7453000000", \
"0.0868000000, 0.1464000000, 0.1917000000, 0.2876000000, 0.4984000000, 0.9513000000, 1.8800000000, 3.7442000000", \
"0.1265000000, 0.1949000000, 0.2436000000, 0.3384000000, 0.5445000000, 0.9796000000, 1.8867000000, 3.7436000000", \
"0.1449000000, 0.2169000000, 0.2676000000, 0.3632000000, 0.5695000000, 1.0034000000, 1.8996000000, 3.7420000000", \
"0.1621000000, 0.2375000000, 0.2901000000, 0.3872000000, 0.5933000000, 1.0289000000, 1.9149000000, 3.7466000000");
}
related_pin : "B";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085700000, 0.0171400000, 0.0342700000, 0.0685400000, 0.1370800000, 0.2741600000, 0.5483200000");
values("0.0332500000, 0.0856900000, 0.1384900000, 0.2478400000, 0.4728300000, 0.9282800000, 1.8405800000, 3.6636000000", \
"0.0333000000, 0.0856800000, 0.1386600000, 0.2479400000, 0.4727300000, 0.9279800000, 1.8405400000, 3.6640000000", \
"0.0332700000, 0.0857000000, 0.1385500000, 0.2478500000, 0.4727300000, 0.9281300000, 1.8413000000, 3.6645000000", \
"0.0332700000, 0.0858000000, 0.1386600000, 0.2479900000, 0.4730000000, 0.9280200000, 1.8401300000, 3.6642000000", \
"0.0390500000, 0.0905500000, 0.1418000000, 0.2493100000, 0.4731500000, 0.9289900000, 1.8395500000, 3.6632000000", \
"0.0507000000, 0.1017500000, 0.1510500000, 0.2555400000, 0.4754100000, 0.9284900000, 1.8400500000, 3.6644000000", \
"0.0703500000, 0.1239600000, 0.1715600000, 0.2740500000, 0.4894300000, 0.9346000000, 1.8406000000, 3.6636000000", \
"0.0872000000, 0.1434000000, 0.1905000000, 0.2915000000, 0.5082000000, 0.9492000000, 1.8442000000, 3.6669000000", \
"0.1274000000, 0.1894000000, 0.2375000000, 0.3352000000, 0.5508000000, 1.0015000000, 1.8876000000, 3.6757000000", \
"0.1462000000, 0.2103000000, 0.2597000000, 0.3564000000, 0.5707000000, 1.0256000000, 1.9173000000, 3.6931000000", \
"0.1638000000, 0.2297000000, 0.2807000000, 0.3773000000, 0.5893000000, 1.0463000000, 1.9490000000, 3.7208000000");
}
timing_sense : "positive_unate";
}
}
}
cell ("sky130_fd_sc_hvl__and3_1") {
leakage_power () {
value : 1.9588455000;
when : "!A&!B&C";
}
leakage_power () {
value : 1.8424339000;
when : "!A&!B&!C";
}
leakage_power () {
value : 4.8065880000;
when : "!A&B&C";
}
leakage_power () {
value : 1.9615494000;
when : "!A&B&!C";
}
leakage_power () {
value : 4.1592534000;
when : "A&!B&C";
}
leakage_power () {
value : 1.9233852000;
when : "A&!B&!C";
}
leakage_power () {
value : 3.3671734000;
when : "A&B&C";
}
leakage_power () {
value : 4.1205732000;
when : "A&B&!C";
}
area : 15.628800000;
cell_footprint : "sky130_fd_sc_hvl__and3";
cell_leakage_power : 3.0174750000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0024500000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0329219000, 0.0329021000, 0.0328812000, 0.0329055000, 0.0329950000, 0.0330026000, 0.0330240000, 0.0329819000, 0.0328755000, 0.0328173000, 0.0327591000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0294116000, -0.0293136000, -0.0292070000, -0.0291823000, -0.0290973000, -0.0290148000, -0.0288463000, -0.0288040000, -0.0286797000, -0.0286169000, -0.0285541000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("B") {
capacitance : 0.0025100000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0338017000, 0.0348382000, 0.0359616000, 0.0380768000, 0.0447124000, 0.0469254000, 0.0513580000, 0.0518068000, 0.0530692000, 0.0537214000, 0.0543735000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0316195000, -0.0310472000, -0.0304271000, -0.0304290000, -0.0304278000, -0.0304514000, -0.0304950000, -0.0304923000, -0.0304786000, -0.0304731000, -0.0304677000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("C") {
capacitance : 0.0026400000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0309467000, 0.0309476000, 0.0309491000, 0.0309833000, 0.0311049000, 0.0311130000, 0.0311361000, 0.0311062000, 0.0310348000, 0.0309945000, 0.0309542000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0307316000, -0.0308313000, -0.0309391000, -0.0309497000, -0.0309755000, -0.0309732000, -0.0309650000, -0.0309563000, -0.0309261000, -0.0309122000, -0.0308982000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("X") {
direction : "output";
function : "(A&B&C)";
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170100000, 0.0340300000, 0.0680600000, 0.1361100000, 0.2722100000, 0.5444200000");
values("0.1965521000, 0.0464022000, -0.0876094000, -0.3497805000, -0.8679814000, -1.8991153000, -3.9596552000, -8.077132100", \
"0.2012021000, 0.0505151000, -0.0832503000, -0.3455133000, -0.8636824000, -1.8955483000, -3.9553546000, -8.073469400", \
"0.2006405000, 0.0505006000, -0.0830336000, -0.3461429000, -0.8645507000, -1.8958386000, -3.9554309000, -8.073646300", \
"0.2038892000, 0.0523165000, -0.0819197000, -0.3444883000, -0.8626076000, -1.8941973000, -3.9538282000, -8.071845000", \
"0.2381950000, 0.0818529000, -0.0551693000, -0.3199253000, -0.8401861000, -1.8733696000, -3.9341021000, -8.052303900", \
"0.3189274000, 0.1545089000, 0.0113021000, -0.2612725000, -0.7879449000, -1.8258056000, -3.8893485000, -8.009949600", \
"0.4977539000, 0.3125254000, 0.1585598000, -0.1250143000, -0.6649000000, -1.7134556000, -3.7853726000, -7.9099752000", \
"0.6704620000, 0.4777808000, 0.3139607000, 0.0198627000, -0.5327968000, -1.5924358000, -3.6734102000, -7.8043020000", \
"1.1349359000, 0.9302955000, 0.7542346000, 0.4343889000, -0.1471861000, -1.2387496000, -3.3445649000, -7.4955161000", \
"1.3679599000, 1.1600589000, 0.9796323000, 0.6523477000, 0.0561641000, -1.0497347000, -3.1694939000, -7.3315823000", \
"1.6004925000, 1.3881952000, 1.2061059000, 0.8726183000, 0.2668306000, -0.8563127000, -2.9913745000, -7.1636913000");
}
related_pin : "A";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170100000, 0.0340300000, 0.0680600000, 0.1361100000, 0.2722100000, 0.5444200000");
values("0.2148843000, 0.3118275000, 0.4294248000, 0.6740689000, 1.1760356000, 2.1905129000, 4.2265811000, 8.296614500", \
"0.2152868000, 0.3116091000, 0.4299420000, 0.6755342000, 1.1761475000, 2.1941011000, 4.2243923000, 8.296471400", \
"0.2144756000, 0.3113286000, 0.4292067000, 0.6734366000, 1.1750193000, 2.1904882000, 4.2224094000, 8.297477500", \
"0.2178488000, 0.3138190000, 0.4306789000, 0.6759702000, 1.1767670000, 2.1918285000, 4.2281169000, 8.300236100", \
"0.2568228000, 0.3495211000, 0.4639353000, 0.7047627000, 1.2035862000, 2.2152231000, 4.2499850000, 8.321306100", \
"0.3419435000, 0.4286914000, 0.5354454000, 0.7695553000, 1.2588655000, 2.2684249000, 4.2971319000, 8.362561100", \
"0.5288083000, 0.6012171000, 0.6954751000, 0.9199745000, 1.3961094000, 2.3836741000, 4.4026706000, 8.469092600", \
"0.7064955000, 0.7713441000, 0.8612953000, 1.0694698000, 1.5361490000, 2.5136041000, 4.5193623000, 8.574183000", \
"1.1847376000, 1.2415282000, 1.3208060000, 1.5131603000, 1.9460872000, 2.8961305000, 4.8698214000, 8.895616800", \
"1.4260635000, 1.4808859000, 1.5582295000, 1.7426008000, 2.1685916000, 3.0931037000, 5.0597142000, 9.072684100", \
"1.6642255000, 1.7169030000, 1.7914904000, 1.9698258000, 2.3872053000, 3.2996751000, 5.2475861000, 9.249798000");
}
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170100000, 0.0340300000, 0.0680600000, 0.1361100000, 0.2722100000, 0.5444200000");
values("0.2306688000, 0.0758680000, -0.0600626000, -0.3240356000, -0.8445613000, -1.8772833000, -3.9380466000, -8.056539600", \
"0.2335394000, 0.0787923000, -0.0567592000, -0.3211808000, -0.8412725000, -1.8736697000, -3.9344115000, -8.053490000", \
"0.2317805000, 0.0771729000, -0.0590465000, -0.3227724000, -0.8431543000, -1.8757544000, -3.9371305000, -8.055177200", \
"0.2311727000, 0.0762655000, -0.0592930000, -0.3233796000, -0.8441165000, -1.8764645000, -3.9372812000, -8.055869200", \
"0.2566189000, 0.0984623000, -0.0402572000, -0.3061176000, -0.8285926000, -1.8618626000, -3.9238176000, -8.042338300", \
"0.3347844000, 0.1702633000, 0.0261377000, -0.2477962000, -0.7769288000, -1.8156706000, -3.8801107000, -8.000622000", \
"0.5140698000, 0.3291529000, 0.1780195000, -0.1088190000, -0.6512553000, -1.7024599000, -3.7757313000, -7.9017300000", \
"0.6959029000, 0.5022273000, 0.3371549000, 0.0421151000, -0.5103795000, -1.5744252000, -3.6588347000, -7.7924382000", \
"1.1752868000, 0.9712095000, 0.7937704000, 0.4725375000, -0.1108867000, -1.2064201000, -3.3192055000, -7.4745582000", \
"1.4197962000, 1.2106228000, 1.0289294000, 0.6997743000, 0.1005032000, -1.0094034000, -3.1368952000, -7.3043800000", \
"1.6581167000, 1.4468992000, 1.2617419000, 0.9255792000, 0.3170941000, -0.8101349000, -2.9527060000, -7.1328860000");
}
related_pin : "B";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170100000, 0.0340300000, 0.0680600000, 0.1361100000, 0.2722100000, 0.5444200000");
values("0.2167322000, 0.3134888000, 0.4318381000, 0.6768000000, 1.1793410000, 2.1953499000, 4.2253623000, 8.297945800", \
"0.2149930000, 0.3120716000, 0.4299113000, 0.6746606000, 1.1755292000, 2.1922777000, 4.2250293000, 8.294847800", \
"0.2135713000, 0.3105513000, 0.4287686000, 0.6728414000, 1.1737808000, 2.1888271000, 4.2204444000, 8.297948700", \
"0.2149890000, 0.3118425000, 0.4293660000, 0.6734899000, 1.1745876000, 2.1887913000, 4.2206713000, 8.295167900", \
"0.2520495000, 0.3441100000, 0.4580683000, 0.7008128000, 1.2006167000, 2.2131628000, 4.2461665000, 8.313216900", \
"0.3367984000, 0.4237867000, 0.5311238000, 0.7650103000, 1.2556248000, 2.2600067000, 4.2878444000, 8.356866900", \
"0.5235277000, 0.5980158000, 0.6919015000, 0.9138821000, 1.3912310000, 2.3815993000, 4.4006725000, 8.459784200", \
"0.7031847000, 0.7748820000, 0.8631699000, 1.0716478000, 1.5378195000, 2.5152353000, 4.5170335000, 8.572375700", \
"1.1910076000, 1.2474668000, 1.3306684000, 1.5220679000, 1.9588436000, 2.9020867000, 4.8776585000, 8.905794300", \
"1.4375808000, 1.4950261000, 1.5742999000, 1.7590319000, 2.1882651000, 3.1068585000, 5.0705837000, 9.084493400", \
"1.6815373000, 1.7370743000, 1.8128271000, 1.9950032000, 2.4103643000, 3.3178922000, 5.2650526000, 9.262109400");
}
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170100000, 0.0340300000, 0.0680600000, 0.1361100000, 0.2722100000, 0.5444200000");
values("0.2575398000, 0.1011289000, -0.0363884000, -0.3016060000, -0.8240178000, -1.8580697000, -3.9192170000, -8.037902000", \
"0.2594582000, 0.1024259000, -0.0345947000, -0.3010671000, -0.8229553000, -1.8560984000, -3.9182169000, -8.037242100", \
"0.2587444000, 0.1017098000, -0.0357786000, -0.3022445000, -0.8239745000, -1.8575964000, -3.9191973000, -8.037692000", \
"0.2599063000, 0.1025368000, -0.0346205000, -0.3009892000, -0.8227219000, -1.8562662000, -3.9179302000, -8.036656100", \
"0.2919623000, 0.1328570000, -0.0067174000, -0.2752096000, -0.7996231000, -1.8346977000, -3.8972678000, -8.015235700", \
"0.3793253000, 0.2126414000, 0.0675106000, -0.2084965000, -0.7405350000, -1.7816804000, -3.8480457000, -7.9695197000", \
"0.5740130000, 0.3901447000, 0.2382175000, -0.0509446000, -0.5971568000, -1.6529635000, -3.7305008000, -7.8595247000", \
"0.7703127000, 0.5754992000, 0.4092299000, 0.1141958000, -0.4447950000, -1.5159436000, -3.6049107000, -7.7415787000", \
"1.2983936000, 1.0922589000, 0.9125740000, 0.5889181000, -0.0006598000, -1.1051827000, -3.2289927000, -7.3941628000", \
"1.5681467000, 1.3573780000, 1.1741932000, 0.8404207000, 0.2370048000, -0.8844139000, -3.0257167000, -7.2066575000", \
"1.8334454000, 1.6199444000, 1.4329952000, 1.0938670000, 0.4790090000, -0.6597344000, -2.8182795000, -7.0154642000");
}
related_pin : "C";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170100000, 0.0340300000, 0.0680600000, 0.1361100000, 0.2722100000, 0.5444200000");
values("0.2169730000, 0.3138523000, 0.4311014000, 0.6754067000, 1.1779494000, 2.1954847000, 4.2240987000, 8.294673200", \
"0.2150523000, 0.3126767000, 0.4304802000, 0.6753396000, 1.1762031000, 2.1927733000, 4.2270648000, 8.295158800", \
"0.2148665000, 0.3115826000, 0.4297409000, 0.6746991000, 1.1748429000, 2.1915151000, 4.2251763000, 8.295227500", \
"0.2145443000, 0.3111138000, 0.4283553000, 0.6735989000, 1.1737323000, 2.1883386000, 4.2244677000, 8.293403500", \
"0.2482792000, 0.3413090000, 0.4554655000, 0.6976994000, 1.1955652000, 2.2081349000, 4.2420718000, 8.314899600", \
"0.3309382000, 0.4187284000, 0.5274435000, 0.7621058000, 1.2525323000, 2.2591843000, 4.2913380000, 8.356953900", \
"0.5265876000, 0.6016803000, 0.6950864000, 0.9139449000, 1.3919751000, 2.3843708000, 4.3990336000, 8.463020000", \
"0.7146735000, 0.7831017000, 0.8725951000, 1.0786402000, 1.5395011000, 2.5180215000, 4.5233567000, 8.576549300", \
"1.2304148000, 1.2901954000, 1.3701879000, 1.5581851000, 1.9877993000, 2.9197917000, 4.8900054000, 8.913618100", \
"1.4947109000, 1.5535038000, 1.6285362000, 1.8077705000, 2.2254694000, 3.1379315000, 5.0904744000, 9.094568500", \
"1.7593393000, 1.8127666000, 1.8860082000, 2.0617023000, 2.4666774000, 3.3557779000, 5.2911672000, 9.285421400");
}
}
max_capacitance : 0.5444200000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170100000, 0.0340300000, 0.0680600000, 0.1361100000, 0.2722100000, 0.5444200000");
values("0.1340800000, 0.1944900000, 0.2423800000, 0.3325800000, 0.5097200000, 0.8645400000, 1.5754700000, 2.9976700000", \
"0.1401100000, 0.2002200000, 0.2481000000, 0.3382900000, 0.5154200000, 0.8704100000, 1.5812700000, 3.0050700000", \
"0.1424400000, 0.2024900000, 0.2503600000, 0.3405700000, 0.5177400000, 0.8727300000, 1.5840300000, 3.0073300000", \
"0.1532700000, 0.2131700000, 0.2609800000, 0.3511900000, 0.5283400000, 0.8834100000, 1.5943000000, 3.0176000000", \
"0.1978400000, 0.2562900000, 0.3031400000, 0.3923600000, 0.5687200000, 0.9230000000, 1.6339000000, 3.0572000000", \
"0.2556600000, 0.3168100000, 0.3634300000, 0.4511500000, 0.6251000000, 0.9777000000, 1.6873000000, 3.1096000000", \
"0.3428000000, 0.4110000000, 0.4604000000, 0.5492000000, 0.7210000000, 1.0692000000, 1.7754000000, 3.1957000000", \
"0.4119000000, 0.4858000000, 0.5384000000, 0.6304000000, 0.8049000000, 1.1509000000, 1.8534000000, 3.2708000000", \
"0.5656700000, 0.6508700000, 0.7104700000, 0.8112700000, 0.9947700000, 1.3531700000, 2.0530700000, 3.4617700000", \
"0.6339300000, 0.7235300000, 0.7861300000, 0.8909300000, 1.0786300000, 1.4433300000, 2.1516300000, 3.5571300000", \
"0.6976000000, 0.7910000000, 0.8563000000, 0.9648000000, 1.1567000000, 1.5265000000, 2.2466000000, 3.6507000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170100000, 0.0340300000, 0.0680600000, 0.1361100000, 0.2722100000, 0.5444200000");
values("0.1366200000, 0.1940600000, 0.2371000000, 0.3158800000, 0.4661100000, 0.7638900000, 1.3610700000, 2.5567700000", \
"0.1374200000, 0.1947800000, 0.2378200000, 0.3165900000, 0.4668200000, 0.7646700000, 1.3610700000, 2.5563700000", \
"0.1379500000, 0.1952800000, 0.2383100000, 0.3170900000, 0.4673000000, 0.7651300000, 1.3617300000, 2.5568300000", \
"0.1435400000, 0.2008100000, 0.2438000000, 0.3225400000, 0.4727200000, 0.7708800000, 1.3674000000, 2.5625000000", \
"0.1705700000, 0.2279100000, 0.2702100000, 0.3480500000, 0.4974400000, 0.7950900000, 1.3909000000, 2.5841000000", \
"0.1990500000, 0.2586700000, 0.3014500000, 0.3791700000, 0.5275400000, 0.8229000000, 1.4178000000, 2.6129000000", \
"0.2292100000, 0.2961000000, 0.3418000000, 0.4213000000, 0.5707000000, 0.8646000000, 1.4567000000, 2.6484000000", \
"0.2431000000, 0.3162000000, 0.3650000000, 0.4473000000, 0.6001000000, 0.8963000000, 1.4863000000, 2.6750000000", \
"0.2478700000, 0.3340700000, 0.3903700000, 0.4805700000, 0.6398700000, 0.9491700000, 1.5514700000, 2.7341700000", \
"0.2404300000, 0.3318300000, 0.3914300000, 0.4856300000, 0.6482300000, 0.9616300000, 1.5749300000, 2.7610300000", \
"0.2291000000, 0.3249000000, 0.3877000000, 0.4858000000, 0.6517000000, 0.9684000000, 1.5909000000, 2.7862000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170100000, 0.0340300000, 0.0680600000, 0.1361100000, 0.2722100000, 0.5444200000");
values("0.0395600000, 0.0937000000, 0.1460100000, 0.2558000000, 0.4834900000, 0.9485600000, 1.8788800000, 3.7413000000", \
"0.0395600000, 0.0936900000, 0.1460000000, 0.2556400000, 0.4841300000, 0.9483600000, 1.8801800000, 3.7429000000", \
"0.0395600000, 0.0936800000, 0.1459100000, 0.2557900000, 0.4836200000, 0.9483400000, 1.8796800000, 3.7410000000", \
"0.0395600000, 0.0937200000, 0.1460600000, 0.2558100000, 0.4834900000, 0.9485600000, 1.8791900000, 3.7430000000", \
"0.0419400000, 0.0955400000, 0.1473000000, 0.2562800000, 0.4842400000, 0.9479300000, 1.8794500000, 3.7435000000", \
"0.0532700000, 0.1063000000, 0.1552500000, 0.2603900000, 0.4850000000, 0.9479600000, 1.8791000000, 3.7419000000", \
"0.0749000000, 0.1312000000, 0.1777000000, 0.2768000000, 0.4926000000, 0.9493000000, 1.8794000000, 3.7410000000", \
"0.0931000000, 0.1532000000, 0.2002000000, 0.2979000000, 0.5079000000, 0.9552000000, 1.8800000000, 3.7406000000", \
"0.1352000000, 0.2038000000, 0.2543000000, 0.3516000000, 0.5615000000, 0.9998000000, 1.8973000000, 3.7396000000", \
"0.1543000000, 0.2264000000, 0.2788000000, 0.3772000000, 0.5869000000, 1.0292000000, 1.9170000000, 3.7446000000", \
"0.1724000000, 0.2473000000, 0.3016000000, 0.4017000000, 0.6113000000, 1.0569000000, 1.9433000000, 3.7544000000");
}
related_pin : "A";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170100000, 0.0340300000, 0.0680600000, 0.1361100000, 0.2722100000, 0.5444200000");
values("0.0452700000, 0.0992500000, 0.1497700000, 0.2543500000, 0.4706500000, 0.9146600000, 1.8074100000, 3.5976000000", \
"0.0452900000, 0.0992600000, 0.1499200000, 0.2544400000, 0.4706500000, 0.9140400000, 1.8076500000, 3.5957000000", \
"0.0452800000, 0.0992400000, 0.1497700000, 0.2543900000, 0.4708800000, 0.9138100000, 1.8081100000, 3.5962000000", \
"0.0453000000, 0.0993000000, 0.1499900000, 0.2544500000, 0.4708500000, 0.9138200000, 1.8076600000, 3.5960000000", \
"0.0490800000, 0.1023800000, 0.1520600000, 0.2557800000, 0.4713600000, 0.9145300000, 1.8072500000, 3.5959000000", \
"0.0592100000, 0.1122600000, 0.1605200000, 0.2616300000, 0.4745900000, 0.9143200000, 1.8078000000, 3.5960000000", \
"0.0810600000, 0.1354900000, 0.1816100000, 0.2795000000, 0.4868000000, 0.9206000000, 1.8082000000, 3.5964000000", \
"0.1001000000, 0.1566000000, 0.2026000000, 0.2985000000, 0.5048000000, 0.9328000000, 1.8121000000, 3.5951000000", \
"0.1462000000, 0.2073000000, 0.2550000000, 0.3477000000, 0.5519000000, 0.9830000000, 1.8486000000, 3.6044000000", \
"0.1676000000, 0.2304000000, 0.2794000000, 0.3723000000, 0.5737000000, 1.0082000000, 1.8761000000, 3.6197000000", \
"0.1879000000, 0.2521000000, 0.3027000000, 0.3956000000, 0.5954000000, 1.0309000000, 1.9051000000, 3.6414000000");
}
timing_sense : "positive_unate";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170100000, 0.0340300000, 0.0680600000, 0.1361100000, 0.2722100000, 0.5444200000");
values("0.1508500000, 0.2134600000, 0.2618100000, 0.3520700000, 0.5288500000, 0.8833400000, 1.5940700000, 3.0164700000", \
"0.1568200000, 0.2194300000, 0.2678000000, 0.3580500000, 0.5348500000, 0.8892800000, 1.6000700000, 3.0226700000", \
"0.1588900000, 0.2214800000, 0.2698400000, 0.3601100000, 0.5369200000, 0.8913700000, 1.6016300000, 3.0251300000", \
"0.1696200000, 0.2321000000, 0.2804100000, 0.3706700000, 0.5474300000, 0.9017900000, 1.6125000000, 3.0354000000", \
"0.2164000000, 0.2777800000, 0.3253200000, 0.4147500000, 0.5908400000, 0.9448000000, 1.6549000000, 3.0785000000", \
"0.2852700000, 0.3483800000, 0.3954600000, 0.4830900000, 0.6568000000, 1.0088000000, 1.7181000000, 3.1399000000", \
"0.3979000000, 0.4675000000, 0.5170000000, 0.6048000000, 0.7754000000, 1.1227000000, 1.8283000000, 3.2486000000", \
"0.4932000000, 0.5686000000, 0.6214000000, 0.7121000000, 0.8832000000, 1.2270000000, 1.9286000000, 3.3449000000", \
"0.7210700000, 0.8080700000, 0.8683700000, 0.9683700000, 1.1478700000, 1.4973700000, 2.1910700000, 3.5988700000", \
"0.8282300000, 0.9197300000, 0.9831300000, 1.0874300000, 1.2713300000, 1.6268300000, 2.3230300000, 3.7256300000", \
"0.9308000000, 1.0264000000, 1.0925000000, 1.2008000000, 1.3891000000, 1.7497000000, 2.4531000000, 3.8522000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170100000, 0.0340300000, 0.0680600000, 0.1361100000, 0.2722100000, 0.5444200000");
values("0.1479000000, 0.2052300000, 0.2482500000, 0.3269500000, 0.4771600000, 0.7748900000, 1.3717700000, 2.5660700000", \
"0.1479200000, 0.2052600000, 0.2482700000, 0.3270200000, 0.4771200000, 0.7753000000, 1.3720700000, 2.5656700000", \
"0.1476700000, 0.2050100000, 0.2480400000, 0.3267400000, 0.4769500000, 0.7745600000, 1.3714300000, 2.5669300000", \
"0.1485700000, 0.2058200000, 0.2487800000, 0.3275000000, 0.4776900000, 0.7754500000, 1.3720000000, 2.5663000000", \
"0.1617200000, 0.2195300000, 0.2620100000, 0.3399900000, 0.4894000000, 0.7866900000, 1.3825000000, 2.5770000000", \
"0.1747200000, 0.2359400000, 0.2793800000, 0.3574300000, 0.5061000000, 0.8019000000, 1.3971000000, 2.5899000000", \
"0.1758400000, 0.2448600000, 0.2921000000, 0.3734000000, 0.5247000000, 0.8191000000, 1.4114000000, 2.6030000000", \
"0.1614000000, 0.2367000000, 0.2873000000, 0.3723000000, 0.5279000000, 0.8276000000, 1.4177000000, 2.6065000000", \
"0.0878700000, 0.1763700000, 0.2345700000, 0.3284700000, 0.4930700000, 0.8087700000, 1.4186700000, 2.6026700000", \
"0.0398300000, 0.1336300000, 0.1952300000, 0.2932300000, 0.4620300000, 0.7830300000, 1.4060300000, 2.5968300000", \
"-0.0120000000, 0.0863000000, 0.1510000000, 0.2531000000, 0.4259000000, 0.7513000000, 1.3849000000, 2.5896000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170100000, 0.0340300000, 0.0680600000, 0.1361100000, 0.2722100000, 0.5444200000");
values("0.0444700000, 0.0992400000, 0.1501500000, 0.2580300000, 0.4843300000, 0.9482400000, 1.8805000000, 3.7436000000", \
"0.0444700000, 0.0992400000, 0.1500700000, 0.2580100000, 0.4843700000, 0.9482700000, 1.8791300000, 3.7381000000", \
"0.0444600000, 0.0992600000, 0.1501800000, 0.2578600000, 0.4847000000, 0.9488500000, 1.8788200000, 3.7415000000", \
"0.0444600000, 0.0993000000, 0.1502200000, 0.2580400000, 0.4845300000, 0.9478200000, 1.8785700000, 3.7397000000", \
"0.0451900000, 0.1005100000, 0.1512600000, 0.2585100000, 0.4850300000, 0.9483700000, 1.8784300000, 3.7431000000", \
"0.0556200000, 0.1098400000, 0.1580900000, 0.2617800000, 0.4854400000, 0.9485600000, 1.8812000000, 3.7402000000", \
"0.0770000000, 0.1338000000, 0.1791000000, 0.2765000000, 0.4918000000, 0.9497000000, 1.8801000000, 3.7420000000", \
"0.0955000000, 0.1563000000, 0.2020000000, 0.2965000000, 0.5040000000, 0.9529000000, 1.8795000000, 3.7414000000", \
"0.1384000000, 0.2084000000, 0.2578000000, 0.3516000000, 0.5549000000, 0.9862000000, 1.8892000000, 3.7415000000", \
"0.1579000000, 0.2315000000, 0.2833000000, 0.3783000000, 0.5812000000, 1.0128000000, 1.9041000000, 3.7412000000", \
"0.1763000000, 0.2533000000, 0.3068000000, 0.4036000000, 0.6061000000, 1.0399000000, 1.9240000000, 3.7476000000");
}
related_pin : "B";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170100000, 0.0340300000, 0.0680600000, 0.1361100000, 0.2722100000, 0.5444200000");
values("0.0453000000, 0.0993100000, 0.1499300000, 0.2543900000, 0.4708100000, 0.9138900000, 1.8076100000, 3.5967000000", \
"0.0453000000, 0.0993100000, 0.1499100000, 0.2543800000, 0.4708400000, 0.9140400000, 1.8077300000, 3.5976000000", \
"0.0452600000, 0.0992800000, 0.1498500000, 0.2546400000, 0.4707500000, 0.9137500000, 1.8080400000, 3.5968000000", \
"0.0452700000, 0.0993000000, 0.1500000000, 0.2543900000, 0.4706500000, 0.9137800000, 1.8080200000, 3.5996000000", \
"0.0494800000, 0.1028200000, 0.1522700000, 0.2558800000, 0.4713700000, 0.9138200000, 1.8066700000, 3.5956000000", \
"0.0600900000, 0.1140700000, 0.1617700000, 0.2625400000, 0.4743600000, 0.9146000000, 1.8072000000, 3.5953000000", \
"0.0812300000, 0.1386800000, 0.1858100000, 0.2836600000, 0.4900000000, 0.9219000000, 1.8079000000, 3.5967000000", \
"0.0998000000, 0.1600000000, 0.2080000000, 0.3051000000, 0.5112000000, 0.9379000000, 1.8136000000, 3.5965000000", \
"0.1449000000, 0.2104000000, 0.2614000000, 0.3585000000, 0.5645000000, 0.9973000000, 1.8610000000, 3.6112000000", \
"0.1661000000, 0.2334000000, 0.2860000000, 0.3839000000, 0.5897000000, 1.0267000000, 1.8952000000, 3.6274000000", \
"0.1861000000, 0.2548000000, 0.3092000000, 0.4079000000, 0.6133000000, 1.0521000000, 1.9286000000, 3.6560000000");
}
timing_sense : "positive_unate";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170100000, 0.0340300000, 0.0680600000, 0.1361100000, 0.2722100000, 0.5444200000");
values("0.1601600000, 0.2233900000, 0.2716500000, 0.3614800000, 0.5376600000, 0.8917100000, 1.6020700000, 3.0245700000", \
"0.1635800000, 0.2268300000, 0.2751000000, 0.3649300000, 0.5411300000, 0.8951500000, 1.6056700000, 3.0275700000", \
"0.1653800000, 0.2286300000, 0.2769000000, 0.3667200000, 0.5429400000, 0.8968700000, 1.6073300000, 3.0303300000", \
"0.1760000000, 0.2391500000, 0.2873900000, 0.3772000000, 0.5534000000, 0.9073700000, 1.6181000000, 3.0406000000", \
"0.2239500000, 0.2861500000, 0.3336500000, 0.4226300000, 0.5979700000, 0.9514000000, 1.6611000000, 3.0838000000", \
"0.2987100000, 0.3622200000, 0.4090000000, 0.4959400000, 0.6687000000, 1.0197000000, 1.7284000000, 3.1493000000", \
"0.4269000000, 0.4964000000, 0.5453000000, 0.6318000000, 0.8006000000, 1.1460000000, 1.8500000000, 3.2681000000", \
"0.5397000000, 0.6149000000, 0.6670000000, 0.7558000000, 0.9239000000, 1.2650000000, 1.9639000000, 3.3797000000", \
"0.8205700000, 0.9073700000, 0.9667700000, 1.0644700000, 1.2392700000, 1.5812700000, 2.2690700000, 3.6723700000", \
"0.9565300000, 1.0479300000, 1.1104300000, 1.2123300000, 1.3910300000, 1.7379300000, 2.4247300000, 3.8226300000", \
"1.0886000000, 1.1840000000, 1.2492000000, 1.3551000000, 1.5379000000, 1.8892000000, 2.5797000000, 3.9718000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170100000, 0.0340300000, 0.0680600000, 0.1361100000, 0.2722100000, 0.5444200000");
values("0.1541000000, 0.2114400000, 0.2544400000, 0.3331200000, 0.4832300000, 0.7809500000, 1.3779700000, 2.5722700000", \
"0.1549200000, 0.2122800000, 0.2552800000, 0.3339800000, 0.4840500000, 0.7817800000, 1.3782700000, 2.5732700000", \
"0.1543100000, 0.2116600000, 0.2546700000, 0.3333600000, 0.4834800000, 0.7812600000, 1.3776300000, 2.5739300000", \
"0.1515900000, 0.2088600000, 0.2518200000, 0.3305000000, 0.4806100000, 0.7782400000, 1.3750000000, 2.5714000000", \
"0.1517600000, 0.2096800000, 0.2523100000, 0.3304500000, 0.4800100000, 0.7771600000, 1.3738000000, 2.5688000000", \
"0.1487000000, 0.2108000000, 0.2547500000, 0.3331900000, 0.4821000000, 0.7781000000, 1.3733000000, 2.5671000000", \
"0.1220000000, 0.1922300000, 0.2407200000, 0.3237000000, 0.4766000000, 0.7714000000, 1.3638000000, 2.5558000000", \
"0.0817000000, 0.1581000000, 0.2101000000, 0.2975000000, 0.4560000000, 0.7579000000, 1.3484000000, 2.5377000000", \
"-0.0613300000, 0.0278700000, 0.0872700000, 0.1845700000, 0.3546700000, 0.6764700000, 1.2907700000, 2.4757700000", \
"-0.1447700000, -0.0504700000, 0.0122300000, 0.1135300000, 0.2888300000, 0.6177300000, 1.2474300000, 2.4412300000", \
"-0.2318000000, -0.1331000000, -0.0675000000, 0.0377000000, 0.2177000000, 0.5526000000, 1.1948000000, 2.4047000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170100000, 0.0340300000, 0.0680600000, 0.1361100000, 0.2722100000, 0.5444200000");
values("0.0472300000, 0.1021800000, 0.1522700000, 0.2591000000, 0.4846700000, 0.9486300000, 1.8790200000, 3.7380000000", \
"0.0472200000, 0.1021600000, 0.1523500000, 0.2590700000, 0.4847400000, 0.9487700000, 1.8797200000, 3.7412000000", \
"0.0472400000, 0.1021800000, 0.1523300000, 0.2591100000, 0.4850400000, 0.9486300000, 1.8792600000, 3.7412000000", \
"0.0472400000, 0.1021900000, 0.1522900000, 0.2591300000, 0.4846600000, 0.9484700000, 1.8796700000, 3.7411000000", \
"0.0477000000, 0.1033300000, 0.1533900000, 0.2595600000, 0.4850200000, 0.9485200000, 1.8783500000, 3.7408000000", \
"0.0577500000, 0.1121200000, 0.1598400000, 0.2628400000, 0.4860100000, 0.9478400000, 1.8794000000, 3.7412000000", \
"0.0795000000, 0.1360000000, 0.1808000000, 0.2771000000, 0.4920000000, 0.9494000000, 1.8792000000, 3.7421000000", \
"0.0988000000, 0.1593000000, 0.2039000000, 0.2970000000, 0.5032000000, 0.9527000000, 1.8791000000, 3.7419000000", \
"0.1445000000, 0.2140000000, 0.2623000000, 0.3537000000, 0.5532000000, 0.9821000000, 1.8864000000, 3.7402000000", \
"0.1655000000, 0.2388000000, 0.2893000000, 0.3815000000, 0.5799000000, 1.0062000000, 1.8990000000, 3.7411000000", \
"0.1854000000, 0.2620000000, 0.3144000000, 0.4085000000, 0.6064000000, 1.0327000000, 1.9158000000, 3.7449000000");
}
related_pin : "C";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170100000, 0.0340300000, 0.0680600000, 0.1361100000, 0.2722100000, 0.5444200000");
values("0.0452800000, 0.0992600000, 0.1499600000, 0.2545700000, 0.4707500000, 0.9143900000, 1.8071300000, 3.5982000000", \
"0.0452700000, 0.0992600000, 0.1499600000, 0.2543700000, 0.4706600000, 0.9136300000, 1.8079600000, 3.5961000000", \
"0.0452900000, 0.0992400000, 0.1497800000, 0.2544300000, 0.4709800000, 0.9135900000, 1.8072100000, 3.5971000000", \
"0.0452900000, 0.0993200000, 0.1498100000, 0.2544800000, 0.4706700000, 0.9137900000, 1.8076800000, 3.5969000000", \
"0.0490200000, 0.1026800000, 0.1522300000, 0.2556700000, 0.4711400000, 0.9146200000, 1.8074000000, 3.5965000000", \
"0.0600000000, 0.1146900000, 0.1623400000, 0.2628900000, 0.4746200000, 0.9145200000, 1.8079000000, 3.5961000000", \
"0.0802600000, 0.1401100000, 0.1882400000, 0.2865900000, 0.4918200000, 0.9216000000, 1.8085000000, 3.5979000000", \
"0.0980000000, 0.1613000000, 0.2113000000, 0.3099000000, 0.5162000000, 0.9404000000, 1.8132000000, 3.5976000000", \
"0.1409000000, 0.2097000000, 0.2644000000, 0.3667000000, 0.5764000000, 1.0099000000, 1.8675000000, 3.6088000000", \
"0.1609000000, 0.2316000000, 0.2883000000, 0.3926000000, 0.6040000000, 1.0434000000, 1.9053000000, 3.6320000000", \
"0.1799000000, 0.2522000000, 0.3107000000, 0.4167000000, 0.6302000000, 1.0733000000, 1.9453000000, 3.6660000000");
}
timing_sense : "positive_unate";
}
}
}
cell ("sky130_fd_sc_hvl__buf_1") {
leakage_power () {
value : 2.6081921000;
when : "A";
}
leakage_power () {
value : 4.5683439000;
when : "!A";
}
area : 9.768000000;
cell_footprint : "sky130_fd_sc_hvl__buf";
cell_leakage_power : 3.5882680000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0030000000;
clock : "false";
direction : "input";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("X") {
direction : "output";
function : "(A)";
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083400000, 0.0166900000, 0.0333800000, 0.0667500000, 0.1335000000, 0.2670000000, 0.5340000000");
values("0.1976756000, 0.0640957000, -0.0630110000, -0.3167588000, -0.8224132000, -1.8322480000, -3.8516561000, -7.8905146000", \
"0.2025507000, 0.0684879000, -0.0591046000, -0.3129661000, -0.8177348000, -1.8290326000, -3.8482318000, -7.8864328000", \
"0.2018981000, 0.0676454000, -0.0600599000, -0.3134813000, -0.8191548000, -1.8294390000, -3.8488108000, -7.8874686000", \
"0.2111131000, 0.0751537000, -0.0525833000, -0.3067188000, -0.8122794000, -1.8227057000, -3.8423387000, -7.8803311000", \
"0.2748487000, 0.1297170000, -0.0024486000, -0.2599840000, -0.7681992000, -1.7805567000, -3.8007699000, -7.8397191000", \
"0.3813178000, 0.2254722000, 0.0882805000, -0.1749415000, -0.6886778000, -1.7039667000, -3.7270230000, -7.7680087000", \
"0.6036438000, 0.4271716000, 0.2773661000, 0.0058285000, -0.5171924000, -1.5409861000, -3.5699396000, -7.6136369000", \
"0.8221284000, 0.6385039000, 0.4808795000, 0.1945357000, -0.3385279000, -1.3707680000, -3.4061194000, -7.4548648000", \
"1.4200239000, 1.2234388000, 1.0531617000, 0.7469423000, 0.1781182000, -0.8834958000, -2.9390795000, -7.0016032000", \
"1.7294237000, 1.5287126000, 1.3539714000, 1.0386027000, 0.4598771000, -0.6220963000, -2.6904831000, -6.7630643000", \
"2.0364534000, 1.8317282000, 1.6544479000, 1.3336067000, 0.7437300000, -0.3542136000, -2.4389186000, -6.5208299000");
}
related_pin : "A";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083400000, 0.0166900000, 0.0333800000, 0.0667500000, 0.1335000000, 0.2670000000, 0.5340000000");
values("0.1043523000, 0.2201433000, 0.3425432000, 0.5898170000, 1.0915284000, 2.0886966000, 4.0845827000, 8.086054500", \
"0.1080440000, 0.2232367000, 0.3454923000, 0.5931241000, 1.0945261000, 2.0921547000, 4.0920754000, 8.084624200", \
"0.1075213000, 0.2225265000, 0.3450245000, 0.5929966000, 1.0929701000, 2.0924174000, 4.0890534000, 8.082344900", \
"0.1166468000, 0.2300095000, 0.3518071000, 0.6004179000, 1.0987150000, 2.0968224000, 4.0925458000, 8.093235200", \
"0.1831171000, 0.2849237000, 0.4011030000, 0.6429855000, 1.1394082000, 2.1375114000, 4.1311691000, 8.125777900", \
"0.2980889000, 0.3839717000, 0.4953401000, 0.7306450000, 1.2169476000, 2.2106898000, 4.2064668000, 8.198870500", \
"0.5261552000, 0.6005350000, 0.7012205000, 0.9169898000, 1.3960190000, 2.3743417000, 4.3623907000, 8.346079800", \
"0.7526808000, 0.8191613000, 0.9113592000, 1.1196755000, 1.5830787000, 2.5497283000, 4.5224260000, 8.503368200", \
"1.3696616000, 1.4242402000, 1.5073694000, 1.6979328000, 2.1293402000, 3.0516110000, 5.0006498000, 8.956301700", \
"1.6875730000, 1.7381741000, 1.8161506000, 2.0010406000, 2.4238300000, 3.3276658000, 5.2552132000, 9.202054800", \
"2.0023924000, 2.0504217000, 2.1203933000, 2.3010803000, 2.7158265000, 3.6033180000, 5.5106507000, 9.440493600");
}
}
max_capacitance : 0.5340000000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083400000, 0.0166900000, 0.0333800000, 0.0667500000, 0.1335000000, 0.2670000000, 0.5340000000");
values("0.0841200000, 0.1383400000, 0.1840300000, 0.2728000000, 0.4498000000, 0.8043500000, 1.5139700000, 2.9334700000", \
"0.0887600000, 0.1425600000, 0.1882000000, 0.2769300000, 0.4539900000, 0.8082700000, 1.5181700000, 2.9366700000", \
"0.0911100000, 0.1448100000, 0.1904800000, 0.2792400000, 0.4562000000, 0.8109300000, 1.5203300000, 2.9388300000", \
"0.1040500000, 0.1571200000, 0.2026200000, 0.2912700000, 0.4682900000, 0.8229500000, 1.5320000000, 2.9522000000", \
"0.1446100000, 0.1975500000, 0.2420400000, 0.3293400000, 0.5051600000, 0.8591000000, 1.5677000000, 2.9869000000", \
"0.1893600000, 0.2448900000, 0.2895400000, 0.3760400000, 0.5499500000, 0.9021000000, 1.6105000000, 3.0281000000", \
"0.2549200000, 0.3164000000, 0.3634000000, 0.4520000000, 0.6254000000, 0.9745000000, 1.6795000000, 3.0968000000", \
"0.3069000000, 0.3732000000, 0.4225000000, 0.5134000000, 0.6914000000, 1.0404000000, 1.7426000000, 3.1576000000", \
"0.4234700000, 0.4996700000, 0.5543700000, 0.6511700000, 0.8373700000, 1.2033700000, 1.9073700000, 3.3151700000", \
"0.4756300000, 0.5558300000, 0.6128300000, 0.7126300000, 0.9020300000, 1.2749300000, 1.9898300000, 3.3949300000", \
"0.5245000000, 0.6082000000, 0.6675000000, 0.7700000000, 0.9625000000, 1.3409000000, 2.0689000000, 3.4736000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083400000, 0.0166900000, 0.0333800000, 0.0667500000, 0.1335000000, 0.2670000000, 0.5340000000");
values("0.0768900000, 0.1209500000, 0.1585700000, 0.2323200000, 0.3796600000, 0.6752200000, 1.2656700000, 2.4472700000", \
"0.0800000000, 0.1238200000, 0.1613900000, 0.2350800000, 0.3826600000, 0.6777000000, 1.2681700000, 2.4499700000", \
"0.0815800000, 0.1253200000, 0.1628900000, 0.2366200000, 0.3842000000, 0.6792300000, 1.2710300000, 2.4521300000", \
"0.0912000000, 0.1344100000, 0.1718100000, 0.2454300000, 0.3929300000, 0.6881500000, 1.2790000000, 2.4607000000", \
"0.1168600000, 0.1611600000, 0.1979000000, 0.2701600000, 0.4164300000, 0.7109900000, 1.3011000000, 2.4822000000", \
"0.1359900000, 0.1847000000, 0.2217000000, 0.2932100000, 0.4377500000, 0.7306000000, 1.3197000000, 2.5025000000", \
"0.1519800000, 0.2086500000, 0.2474800000, 0.3202000000, 0.4651000000, 0.7554000000, 1.3418000000, 2.5214000000", \
"0.1561000000, 0.2190000000, 0.2603000000, 0.3341000000, 0.4806000000, 0.7733000000, 1.3568000000, 2.5346000000", \
"0.1437700000, 0.2187700000, 0.2670700000, 0.3452700000, 0.4940700000, 0.7948700000, 1.3875700000, 2.5584700000", \
"0.1303300000, 0.2101300000, 0.2615300000, 0.3428300000, 0.4924300000, 0.7956300000, 1.3954300000, 2.5686300000", \
"0.1143000000, 0.1981000000, 0.2524000000, 0.3370000000, 0.4877000000, 0.7927000000, 1.3987000000, 2.5783000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083400000, 0.0166900000, 0.0333800000, 0.0667500000, 0.1335000000, 0.2670000000, 0.5340000000");
values("0.0268400000, 0.0804500000, 0.1352500000, 0.2491900000, 0.4809700000, 0.9465200000, 1.8787600000, 3.7403000000", \
"0.0268600000, 0.0804500000, 0.1353400000, 0.2489200000, 0.4810400000, 0.9470700000, 1.8778300000, 3.7406000000", \
"0.0268600000, 0.0804500000, 0.1353200000, 0.2491000000, 0.4810900000, 0.9468300000, 1.8790200000, 3.7408000000", \
"0.0269300000, 0.0806200000, 0.1354100000, 0.2492300000, 0.4809200000, 0.9467200000, 1.8774400000, 3.7381000000", \
"0.0328400000, 0.0849300000, 0.1377600000, 0.2498500000, 0.4811300000, 0.9463600000, 1.8777200000, 3.7392000000", \
"0.0432200000, 0.0942800000, 0.1449100000, 0.2534400000, 0.4818300000, 0.9462800000, 1.8777000000, 3.7416000000", \
"0.0608100000, 0.1140800000, 0.1636200000, 0.2687000000, 0.4893000000, 0.9478000000, 1.8774000000, 3.7392000000", \
"0.0756000000, 0.1317000000, 0.1808000000, 0.2865000000, 0.5055000000, 0.9552000000, 1.8793000000, 3.7391000000", \
"0.1105000000, 0.1733000000, 0.2239000000, 0.3288000000, 0.5539000000, 1.0025000000, 1.8977000000, 3.7394000000", \
"0.1268000000, 0.1925000000, 0.2443000000, 0.3495000000, 0.5754000000, 1.0315000000, 1.9212000000, 3.7453000000", \
"0.1422000000, 0.2107000000, 0.2637000000, 0.3697000000, 0.5958000000, 1.0589000000, 1.9478000000, 3.7540000000");
}
related_pin : "A";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083400000, 0.0166900000, 0.0333800000, 0.0667500000, 0.1335000000, 0.2670000000, 0.5340000000");
values("0.0257300000, 0.0753900000, 0.1280200000, 0.2371200000, 0.4575000000, 0.9003600000, 1.7848900000, 3.5555500000", \
"0.0257400000, 0.0754100000, 0.1280400000, 0.2369100000, 0.4576600000, 0.9001900000, 1.7843400000, 3.5539200000", \
"0.0257600000, 0.0755000000, 0.1280500000, 0.2369100000, 0.4576600000, 0.9001900000, 1.7855300000, 3.5582000000", \
"0.0258300000, 0.0755700000, 0.1282100000, 0.2372100000, 0.4582300000, 0.9001400000, 1.7848700000, 3.5545000000", \
"0.0343200000, 0.0805800000, 0.1309800000, 0.2377700000, 0.4577000000, 0.8999000000, 1.7848900000, 3.5559000000", \
"0.0461300000, 0.0906100000, 0.1381600000, 0.2419200000, 0.4587000000, 0.9000400000, 1.7852300000, 3.5565000000", \
"0.0650200000, 0.1103000000, 0.1550700000, 0.2549900000, 0.4673800000, 0.9031000000, 1.7847000000, 3.5552000000", \
"0.0809000000, 0.1283000000, 0.1711000000, 0.2687000000, 0.4804000000, 0.9127000000, 1.7880000000, 3.5569000000", \
"0.1185000000, 0.1721000000, 0.2134000000, 0.3046000000, 0.5137000000, 0.9503000000, 1.8151000000, 3.5618000000", \
"0.1361000000, 0.1918000000, 0.2338000000, 0.3228000000, 0.5286000000, 0.9686000000, 1.8358000000, 3.5729000000", \
"0.1529000000, 0.2103000000, 0.2537000000, 0.3407000000, 0.5437000000, 0.9857000000, 1.8567000000, 3.5848000000");
}
timing_sense : "positive_unate";
}
}
}
cell ("sky130_fd_sc_hvl__buf_16") {
leakage_power () {
value : 44.865132100;
when : "A";
}
leakage_power () {
value : 25.186446700;
when : "!A";
}
area : 72.28320000;
cell_footprint : "sky130_fd_sc_hvl__buf";
cell_leakage_power : 35.025790000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0273200000;
clock : "false";
direction : "input";
max_transition : 5.0000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("X") {
direction : "output";
function : "(A)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0000000000, 0.0075900000, 0.0151800000, 0.0303600000, 0.0607100000, 0.1214300000, 0.2428500000, 0.4857000000, 0.9714100000, 1.4714100000, 1.9714100000, 2.4714100000, 2.9714100000, 3.4714100000, 3.9714100000, 4.4714100000, 4.9714100000, 5.4714100000, 5.9714100000, 6.4714100000, 6.9714100000, 7.4714100000, 7.9714100000, 8.471410000, 8.971410000, 9.471410000, 9.971410000, 10.471410000, 11.471410000, 12.471410000, 13.471410000, 14.971410000");
values("2.9200252000, 2.7591020000, 2.6040004000, 2.3064261000, 1.7579263000, 0.7590496000, -1.1400286000, -4.8515390000, -12.235984400, -19.811905300, -27.381726000, -34.948148100, -42.513647700, -50.078515000, -57.638928600, -65.20845220, -72.77184480, -80.33472490, -87.89788890, -95.46090820, -103.02427370, -110.58758370, -118.14967270, -125.71199550, -133.27454390, -140.84316910, -148.40486800, -155.96504300, -171.08817920, -186.21373050, -201.33883740, -224.02536910", \
"2.9467492000, 2.7788358000, 2.6253380000, 2.3284119000, 1.7809544000, 0.7813272000, -1.1162065000, -4.8222554000, -12.215155200, -19.791642900, -27.358559200, -34.924539200, -42.492300100, -50.054923200, -57.619166900, -65.18313920, -72.74690060, -80.31130670, -87.87312540, -95.43370830, -103.00122280, -110.56286670, -118.12100160, -125.68948670, -133.24920400, -140.81541380, -148.37630810, -155.94171430, -171.06757010, -186.18955640, -201.31586940, -224.00374780", \
"2.9409920000, 2.7772324000, 2.6194453000, 2.3262155000, 1.7731857000, 0.7628741000, -1.1234601000, -4.8381320000, -12.221749500, -19.794145000, -27.361966700, -34.935930000, -42.503084100, -50.055274500, -57.626203700, -65.19084220, -72.75782240, -80.31189040, -87.87585310, -95.43921850, -103.00391560, -110.57079910, -118.12606750, -125.69495500, -133.25511670, -140.81771720, -148.38780070, -155.94411610, -171.07068080, -186.19800730, -201.32142240, -224.01100440", \
"3.0048996000, 2.8461472000, 2.6887155000, 2.3922781000, 1.8378813000, 0.8376101000, -1.0649781000, -4.7917578000, -12.173485500, -19.742135200, -27.316120600, -34.882169900, -42.447792900, -50.010565900, -57.581980500, -65.15094550, -72.70689990, -80.26761430, -87.82681810, -95.39300040, -102.95860610, -110.51916870, -118.08623990, -125.64050150, -133.21158320, -140.77847420, -148.32893360, -155.89565720, -171.01995790, -186.14756500, -201.27256020, -223.96024280", \
"3.6621991000, 3.4902548000, 3.3240036000, 3.0168351000, 2.4373522000, 1.3844279000, -0.5746546000, -4.3552313000, -11.772166100, -19.362324400, -26.942473000, -34.514914500, -42.088330800, -49.654427300, -57.219354400, -64.78501640, -72.34970650, -79.91301770, -87.48052520, -95.04047750, -102.60389820, -110.16953820, -117.73283460, -125.30243140, -132.86284170, -140.42558260, -147.98421520, -155.55228590, -170.67562910, -185.79696260, -200.92355490, -223.61937030", \
"4.8675902000, 4.6831557000, 4.5156819000, 4.1863925000, 3.5798125000, 2.4616459000, 0.4064536000, -3.4704029000, -10.974071600, -18.606095800, -26.199345200, -33.787146300, -41.365812100, -48.943023900, -56.515895000, -64.08445510, -71.65514070, -79.22377940, -86.78813040, -94.35434860, -101.92219660, -109.48622130, -117.05333310, -124.61861460, -132.18202050, -139.74273640, -147.30821160, -154.87204920, -170.00299840, -185.12721820, -200.25912280, -222.94272710", \
"7.4725237000, 7.2782034000, 7.0894590000, 6.7277982000, 6.0460033000, 4.7955348000, 2.5538440000, -1.4725194000, -9.152265200, -16.873143900, -24.528225700, -32.151821100, -39.756357800, -47.353766000, -54.944464200, -62.523070000, -70.10327640, -77.67884000, -85.25521680, -92.82758930, -100.40252830, -107.97091820, -115.53734920, -123.10945100, -130.67760460, -138.24228930, -145.81212180, -153.37471050, -168.51123900, -183.63801220, -198.77474640, -221.46843710", \
" 9.944238800, 9.742301400, 9.546524900, 9.176644500, 8.468392500, 7.1673859000, 4.8134889000, 0.5852749000, -7.2404689000, -15.052654500, -22.761965500, -30.428722300, -38.063189900, -45.681473400, -53.286629000, -60.884678500, -68.47482010, -76.06247150, -83.64702240, -91.22712480, -98.80441670, -106.38315150, -113.95642490, -121.53284370, -129.10242510, -136.66853980, -144.24651900, -151.81148030, -166.95265800, -182.08672870, -197.21987220, -219.92309790", \
"16.573306300, 16.364813400, 16.155633500, 15.762298800, 15.022244900, 13.635274500, 11.104438800, 6.5466107000, -1.7229537000, -9.776489000, -17.650569300, -25.418450200, -33.143352100, -40.838452300, -48.500711500, -56.141240800, -63.770282100, -71.39878980, -79.01031190, -86.61658780, -94.20937420, -101.80464360, -109.39849240, -116.98626780, -124.57364300, -132.16394530, -139.74535240, -147.32795270, -162.48421570, -177.63924150, -192.78690140, -215.50168490", \
"19.853953600, 19.645198700, 19.445221500, 19.050984800, 18.310733200, 16.881570800, 14.311076700, 9.648538100, 1.1760691000, -6.9972530000, -14.956019700, -22.797372500, -30.555089700, -38.273091500, -45.977205400, -53.646093000, -61.292409900, -68.93036740, -76.57214270, -84.19154090, -91.80432350, -99.41133590, -107.01291290, -114.61112580, -122.20617480, -129.78858130, -137.37813360, -144.96385680, -160.13127720, -175.29532580, -190.45336250, -213.18287370", \
"23.147913200, 22.944324300, 22.746355900, 22.350880600, 21.571183100, 20.120586500, 17.513161800, 12.760386400, 4.1552060000, -4.1678029000, -12.193292500, -20.097019100, -27.915201000, -35.672382800, -43.388264900, -51.089183500, -58.754641100, -66.41243750, -74.07450220, -81.70977530, -89.33687470, -96.95731230, -104.56942960, -112.17689090, -119.78140550, -127.38083980, -134.97803900, -142.57271310, -157.75309490, -172.92718950, -188.09551160, -210.83627760", \
"27.091214200, 26.873173300, 26.669475100, 26.261041400, 25.502457300, 24.053205100, 21.373504900, 16.539321500, 7.7647024000, -0.6878753000, -8.809927300, -16.768139600, -24.651079500, -32.476634300, -40.232659000, -47.958123100, -55.654739500, -63.354978100, -71.02175440, -78.68091020, -86.31921630, -93.95534920, -101.58439220, -109.20521780, -116.82201180, -124.43493680, -132.04266990, -139.64672420, -154.84582800, -170.04050300, -185.22227340, -207.98046860", \
"29.706250000, 29.493343900, 29.284129400, 28.879820800, 28.111130200, 26.622435600, 23.928560900, 19.037180200, 10.173739900, 1.6397986000, -6.5705592000, -14.598208100, -22.501543100, -30.351173700, -38.146689900, -45.895478800, -53.616381900, -61.306999900, -68.99539660, -76.65734270, -84.31265600, -91.96667040, -99.60450470, -107.23555810, -114.86498150, -122.48078550, -130.09651110, -137.70713030, -152.91811210, -168.11732800, -183.30675770, -206.06385620", \
"36.243376400, 36.020579000, 35.803370800, 35.403732100, 34.613669700, 33.114976100, 30.363822700, 25.358394300, 16.301184400, 7.5694105000, -0.7911032000, -8.956824800, -16.981700700, -24.891424900, -32.759017900, -40.579484600, -48.355984700, -56.099011600, -63.819556400, -71.51827830, -79.20191620, -86.88295160, -94.54745140, -102.20174260, -109.84741850, -117.48649970, -125.12587540, -132.75423050, -147.99674360, -163.22308800, -178.43737270, -201.23418960", \
"42.705951400, 42.497416800, 42.287817100, 41.837028400, 41.041668100, 39.520471700, 36.727834100, 31.631004900, 22.390786900, 13.525650800, 5.0128016000, -3.2547134000, -11.377360200, -19.390501600, -27.319285800, -35.185878800, -43.020533400, -50.818161700, -58.581336000, -66.32554940, -74.04474150, -81.74623290, -89.43279310, -97.10691480, -104.79122420, -112.45557960, -120.09478650, -127.74165400, -143.02226100, -158.27719700, -173.51653240, -196.35206640");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0000000000, 0.0075900000, 0.0151800000, 0.0303600000, 0.0607100000, 0.1214300000, 0.2428500000, 0.4857000000, 0.9714100000, 1.4714100000, 1.9714100000, 2.4714100000, 2.9714100000, 3.4714100000, 3.9714100000, 4.4714100000, 4.9714100000, 5.4714100000, 5.9714100000, 6.4714100000, 6.9714100000, 7.4714100000, 7.9714100000, 8.471410000, 8.971410000, 9.471410000, 9.971410000, 10.471410000, 11.471410000, 12.471410000, 13.471410000, 14.971410000");
values("2.0821302000, 2.1510395000, 2.2234679000, 2.3773801000, 2.7310847000, 3.5527740000, 5.3050650000, 8.875570500, 16.105144400, 23.647259600, 31.083255300, 38.589116700, 46.044011900, 53.542102000, 60.974752000, 68.50450660, 75.98046550, 83.47050970, 90.98586090, 98.48636170, 105.90234710, 113.41805770, 120.88224860, 128.35254490, 135.91612760, 143.33078680, 150.78606880, 158.30374260, 173.30517460, 188.22703250, 203.16333840, 225.67379820", \
"2.1390872000, 2.2037168000, 2.2770276000, 2.4301774000, 2.7838547000, 3.6064498000, 5.3591833000, 8.931133300, 16.181060400, 23.693479200, 31.140681300, 38.625295700, 46.095900600, 53.598771600, 61.034981000, 68.60618230, 76.04434430, 83.50089530, 91.00220060, 98.49286280, 105.98398920, 113.44966520, 120.94486380, 128.42100350, 135.93426460, 143.39950920, 150.87244300, 158.32253420, 173.34324120, 188.27853380, 203.29116450, 225.71555700", \
"2.1354591000, 2.2022914000, 2.2718533000, 2.4236892000, 2.7779390000, 3.5978020000, 5.3556648000, 8.946662300, 16.177793500, 23.687341500, 31.134137600, 38.605415600, 46.096476200, 53.592814200, 61.025155400, 68.59706390, 76.03826540, 83.50317070, 91.01455850, 98.48378640, 105.98180310, 113.43876360, 120.92125750, 128.41066420, 135.89177110, 143.41725840, 150.86142340, 158.32822350, 173.33648250, 188.26466810, 203.21476900, 225.76212930", \
"2.1881710000, 2.2559183000, 2.3296622000, 2.4808981000, 2.8280369000, 3.6422451000, 5.3941364000, 8.956880400, 16.207041600, 23.694381800, 31.192148700, 38.665047200, 46.124869000, 53.624870800, 61.105901800, 68.56656650, 76.05842220, 83.55931870, 91.02724210, 98.57240150, 106.00755230, 113.50060110, 120.95882460, 128.44390060, 135.93233450, 143.49570860, 150.99936670, 158.36966010, 173.33050150, 188.34114730, 203.40519640, 225.87047230", \
"2.8565287000, 2.9147520000, 2.9796253000, 3.1195578000, 3.4420349000, 4.2040075000, 5.8783191000, 9.397040900, 16.590580300, 24.047652600, 31.496308400, 39.012307300, 46.506046500, 54.016498100, 61.400981900, 68.91225900, 76.41283590, 83.87458420, 91.42875700, 98.84048270, 106.34737370, 113.82061940, 121.32166970, 128.81630730, 136.25880530, 143.71976340, 151.23369020, 158.72581580, 173.78621490, 188.62003220, 203.61552530, 226.08217420", \
"4.1188959000, 4.1665240000, 4.2215732000, 4.3396872000, 4.6262264000, 5.3242313000, 6.8905518000, 10.290671600, 17.420584500, 24.819652900, 32.251033000, 39.697274900, 47.191739600, 54.653521500, 62.088052000, 69.59831580, 77.08549400, 84.54221160, 92.03723720, 99.51242580, 107.00474560, 114.46909550, 122.00248030, 129.44464570, 136.89706870, 144.38883330, 151.88079220, 159.45568490, 174.30436490, 189.29185130, 204.27085650, 226.84153380", \
"6.7356339000, 6.7664860000, 6.8081380000, 6.9048076000, 7.1477349000, 7.7344175000, 9.112292700, 12.356079400, 19.268948300, 26.572567100, 33.913449500, 41.348864500, 48.749761400, 56.212921200, 63.694839600, 71.14676840, 78.62154690, 86.07226220, 93.54887220, 101.02370000, 108.50786650, 116.02573600, 123.43876550, 130.92982320, 138.38282860, 145.87378810, 153.44035390, 160.82506560, 175.76986450, 190.76345530, 205.67876190, 228.14076320", \
" 9.238133200, 9.273052300, 9.305662500, 9.392244300, 9.601321800, 10.142683000, 11.450901300, 14.461409700, 21.271336500, 28.464552800, 35.751892500, 43.127445200, 50.476148100, 57.947822100, 65.39868950, 72.78762740, 80.31089440, 87.71674900, 95.15713220, 102.70211100, 110.07099650, 117.57688130, 125.07877800, 132.49707310, 139.96746750, 147.44665920, 154.92630860, 162.49510890, 177.32010110, 192.31151270, 207.23707500, 229.65326750", \
"16.029655900, 16.052606300, 16.083579800, 16.152606200, 16.328486400, 16.775769200, 17.922718000, 20.691173600, 26.955467400, 33.948064100, 41.096628300, 48.333056800, 55.623840300, 62.955858600, 70.30664600, 77.69253710, 85.06262900, 92.53095520, 99.89527400, 107.41060260, 114.83945270, 122.18783340, 129.67306160, 137.15426670, 144.65409350, 151.99511970, 159.47535140, 167.04337440, 181.83164630, 196.90335400, 211.85169050, 234.13350290", \
"19.454974400, 19.487049400, 19.522193200, 19.574285200, 19.736398600, 20.167342100, 21.214735100, 23.897753900, 30.121610400, 36.777811400, 43.898328400, 51.060142600, 58.363301200, 65.65211110, 72.95057290, 80.35067460, 87.72425700, 95.11554680, 102.44184090, 109.87821200, 117.34139620, 124.71485290, 132.17977980, 139.58630650, 147.06635990, 154.46426920, 161.90606010, 169.46051050, 184.26056360, 199.30972080, 214.07030690, 236.50839410", \
"22.844673800, 22.865922400, 22.883725900, 22.942250200, 23.080882400, 23.500472100, 24.536518300, 27.106686400, 33.163603500, 39.790268000, 46.736044500, 53.846600800, 61.039674700, 68.33252910, 75.62564830, 82.94929130, 90.29239000, 97.68456140, 105.02033400, 112.40745350, 119.85263700, 127.20329380, 134.71643000, 142.05641450, 149.56293150, 156.91057550, 164.39491750, 171.79779380, 186.80122480, 201.58409770, 216.64206690, 238.88342580", \
"26.814648600, 26.856543900, 26.884060100, 26.959008900, 27.101917100, 27.491272300, 28.469708400, 30.929898400, 36.856456300, 43.534954300, 50.230919900, 57.242163400, 64.37484460, 71.56839650, 78.86333330, 86.17479090, 93.48319610, 100.81683080, 108.17310710, 115.55071370, 122.91314590, 130.31510660, 137.68939680, 145.10934470, 152.50095310, 160.00132260, 167.31367640, 174.75703590, 189.61988500, 204.63862230, 219.41178020, 241.72097460", \
"29.470757500, 29.505944700, 29.530782400, 29.599675200, 29.752795800, 30.132766200, 31.060010600, 33.497168700, 39.356332500, 45.961254000, 52.611410100, 59.533101300, 66.62344410, 73.79978790, 80.99087030, 88.30640820, 95.61939960, 102.93471580, 110.26842700, 117.61392060, 124.97148810, 132.35601970, 139.78142020, 147.12007320, 154.56327850, 161.92227520, 169.33202350, 176.73864730, 191.60330180, 206.41968880, 221.34228110, 243.67389900", \
"36.129006600, 36.144365900, 36.193260800, 36.244365700, 36.401847400, 36.761185000, 37.665510200, 39.947656900, 45.601193600, 52.011326400, 58.690108900, 65.50762080, 72.35530450, 79.48213210, 86.58257840, 93.81790270, 101.01212190, 108.30589900, 115.60736480, 122.93084800, 130.25756470, 137.59005510, 144.96466330, 152.33187550, 159.69843600, 167.11835480, 174.48354210, 181.88769550, 196.65106950, 211.45442490, 226.29366700, 248.58586100", \
"42.677027900, 42.723737000, 42.755149400, 42.824819400, 42.979199300, 43.291803400, 44.172068100, 46.375649100, 51.851765200, 58.092308000, 64.67031000, 71.43905530, 78.26930700, 85.09686400, 92.15825120, 99.37320850, 106.54787400, 113.68873950, 121.00091170, 128.28699440, 135.53773070, 142.84962660, 150.22617700, 157.61075030, 164.88487110, 172.27398100, 179.63487900, 187.03498110, 201.81331450, 216.61682300, 231.40395490, 253.67337280");
}
}
max_capacitance : 7.5357400000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template15x37") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0000000000, 0.0075900000, 0.0151800000, 0.0303600000, 0.0607100000, 0.1214300000, 0.2428500000, 0.4857000000, 0.9714100000, 1.4714100000, 1.9714100000, 2.4714100000, 2.9714100000, 3.4714100000, 3.9714100000, 4.4714100000, 4.9714100000, 5.4714100000, 5.9714100000, 6.4714100000, 6.9714100000, 7.4714100000, 7.9714100000, 8.471410000, 8.971410000, 9.471410000, 9.971410000, 10.471410000, 10.971410000, 11.471410000, 11.971410000, 12.471410000, 12.971410000, 13.471410000, 13.971410000, 14.471410000, 14.971410000");
values("0.1027300000, 0.1077300000, 0.1122300000, 0.1203200000, 0.1344800000, 0.1589300000, 0.2020700000, 0.2820200000, 0.4379500000, 0.5982600000, 0.7587000000, 0.9191500000, 1.0796700000, 1.2399700000, 1.4004700000, 1.5611700000, 1.7219700000, 1.8820700000, 2.0419700000, 2.2023700000, 2.3638700000, 2.5246700000, 2.6849700000, 2.8453700000, 3.0061700000, 3.1667700000, 3.3256700000, 3.4870700000, 3.6486700000, 3.8091700000, 3.9700700000, 4.1291700000, 4.2905700000, 4.4512700000, 4.6115700000, 4.7716700000, 4.9324700000", \
"0.1064200000, 0.1113800000, 0.1158500000, 0.1239100000, 0.1380500000, 0.1625000000, 0.2056400000, 0.2855800000, 0.4415000000, 0.6018200000, 0.7621200000, 0.9226200000, 1.0831700000, 1.2435700000, 1.4041700000, 1.5650700000, 1.7256700000, 1.8860700000, 2.0462700000, 2.2070700000, 2.3672700000, 2.5271700000, 2.6885700000, 2.8478700000, 3.0097700000, 3.1702700000, 3.3305700000, 3.4899700000, 3.6519700000, 3.8128700000, 3.9731700000, 4.1334700000, 4.2932700000, 4.4532700000, 4.6151700000, 4.7756700000, 4.9349700000", \
"0.1088800000, 0.1138200000, 0.1182700000, 0.1263200000, 0.1404400000, 0.1648700000, 0.2080100000, 0.2879600000, 0.4439100000, 0.6041900000, 0.7646100000, 0.9248700000, 1.0853300000, 1.2461300000, 1.4064300000, 1.5672300000, 1.7269300000, 1.8876300000, 2.0488300000, 2.2096300000, 2.3704300000, 2.5294300000, 2.6897300000, 2.8511300000, 3.0119300000, 3.1724300000, 3.3330300000, 3.4937300000, 3.6543300000, 3.8141300000, 3.9756300000, 4.1360300000, 4.2971300000, 4.4553300000, 4.6173300000, 4.7774300000, 4.9381300000", \
"0.1208200000, 0.1257400000, 0.1301800000, 0.1381700000, 0.1521800000, 0.1764700000, 0.2195000000, 0.2994100000, 0.4552600000, 0.6156300000, 0.7758900000, 0.9365800000, 1.0971000000, 1.2571000000, 1.4177000000, 1.5783000000, 1.7392000000, 1.8997000000, 2.0605000000, 2.2208000000, 2.3810000000, 2.5410000000, 2.7021000000, 2.8628000000, 3.0230000000, 3.1841000000, 3.3444000000, 3.5052000000, 3.6665000000, 3.8265000000, 3.9847000000, 4.1459000000, 4.3071000000, 4.4681000000, 4.6290000000, 4.7875000000, 4.9494000000", \
"0.1623700000, 0.1673300000, 0.1717800000, 0.1797500000, 0.1936000000, 0.2173000000, 0.2593200000, 0.3381600000, 0.4931200000, 0.6529900000, 0.8131400000, 0.9733000000, 1.1338000000, 1.2941000000, 1.4547000000, 1.6152000000, 1.7751000000, 1.9365000000, 2.0971000000, 2.2576000000, 2.4180000000, 2.5774000000, 2.7388000000, 2.8995000000, 3.0593000000, 3.2201000000, 3.3813000000, 3.5403000000, 3.7024000000, 3.8617000000, 4.0238000000, 4.1847000000, 4.3452000000, 4.5056000000, 4.6652000000, 4.8249000000, 4.9845000000", \
"0.2103900000, 0.2156300000, 0.2203300000, 0.2287000000, 0.2431200000, 0.2676400000, 0.3100000000, 0.3883700000, 0.5415300000, 0.7003000000, 0.8599000000, 1.0196000000, 1.1797000000, 1.3400000000, 1.5006000000, 1.6603000000, 1.8210000000, 1.9810000000, 2.1422000000, 2.3029000000, 2.4635000000, 2.6239000000, 2.7834000000, 2.9439000000, 3.1043000000, 3.2654000000, 3.4257000000, 3.5868000000, 3.7473000000, 3.9078000000, 4.0683000000, 4.2289000000, 4.3886000000, 4.5498000000, 4.7101000000, 4.8702000000, 5.0311000000", \
"0.2800000000, 0.2859000000, 0.2912000000, 0.3005000000, 0.3166000000, 0.3435000000, 0.3889000000, 0.4697000000, 0.6231000000, 0.7804000000, 0.9383000000, 1.0971000000, 1.2565000000, 1.4161000000, 1.5761000000, 1.7360000000, 1.8958000000, 2.0562000000, 2.2169000000, 2.3770000000, 2.5365000000, 2.6966000000, 2.8571000000, 3.0183000000, 3.1789000000, 3.3396000000, 3.5003000000, 3.6604000000, 3.8212000000, 3.9801000000, 4.1399000000, 4.3006000000, 4.4608000000, 4.6217000000, 4.7826000000, 4.9437000000, 5.1044000000", \
"0.3341000000, 0.3404000000, 0.3460000000, 0.3562000000, 0.3736000000, 0.4025000000, 0.4507000000, 0.5346000000, 0.6926000000, 0.8514000000, 1.0090000000, 1.1671000000, 1.3256000000, 1.4847000000, 1.6437000000, 1.8035000000, 1.9630000000, 2.1230000000, 2.2825000000, 2.4427000000, 2.6033000000, 2.7633000000, 2.9238000000, 3.0828000000, 3.2440000000, 3.4049000000, 3.5645000000, 3.7258000000, 3.8858000000, 4.0462000000, 4.2059000000, 4.3651000000, 4.5256000000, 4.6864000000, 4.8473000000, 5.0081000000, 5.1691000000", \
"0.4515700000, 0.4585700000, 0.4649700000, 0.4765700000, 0.4965700000, 0.5298700000, 0.5841700000, 0.6760700000, 0.8429700000, 1.0110700000, 1.1768700000, 1.3400700000, 1.5008700000, 1.6598700000, 1.8181700000, 1.9767700000, 2.1355700000, 2.2943700000, 2.4533700000, 2.6130700000, 2.7724700000, 2.9320700000, 3.0917700000, 3.2512700000, 3.4107700000, 3.5709700000, 3.7307700000, 3.8905700000, 4.0502700000, 4.2107700000, 4.3715700000, 4.5316700000, 4.6918700000, 4.8512700000, 5.0117700000, 5.1724700000, 5.3327700000", \
"0.5026300000, 0.5098300000, 0.5165300000, 0.5286300000, 0.5496300000, 0.5847300000, 0.6418300000, 0.7371300000, 0.9082300000, 1.0792300000, 1.2485300000, 1.4156300000, 1.5804300000, 1.7429300000, 1.9034300000, 2.0625300000, 2.2211300000, 2.3799300000, 2.5387300000, 2.6975300000, 2.8566300000, 3.0159300000, 3.1749300000, 3.3349300000, 3.4945300000, 3.6536300000, 3.8138300000, 3.9737300000, 4.1333300000, 4.2926300000, 4.4533300000, 4.6136300000, 4.7735300000, 4.9332300000, 5.0928300000, 5.2532300000, 5.4139300000", \
"0.5497000000, 0.5571000000, 0.5640000000, 0.5766000000, 0.5985000000, 0.6351000000, 0.6948000000, 0.7934000000, 0.9684000000, 1.1420000000, 1.3139000000, 1.4841000000, 1.6522000000, 1.8181000000, 1.9819000000, 2.1439000000, 2.3042000000, 2.4634000000, 2.6221000000, 2.7810000000, 2.9398000000, 3.0988000000, 3.2578000000, 3.4170000000, 3.5764000000, 3.7361000000, 3.8949000000, 4.0551000000, 4.2149000000, 4.3741000000, 4.5339000000, 4.6943000000, 4.8542000000, 5.0139000000, 5.1734000000, 5.3332000000, 5.4939000000", \
"0.6024000000, 0.6100000000, 0.6172000000, 0.6302000000, 0.6530000000, 0.6913000000, 0.7537000000, 0.8560000000, 1.0354000000, 1.2121000000, 1.3867000000, 1.5597000000, 1.7310000000, 1.9003000000, 2.0677000000, 2.2331000000, 2.3968000000, 2.5589000000, 2.7196000000, 2.8792000000, 3.0381000000, 3.1970000000, 3.3561000000, 3.5148000000, 3.6740000000, 3.8331000000, 3.9922000000, 4.1516000000, 4.3109000000, 4.4711000000, 4.6306000000, 4.7896000000, 4.9497000000, 5.1097000000, 5.2690000000, 5.4285000000, 5.5887000000", \
"0.6357300000, 0.6435300000, 0.6507300000, 0.6640300000, 0.6874300000, 0.7267300000, 0.7907300000, 0.8954300000, 1.0777300000, 1.2564300000, 1.4326300000, 1.6073300000, 1.7803300000, 1.9515300000, 2.1209300000, 2.2884300000, 2.4542300000, 2.6183300000, 2.7810300000, 2.9423300000, 3.1024300000, 3.2617300000, 3.4207300000, 3.5796300000, 3.7384300000, 3.8978300000, 4.0568300000, 4.2158300000, 4.3751300000, 4.5344300000, 4.6939300000, 4.8533300000, 5.0136300000, 5.1731300000, 5.3322300000, 5.4920300000, 5.6522300000", \
"0.7143700000, 0.7223700000, 0.7298700000, 0.7437700000, 0.7683700000, 0.8098700000, 0.8777700000, 0.9880700000, 1.1770700000, 1.3604700000, 1.5406700000, 1.7189700000, 1.8956700000, 2.0706700000, 2.2441700000, 2.4159700000, 2.5861700000, 2.7547700000, 2.9219700000, 3.0875700000, 3.2519700000, 3.4149700000, 3.5769700000, 3.7378700000, 3.8978700000, 4.0572700000, 4.2164700000, 4.3752700000, 4.5346700000, 4.6937700000, 4.8526700000, 5.0116700000, 5.1706700000, 5.3306700000, 5.4896700000, 5.6486700000, 5.8086700000", \
"0.7878000000, 0.7960000000, 0.8037000000, 0.8181000000, 0.8437000000, 0.8872000000, 0.9585000000, 1.0741000000, 1.2694000000, 1.4573000000, 1.6412000000, 1.8228000000, 2.0026000000, 2.1808000000, 2.3574000000, 2.5326000000, 2.7063000000, 2.8785000000, 3.0493000000, 3.2188000000, 3.3868000000, 3.5536000000, 3.7192000000, 3.8840000000, 4.0470000000, 4.2100000000, 4.3710000000, 4.5320000000, 4.6920000000, 4.8510000000, 5.0100000000, 5.1690000000, 5.3290000000, 5.4880000000, 5.6470000000, 5.8060000000, 5.9660000000");
}
cell_rise ("delay_template15x37") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0000000000, 0.0075900000, 0.0151800000, 0.0303600000, 0.0607100000, 0.1214300000, 0.2428500000, 0.4857000000, 0.9714100000, 1.4714100000, 1.9714100000, 2.4714100000, 2.9714100000, 3.4714100000, 3.9714100000, 4.4714100000, 4.9714100000, 5.4714100000, 5.9714100000, 6.4714100000, 6.9714100000, 7.4714100000, 7.9714100000, 8.471410000, 8.971410000, 9.471410000, 9.971410000, 10.471410000, 10.971410000, 11.471410000, 11.971410000, 12.471410000, 12.971410000, 13.471410000, 13.971410000, 14.471410000, 14.971410000");
values("0.1034300000, 0.1080500000, 0.1121700000, 0.1195200000, 0.1323700000, 0.1551000000, 0.1970500000, 0.2769900000, 0.4345800000, 0.5969200000, 0.7593100000, 0.9220300000, 1.0840700000, 1.2468700000, 1.4084700000, 1.5712700000, 1.7338700000, 1.8959700000, 2.0580700000, 2.2212700000, 2.3829700000, 2.5450700000, 2.7082700000, 2.8716700000, 3.0332700000, 3.1952700000, 3.3578700000, 3.5201700000, 3.6825700000, 3.8449700000, 4.0074700000, 4.1699700000, 4.3313700000, 4.4940700000, 4.6564700000, 4.8186700000, 4.9809700000", \
"0.1073900000, 0.1119600000, 0.1160500000, 0.1233800000, 0.1362000000, 0.1589200000, 0.2008900000, 0.2807700000, 0.4384000000, 0.6007400000, 0.7632800000, 0.9255800000, 1.0876700000, 1.2502700000, 1.4132700000, 1.5746700000, 1.7374700000, 1.8992700000, 2.0626700000, 2.2250700000, 2.3863700000, 2.5490700000, 2.7119700000, 2.8742700000, 3.0373700000, 3.2005700000, 3.3629700000, 3.5239700000, 3.6865700000, 3.8483700000, 4.0108700000, 4.1725700000, 4.3356700000, 4.4983700000, 4.6620700000, 4.8222700000, 4.9852700000", \
"0.1088100000, 0.1133900000, 0.1174700000, 0.1247800000, 0.1376000000, 0.1603000000, 0.2022600000, 0.2821600000, 0.4397800000, 0.6020500000, 0.7647400000, 0.9270200000, 1.0894300000, 1.2517300000, 1.4147300000, 1.5767300000, 1.7384300000, 1.9009300000, 2.0644300000, 2.2272300000, 2.3879300000, 2.5510300000, 2.7144300000, 2.8758300000, 3.0380300000, 3.2003300000, 3.3628300000, 3.5253300000, 3.6871300000, 3.8485300000, 4.0124300000, 4.1750300000, 4.3364300000, 4.4978300000, 4.6607300000, 4.8236300000, 4.9864300000", \
"0.1173900000, 0.1219600000, 0.1260400000, 0.1333400000, 0.1461100000, 0.1687000000, 0.2105400000, 0.2903600000, 0.4479900000, 0.6103400000, 0.7728800000, 0.9350000000, 1.0979000000, 1.2598000000, 1.4219000000, 1.5854000000, 1.7465000000, 1.9092000000, 2.0715000000, 2.2345000000, 2.3961000000, 2.5593000000, 2.7221000000, 2.8840000000, 3.0467000000, 3.2089000000, 3.3708000000, 3.5336000000, 3.6964000000, 3.8585000000, 4.0202000000, 4.1828000000, 4.3450000000, 4.5075000000, 4.6697000000, 4.8320000000, 4.9942000000", \
"0.1491700000, 0.1538800000, 0.1580500000, 0.1654200000, 0.1781500000, 0.2003000000, 0.2410100000, 0.3195400000, 0.4761500000, 0.6380800000, 0.8002000000, 0.9625000000, 1.1245000000, 1.2869000000, 1.4491000000, 1.6115000000, 1.7751000000, 1.9368000000, 2.0990000000, 2.2604000000, 2.4232000000, 2.5859000000, 2.7482000000, 2.9109000000, 3.0733000000, 3.2349000000, 3.3977000000, 3.5602000000, 3.7226000000, 3.8849000000, 4.0472000000, 4.2095000000, 4.3718000000, 4.5341000000, 4.6970000000, 4.8593000000, 5.0217000000", \
"0.1795100000, 0.1847400000, 0.1893600000, 0.1974300000, 0.2110200000, 0.2337900000, 0.2742800000, 0.3517900000, 0.5065600000, 0.6672000000, 0.8287000000, 0.9907000000, 1.1528000000, 1.3144000000, 1.4769000000, 1.6397000000, 1.8013000000, 1.9631000000, 2.1260000000, 2.2895000000, 2.4496000000, 2.6126000000, 2.7753000000, 2.9379000000, 3.0991000000, 3.2626000000, 3.4247000000, 3.5867000000, 3.7494000000, 3.9120000000, 4.0743000000, 4.2383000000, 4.3986000000, 4.5611000000, 4.7238000000, 4.8863000000, 5.0475000000", \
"0.2136000000, 0.2195700000, 0.2248800000, 0.2342100000, 0.2498100000, 0.2751300000, 0.3175000000, 0.3959000000, 0.5502000000, 0.7093000000, 0.8692000000, 1.0302000000, 1.1914000000, 1.3528000000, 1.5145000000, 1.6763000000, 1.8384000000, 2.0007000000, 2.1626000000, 2.3242000000, 2.4876000000, 2.6502000000, 2.8121000000, 2.9736000000, 3.1349000000, 3.2974000000, 3.4605000000, 3.6235000000, 3.7868000000, 3.9463000000, 4.1079000000, 4.2709000000, 4.4339000000, 4.5972000000, 4.7591000000, 4.9196000000, 5.0826000000", \
"0.2329000000, 0.2393000000, 0.2451000000, 0.2554000000, 0.2725000000, 0.3002000000, 0.3450000000, 0.4248000000, 0.5815000000, 0.7421000000, 0.9017000000, 1.0615000000, 1.2220000000, 1.3827000000, 1.5439000000, 1.7055000000, 1.8669000000, 2.0287000000, 2.1905000000, 2.3525000000, 2.5138000000, 2.6766000000, 2.8391000000, 3.0012000000, 3.1629000000, 3.3252000000, 3.4876000000, 3.6507000000, 3.8134000000, 3.9756000000, 4.1377000000, 4.2997000000, 4.4609000000, 4.6230000000, 4.7840000000, 4.9477000000, 5.1106000000", \
"0.2557700000, 0.2630700000, 0.2697700000, 0.2816700000, 0.3018700000, 0.3346700000, 0.3861700000, 0.4711700000, 0.6315700000, 0.7974700000, 0.9627700000, 1.1264700000, 1.2893700000, 1.4507700000, 1.6112700000, 1.7711700000, 1.9320700000, 2.0927700000, 2.2534700000, 2.4148700000, 2.5756700000, 2.7375700000, 2.8997700000, 3.0608700000, 3.2231700000, 3.3850700000, 3.5463700000, 3.7077700000, 3.8698700000, 4.0323700000, 4.1930700000, 4.3559700000, 4.5183700000, 4.6801700000, 4.8424700000, 5.0041700000, 5.1650700000", \
"0.2586300000, 0.2662300000, 0.2731300000, 0.2857300000, 0.3071300000, 0.3419300000, 0.3966300000, 0.4847300000, 0.6468300000, 0.8142300000, 0.9816300000, 1.1478300000, 1.3129300000, 1.4766300000, 1.6397300000, 1.8014300000, 1.9620300000, 2.1225300000, 2.2833300000, 2.4439300000, 2.6045300000, 2.7658300000, 2.9271300000, 3.0882300000, 3.2493300000, 3.4111300000, 3.5731300000, 3.7352300000, 3.8955300000, 4.0589300000, 4.2209300000, 4.3824300000, 4.5432300000, 4.7052300000, 4.8679300000, 5.0301300000, 5.1918300000", \
"0.2580000000, 0.2657000000, 0.2729000000, 0.2860000000, 0.3085000000, 0.3451000000, 0.4026000000, 0.4940000000, 0.6580000000, 0.8264000000, 0.9954000000, 1.1636000000, 1.3306000000, 1.4970000000, 1.6613000000, 1.8254000000, 1.9876000000, 2.1501000000, 2.3108000000, 2.4720000000, 2.6322000000, 2.7933000000, 2.9547000000, 3.1149000000, 3.2759000000, 3.4374000000, 3.5981000000, 3.7602000000, 3.9216000000, 4.0833000000, 4.2449000000, 4.4074000000, 4.5688000000, 4.7295000000, 4.8930000000, 5.0554000000, 5.2171000000", \
"0.2537000000, 0.2617000000, 0.2691000000, 0.2827000000, 0.3063000000, 0.3448000000, 0.4054000000, 0.5007000000, 0.6673000000, 0.8368000000, 1.0072000000, 1.1773000000, 1.3463000000, 1.5148000000, 1.6816000000, 1.8482000000, 2.0126000000, 2.1764000000, 2.3399000000, 2.5021000000, 2.6628000000, 2.8241000000, 2.9851000000, 3.1458000000, 3.3064000000, 3.4683000000, 3.6294000000, 3.7899000000, 3.9512000000, 4.1126000000, 4.2737000000, 4.4356000000, 4.5968000000, 4.7589000000, 4.9204000000, 5.0816000000, 5.2446000000", \
"0.2493300000, 0.2573300000, 0.2649300000, 0.2789300000, 0.3031300000, 0.3428300000, 0.4052300000, 0.5032300000, 0.6714300000, 0.8417300000, 1.0129300000, 1.1838300000, 1.3539300000, 1.5237300000, 1.6925300000, 1.8592300000, 2.0257300000, 2.1909300000, 2.3551300000, 2.5192300000, 2.6816300000, 2.8430300000, 3.0046300000, 3.1655300000, 3.3263300000, 3.4876300000, 3.6489300000, 3.8097300000, 3.9714300000, 4.1316300000, 4.2926300000, 4.4540300000, 4.6158300000, 4.7765300000, 4.9386300000, 5.0998300000, 5.2617300000", \
"0.2340700000, 0.2424700000, 0.2502700000, 0.2648700000, 0.2904700000, 0.3327700000, 0.3996700000, 0.5036700000, 0.6770700000, 0.8491700000, 1.0217700000, 1.1948700000, 1.3671700000, 1.5392700000, 1.7106700000, 1.8802700000, 2.0496700000, 2.2181700000, 2.3856700000, 2.5515700000, 2.7177700000, 2.8817700000, 3.0460700000, 3.2087700000, 3.3718700000, 3.5339700000, 3.6951700000, 3.8564700000, 4.0172700000, 4.1788700000, 4.3398700000, 4.5015700000, 4.6626700000, 4.8236700000, 4.9846700000, 5.1456700000, 5.3066700000", \
"0.2144000000, 0.2229000000, 0.2310000000, 0.2462000000, 0.2730000000, 0.3175000000, 0.3882000000, 0.4981000000, 0.6770000000, 0.8511000000, 1.0252000000, 1.1996000000, 1.3739000000, 1.5477000000, 1.7209000000, 1.8935000000, 2.0649000000, 2.2351000000, 2.4053000000, 2.5744000000, 2.7419000000, 2.9097000000, 3.0751000000, 3.2416000000, 3.4067000000, 3.5711000000, 3.7345000000, 3.8970000000, 4.0600000000, 4.2230000000, 4.3840000000, 4.5460000000, 4.7070000000, 4.8680000000, 5.0290000000, 5.1900000000, 5.3520000000");
}
fall_transition ("delay_template15x37") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0000000000, 0.0075900000, 0.0151800000, 0.0303600000, 0.0607100000, 0.1214300000, 0.2428500000, 0.4857000000, 0.9714100000, 1.4714100000, 1.9714100000, 2.4714100000, 2.9714100000, 3.4714100000, 3.9714100000, 4.4714100000, 4.9714100000, 5.4714100000, 5.9714100000, 6.4714100000, 6.9714100000, 7.4714100000, 7.9714100000, 8.471410000, 8.971410000, 9.471410000, 9.971410000, 10.471410000, 10.971410000, 11.471410000, 11.971410000, 12.471410000, 12.971410000, 13.471410000, 13.971410000, 14.471410000, 14.971410000");
values("0.0324800000, 0.0365500000, 0.0402800000, 0.0470000000, 0.0590500000, 0.0818700000, 0.1286500000, 0.2257400000, 0.4277500000, 0.6388500000, 0.8503200000, 1.0627200000, 1.2746800000, 1.4866900000, 1.6978900000, 1.9099700000, 2.1222700000, 2.3333900000, 2.5458200000, 2.7575500000, 2.9692500000, 3.1820000000, 3.3936000000, 3.6048000000, 3.8171000000, 4.0289000000, 4.2457000000, 4.4529000000, 4.6651000000, 4.8767000000, 5.0896000000, 5.3024000000, 5.5122000000, 5.7247000000, 5.9358000000, 6.1478000000, 6.3606000000", \
"0.0324700000, 0.0365800000, 0.0402800000, 0.0469800000, 0.0590600000, 0.0818700000, 0.1285700000, 0.2258300000, 0.4273900000, 0.6387800000, 0.8508500000, 1.0624300000, 1.2741000000, 1.4869400000, 1.6979500000, 1.9102400000, 2.1220000000, 2.3339400000, 2.5454300000, 2.7578600000, 2.9705000000, 3.1849000000, 3.3969000000, 3.6052000000, 3.8171000000, 4.0289000000, 4.2405000000, 4.4539000000, 4.6647000000, 4.8777000000, 5.0895000000, 5.3004000000, 5.5142000000, 5.7237000000, 5.9421000000, 6.1479000000, 6.3612000000", \
"0.0324800000, 0.0365800000, 0.0402500000, 0.0469900000, 0.0590600000, 0.0818700000, 0.1286100000, 0.2258700000, 0.4274600000, 0.6387700000, 0.8504200000, 1.0633200000, 1.2745000000, 1.4862700000, 1.6978200000, 1.9099900000, 2.1228700000, 2.3332300000, 2.5459200000, 2.7571900000, 2.9701000000, 3.1818000000, 3.3933000000, 3.6074000000, 3.8180000000, 4.0298000000, 4.2429000000, 4.4528000000, 4.6647000000, 4.8784000000, 5.0888000000, 5.2997000000, 5.5135000000, 5.7248000000, 5.9386000000, 6.1485000000, 6.3619000000", \
"0.0324900000, 0.0365600000, 0.0402800000, 0.0470300000, 0.0591900000, 0.0820200000, 0.1286900000, 0.2258800000, 0.4280200000, 0.6386100000, 0.8507900000, 1.0623600000, 1.2744400000, 1.4865500000, 1.6986100000, 1.9104700000, 2.1220700000, 2.3339900000, 2.5462400000, 2.7579000000, 2.9717000000, 3.1809000000, 3.3926000000, 3.6048000000, 3.8171000000, 4.0291000000, 4.2450000000, 4.4527000000, 4.6636000000, 4.8771000000, 5.0892000000, 5.3027000000, 5.5173000000, 5.7238000000, 5.9363000000, 6.1548000000, 6.3615000000", \
"0.0375500000, 0.0417200000, 0.0454700000, 0.0521700000, 0.0640100000, 0.0860600000, 0.1311600000, 0.2270000000, 0.4277100000, 0.6388600000, 0.8506300000, 1.0625300000, 1.2745100000, 1.4868000000, 1.6980700000, 1.9095300000, 2.1219200000, 2.3340000000, 2.5459000000, 2.7580000000, 2.9699000000, 3.1814000000, 3.3929000000, 3.6053000000, 3.8180000000, 4.0319000000, 4.2414000000, 4.4577000000, 4.6650000000, 4.8773000000, 5.0875000000, 5.3015000000, 5.5135000000, 5.7255000000, 5.9369000000, 6.1478000000, 6.3669000000", \
"0.0495300000, 0.0536400000, 0.0574100000, 0.0642100000, 0.0761100000, 0.0975000000, 0.1405500000, 0.2324500000, 0.4295700000, 0.6392200000, 0.8504900000, 1.0626300000, 1.2743700000, 1.4861000000, 1.6985000000, 1.9096000000, 2.1215000000, 2.3337000000, 2.5458000000, 2.7580000000, 2.9702000000, 3.1835000000, 3.3941000000, 3.6061000000, 3.8180000000, 4.0293000000, 4.2423000000, 4.4529000000, 4.6648000000, 4.8766000000, 5.0936000000, 5.3007000000, 5.5141000000, 5.7298000000, 5.9368000000, 6.1484000000, 6.3607000000", \
"0.0716500000, 0.0759700000, 0.0800300000, 0.0873600000, 0.1000800000, 0.1220700000, 0.1635000000, 0.2514000000, 0.4404000000, 0.6444000000, 0.8529000000, 1.0636000000, 1.2739000000, 1.4864000000, 1.6975000000, 1.9102000000, 2.1221000000, 2.3334000000, 2.5458000000, 2.7574000000, 2.9721000000, 3.1839000000, 3.3952000000, 3.6047000000, 3.8170000000, 4.0297000000, 4.2434000000, 4.4533000000, 4.6639000000, 4.8754000000, 5.0881000000, 5.3016000000, 5.5129000000, 5.7259000000, 5.9380000000, 6.1467000000, 6.3610000000", \
"0.0904000000, 0.0949000000, 0.0992000000, 0.1070000000, 0.1204000000, 0.1433000000, 0.1853000000, 0.2723000000, 0.4588000000, 0.6585000000, 0.8630000000, 1.0695000000, 1.2780000000, 1.4898000000, 1.6989000000, 1.9114000000, 2.1228000000, 2.3339000000, 2.5451000000, 2.7565000000, 2.9698000000, 3.1820000000, 3.3949000000, 3.6054000000, 3.8165000000, 4.0282000000, 4.2396000000, 4.4533000000, 4.6647000000, 4.8766000000, 5.0868000000, 5.2993000000, 5.5126000000, 5.7279000000, 5.9377000000, 6.1471000000, 6.3600000000", \
"0.1340000000, 0.1387000000, 0.1434000000, 0.1522000000, 0.1677000000, 0.1932000000, 0.2375000000, 0.3239000000, 0.5118000000, 0.7138000000, 0.9154000000, 1.1168000000, 1.3186000000, 1.5219000000, 1.7275000000, 1.9322000000, 2.1385000000, 2.3470000000, 2.5548000000, 2.7637000000, 2.9738000000, 3.1855000000, 3.3965000000, 3.6076000000, 3.8178000000, 4.0283000000, 4.2414000000, 4.4524000000, 4.6633000000, 4.8747000000, 5.0875000000, 5.3004000000, 5.5124000000, 5.7241000000, 5.9363000000, 6.1465000000, 6.3587000000", \
"0.1539000000, 0.1587000000, 0.1635000000, 0.1727000000, 0.1888000000, 0.2159000000, 0.2616000000, 0.3487000000, 0.5359000000, 0.7398000000, 0.9449000000, 1.1478000000, 1.3494000000, 1.5501000000, 1.7528000000, 1.9560000000, 2.1616000000, 2.3654000000, 2.5714000000, 2.7780000000, 2.9858000000, 3.1934000000, 3.4059000000, 3.6117000000, 3.8220000000, 4.0346000000, 4.2432000000, 4.4558000000, 4.6668000000, 4.8776000000, 5.0870000000, 5.2993000000, 5.5125000000, 5.7238000000, 5.9341000000, 6.1463000000, 6.3585000000", \
"0.1728000000, 0.1775000000, 0.1824000000, 0.1919000000, 0.2089000000, 0.2371000000, 0.2843000000, 0.3724000000, 0.5589000000, 0.7639000000, 0.9720000000, 1.1776000000, 1.3822000000, 1.5831000000, 1.7842000000, 1.9847000000, 2.1877000000, 2.3907000000, 2.5945000000, 2.8003000000, 3.0046000000, 3.2119000000, 3.4175000000, 3.6261000000, 3.8337000000, 4.0428000000, 4.2531000000, 4.4641000000, 4.6703000000, 4.8796000000, 5.0897000000, 5.3030000000, 5.5147000000, 5.7256000000, 5.9366000000, 6.1477000000, 6.3578000000", \
"0.1943000000, 0.1990000000, 0.2040000000, 0.2137000000, 0.2314000000, 0.2612000000, 0.3100000000, 0.3996000000, 0.5865000000, 0.7918000000, 1.0012000000, 1.2108000000, 1.4171000000, 1.6213000000, 1.8234000000, 2.0243000000, 2.2267000000, 2.4257000000, 2.6278000000, 2.8303000000, 3.0360000000, 3.2378000000, 3.4450000000, 3.6484000000, 3.8547000000, 4.0632000000, 4.2684000000, 4.4772000000, 4.6840000000, 4.8934000000, 5.1019000000, 5.3101000000, 5.5243000000, 5.7338000000, 5.9409000000, 6.1498000000, 6.3601000000", \
"0.2082000000, 0.2129000000, 0.2179000000, 0.2277000000, 0.2459000000, 0.2764000000, 0.3266000000, 0.4171000000, 0.6044000000, 0.8092000000, 1.0203000000, 1.2303000000, 1.4402000000, 1.6459000000, 1.8495000000, 2.0507000000, 2.2521000000, 2.4535000000, 2.6528000000, 2.8544000000, 3.0563000000, 3.2606000000, 3.4650000000, 3.6673000000, 3.8742000000, 4.0781000000, 4.2865000000, 4.4911000000, 4.7004000000, 4.9046000000, 5.1125000000, 5.3194000000, 5.5309000000, 5.7397000000, 5.9486000000, 6.1608000000, 6.3713000000", \
"0.2413000000, 0.2460000000, 0.2510000000, 0.2612000000, 0.2805000000, 0.3128000000, 0.3659000000, 0.4591000000, 0.6481000000, 0.8533000000, 1.0647000000, 1.2790000000, 1.4907000000, 1.7018000000, 1.9081000000, 2.1139000000, 2.3177000000, 2.5192000000, 2.7212000000, 2.9214000000, 3.1227000000, 3.3225000000, 3.5235000000, 3.7250000000, 3.9277000000, 4.1310000000, 4.3364000000, 4.5393000000, 4.7414000000, 4.9479000000, 5.1515000000, 5.3588000000, 5.5641000000, 5.7716000000, 5.9766000000, 6.1850000000, 6.3936000000", \
"0.2729000000, 0.2776000000, 0.2827000000, 0.2932000000, 0.3129000000, 0.3473000000, 0.4033000000, 0.4992000000, 0.6898000000, 0.8959000000, 1.1083000000, 1.3227000000, 1.5384000000, 1.7525000000, 1.9633000000, 2.1726000000, 2.3802000000, 2.5848000000, 2.7879000000, 2.9893000000, 3.1910000000, 3.3914000000, 3.5930000000, 3.7930000000, 3.9932000000, 4.1917000000, 4.3938000000, 4.5971000000, 4.7987000000, 5.0015000000, 5.2068000000, 5.4063000000, 5.6112000000, 5.8153000000, 6.0213000000, 6.2252000000, 6.4321000000");
}
related_pin : "A";
rise_transition ("delay_template15x37") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0000000000, 0.0075900000, 0.0151800000, 0.0303600000, 0.0607100000, 0.1214300000, 0.2428500000, 0.4857000000, 0.9714100000, 1.4714100000, 1.9714100000, 2.4714100000, 2.9714100000, 3.4714100000, 3.9714100000, 4.4714100000, 4.9714100000, 5.4714100000, 5.9714100000, 6.4714100000, 6.9714100000, 7.4714100000, 7.9714100000, 8.471410000, 8.971410000, 9.471410000, 9.971410000, 10.471410000, 10.971410000, 11.471410000, 11.971410000, 12.471410000, 12.971410000, 13.471410000, 13.971410000, 14.471410000, 14.971410000");
values("0.0342600000, 0.0377700000, 0.0412100000, 0.0479500000, 0.0609800000, 0.0878700000, 0.1441900000, 0.2605000000, 0.4985900000, 0.7462800000, 0.9938100000, 1.2418500000, 1.4889100000, 1.7364300000, 1.9861400000, 2.2319000000, 2.4791400000, 2.7270700000, 2.9747100000, 3.2224200000, 3.4711400000, 3.7183000000, 3.9647000000, 4.2115000000, 4.4605000000, 4.7109000000, 4.9554000000, 5.2017000000, 5.4503000000, 5.7007000000, 5.9458000000, 6.1948000000, 6.4421000000, 6.6898000000, 6.9369000000, 7.1934000000, 7.4333000000", \
"0.0342700000, 0.0377700000, 0.0412200000, 0.0479800000, 0.0609700000, 0.0878200000, 0.1441900000, 0.2605900000, 0.4986500000, 0.7458800000, 0.9932900000, 1.2415000000, 1.4885300000, 1.7366300000, 1.9842500000, 2.2317400000, 2.4794200000, 2.7268600000, 2.9753900000, 3.2225300000, 3.4697000000, 3.7208000000, 3.9648000000, 4.2129000000, 4.4594000000, 4.7081000000, 4.9551000000, 5.2037000000, 5.4509000000, 5.6966000000, 5.9471000000, 6.1924000000, 6.4416000000, 6.6891000000, 6.9381000000, 7.1838000000, 7.4318000000", \
"0.0342600000, 0.0377700000, 0.0412400000, 0.0479500000, 0.0609600000, 0.0878900000, 0.1441700000, 0.2605600000, 0.4987200000, 0.7465500000, 0.9935700000, 1.2426900000, 1.4887100000, 1.7366100000, 1.9836600000, 2.2342800000, 2.4792500000, 2.7271900000, 2.9745600000, 3.2226700000, 3.4712000000, 3.7180000000, 3.9658000000, 4.2120000000, 4.4627000000, 4.7091000000, 4.9547000000, 5.2033000000, 5.4517000000, 5.7038000000, 5.9452000000, 6.2001000000, 6.4403000000, 6.6895000000, 6.9360000000, 7.1872000000, 7.4367000000", \
"0.0342300000, 0.0377700000, 0.0412400000, 0.0479600000, 0.0610100000, 0.0879500000, 0.1443300000, 0.2606800000, 0.4986200000, 0.7464000000, 0.9935400000, 1.2420300000, 1.4888600000, 1.7371100000, 1.9847200000, 2.2318400000, 2.4805300000, 2.7273500000, 2.9754700000, 3.2234300000, 3.4697000000, 3.7206000000, 3.9681000000, 4.2130000000, 4.4611000000, 4.7113000000, 4.9547000000, 5.2033000000, 5.4550000000, 5.6991000000, 5.9474000000, 6.1928000000, 6.4433000000, 6.6891000000, 6.9397000000, 7.1846000000, 7.4346000000", \
"0.0395300000, 0.0428500000, 0.0462300000, 0.0528100000, 0.0653100000, 0.0912400000, 0.1461700000, 0.2614600000, 0.4989200000, 0.7458100000, 0.9940400000, 1.2412700000, 1.4891300000, 1.7368700000, 1.9838700000, 2.2321400000, 2.4799800000, 2.7266000000, 2.9756000000, 3.2227000000, 3.4710000000, 3.7176000000, 3.9648000000, 4.2119000000, 4.4633000000, 4.7089000000, 4.9570000000, 5.2060000000, 5.4523000000, 5.6992000000, 5.9468000000, 6.1920000000, 6.4400000000, 6.6894000000, 6.9357000000, 7.1840000000, 7.4312000000", \
"0.0517800000, 0.0548100000, 0.0580700000, 0.0644000000, 0.0764200000, 0.1004900000, 0.1529300000, 0.2654000000, 0.5000800000, 0.7461900000, 0.9944800000, 1.2408400000, 1.4900400000, 1.7363200000, 1.9845000000, 2.2317000000, 2.4791000000, 2.7281000000, 2.9783000000, 3.2232000000, 3.4690000000, 3.7181000000, 3.9646000000, 4.2125000000, 4.4614000000, 4.7109000000, 4.9553000000, 5.2045000000, 5.4507000000, 5.6991000000, 5.9472000000, 6.1935000000, 6.4412000000, 6.6896000000, 6.9345000000, 7.1853000000, 7.4331000000", \
"0.0734300000, 0.0761200000, 0.0793300000, 0.0858600000, 0.0981000000, 0.1213000000, 0.1703500000, 0.2787600000, 0.5084000000, 0.7507000000, 0.9956000000, 1.2433000000, 1.4892000000, 1.7373000000, 1.9848000000, 2.2312000000, 2.4798000000, 2.7261000000, 2.9747000000, 3.2219000000, 3.4718000000, 3.7212000000, 3.9649000000, 4.2122000000, 4.4596000000, 4.7066000000, 4.9563000000, 5.2048000000, 5.4519000000, 5.7000000000, 5.9484000000, 6.1935000000, 6.4429000000, 6.6882000000, 6.9351000000, 7.1832000000, 7.4320000000", \
"0.0920000000, 0.0945000000, 0.0976000000, 0.1042000000, 0.1170000000, 0.1404000000, 0.1876000000, 0.2938000000, 0.5219000000, 0.7622000000, 1.0045000000, 1.2477000000, 1.4934000000, 1.7388000000, 1.9856000000, 2.2319000000, 2.4804000000, 2.7269000000, 2.9779000000, 3.2211000000, 3.4703000000, 3.7176000000, 3.9643000000, 4.2115000000, 4.4609000000, 4.7083000000, 4.9626000000, 5.2048000000, 5.4501000000, 5.6975000000, 5.9470000000, 6.1945000000, 6.4403000000, 6.6899000000, 6.9344000000, 7.1851000000, 7.4329000000", \
"0.1365000000, 0.1383000000, 0.1409000000, 0.1474000000, 0.1611000000, 0.1864000000, 0.2327000000, 0.3328000000, 0.5595000000, 0.8027000000, 1.0436000000, 1.2859000000, 1.5264000000, 1.7688000000, 2.0122000000, 2.2532000000, 2.4974000000, 2.7427000000, 2.9853000000, 3.2302000000, 3.4774000000, 3.7210000000, 3.9676000000, 4.2136000000, 4.4607000000, 4.7070000000, 4.9546000000, 5.2046000000, 5.4503000000, 5.6977000000, 5.9458000000, 6.1930000000, 6.4408000000, 6.6897000000, 6.9365000000, 7.1824000000, 7.4341000000", \
"0.1572000000, 0.1586000000, 0.1610000000, 0.1672000000, 0.1814000000, 0.2074000000, 0.2544000000, 0.3526000000, 0.5768000000, 0.8203000000, 1.0657000000, 1.3079000000, 1.5491000000, 1.7896000000, 2.0317000000, 2.2734000000, 2.5177000000, 2.7573000000, 3.0010000000, 3.2425000000, 3.4867000000, 3.7322000000, 3.9763000000, 4.2228000000, 4.4669000000, 4.7159000000, 4.9622000000, 5.2094000000, 5.4516000000, 5.6975000000, 5.9460000000, 6.1936000000, 6.4403000000, 6.6861000000, 6.9350000000, 7.1838000000, 7.4316000000", \
"0.1765000000, 0.1778000000, 0.1799000000, 0.1860000000, 0.2002000000, 0.2273000000, 0.2752000000, 0.3723000000, 0.5940000000, 0.8373000000, 1.0848000000, 1.3293000000, 1.5727000000, 1.8149000000, 2.0549000000, 2.2950000000, 2.5366000000, 2.7782000000, 3.0234000000, 3.2638000000, 3.5048000000, 3.7488000000, 3.9903000000, 4.2343000000, 4.4784000000, 4.7229000000, 4.9709000000, 5.2137000000, 5.4638000000, 5.7033000000, 5.9509000000, 6.2017000000, 6.4437000000, 6.6896000000, 6.9359000000, 7.1833000000, 7.4309000000", \
"0.1987000000, 0.1999000000, 0.2019000000, 0.2076000000, 0.2217000000, 0.2497000000, 0.2989000000, 0.3954000000, 0.6138000000, 0.8567000000, 1.1057000000, 1.3537000000, 1.5991000000, 1.8425000000, 2.0834000000, 2.3253000000, 2.5669000000, 2.8055000000, 3.0476000000, 3.2900000000, 3.5295000000, 3.7740000000, 4.0152000000, 4.2561000000, 4.5005000000, 4.7399000000, 4.9886000000, 5.2295000000, 5.4745000000, 5.7170000000, 5.9607000000, 6.2115000000, 6.4524000000, 6.7004000000, 6.9479000000, 7.1907000000, 7.4413000000", \
"0.2130000000, 0.2141000000, 0.2159000000, 0.2214000000, 0.2355000000, 0.2640000000, 0.3142000000, 0.4106000000, 0.6272000000, 0.8698000000, 1.1187000000, 1.3675000000, 1.6146000000, 1.8599000000, 2.1032000000, 2.3444000000, 2.5853000000, 2.8249000000, 3.0654000000, 3.3073000000, 3.5488000000, 3.7886000000, 4.0331000000, 4.2733000000, 4.5166000000, 4.7563000000, 5.0013000000, 5.2417000000, 5.4873000000, 5.7291000000, 5.9712000000, 6.2152000000, 6.4658000000, 6.7102000000, 6.9521000000, 7.1969000000, 7.4471000000", \
"0.2471000000, 0.2482000000, 0.2497000000, 0.2548000000, 0.2688000000, 0.2982000000, 0.3509000000, 0.4482000000, 0.6609000000, 0.9008000000, 1.1504000000, 1.4009000000, 1.6522000000, 1.9002000000, 2.1478000000, 2.3933000000, 2.6329000000, 2.8744000000, 3.1174000000, 3.3556000000, 3.5996000000, 3.8371000000, 4.0771000000, 4.3194000000, 4.5588000000, 4.7994000000, 5.0412000000, 5.2882000000, 5.5264000000, 5.7678000000, 6.0094000000, 6.2522000000, 6.4982000000, 6.7384000000, 6.9828000000, 7.2233000000, 7.4653000000", \
"0.2795000000, 0.2805000000, 0.2820000000, 0.2867000000, 0.3002000000, 0.3308000000, 0.3856000000, 0.4837000000, 0.6946000000, 0.9321000000, 1.1805000000, 1.4324000000, 1.6852000000, 1.9367000000, 2.1857000000, 2.4342000000, 2.6789000000, 2.9232000000, 3.1662000000, 3.4083000000, 3.6483000000, 3.8906000000, 4.1277000000, 4.3670000000, 4.6086000000, 4.8483000000, 5.0889000000, 5.3311000000, 5.5732000000, 5.8104000000, 6.0532000000, 6.3009000000, 6.5357000000, 6.7817000000, 7.0212000000, 7.2626000000, 7.5040000000");
}
timing_sense : "positive_unate";
}
}
}
cell ("sky130_fd_sc_hvl__buf_2") {
leakage_power () {
value : 4.8705173000;
when : "A";
}
leakage_power () {
value : 5.2591953000;
when : "!A";
}
area : 13.675200000;
cell_footprint : "sky130_fd_sc_hvl__buf";
cell_leakage_power : 5.0648560000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0028500000;
clock : "false";
direction : "input";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("X") {
direction : "output";
function : "(A)";
internal_power () {
fall_power ("pwr_template11x9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0084000000, 0.0168100000, 0.0336100000, 0.0672200000, 0.1344400000, 0.2688800000, 0.5377700000, 1.0377700000");
values("0.3718178000, 0.2033902000, 0.0615807000, -0.2067392000, -0.7262831000, -1.7506289000, -3.7893118000, -7.8600210000, -15.419672500", \
"0.3742078000, 0.2049522000, 0.0623418000, -0.2045202000, -0.7246709000, -1.7490526000, -3.7881896000, -7.8584834000, -15.421929500", \
"0.3726750000, 0.2041444000, 0.0606956000, -0.2047320000, -0.7250316000, -1.7501487000, -3.7886627000, -7.8588581000, -15.423242700", \
"0.3802998000, 0.2101172000, 0.0668151000, -0.1994734000, -0.7202429000, -1.7443561000, -3.7838088000, -7.8538035000, -15.418170500", \
"0.4492248000, 0.2740194000, 0.1257469000, -0.1486933000, -0.6739233000, -1.7032642000, -3.7457858000, -7.8175926000, -15.382453300", \
"0.5933086000, 0.4096657000, 0.2522243000, -0.0356375000, -0.5738700000, -1.6147996000, -3.6655338000, -7.7430398000, -15.311285700", \
"0.8970754000, 0.6950943000, 0.5191571000, 0.2109547000, -0.3507114000, -1.4161862000, -3.4865763000, -7.5778113000, -15.155488900", \
"1.1865231000, 0.9759181000, 0.7938480000, 0.4650546000, -0.1171506000, -1.2049374000, -3.2947238000, -7.4009722000, -14.987403300", \
"1.9501956000, 1.7289498000, 1.5318859000, 1.1794524000, 0.5486741000, -0.5957875000, -2.7375336000, -6.8871704000, -14.503692700", \
"2.3327685000, 2.1080164000, 1.9096671000, 1.5490831000, 0.9034701000, -0.2668688000, -2.4384286000, -6.6109178000, -14.245721500", \
"2.7173549000, 2.4916136000, 2.2888238000, 1.9211717000, 1.2591088000, 0.0675572000, -2.1329536000, -6.3313418000, -13.985381500");
}
related_pin : "A";
rise_power ("pwr_template11x9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0084000000, 0.0168100000, 0.0336100000, 0.0672200000, 0.1344400000, 0.2688800000, 0.5377700000, 1.0377700000");
values("0.2885414000, 0.3739919000, 0.4861596000, 0.7257181000, 1.2184106000, 2.2178611000, 4.2279157000, 8.247339300, 15.733916900", \
"0.2902910000, 0.3763984000, 0.4880579000, 0.7271980000, 1.2187033000, 2.2188463000, 4.2281607000, 8.251825200, 15.736158100", \
"0.2898447000, 0.3760985000, 0.4875387000, 0.7272299000, 1.2205682000, 2.2182621000, 4.2275292000, 8.245502100, 15.734307000", \
"0.2964252000, 0.3834014000, 0.4940944000, 0.7333215000, 1.2244444000, 2.2276053000, 4.2319248000, 8.253827500, 15.739358300", \
"0.3786575000, 0.4580362000, 0.5622319000, 0.7909063000, 1.2743420000, 2.2696842000, 4.2747854000, 8.287889900, 15.772161200", \
"0.5336437000, 0.5991577000, 0.6956082000, 0.9087329000, 1.3751238000, 2.3581598000, 4.3557465000, 8.369518800, 15.840064200", \
"0.8489505000, 0.9002984000, 0.9806777000, 1.1675913000, 1.6103638000, 2.5630211000, 4.5276798000, 8.528377500, 15.995002400", \
"1.1471413000, 1.1922374000, 1.2612971000, 1.4450135000, 1.8546863000, 2.7841517000, 4.7272365000, 8.712610000, 16.167183800", \
"1.9482842000, 1.9861955000, 2.0418719000, 2.1912893000, 2.5701626000, 3.4278573000, 5.3095403000, 9.235672200, 16.645821700", \
"2.3513370000, 2.3863002000, 2.4397663000, 2.5779528000, 2.9418900000, 3.7905430000, 5.6269754000, 9.525882600, 16.909600900", \
"2.7459608000, 2.7812349000, 2.8296144000, 2.9622954000, 3.3103290000, 4.1444699000, 5.9426754000, 9.814708500, 17.177085400");
}
}
max_capacitance : 1.0377700000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0084000000, 0.0168100000, 0.0336100000, 0.0672200000, 0.1344400000, 0.2688800000, 0.5377700000, 1.0377700000");
values("0.1184700000, 0.1535900000, 0.1802200000, 0.2272700000, 0.3152700000, 0.4880400000, 0.8346200000, 1.5286700000, 2.8204700000", \
"0.1214600000, 0.1563400000, 0.1829500000, 0.2300100000, 0.3180000000, 0.4908100000, 0.8373100000, 1.5319700000, 2.8239700000", \
"0.1238400000, 0.1586600000, 0.1852600000, 0.2323300000, 0.3203300000, 0.4931200000, 0.8396800000, 1.5344300000, 2.8265300000", \
"0.1356900000, 0.1704000000, 0.1969000000, 0.2438900000, 0.3318500000, 0.5046300000, 0.8512400000, 1.5454000000, 2.8375000000", \
"0.1816500000, 0.2157200000, 0.2414700000, 0.2874000000, 0.3742900000, 0.5461600000, 0.8919000000, 1.5857000000, 2.8776000000", \
"0.2388200000, 0.2746600000, 0.3010300000, 0.3468000000, 0.4324000000, 0.6019600000, 0.9460000000, 1.6390000000, 2.9292000000", \
"0.3247000000, 0.3647000000, 0.3934000000, 0.4418000000, 0.5283000000, 0.6960000000, 1.0359000000, 1.7255000000, 3.0151000000", \
"0.3929000000, 0.4360000000, 0.4668000000, 0.5179000000, 0.6074000000, 0.7777000000, 1.1159000000, 1.8020000000, 3.0898000000", \
"0.5447700000, 0.5936700000, 0.6289700000, 0.6863700000, 0.7833700000, 0.9615700000, 1.3121700000, 1.9969700000, 3.2769700000", \
"0.6122300000, 0.6632300000, 0.7004300000, 0.7605300000, 0.8609300000, 1.0426300000, 1.3991300000, 2.0927300000, 3.3698300000", \
"0.6751000000, 0.7280000000, 0.7667000000, 0.8294000000, 0.9330000000, 1.1182000000, 1.4793000000, 2.1845000000, 3.4608000000");
}
cell_rise ("delay_template11x9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0084000000, 0.0168100000, 0.0336100000, 0.0672200000, 0.1344400000, 0.2688800000, 0.5377700000, 1.0377700000");
values("0.1088500000, 0.1390000000, 0.1615400000, 0.2027300000, 0.2813700000, 0.4376700000, 0.7514000000, 1.3784700000, 2.5464700000", \
"0.1107600000, 0.1407400000, 0.1632700000, 0.2044600000, 0.2831200000, 0.4394000000, 0.7528800000, 1.3807700000, 2.5479700000", \
"0.1122800000, 0.1422600000, 0.1647800000, 0.2059500000, 0.2846400000, 0.4408900000, 0.7543700000, 1.3818300000, 2.5481300000", \
"0.1208000000, 0.1507300000, 0.1731800000, 0.2142800000, 0.2929100000, 0.4491700000, 0.7624000000, 1.3899000000, 2.5568000000", \
"0.1534300000, 0.1840600000, 0.2061000000, 0.2461400000, 0.3234700000, 0.4786000000, 0.7914600000, 1.4185000000, 2.5858000000", \
"0.1835200000, 0.2177000000, 0.2409900000, 0.2810700000, 0.3572500000, 0.5101500000, 0.8209000000, 1.4475000000, 2.6135000000", \
"0.2137300000, 0.2534600000, 0.2801000000, 0.3227000000, 0.3996000000, 0.5509000000, 0.8577000000, 1.4806000000, 2.6449000000", \
"0.2275000000, 0.2711000000, 0.3005000000, 0.3464000000, 0.4247000000, 0.5771000000, 0.8838000000, 1.5032000000, 2.6652000000", \
"0.2319700000, 0.2825700000, 0.3174700000, 0.3712700000, 0.4560700000, 0.6110700000, 0.9235700000, 1.5459700000, 2.7014700000", \
"0.2242300000, 0.2774300000, 0.3145300000, 0.3717300000, 0.4604300000, 0.6168300000, 0.9313300000, 1.5600300000, 2.7148300000", \
"0.2126000000, 0.2680000000, 0.3070000000, 0.3671000000, 0.4597000000, 0.6179000000, 0.9336000000, 1.5678000000, 2.7266000000");
}
fall_transition ("delay_template11x9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0084000000, 0.0168100000, 0.0336100000, 0.0672200000, 0.1344400000, 0.2688800000, 0.5377700000, 1.0377700000");
values("0.0358000000, 0.0654900000, 0.0902600000, 0.1413600000, 0.2487700000, 0.4726800000, 0.9293200000, 1.8448100000, 3.5488000000", \
"0.0358100000, 0.0654800000, 0.0902700000, 0.1412700000, 0.2487900000, 0.4727100000, 0.9293800000, 1.8446800000, 3.5465000000", \
"0.0358100000, 0.0654900000, 0.0902800000, 0.1413800000, 0.2487900000, 0.4726700000, 0.9299900000, 1.8448700000, 3.5481000000", \
"0.0358200000, 0.0655500000, 0.0903600000, 0.1414000000, 0.2488200000, 0.4726700000, 0.9293400000, 1.8448400000, 3.5513000000", \
"0.0393400000, 0.0685200000, 0.0928100000, 0.1430200000, 0.2495700000, 0.4727300000, 0.9294300000, 1.8444700000, 3.5485000000", \
"0.0509000000, 0.0803800000, 0.1037600000, 0.1512600000, 0.2536800000, 0.4744200000, 0.9294900000, 1.8450000000, 3.5461000000", \
"0.0731000000, 0.1048000000, 0.1285000000, 0.1733000000, 0.2697000000, 0.4815000000, 0.9312000000, 1.8447000000, 3.5484000000", \
"0.0921000000, 0.1256000000, 0.1504000000, 0.1954000000, 0.2902000000, 0.4964000000, 0.9362000000, 1.8443000000, 3.5466000000", \
"0.1364000000, 0.1738000000, 0.2016000000, 0.2491000000, 0.3421000000, 0.5474000000, 0.9797000000, 1.8616000000, 3.5465000000", \
"0.1569000000, 0.1954000000, 0.2247000000, 0.2737000000, 0.3672000000, 0.5714000000, 1.0072000000, 1.8829000000, 3.5505000000", \
"0.1763000000, 0.2158000000, 0.2464000000, 0.2971000000, 0.3914000000, 0.5944000000, 1.0335000000, 1.9079000000, 3.5630000000");
}
related_pin : "A";
rise_transition ("delay_template11x9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0084000000, 0.0168100000, 0.0336100000, 0.0672200000, 0.1344400000, 0.2688800000, 0.5377700000, 1.0377700000");
values("0.0338500000, 0.0602200000, 0.0863900000, 0.1416500000, 0.2572600000, 0.4953900000, 0.9755300000, 1.9381200000, 3.7271000000", \
"0.0338800000, 0.0601900000, 0.0863200000, 0.1416000000, 0.2571800000, 0.4952000000, 0.9755300000, 1.9394600000, 3.7261000000", \
"0.0338300000, 0.0602100000, 0.0863900000, 0.1416100000, 0.2571600000, 0.4953300000, 0.9757000000, 1.9379800000, 3.7273000000", \
"0.0338400000, 0.0602700000, 0.0864900000, 0.1416800000, 0.2571200000, 0.4950900000, 0.9754800000, 1.9371000000, 3.7274000000", \
"0.0394500000, 0.0648700000, 0.0898600000, 0.1435200000, 0.2581000000, 0.4953300000, 0.9758500000, 1.9379600000, 3.7269000000", \
"0.0528300000, 0.0781300000, 0.1012000000, 0.1515200000, 0.2619700000, 0.4964000000, 0.9757000000, 1.9392000000, 3.7253000000", \
"0.0759100000, 0.1023200000, 0.1246800000, 0.1711700000, 0.2755100000, 0.5031000000, 0.9770000000, 1.9371000000, 3.7243000000", \
"0.0956000000, 0.1227000000, 0.1458000000, 0.1904000000, 0.2912000000, 0.5151000000, 0.9845000000, 1.9387000000, 3.7284000000", \
"0.1425000000, 0.1691000000, 0.1954000000, 0.2397000000, 0.3331000000, 0.5506000000, 1.0159000000, 1.9608000000, 3.7307000000", \
"0.1639000000, 0.1901000000, 0.2176000000, 0.2632000000, 0.3541000000, 0.5673000000, 1.0338000000, 1.9753000000, 3.7366000000", \
"0.1842000000, 0.2096000000, 0.2383000000, 0.2854000000, 0.3748000000, 0.5842000000, 1.0495000000, 1.9940000000, 3.7506000000");
}
timing_sense : "positive_unate";
}
}
}
cell ("sky130_fd_sc_hvl__buf_32") {
leakage_power () {
value : 92.91047580;
when : "A";
}
leakage_power () {
value : 43.768825000;
when : "!A";
}
area : 136.75200000;
cell_footprint : "sky130_fd_sc_hvl__buf";
cell_leakage_power : 68.33965000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0447100000;
clock : "false";
direction : "input";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("X") {
direction : "output";
function : "(A)";
internal_power () {
fall_power ("power_outputs_2") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.5000000000, 6.7500000000, 8.500000000, 10.000000000, 12.000000000");
index_2("0.0000000000, 0.0071500000, 0.0143100000, 0.0286100000, 0.0572200000, 0.1144500000, 0.2288900000, 0.4577900000, 0.9155800000, 1.4155800000, 1.9155800000, 2.4155800000, 2.9155800000, 3.4155800000, 3.9155800000, 4.4155800000, 4.9155800000, 5.4155800000, 5.9155800000, 6.4155800000, 6.9155800000, 7.4155800000, 7.9155800000, 8.415580000, 8.915580000, 9.415580000, 9.915580000, 10.415580000, 11.415580000, 12.415580000, 13.415580000, 14.415580000, 15.415580000, 16.415580000, 17.415580000, 18.415580000, 19.415580000, 20.415580000, 21.915580000, 23.415580000, 24.915580000, 26.415580000, 27.915580000, 29.415580000, 30.915580000, 32.915580000, 34.915580000, 36.915580000, 38.915580000, 40.915580000, 43.415580000, 46.415580000");
values("6.0406660000, 5.8786272000, 5.7174523000, 5.4084540000, 4.8289543000, 3.7367415000, 1.7683820000, -1.8787296000, -8.949284500, -16.584478000, -24.178643500, -31.765920600, -39.350628800, -46.927872900, -54.494994200, -62.065808900, -69.63359660, -77.20198640, -84.76945400, -92.33582400, -99.90187080, -107.46670100, -115.03282810, -122.59740180, -130.16629420, -137.72953650, -145.29338720, -152.85154930, -167.98314200, -183.11055410, -198.23748190, -213.36400700, -228.49093640, -243.62346900, -258.74531860, -273.86108810, -288.99301340, -304.11880000, -326.81375900, -349.50113900, -372.19040820, -394.87934290, -417.55961700, -440.24741470, -462.92918900, -493.18173890, -523.4404178, -553.6972222, -583.9482408, -614.1995477, -651.9883969, -697.3658308", \
"6.0289867000, 5.8761066000, 5.7236362000, 5.4048617000, 4.8316685000, 3.7452870000, 1.7790923000, -1.8717065000, -8.948481000, -16.580571100, -24.172250200, -31.758081900, -39.328755200, -46.917472300, -54.482095700, -62.064464400, -69.62761560, -77.19742200, -84.76352180, -92.32926960, -99.89485010, -107.46566870, -115.02352600, -122.59143760, -130.14944750, -137.72325030, -145.29068210, -152.84440370, -167.98043640, -183.08282960, -198.23738480, -213.36227460, -228.47746010, -243.60049060, -258.73210750, -273.85681460, -288.98826800, -304.10872840, -326.82036530, -349.49489660, -372.18297030, -394.87068880, -417.55851180, -440.24666390, -462.93460260, -493.18523520, -523.4355416, -553.6858975, -583.9363113, -614.1866140, -651.9995629, -697.3749358", \
"6.0432840000, 5.8845276000, 5.7210329000, 5.4089217000, 4.8182488000, 3.7401092000, 1.7798364000, -1.8766171000, -8.953643000, -16.573298200, -24.163751000, -31.760914100, -39.330116600, -46.915790400, -54.490683000, -62.066582000, -69.63026740, -77.20799130, -84.76437650, -92.33242060, -99.89239500, -107.46587710, -115.02639960, -122.59354820, -130.15731660, -137.72034900, -145.28416230, -152.84898650, -167.97891200, -183.10130070, -198.22052770, -213.35805450, -228.47790830, -243.59752020, -258.74099140, -273.87680030, -288.99037630, -304.11031220, -326.80651740, -349.48851420, -372.17325770, -394.88356330, -417.57541230, -440.26467860, -462.92013950, -493.16681090, -523.4206095, -553.6957781, -583.9393691, -614.1895580, -652.0027259, -697.3603949", \
"6.1240567000, 5.9718599000, 5.8194360000, 5.5090323000, 4.9197114000, 3.8244499000, 1.8655696000, -1.8100524000, -8.857319200, -16.525031100, -24.107299300, -31.693850700, -39.277794100, -46.844162400, -54.418978800, -61.989689300, -69.55989820, -77.12748930, -84.69658860, -92.25648640, -99.83153730, -107.39968570, -114.96472380, -122.52403900, -130.07992570, -137.66015220, -145.22256270, -152.77839160, -167.89712110, -183.03792470, -198.14532690, -213.27586450, -228.42024700, -243.54492780, -258.66847050, -273.79359620, -288.91777650, -304.04761830, -326.72206390, -349.41077670, -372.10128860, -394.78811810, -417.48268230, -440.17761270, -462.87842830, -493.13222880, -523.3785340, -553.6308350, -583.8824711, -614.1054666, -651.9213532, -697.3007706", \
"7.1773497000, 7.0096605000, 6.8372360000, 6.5371350000, 5.9288707000, 4.8014716000, 2.7564141000, -0.9880745000, -8.151772800, -15.832049700, -23.459282300, -31.065087100, -38.659165500, -46.242304200, -53.818431400, -61.394652800, -68.97336110, -76.55037680, -84.11793300, -91.67307240, -99.25720490, -106.82789380, -114.39692230, -121.96082240, -129.52276010, -137.08544790, -144.65009100, -152.21141620, -167.33863840, -182.47831880, -197.59986260, -212.72960610, -227.85925430, -242.98455960, -258.11195830, -273.23882930, -288.36537040, -303.49229620, -326.18283840, -348.87005570, -371.55793370, -394.24695390, -416.93100200, -439.62635060, -462.31739370, -492.57130070, -522.8233235, -553.0774582, -583.3177731, -613.5646878, -651.3824667, -696.7516686", \
" 9.341149400, 9.166472200, 8.982609600, 8.650175600, 8.016491100, 6.8313926000, 4.6689365000, 0.7202187000, -6.6228735000, -14.415235400, -22.107909400, -29.757574900, -37.380668000, -44.994067800, -52.595396600, -60.188049300, -67.77169860, -75.35485310, -82.93555410, -90.51453260, -98.09306270, -105.66786440, -113.23361760, -120.80726680, -128.37663040, -135.94714210, -143.51535300, -151.08213420, -166.21788700, -181.35082580, -196.48460770, -211.61568310, -226.74464030, -241.87876730, -257.00364470, -272.13579070, -287.25902580, -302.39164200, -325.08168970, -347.77472650, -370.46627530, -393.15601480, -415.84733730, -438.53346660, -461.22248250, -491.47881140, -521.7272252, -551.9799173, -582.2365471, -612.4891209, -650.2994102, -695.6791665", \
"13.950540800, 13.773328400, 13.587516900, 13.213750800, 12.540299100, 11.232497100, 8.819757000, 4.5052412000, -3.1602970000, -11.179663900, -19.026470000, -26.780300100, -34.473812700, -42.149693200, -49.797458100, -57.430991100, -65.05044430, -72.66842050, -80.26583430, -87.86352270, -95.45700440, -103.04692620, -110.63525190, -118.21634040, -125.79948190, -133.38032160, -140.95838260, -148.53585130, -163.68363810, -178.83068830, -193.97575330, -209.11766710, -224.25789340, -239.39762840, -254.53346780, -269.66569700, -284.80058930, -299.93667480, -322.63479900, -345.33363940, -368.02926540, -390.72550050, -413.42009730, -436.11297320, -458.80548640, -489.06200630, -519.3208597, -549.5736035, -579.8294042, -610.0832592, -647.9051978, -693.2861180", \
"18.312995300, 18.120428900, 17.930898200, 17.558643900, 16.838509900, 15.480098200, 12.978409300, 8.456527300, 0.4082634000, -7.8000064000, -15.795424100, -23.650596800, -31.417260100, -39.147274400, -46.846623200, -54.517282500, -62.172974600, -69.81367330, -77.44400720, -85.06333090, -92.67910570, -100.28652860, -107.89084200, -115.48822920, -123.08361160, -130.67778700, -138.26792440, -145.85474280, -161.02368150, -176.18465080, -191.34463490, -206.49770590, -221.64814650, -236.79594300, -251.94030460, -267.07891770, -282.22829930, -297.36724330, -320.07319360, -342.77975020, -365.48259330, -388.18371240, -410.88394410, -433.57990010, -456.27707310, -486.54156440, -516.8016371, -547.0627097, -577.3198959, -607.5833536, -645.4073394, -690.7856624", \
"29.953192700, 29.755451500, 29.560111300, 29.167224200, 28.449241200, 27.028899900, 24.350072300, 19.525596400, 10.811849600, 2.0658848000, -6.3200699000, -14.403429500, -22.417254700, -30.326509700, -38.167819300, -45.955312400, -53.716468600, -61.449387500, -69.17007910, -76.86289800, -84.53967280, -92.21320190, -99.86495600, -107.51446140, -115.15348930, -122.78516940, -130.39567830, -138.01675060, -153.25861460, -168.47066830, -183.68012410, -198.87365190, -214.05927180, -229.23406380, -244.41148930, -259.57980450, -274.74325770, -289.91631350, -312.65346220, -335.38466550, -358.11126700, -380.84205240, -403.56016200, -426.27593700, -448.99068450, -479.26532890, -509.54344290, -539.8194424, -570.0966123, -600.3644550, -638.2012792, -683.6020727", \
"35.704298900, 35.499001300, 35.300403200, 34.919704300, 34.173190300, 32.722017800, 30.007567600, 25.060341000, 16.152140400, 7.1730248000, -1.3340287000, -9.631006900, -17.722690600, -25.702539300, -33.610558200, -41.472147300, -49.278892800, -57.059900800, -64.79261610, -72.52062370, -80.23257290, -87.94184930, -95.62416330, -103.29568430, -110.95714060, -118.61034390, -126.25579910, -133.88502970, -149.14841790, -164.38747740, -179.63378070, -194.86015700, -210.06700820, -225.25596980, -240.44824420, -255.63171380, -270.81176520, -285.98487660, -308.74880890, -331.49466720, -354.23478620, -376.96671020, -399.69747520, -422.42379760, -445.14656630, -475.43350570, -505.72016940, -536.0054439, -566.2919952, -596.5699429, -634.4129176, -679.8258712", \
"41.424891200, 41.223909600, 41.025152000, 40.657491200, 39.888602800, 38.404654400, 35.654808900, 30.612099600, 21.528754400, 12.399158900, 3.6984920000, -4.6999558000, -12.924627600, -21.002716200, -28.951916100, -36.876834400, -44.750357500, -52.580159800, -60.375292400, -68.14173910, -75.89271930, -83.61125290, -91.30754660, -99.00489010, -106.69181890, -114.36713030, -122.03334540, -129.69297950, -145.00818930, -160.28152760, -175.53789340, -190.77728350, -206.00609000, -221.22387680, -236.43429140, -251.63396810, -266.82898060, -282.01599920, -304.79066590, -327.53516710, -350.28267080, -373.04226810, -395.78563320, -418.52447030, -441.25745570, -471.56232640, -501.86186760, -532.1561338, -562.4451291, -592.7325612, -630.5857624, -676.0147489", \
"48.221656500, 48.034049600, 47.832325600, 47.428523600, 46.659031500, 45.205468500, 42.480721000, 37.332943000, 28.087560700, 18.777938200, 9.934930300, 1.4115983000, -6.9482395000, -15.141040500, -23.259940200, -31.242426200, -39.166552500, -47.048887800, -54.914632100, -62.730046700, -70.52235440, -78.28416670, -86.02675080, -93.75352200, -101.47893790, -109.17095700, -116.86002270, -124.56730170, -139.90907290, -155.21454900, -170.50581910, -185.76689040, -201.02217350, -216.26442550, -231.49643230, -246.73198530, -261.94570080, -277.14984660, -299.94908240, -322.73710140, -345.52174050, -368.28938830, -391.04871150, -413.80273460, -436.55028860, -466.87175280, -497.18933930, -527.4985396, -557.8020729, -588.0993426, -625.9659703, -671.3995644", \
"57.288922900, 57.106152400, 56.888902600, 56.492407300, 55.719990400, 54.205760700, 51.397607700, 46.176079600, 36.708520900, 27.207716300, 18.201816100, 9.443946600, 0.9625939000, -7.3724966000, -15.567204500, -23.675541400, -31.709861200, -39.669224300, -47.573799600, -55.456307700, -63.310380300, -71.13875760, -78.94030970, -86.71119760, -94.46937240, -102.21028970, -109.94162810, -117.65185590, -133.04615340, -148.43076050, -163.76914370, -179.08399230, -194.37122330, -209.63679030, -224.90060490, -240.15153370, -255.40563580, -270.63786890, -293.47041170, -316.28793600, -339.10187860, -361.89442930, -384.67778560, -407.45362550, -430.22144030, -460.56763820, -490.90445660, -521.2327455, -551.5549416, -581.8704711, -619.7573522, -665.2100018", \
" 68.35421040, 68.13557280, 67.93940340, 67.54809140, 66.76714660, 65.25177550, 62.411561300, 57.084176900, 47.446681300, 37.717113400, 28.514136500, 19.605967200, 10.963804600, 2.4680044000, -5.8637980000, -14.083232700, -22.220460600, -30.296099700, -38.311812800, -46.276603800, -54.176318900, -62.054759100, -69.91391140, -77.74544600, -85.57247710, -93.37067750, -101.15263210, -108.90492550, -124.38269710, -139.81877790, -155.21407610, -170.57545450, -185.91215960, -201.26652930, -216.57946100, -231.87112870, -247.13905770, -262.40651150, -285.28745410, -308.13251530, -330.98246340, -353.80734430, -376.62270550, -399.42624290, -422.21945160, -452.59865470, -482.96385770, -513.3175301, -543.6615345, -573.9990957, -611.9122474, -657.3936451", \
" 83.80133950, 83.60469820, 83.40208940, 83.00423400, 82.22437870, 80.70156210, 77.81179030, 72.39135540, 62.513395800, 52.595239300, 43.155269600, 34.050726100, 25.212535500, 16.585993300, 8.012621300, -0.3689953000, -8.639676300, -16.834040800, -25.011430100, -33.082250100, -41.116608000, -49.103077200, -57.057922300, -64.96608830, -72.84260730, -80.69604640, -88.54003670, -96.36577060, -111.97725470, -127.51704080, -143.01771130, -158.47391890, -173.89649650, -189.28685180, -204.65083960, -219.99373450, -235.34523240, -250.65925890, -273.61131780, -296.53040180, -319.39316830, -342.28471770, -365.15622520, -388.00558760, -410.83837960, -441.26665440, -471.67688440, -502.07295750, -532.4568206, -562.8291940, -600.7807473, -646.3058380", \
" 96.98882660, 96.80310710, 96.60150450, 96.20206710, 95.41618880, 93.89482940, 90.94520960, 85.48084460, 75.51247120, 65.41169680, 55.801779800, 46.572939900, 37.507930700, 28.722668300, 20.085499200, 11.537688300, 3.1529603000, -5.1629201000, -13.431660400, -21.596426100, -29.705307700, -37.770890600, -45.797175100, -53.790902300, -61.748682600, -69.68488290, -77.58825770, -85.45195930, -101.13368040, -116.77501800, -132.35666500, -147.89443160, -163.39524150, -178.84881090, -194.27832700, -209.68259180, -225.05951360, -240.41428590, -263.41450020, -286.41029880, -309.35605470, -332.28044010, -355.19283150, -378.08002760, -400.95286830, -431.38930090, -461.85476430, -492.28991180, -522.7078109, -553.1127668, -591.1020718, -636.6672938", \
"114.55654890, 114.35889450, 114.16373810, 113.75244210, 112.96528010, 111.43498340, 108.47655130, 102.89770840, 92.76346080, 82.43341140, 72.64311090, 63.254808300, 54.076910300, 45.151175100, 36.351221300, 27.664459900, 19.086365100, 10.657846800, 2.2946694000, -6.0249486000, -14.255070400, -22.421766900, -30.540371700, -38.625334900, -46.667703800, -54.682816700, -62.668491300, -70.62903320, -86.47019490, -102.22716550, -117.89446640, -133.53459550, -149.13167610, -164.68600130, -180.20404550, -195.68885190, -211.13422210, -226.56336540, -249.66742370, -272.72306770, -295.73746440, -318.72193630, -341.71066070, -364.65408260, -387.57749820, -418.11709790, -448.63046680, -479.12018980, -509.59003390, -540.0369581, -578.0813912, -623.7074504");
}
related_pin : "A";
rise_power ("power_outputs_2") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.5000000000, 6.7500000000, 8.500000000, 10.000000000, 12.000000000");
index_2("0.0000000000, 0.0071500000, 0.0143100000, 0.0286100000, 0.0572200000, 0.1144500000, 0.2288900000, 0.4577900000, 0.9155800000, 1.4155800000, 1.9155800000, 2.4155800000, 2.9155800000, 3.4155800000, 3.9155800000, 4.4155800000, 4.9155800000, 5.4155800000, 5.9155800000, 6.4155800000, 6.9155800000, 7.4155800000, 7.9155800000, 8.415580000, 8.915580000, 9.415580000, 9.915580000, 10.415580000, 11.415580000, 12.415580000, 13.415580000, 14.415580000, 15.415580000, 16.415580000, 17.415580000, 18.415580000, 19.415580000, 20.415580000, 21.915580000, 23.415580000, 24.915580000, 26.415580000, 27.915580000, 29.415580000, 30.915580000, 32.915580000, 34.915580000, 36.915580000, 38.915580000, 40.915580000, 43.415580000, 46.415580000");
values("4.6273234000, 4.6775012000, 4.7399702000, 4.8705187000, 5.1356413000, 5.7458742000, 7.2241928000, 10.476424700, 17.182176500, 24.614289800, 32.052280600, 39.527289900, 47.085094200, 54.484015900, 61.996238000, 69.47024860, 76.89268290, 84.39341390, 91.86602310, 99.41293310, 106.81187930, 114.34651400, 121.80867360, 129.29169640, 136.76925360, 144.27641010, 151.76626220, 159.25164620, 174.19485100, 189.20673630, 204.16436080, 219.08043630, 234.23767720, 249.04576780, 263.99688440, 279.02082850, 294.00874730, 308.98128990, 331.42347850, 354.09692640, 376.21110120, 398.65059790, 421.09847780, 443.66226060, 466.15315930, 496.09663470, 526.0023072, 556.2169017, 586.0810552, 616.1033538, 652.9937022, 698.0100263", \
"4.6822833000, 4.7391999000, 4.7959848000, 4.9241849000, 5.1955146000, 5.8140721000, 7.2943297000, 10.543877900, 17.285563400, 24.723184600, 32.152970500, 39.602899200, 47.071653700, 54.621470900, 62.094839300, 69.58079830, 77.04698910, 84.54307160, 92.02306330, 99.50903630, 107.00601400, 114.47715210, 121.96614350, 129.46418270, 136.94540270, 144.43929280, 151.90205600, 159.41438880, 174.35737320, 189.36873070, 204.32363570, 219.29871780, 234.29221540, 249.21385640, 264.24657090, 279.17512290, 294.19779030, 309.14460480, 331.63805660, 354.04530290, 376.55107790, 399.01358320, 421.42926720, 443.96340720, 466.34950470, 496.33810900, 526.1933258, 556.2585888, 586.2239347, 616.0344668, 653.5474769, 698.5852264", \
"4.6865423000, 4.7421645000, 4.8028749000, 4.9297154000, 5.1935175000, 5.8158840000, 7.2792492000, 10.536505900, 17.274781700, 24.666994800, 32.188430900, 39.647141000, 47.069747800, 54.631427700, 62.006833600, 69.53300960, 77.02359750, 84.45313020, 91.93007440, 99.39426690, 106.93588240, 114.38798090, 121.83938310, 129.34286260, 136.81685380, 144.34898980, 151.81727640, 159.29405540, 174.25883920, 189.27869160, 204.22510320, 219.23954160, 234.16401270, 249.17849350, 264.15966850, 279.01411330, 294.04641120, 309.02455970, 331.39797250, 353.93488910, 376.46489650, 398.77774630, 421.26464700, 443.79809390, 466.26529120, 495.98062080, 525.9970920, 556.1128717, 585.8995299, 615.8190801, 653.3207691, 698.2468293", \
"4.7751240000, 4.8276557000, 4.8906689000, 5.0146543000, 5.2835259000, 5.9040310000, 7.3664351000, 10.613475000, 17.307902600, 24.715511600, 32.187349900, 39.653810600, 47.149057700, 54.607508000, 62.154601400, 69.55102790, 77.06914940, 84.58510510, 92.07765670, 99.61415760, 107.00379860, 114.42347150, 121.92051070, 129.39765180, 136.93919660, 144.42650900, 151.88984140, 159.37887430, 174.31387780, 189.34473330, 204.29823660, 219.22341620, 234.22276930, 249.33830730, 264.11672910, 279.10395440, 294.12207020, 309.11290370, 331.54349080, 353.88775900, 376.30150020, 398.88662680, 421.37788660, 443.83481320, 466.27888910, 496.41670910, 526.3924920, 556.3138199, 585.8052707, 615.7139430, 653.2486580, 698.2323425", \
"5.8979261000, 5.9530602000, 6.0062064000, 6.1207041000, 6.3756104000, 6.9534266000, 8.348874500, 11.463122100, 18.051426300, 25.402471200, 32.838620700, 40.285413400, 47.754070400, 55.221222500, 62.676358600, 70.16906190, 77.69010420, 85.09438560, 92.55916000, 100.01884170, 107.53468670, 115.02895190, 122.48810650, 129.93597710, 137.42983940, 144.93867710, 152.43416250, 159.92089190, 174.88168050, 189.86438110, 204.88476240, 219.80626080, 234.72236470, 249.72277240, 264.84125270, 279.60751660, 294.59030240, 309.62651470, 332.08566140, 354.44008770, 376.85024850, 399.32438330, 421.87850750, 444.33568370, 466.65563700, 496.59100980, 526.4517773, 556.5764914, 586.5716468, 616.4643854, 653.7470853, 698.5655192", \
" 8.085729900, 8.128400600, 8.173102600, 8.266978700, 8.484504800, 9.012255300, 10.265564100, 13.167674500, 19.531661500, 26.814755200, 34.120388400, 41.532809000, 49.033579200, 56.397181500, 63.851353800, 71.34230170, 78.83244500, 86.17801740, 93.65782780, 101.12616200, 108.62994030, 116.12723750, 123.56662380, 131.01484120, 138.54738090, 145.97539480, 153.52219940, 160.98440710, 175.93328860, 191.01434080, 205.86252510, 220.84982000, 235.75833250, 250.75518720, 265.69220200, 280.63299530, 295.67151060, 310.64827170, 333.03936220, 355.42992820, 377.93711840, 400.44522490, 422.90892380, 445.26593190, 467.65616500, 497.65304030, 527.6882733, 557.6324147, 587.4192959, 617.2689063, 654.7821938, 699.8090092", \
"12.793069500, 12.822382000, 12.840385000, 12.922881500, 13.093272100, 13.513818500, 14.570699600, 17.104236600, 23.171216400, 30.172879500, 37.337082200, 44.559249300, 51.967169800, 59.265501800, 66.65562060, 74.05788390, 81.56166090, 88.97364450, 96.38848760, 103.80184440, 111.25046620, 118.75505560, 126.12594800, 133.61522960, 141.21851850, 148.56330660, 156.06371850, 163.52113860, 178.45408790, 193.51811260, 208.38067350, 223.31999360, 238.22370410, 253.32974920, 268.14722100, 283.09392540, 298.10374190, 313.06923440, 335.66718680, 357.85052750, 380.29677050, 402.83304780, 425.29014060, 447.61259150, 470.40350110, 499.96628220, 529.8657404, 559.8222615, 589.8460590, 619.8354409, 657.2669636, 702.1512293", \
"17.258465900, 17.289147900, 17.332420600, 17.382071900, 17.528919700, 17.897224000, 18.847682500, 21.307836100, 26.884635400, 33.733711900, 40.795268000, 47.952111400, 55.127795400, 62.462372300, 69.72226220, 77.15441630, 84.48797670, 91.82066360, 99.26076990, 106.73566120, 114.10227190, 121.52662790, 128.99217880, 136.41297600, 143.88083070, 151.35529180, 158.87953330, 166.27121280, 181.13123650, 196.10263920, 211.02468110, 225.96751170, 240.84950360, 255.95291180, 270.93217060, 285.69280050, 300.63892100, 315.63869040, 338.08590190, 360.67280780, 383.12645980, 405.27244140, 427.67805080, 450.20922140, 472.71219310, 502.62091840, 532.7960419, 562.7250303, 592.2334660, 622.1123860, 659.6135182, 704.6417210", \
"29.081095300, 29.102479300, 29.132758900, 29.196194200, 29.313610700, 29.611056800, 30.387997800, 32.437729800, 37.595933500, 43.966632600, 50.469977600, 57.353745400, 64.50563830, 71.56804060, 78.83754830, 86.08895180, 93.34722510, 100.62225640, 107.91144180, 115.21967590, 122.55120970, 129.88891990, 137.22682390, 144.64686940, 152.03589920, 159.39388580, 166.82471430, 174.25881880, 189.11027830, 204.05043100, 218.93774900, 233.67370330, 248.55074140, 263.64587770, 278.34630780, 293.32734090, 308.40077530, 323.08369120, 345.56434590, 367.88926800, 390.31016880, 412.78138770, 435.10148030, 457.46628910, 479.97256310, 510.16045230, 539.7077471, 569.5264379, 599.6258945, 629.5466094, 666.7991675, 711.6827131", \
"35.026802900, 35.050433300, 35.076884300, 35.122611700, 35.269851500, 35.534664000, 36.273007400, 38.218708000, 43.107811000, 49.283495900, 55.906042200, 62.490563800, 69.40256560, 76.37458820, 83.47205300, 90.67216430, 97.89754770, 105.13929280, 112.36491140, 119.71742930, 127.04373110, 134.38214800, 141.65704790, 149.08160380, 156.36212350, 163.77643840, 171.17230960, 178.52170600, 193.31779110, 208.07223230, 222.98393400, 237.83801470, 252.70236610, 267.53932550, 282.45183090, 297.30910620, 312.42358870, 327.11954890, 349.54286230, 371.85712660, 394.27078210, 416.73442410, 438.99562200, 461.45157570, 483.92620850, 513.7039857, 543.5800163, 573.5892136, 603.3827000, 633.1880683, 670.7465403, 715.5021860", \
"40.915476200, 40.939401500, 40.959725500, 41.005612400, 41.141878400, 41.405334300, 42.098404900, 43.903967600, 48.628292700, 54.701247300, 61.124553600, 67.79643200, 74.31582050, 81.22118170, 88.25182400, 95.40873310, 102.49926600, 109.70438770, 117.03366700, 124.32394170, 131.59054170, 138.87358710, 146.17557530, 153.50695770, 160.87464210, 168.18852510, 175.55512790, 182.94873650, 197.66601120, 212.45403480, 227.26150160, 242.06047440, 256.86032390, 271.76214370, 286.57814610, 301.44596710, 316.35752950, 331.18579940, 353.55013410, 375.87902380, 398.26325920, 420.59886500, 442.91840620, 465.45726290, 487.75878650, 517.5796971, 547.5894487, 577.3766618, 607.1757018, 637.2456820, 674.4919644, 719.2493380", \
"47.915647500, 47.908524700, 47.935558700, 48.005284100, 48.120955500, 48.408277700, 49.028437900, 50.774496100, 55.275024500, 61.112004700, 67.47769740, 74.07689770, 80.77483160, 87.36858490, 94.16857690, 101.24542580, 108.35421430, 115.46701980, 122.61973930, 129.83504710, 137.02919150, 144.26795290, 151.66579430, 158.96460420, 166.23443900, 173.57428500, 180.88553030, 188.24780300, 202.97143310, 217.66374370, 232.36838990, 247.20760810, 262.03890100, 276.79214960, 291.77509790, 306.43328330, 321.32025440, 336.22060400, 358.47025310, 380.82823080, 403.19472920, 425.40005530, 447.85370370, 470.13985130, 492.58850670, 522.3621743, 552.2587985, 582.4633406, 611.9011140, 641.9292957, 679.0467990, 724.4577475", \
"57.151069000, 57.175809700, 57.201140100, 57.254531400, 57.369741300, 57.633905000, 58.279589900, 59.862081000, 64.24906480, 69.86218590, 76.00192740, 82.40647100, 89.10996980, 95.83596460, 102.54248190, 109.16733530, 116.04553850, 123.10516530, 130.32784140, 137.39047970, 144.69504870, 151.79743230, 158.99761390, 166.22537010, 173.46844730, 180.79003010, 188.15410770, 195.33422250, 210.06910660, 224.73750410, 239.39654950, 254.19200960, 268.96415710, 283.75503940, 298.56227000, 313.38076930, 328.13748720, 342.97124970, 365.30005800, 387.50024600, 409.81611820, 431.95430350, 454.41075400, 476.76917620, 499.14266940, 528.7613546, 558.7190366, 588.4646144, 618.2625999, 648.1247494, 685.5145280, 730.2569618", \
" 68.52656350, 68.53624320, 68.55992570, 68.62989050, 68.76195160, 68.99822090, 69.63704320, 71.15486380, 75.28694740, 80.65275940, 86.65611690, 92.94105470, 99.37850250, 106.07291140, 112.78207940, 119.55019320, 126.26347590, 132.94998840, 139.76628710, 146.86973970, 153.99639550, 161.28951510, 168.34192520, 175.50606620, 182.71858370, 189.99482990, 197.23769880, 204.40074180, 218.99560030, 233.65432460, 248.29571580, 262.93802390, 277.67772350, 292.41853450, 307.15521050, 321.92630340, 336.71005290, 351.45386410, 373.75705000, 395.92854140, 418.17885270, 440.42175740, 462.76338630, 484.87559340, 507.38486230, 536.9819518, 566.8021916, 596.6941509, 626.3117255, 656.2446505, 693.5981234, 738.1206858", \
" 84.27849460, 84.30065050, 84.32343090, 84.38905890, 84.51955180, 84.77477820, 85.33530900, 86.82094700, 90.69925440, 95.85426970, 101.52460890, 107.67135860, 113.93662470, 120.43511400, 126.96752750, 133.69887910, 140.45655680, 147.31293340, 154.12147320, 160.84706550, 167.64350400, 174.58187290, 181.69837130, 188.67137260, 195.81720790, 202.97834970, 210.24808520, 217.43715040, 231.75274640, 246.36417480, 260.76950470, 275.35360920, 290.04507090, 304.72931540, 319.37029110, 334.06405720, 348.80897520, 363.47174050, 385.65449650, 407.79440010, 430.06747140, 452.28911470, 474.48922130, 496.79288030, 519.0255990, 548.7618512, 578.4586886, 607.9565269, 637.9567845, 667.7542654, 704.7248857, 749.6139202", \
" 97.80865100, 97.81411190, 97.84172170, 97.89586590, 98.04099290, 98.26588240, 98.89898020, 100.31160710, 104.06222500, 108.96780790, 114.56989610, 120.39723470, 126.62221360, 132.89790190, 139.41728420, 146.02229240, 152.69821610, 159.52063370, 166.30583110, 173.19619440, 180.04013650, 186.86331740, 193.59400280, 200.32398050, 207.35783750, 214.43485280, 221.53085300, 228.74574380, 242.94405820, 257.39743170, 271.92330490, 286.26332630, 300.80646250, 315.53833530, 330.15829900, 344.67093440, 359.39276050, 374.12188450, 396.17574500, 418.20024320, 440.37686430, 462.47508060, 484.76843970, 506.92422170, 529.2218283, 558.7942163, 588.5924710, 618.2520641, 647.9069354, 677.3681369, 714.8555530, 759.4285684", \
"115.67413800, 115.69405960, 115.73140470, 115.79148860, 115.91759600, 116.16391980, 116.76168470, 118.17281980, 121.66776030, 126.50491870, 131.84894950, 137.52907250, 143.42861730, 149.67410750, 156.02351460, 162.46525070, 169.04104610, 175.74727890, 182.44590670, 189.21486710, 196.06147370, 202.95384920, 209.91491980, 216.75678030, 223.60786940, 230.50482460, 237.16351210, 244.03389830, 258.17430190, 272.30165940, 286.66316190, 301.19352970, 315.45813940, 329.90507670, 344.50619180, 358.99775820, 373.57889250, 388.18917070, 410.22754250, 432.33072310, 454.35724430, 476.45295950, 498.50127110, 520.5748400, 542.8416371, 572.4473821, 602.0695592, 631.7317600, 661.2624610, 691.1531947, 728.2231208, 772.7085953");
}
}
max_capacitance : 38.661670000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template17x100") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.5000000000, 6.7500000000, 8.500000000, 10.000000000, 12.000000000");
index_2("0.0000000000, 0.0071500000, 0.0143100000, 0.0286100000, 0.0572200000, 0.1144500000, 0.2288900000, 0.4577900000, 0.9155800000, 1.4155800000, 1.9155800000, 2.4155800000, 2.9155800000, 3.4155800000, 3.9155800000, 4.4155800000, 4.9155800000, 5.4155800000, 5.9155800000, 6.4155800000, 6.9155800000, 7.4155800000, 7.9155800000, 8.415580000, 8.915580000, 9.415580000, 9.915580000, 10.415580000, 10.915580000, 11.415580000, 11.915580000, 12.415580000, 12.915580000, 13.415580000, 13.915580000, 14.415580000, 14.915580000, 15.415580000, 15.915580000, 16.415580000, 16.915580000, 17.415580000, 17.915580000, 18.415580000, 18.915580000, 19.415580000, 19.915580000, 20.415580000, 20.915580000, 21.415580000, 21.915580000, 22.415580000, 22.915580000, 23.415580000, 23.915580000, 24.415580000, 24.915580000, 25.415580000, 25.915580000, 26.415580000, 26.915580000, 27.415580000, 27.915580000, 28.415580000, 28.915580000, 29.415580000, 29.915580000, 30.415580000, 30.915580000, 31.415580000, 31.915580000, 32.415580000, 32.915580000, 33.415580000, 33.915580000, 34.415580000, 34.915580000, 35.415580000, 35.915580000, 36.415580000, 36.915580000, 37.415580000, 37.915580000, 38.415580000, 38.915580000, 39.415580000, 39.915580000, 40.415580000, 40.915580000, 41.415580000, 41.915580000, 42.415580000, 42.915580000, 43.415580000, 43.915580000, 44.415580000, 44.915580000, 45.415580000, 45.915580000, 46.415580000");
values("0.1208800000, 0.1234200000, 0.1258200000, 0.1302700000, 0.1383200000, 0.1524200000, 0.1767000000, 0.2187600000, 0.2953300000, 0.3758400000, 0.4557400000, 0.5356100000, 0.6155900000, 0.6955700000, 0.7755000000, 0.8554000000, 0.9354900000, 1.0154700000, 1.0954700000, 1.1756700000, 1.2556700000, 1.3356700000, 1.4155700000, 1.4958700000, 1.5758700000, 1.6561700000, 1.7360700000, 1.8154700000, 1.8954700000, 1.9760700000, 2.0563700000, 2.1358700000, 2.2169700000, 2.2962700000, 2.3761700000, 2.4556700000, 2.5365700000, 2.6161700000, 2.6967700000, 2.7769700000, 2.8569700000, 2.9363700000, 3.0164700000, 3.0973700000, 3.1774700000, 3.2570700000, 3.3375700000, 3.4175700000, 3.4976700000, 3.5767700000, 3.6579700000, 3.7377700000, 3.8178700000, 3.8972700000, 3.9779700000, 4.0576700000, 4.1383700000, 4.2177700000, 4.2983700000, 4.3784700000, 4.4582700000, 4.5390700000, 4.6183700000, 4.6988700000, 4.7781700000, 4.8587700000, 4.9390700000, 5.0189700000, 5.0992700000, 5.1790700000, 5.2593700000, 5.3370700000, 5.4192700000, 5.4993700000, 5.5791700000, 5.6590700000, 5.7384700000, 5.8196700000, 5.8998700000, 5.9795700000, 6.0584700000, 6.1384700000, 6.2195700000, 6.3003700000, 6.3803700000, 6.4603700000, 6.5391700000, 6.6193700000, 6.6997700000, 6.7801700000, 6.8609700000, 6.9410700000, 7.0206700000, 7.0992700000, 7.1805700000, 7.2608700000, 7.3384700000, 7.4199700000, 7.5012700000, 7.5804700000", \
"0.1235400000, 0.1260700000, 0.1284600000, 0.1329100000, 0.1409400000, 0.1550500000, 0.1793300000, 0.2214100000, 0.2979800000, 0.3784800000, 0.4584200000, 0.5382700000, 0.6181600000, 0.6981800000, 0.7780900000, 0.8579900000, 0.9381600000, 1.0180700000, 1.0979700000, 1.1783700000, 1.2582700000, 1.3381700000, 1.4182700000, 1.4986700000, 1.5783700000, 1.6587700000, 1.7383700000, 1.8188700000, 1.8985700000, 1.9792700000, 2.0588700000, 2.1390700000, 2.2190700000, 2.2993700000, 2.3791700000, 2.4599700000, 2.5390700000, 2.6193700000, 2.6986700000, 2.7796700000, 2.8604700000, 2.9387700000, 3.0196700000, 3.0989700000, 3.1798700000, 3.2601700000, 3.3397700000, 3.4200700000, 3.4997700000, 3.5804700000, 3.6604700000, 3.7409700000, 3.8199700000, 3.9006700000, 3.9807700000, 4.0608700000, 4.1394700000, 4.2196700000, 4.3009700000, 4.3794700000, 4.4615700000, 4.5394700000, 4.6200700000, 4.7015700000, 4.7797700000, 4.8602700000, 4.9409700000, 5.0219700000, 5.1009700000, 5.1808700000, 5.2617700000, 5.3410700000, 5.4218700000, 5.5023700000, 5.5823700000, 5.6625700000, 5.7422700000, 5.8224700000, 5.9025700000, 5.9809700000, 6.0630700000, 6.1430700000, 6.2209700000, 6.3034700000, 6.3830700000, 6.4622700000, 6.5417700000, 6.6214700000, 6.7028700000, 6.7832700000, 6.8635700000, 6.9426700000, 7.0238700000, 7.1031700000, 7.1828700000, 7.2637700000, 7.3418700000, 7.4213700000, 7.5032700000, 7.5820700000", \
"0.1260000000, 0.1285200000, 0.1309000000, 0.1353400000, 0.1433600000, 0.1574500000, 0.1817200000, 0.2237900000, 0.3003700000, 0.3809000000, 0.4607900000, 0.5406500000, 0.6206300000, 0.7005600000, 0.7805700000, 0.8605300000, 0.9405300000, 1.0205300000, 1.1003300000, 1.1806300000, 1.2606300000, 1.3407300000, 1.4203300000, 1.5006300000, 1.5810300000, 1.6609300000, 1.7413300000, 1.8212300000, 1.9013300000, 1.9816300000, 2.0613300000, 2.1410300000, 2.2221300000, 2.3017300000, 2.3815300000, 2.4607300000, 2.5417300000, 2.6221300000, 2.7018300000, 2.7823300000, 2.8609300000, 2.9414300000, 3.0223300000, 3.1022300000, 3.1818300000, 3.2628300000, 3.3421300000, 3.4225300000, 3.5029300000, 3.5827300000, 3.6613300000, 3.7431300000, 3.8231300000, 3.9013300000, 3.9832300000, 4.0633300000, 4.1426300000, 4.2233300000, 4.3031300000, 4.3835300000, 4.4637300000, 4.5437300000, 4.6233300000, 4.7031300000, 4.7841300000, 4.8634300000, 4.9444300000, 5.0246300000, 5.1034300000, 5.1848300000, 5.2638300000, 5.3442300000, 5.4237300000, 5.5041300000, 5.5825300000, 5.6642300000, 5.7450300000, 5.8229300000, 5.9045300000, 5.9852300000, 6.0652300000, 6.1450300000, 6.2239300000, 6.3031300000, 6.3855300000, 6.4656300000, 6.5450300000, 6.6251300000, 6.7053300000, 6.7850300000, 6.8656300000, 6.9460300000, 7.0258300000, 7.1046300000, 7.1863300000, 7.2658300000, 7.3454300000, 7.4257300000, 7.5058300000, 7.5864300000", \
"0.1378300000, 0.1403400000, 0.1427200000, 0.1471400000, 0.1551300000, 0.1691400000, 0.1933000000, 0.2352900000, 0.3118400000, 0.3923400000, 0.4722800000, 0.5521600000, 0.6320900000, 0.7120600000, 0.7919100000, 0.8719500000, 0.9519000000, 1.0319000000, 1.1120000000, 1.1920000000, 1.2721000000, 1.3524000000, 1.4321000000, 1.5124000000, 1.5923000000, 1.6721000000, 1.7527000000, 1.8326000000, 1.9122000000, 1.9919000000, 2.0728000000, 2.1524000000, 2.2330000000, 2.3131000000, 2.3932000000, 2.4722000000, 2.5529000000, 2.6331000000, 2.7135000000, 2.7928000000, 2.8728000000, 2.9536000000, 3.0339000000, 3.1131000000, 3.1928000000, 3.2728000000, 3.3533000000, 3.4344000000, 3.5141000000, 3.5925000000, 3.6744000000, 3.7541000000, 3.8337000000, 3.9140000000, 3.9932000000, 4.0746000000, 4.1546000000, 4.2346000000, 4.3146000000, 4.3953000000, 4.4752000000, 4.5549000000, 4.6346000000, 4.7132000000, 4.7944000000, 4.8755000000, 4.9557000000, 5.0335000000, 5.1159000000, 5.1959000000, 5.2759000000, 5.3560000000, 5.4360000000, 5.5160000000, 5.5960000000, 5.6760000000, 5.7560000000, 5.8352000000, 5.9142000000, 5.9936000000, 6.0739000000, 6.1541000000, 6.2340000000, 6.3138000000, 6.3949000000, 6.4754000000, 6.5557000000, 6.6357000000, 6.7156000000, 6.7946000000, 6.8764000000, 6.9576000000, 7.0355000000, 7.1177000000, 7.1960000000, 7.2769000000, 7.3569000000, 7.4368000000, 7.5168000000, 7.5967000000", \
"0.1821200000, 0.1846500000, 0.1870300000, 0.1914200000, 0.1992500000, 0.2128800000, 0.2363400000, 0.2773900000, 0.3530100000, 0.4329800000, 0.5126000000, 0.5922700000, 0.6719700000, 0.7518800000, 0.8316000000, 0.9116000000, 0.9915000000, 1.0716000000, 1.1515000000, 1.2317000000, 1.3115000000, 1.3915000000, 1.4712000000, 1.5512000000, 1.6316000000, 1.7114000000, 1.7920000000, 1.8719000000, 1.9513000000, 2.0317000000, 2.1120000000, 2.1923000000, 2.2724000000, 2.3525000000, 2.4325000000, 2.5115000000, 2.5924000000, 2.6729000000, 2.7519000000, 2.8316000000, 2.9116000000, 2.9919000000, 3.0722000000, 3.1524000000, 3.2332000000, 3.3128000000, 3.3923000000, 3.4736000000, 3.5534000000, 3.6337000000, 3.7137000000, 3.7925000000, 3.8734000000, 3.9531000000, 4.0334000000, 4.1134000000, 4.1939000000, 4.2740000000, 4.3524000000, 4.4322000000, 4.5134000000, 4.5939000000, 4.6735000000, 4.7533000000, 4.8325000000, 4.9139000000, 4.9947000000, 5.0751000000, 5.1553000000, 5.2350000000, 5.3147000000, 5.3954000000, 5.4750000000, 5.5541000000, 5.6352000000, 5.7145000000, 5.7953000000, 5.8749000000, 5.9547000000, 6.0352000000, 6.1151000000, 6.1949000000, 6.2745000000, 6.3553000000, 6.4354000000, 6.5157000000, 6.5958000000, 6.6756000000, 6.7552000000, 6.8365000000, 6.9136000000, 6.9936000000, 7.0768000000, 7.1570000000, 7.2369000000, 7.3158000000, 7.3955000000, 7.4762000000, 7.5570000000, 7.6364000000", \
"0.2364700000, 0.2391000000, 0.2415800000, 0.2461700000, 0.2543900000, 0.2685900000, 0.2926800000, 0.3339700000, 0.4089300000, 0.4879100000, 0.5666300000, 0.6456000000, 0.7250000000, 0.8045000000, 0.8840000000, 0.9638000000, 1.0434000000, 1.1234000000, 1.2032000000, 1.2831000000, 1.3628000000, 1.4430000000, 1.5230000000, 1.6030000000, 1.6830000000, 1.7630000000, 1.8426000000, 1.9226000000, 2.0031000000, 2.0831000000, 2.1633000000, 2.2434000000, 2.3234000000, 2.4033000000, 2.4831000000, 2.5629000000, 2.6426000000, 2.7225000000, 2.8029000000, 2.8826000000, 2.9628000000, 3.0438000000, 3.1234000000, 3.2035000000, 3.2837000000, 3.3640000000, 3.4439000000, 3.5242000000, 3.6043000000, 3.6840000000, 3.7640000000, 3.8442000000, 3.9241000000, 4.0046000000, 4.0846000000, 4.1639000000, 4.2438000000, 4.3237000000, 4.4036000000, 4.4836000000, 4.5636000000, 4.6438000000, 4.7239000000, 4.8041000000, 4.8843000000, 4.9645000000, 5.0447000000, 5.1248000000, 5.2050000000, 5.2851000000, 5.3653000000, 5.4456000000, 5.5257000000, 5.6058000000, 5.6859000000, 5.7659000000, 5.8460000000, 5.9261000000, 6.0061000000, 6.0862000000, 6.1662000000, 6.2463000000, 6.3263000000, 6.4064000000, 6.4864000000, 6.5665000000, 6.6465000000, 6.7265000000, 6.8061000000, 6.8857000000, 6.9653000000, 7.0449000000, 7.1247000000, 7.2044000000, 7.2866000000, 7.3662000000, 7.4458000000, 7.5254000000, 7.6050000000, 7.6845000000", \
"0.3177000000, 0.3206000000, 0.3233000000, 0.3285000000, 0.3376000000, 0.3534000000, 0.3797000000, 0.4238000000, 0.5007000000, 0.5800000000, 0.6581000000, 0.7363000000, 0.8146000000, 0.8933000000, 0.9722000000, 1.0513000000, 1.1307000000, 1.2100000000, 1.2896000000, 1.3690000000, 1.4487000000, 1.5285000000, 1.6081000000, 1.6878000000, 1.7673000000, 1.8476000000, 1.9276000000, 2.0074000000, 2.0871000000, 2.1670000000, 2.2464000000, 2.3265000000, 2.4067000000, 2.4869000000, 2.5671000000, 2.6472000000, 2.7273000000, 2.8073000000, 2.8874000000, 2.9671000000, 3.0473000000, 3.1267000000, 3.2060000000, 3.2865000000, 3.3658000000, 3.4457000000, 3.5260000000, 3.6064000000, 3.6860000000, 3.7664000000, 3.8466000000, 3.9271000000, 4.0070000000, 4.0872000000, 4.1672000000, 4.2475000000, 4.3277000000, 4.4077000000, 4.4878000000, 4.5680000000, 4.6479000000, 4.7269000000, 4.8076000000, 4.8881000000, 4.9681000000, 5.0478000000, 5.1272000000, 5.2076000000, 5.2858000000, 5.3674000000, 5.4467000000, 5.5257000000, 5.6056000000, 5.6857000000, 5.7660000000, 5.8463000000, 5.9269000000, 6.0072000000, 6.0877000000, 6.1677000000, 6.2481000000, 6.3282000000, 6.4082000000, 6.4883000000, 6.5683000000, 6.6489000000, 6.7289000000, 6.8092000000, 6.8892000000, 6.9692000000, 7.0493000000, 7.1295000000, 7.2095000000, 7.2896000000, 7.3696000000, 7.4497000000, 7.5298000000, 7.6098000000, 7.6899000000, 7.7699000000", \
"0.3816000000, 0.3847000000, 0.3876000000, 0.3931000000, 0.4030000000, 0.4200000000, 0.4483000000, 0.4951000000, 0.5753000000, 0.6569000000, 0.7368000000, 0.8156000000, 0.8940000000, 0.9723000000, 1.0509000000, 1.1296000000, 1.2084000000, 1.2873000000, 1.3664000000, 1.4456000000, 1.5251000000, 1.6042000000, 1.6838000000, 1.7635000000, 1.8431000000, 1.9224000000, 2.0023000000, 2.0820000000, 2.1618000000, 2.2415000000, 2.3210000000, 2.4006000000, 2.4811000000, 2.5610000000, 2.6409000000, 2.7208000000, 2.8007000000, 2.8805000000, 2.9599000000, 3.0395000000, 3.1197000000, 3.1993000000, 3.2801000000, 3.3594000000, 3.4399000000, 3.5203000000, 3.6001000000, 3.6803000000, 3.7607000000, 3.8408000000, 3.9206000000, 4.0004000000, 4.0801000000, 4.1603000000, 4.2405000000, 4.3194000000, 4.3999000000, 4.4785000000, 4.5587000000, 4.6394000000, 4.7190000000, 4.7983000000, 4.8796000000, 4.9591000000, 5.0390000000, 5.1198000000, 5.1989000000, 5.2807000000, 5.3607000000, 5.4407000000, 5.5211000000, 5.6010000000, 5.6813000000, 5.7613000000, 5.8410000000, 5.9216000000, 6.0010000000, 6.0817000000, 6.1618000000, 6.2418000000, 6.3214000000, 6.4017000000, 6.4820000000, 6.5598000000, 6.6417000000, 6.7218000000, 6.8009000000, 6.8802000000, 6.9601000000, 7.0396000000, 7.1187000000, 7.1988000000, 7.2786000000, 7.3622000000, 7.4392000000, 7.5225000000, 7.6012000000, 7.6802000000, 7.7607000000, 7.8389000000", \
"0.5225700000, 0.5259700000, 0.5291700000, 0.5353700000, 0.5465700000, 0.5660700000, 0.5985700000, 0.6515700000, 0.7396700000, 0.8265700000, 0.9104700000, 0.9935700000, 1.0761700000, 1.1582700000, 1.2398700000, 1.3209700000, 1.4014700000, 1.4813700000, 1.5608700000, 1.6399700000, 1.7188700000, 1.7977700000, 1.8766700000, 1.9558700000, 2.0348700000, 2.1139700000, 2.1930700000, 2.2722700000, 2.3516700000, 2.4309700000, 2.5102700000, 2.5896700000, 2.6692700000, 2.7489700000, 2.8285700000, 2.9078700000, 2.9872700000, 3.0669700000, 3.1467700000, 3.2266700000, 3.3063700000, 3.3859700000, 3.4655700000, 3.5447700000, 3.6251700000, 3.7050700000, 3.7849700000, 3.8648700000, 3.9446700000, 4.0242700000, 4.1040700000, 4.1836700000, 4.2632700000, 4.3435700000, 4.4229700000, 4.5036700000, 4.5829700000, 4.6636700000, 4.7435700000, 4.8236700000, 4.9031700000, 4.9831700000, 5.0628700000, 5.1426700000, 5.2222700000, 5.3018700000, 5.3813700000, 5.4622700000, 5.5418700000, 5.6215700000, 5.7011700000, 5.7807700000, 5.8612700000, 5.9406700000, 6.0216700000, 6.1034700000, 6.1834700000, 6.2635700000, 6.3436700000, 6.4237700000, 6.5037700000, 6.5837700000, 6.6635700000, 6.7438700000, 6.8239700000, 6.9040700000, 6.9837700000, 7.0638700000, 7.1439700000, 7.2239700000, 7.3040700000, 7.3828700000, 7.4629700000, 7.5425700000, 7.6227700000, 7.7002700000, 7.7813700000, 7.8613700000, 7.9406700000, 8.020670000", \
"0.5845300000, 0.5880300000, 0.5914300000, 0.5978300000, 0.6095300000, 0.6299300000, 0.6641300000, 0.7198300000, 0.8114300000, 0.9008300000, 0.9865300000, 1.0710300000, 1.1551300000, 1.2387300000, 1.3221300000, 1.4049300000, 1.4872300000, 1.5690300000, 1.6503300000, 1.7311300000, 1.8114300000, 1.8912300000, 1.9707300000, 2.0500300000, 2.1290300000, 2.2080300000, 2.2871300000, 2.3662300000, 2.4452300000, 2.5246300000, 2.6037300000, 2.6829300000, 2.7622300000, 2.8414300000, 2.9210300000, 3.0003300000, 3.0798300000, 3.1595300000, 3.2392300000, 3.3185300000, 3.3977300000, 3.4773300000, 3.5572300000, 3.6370300000, 3.7167300000, 3.7962300000, 3.8755300000, 3.9552300000, 4.0352300000, 4.1152300000, 4.1949300000, 4.2747300000, 4.3544300000, 4.4340300000, 4.5135300000, 4.5929300000, 4.6729300000, 4.7530300000, 4.8331300000, 4.9132300000, 4.9931300000, 5.0731300000, 5.1530300000, 5.2328300000, 5.3126300000, 5.3923300000, 5.4720300000, 5.5518300000, 5.6315300000, 5.7113300000, 5.7912300000, 5.8707300000, 5.9508300000, 6.0312300000, 6.1114300000, 6.1913300000, 6.2719300000, 6.3520300000, 6.4320300000, 6.5119300000, 6.5918300000, 6.6720300000, 6.7519300000, 6.8320300000, 6.9117300000, 6.9915300000, 7.0717300000, 7.1506300000, 7.2310300000, 7.3109300000, 7.3906300000, 7.4698300000, 7.5498300000, 7.6308300000, 7.7098300000, 7.7888300000, 7.8688300000, 7.9498300000, 8.028830000, 8.108830000", \
"0.6421000000, 0.6457000000, 0.6491000000, 0.6557000000, 0.6678000000, 0.6891000000, 0.7247000000, 0.7828000000, 0.8777000000, 0.9696000000, 1.0570000000, 1.1428000000, 1.2280000000, 1.3129000000, 1.3975000000, 1.4817000000, 1.5656000000, 1.6489000000, 1.7318000000, 1.8142000000, 1.8961000000, 1.9775000000, 2.0585000000, 2.1391000000, 2.2192000000, 2.2990000000, 2.3785000000, 2.4578000000, 2.5369000000, 2.6161000000, 2.6951000000, 2.7745000000, 2.8537000000, 2.9328000000, 3.0123000000, 3.0914000000, 3.1706000000, 3.2499000000, 3.3292000000, 3.4087000000, 3.4881000000, 3.5676000000, 3.6470000000, 3.7264000000, 3.8062000000, 3.8861000000, 3.9657000000, 4.0452000000, 4.1246000000, 4.2037000000, 4.2836000000, 4.3636000000, 4.4433000000, 4.5232000000, 4.6029000000, 4.6824000000, 4.7622000000, 4.8416000000, 4.9210000000, 5.0011000000, 5.0812000000, 5.1613000000, 5.2411000000, 5.3210000000, 5.4008000000, 5.4805000000, 5.5602000000, 5.6397000000, 5.7192000000, 5.7988000000, 5.8784000000, 5.9590000000, 6.0390000000, 6.1191000000, 6.1993000000, 6.2793000000, 6.3592000000, 6.4392000000, 6.5191000000, 6.5990000000, 6.6789000000, 6.7585000000, 6.8387000000, 6.9180000000, 6.9980000000, 7.0780000000, 7.1570000000, 7.2370000000, 7.3170000000, 7.3970000000, 7.4760000000, 7.5560000000, 7.6360000000, 7.7160000000, 7.7970000000, 7.8780000000, 7.9570000000, 8.038000000, 8.118000000, 8.198000000", \
"0.7068000000, 0.7105000000, 0.7140000000, 0.7208000000, 0.7333000000, 0.7554000000, 0.7926000000, 0.8533000000, 0.9519000000, 1.0465000000, 1.1360000000, 1.2233000000, 1.3098000000, 1.3959000000, 1.4818000000, 1.5673000000, 1.6525000000, 1.7374000000, 1.8218000000, 1.9058000000, 1.9894000000, 2.0725000000, 2.1552000000, 2.2374000000, 2.3192000000, 2.4007000000, 2.4817000000, 2.5623000000, 2.6426000000, 2.7225000000, 2.8022000000, 2.8817000000, 2.9610000000, 3.0403000000, 3.1194000000, 3.1986000000, 3.2780000000, 3.3570000000, 3.4364000000, 3.5157000000, 3.5951000000, 3.6744000000, 3.7537000000, 3.8330000000, 3.9124000000, 3.9917000000, 4.0713000000, 4.1507000000, 4.2303000000, 4.3101000000, 4.3898000000, 4.4691000000, 4.5484000000, 4.6277000000, 4.7080000000, 4.7876000000, 4.8674000000, 4.9471000000, 5.0267000000, 5.1060000000, 5.1854000000, 5.2650000000, 5.3451000000, 5.4252000000, 5.5050000000, 5.5849000000, 5.6646000000, 5.7445000000, 5.8239000000, 5.9036000000, 5.9833000000, 6.0629000000, 6.1423000000, 6.2221000000, 6.3020000000, 6.3830000000, 6.4630000000, 6.5420000000, 6.6220000000, 6.7020000000, 6.7820000000, 6.8620000000, 6.9420000000, 7.0210000000, 7.1010000000, 7.1810000000, 7.2600000000, 7.3400000000, 7.4200000000, 7.4990000000, 7.5800000000, 7.6610000000, 7.7410000000, 7.8210000000, 7.9010000000, 7.9810000000, 8.061000000, 8.141000000, 8.221000000, 8.301000000", \
"0.7876700000, 0.7913700000, 0.7950700000, 0.8020700000, 0.8150700000, 0.8381700000, 0.8771700000, 0.9409700000, 1.0441700000, 1.1421700000, 1.2341700000, 1.3235700000, 1.4117700000, 1.4993700000, 1.5865700000, 1.6735700000, 1.7602700000, 1.8466700000, 1.9327700000, 2.0184700000, 2.1037700000, 2.1886700000, 2.2731700000, 2.3572700000, 2.4409700000, 2.5243700000, 2.6072700000, 2.6897700000, 2.7719700000, 2.8538700000, 2.9352700000, 3.0164700000, 3.0972700000, 3.1777700000, 3.2580700000, 3.3380700000, 3.4177700000, 3.4973700000, 3.5767700000, 3.6561700000, 3.7353700000, 3.8146700000, 3.8939700000, 3.9731700000, 4.0527700000, 4.1320700000, 4.2112700000, 4.2909700000, 4.3698700000, 4.4492700000, 4.5288700000, 4.6080700000, 4.6874700000, 4.7667700000, 4.8462700000, 4.9257700000, 5.0054700000, 5.0850700000, 5.1643700000, 5.2436700000, 5.3237700000, 5.4036700000, 5.4836700000, 5.5626700000, 5.6426700000, 5.7216700000, 5.8006700000, 5.8806700000, 5.9606700000, 6.0406700000, 6.1206700000, 6.2006700000, 6.2796700000, 6.3596700000, 6.4386700000, 6.5186700000, 6.5976700000, 6.6776700000, 6.7576700000, 6.8386700000, 6.9176700000, 6.9976700000, 7.0776700000, 7.1576700000, 7.2376700000, 7.3176700000, 7.3966700000, 7.4766700000, 7.5566700000, 7.6356700000, 7.7156700000, 7.7946700000, 7.8746700000, 7.9546700000, 8.034670000, 8.115670000, 8.195670000, 8.275670000, 8.355670000, 8.435670000", \
"0.8824000000, 0.8863000000, 0.8900000000, 0.8972000000, 0.9107000000, 0.9348000000, 0.9758000000, 1.0430000000, 1.1516000000, 1.2536000000, 1.3486000000, 1.4404000000, 1.5306000000, 1.6201000000, 1.7090000000, 1.7975000000, 1.8857000000, 1.9737000000, 2.0613000000, 2.1487000000, 2.2357000000, 2.3224000000, 2.4087000000, 2.4947000000, 2.5803000000, 2.6655000000, 2.7504000000, 2.8349000000, 2.9191000000, 3.0030000000, 3.0865000000, 3.1696000000, 3.2525000000, 3.3350000000, 3.4172000000, 3.4991000000, 3.5807000000, 3.6621000000, 3.7431000000, 3.8239000000, 3.9045000000, 3.9848000000, 4.0649000000, 4.1448000000, 4.2245000000, 4.3041000000, 4.3840000000, 4.4630000000, 4.5420000000, 4.6220000000, 4.7010000000, 4.7800000000, 4.8600000000, 4.9390000000, 5.0190000000, 5.0980000000, 5.1780000000, 5.2570000000, 5.3370000000, 5.4160000000, 5.4950000000, 5.5740000000, 5.6540000000, 5.7330000000, 5.8130000000, 5.8920000000, 5.9720000000, 6.0510000000, 6.1310000000, 6.2110000000, 6.2900000000, 6.3700000000, 6.4490000000, 6.5290000000, 6.6080000000, 6.6880000000, 6.7680000000, 6.8480000000, 6.9280000000, 7.0080000000, 7.0870000000, 7.1670000000, 7.2460000000, 7.3250000000, 7.4050000000, 7.4850000000, 7.5650000000, 7.6450000000, 7.7250000000, 7.8050000000, 7.8850000000, 7.9640000000, 8.044000000, 8.123000000, 8.203000000, 8.282000000, 8.362000000, 8.441000000, 8.522000000, 8.602000000", \
"1.0067700000, 1.0106700000, 1.0145700000, 1.0220700000, 1.0360700000, 1.0613700000, 1.1046700000, 1.1760700000, 1.2913700000, 1.3987700000, 1.4977700000, 1.5927700000, 1.6856700000, 1.7775700000, 1.8686700000, 1.9592700000, 2.0494700000, 2.1393700000, 2.2287700000, 2.3179700000, 2.4068700000, 2.4954700000, 2.5837700000, 2.6717700000, 2.7593700000, 2.8466700000, 2.9336700000, 3.0206700000, 3.1066700000, 3.1926700000, 3.2786700000, 3.3636700000, 3.4486700000, 3.5336700000, 3.6186700000, 3.7026700000, 3.7866700000, 3.8706700000, 3.9536700000, 4.0366700000, 4.1196700000, 4.2016700000, 4.2846700000, 4.3666700000, 4.4486700000, 4.5296700000, 4.6116700000, 4.6926700000, 4.7736700000, 4.8546700000, 4.9346700000, 5.0146700000, 5.0956700000, 5.1756700000, 5.2556700000, 5.3346700000, 5.4146700000, 5.4946700000, 5.5736700000, 5.6526700000, 5.7326700000, 5.8116700000, 5.8916700000, 5.9706700000, 6.0496700000, 6.1296700000, 6.2086700000, 6.2886700000, 6.3676700000, 6.4476700000, 6.5266700000, 6.6066700000, 6.6856700000, 6.7646700000, 6.8446700000, 6.9236700000, 7.0036700000, 7.0826700000, 7.1626700000, 7.2416700000, 7.3216700000, 7.4006700000, 7.4806700000, 7.5596700000, 7.6396700000, 7.7186700000, 7.7986700000, 7.8786700000, 7.9586700000, 8.037670000, 8.117670000, 8.196670000, 8.276670000, 8.356670000, 8.436670000, 8.516670000, 8.596670000, 8.675670000, 8.755670000, 8.835670000", \
"1.1077700000, 1.1117700000, 1.1157700000, 1.1233700000, 1.1378700000, 1.1639700000, 1.2090700000, 1.2835700000, 1.4040700000, 1.5158700000, 1.6181700000, 1.7156700000, 1.8106700000, 1.9046700000, 1.9976700000, 2.0896700000, 2.1816700000, 2.2726700000, 2.3636700000, 2.4546700000, 2.5446700000, 2.6346700000, 2.7246700000, 2.8136700000, 2.9026700000, 2.9916700000, 3.0796700000, 3.1686700000, 3.2556700000, 3.3436700000, 3.4306700000, 3.5176700000, 3.6046700000, 3.6906700000, 3.7776700000, 3.8626700000, 3.9486700000, 4.0336700000, 4.1186700000, 4.2036700000, 4.2886700000, 4.3726700000, 4.4566700000, 4.5406700000, 4.6236700000, 4.7066700000, 4.7896700000, 4.8726700000, 4.9556700000, 5.0376700000, 5.1196700000, 5.2016700000, 5.2836700000, 5.3646700000, 5.4466700000, 5.5276700000, 5.6086700000, 5.6896700000, 5.7696700000, 5.8506700000, 5.9306700000, 6.0106700000, 6.0906700000, 6.1706700000, 6.2506700000, 6.3306700000, 6.4106700000, 6.4896700000, 6.5696700000, 6.6486700000, 6.7276700000, 6.8076700000, 6.8866700000, 6.9666700000, 7.0456700000, 7.1256700000, 7.2046700000, 7.2846700000, 7.3636700000, 7.4436700000, 7.5226700000, 7.6026700000, 7.6816700000, 7.7606700000, 7.8406700000, 7.9206700000, 7.9996700000, 8.079670000, 8.158670000, 8.237670000, 8.317670000, 8.396670000, 8.476670000, 8.555670000, 8.635670000, 8.714670000, 8.794670000, 8.874670000, 8.953670000, 9.033670000", \
"1.2370000000, 1.2410000000, 1.2450000000, 1.2530000000, 1.2680000000, 1.2950000000, 1.3420000000, 1.4200000000, 1.5470000000, 1.6640000000, 1.7710000000, 1.8720000000, 1.9700000000, 2.0660000000, 2.1610000000, 2.2550000000, 2.3490000000, 2.4420000000, 2.5350000000, 2.6280000000, 2.7200000000, 2.8110000000, 2.9030000000, 2.9940000000, 3.0840000000, 3.1750000000, 3.2650000000, 3.3550000000, 3.4440000000, 3.5330000000, 3.6220000000, 3.7110000000, 3.7990000000, 3.8870000000, 3.9750000000, 4.0630000000, 4.1500000000, 4.2370000000, 4.3240000000, 4.4110000000, 4.4970000000, 4.5830000000, 4.6690000000, 4.7550000000, 4.8400000000, 4.9250000000, 5.0100000000, 5.0950000000, 5.1790000000, 5.2640000000, 5.3480000000, 5.4320000000, 5.5150000000, 5.5990000000, 5.6820000000, 5.7650000000, 5.8480000000, 5.9300000000, 6.0130000000, 6.0950000000, 6.1770000000, 6.2590000000, 6.3410000000, 6.4230000000, 6.5040000000, 6.5850000000, 6.6660000000, 6.7470000000, 6.8280000000, 6.9090000000, 6.9890000000, 7.0700000000, 7.1500000000, 7.2300000000, 7.3100000000, 7.3900000000, 7.4700000000, 7.5500000000, 7.6300000000, 7.7090000000, 7.7890000000, 7.8690000000, 7.9480000000, 8.027000000, 8.107000000, 8.186000000, 8.266000000, 8.345000000, 8.425000000, 8.504000000, 8.584000000, 8.663000000, 8.742000000, 8.822000000, 8.901000000, 8.981000000, 9.060000000, 9.140000000, 9.219000000, 9.299000000");
}
cell_rise ("delay_template17x100") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.5000000000, 6.7500000000, 8.500000000, 10.000000000, 12.000000000");
index_2("0.0000000000, 0.0071500000, 0.0143100000, 0.0286100000, 0.0572200000, 0.1144500000, 0.2288900000, 0.4577900000, 0.9155800000, 1.4155800000, 1.9155800000, 2.4155800000, 2.9155800000, 3.4155800000, 3.9155800000, 4.4155800000, 4.9155800000, 5.4155800000, 5.9155800000, 6.4155800000, 6.9155800000, 7.4155800000, 7.9155800000, 8.415580000, 8.915580000, 9.415580000, 9.915580000, 10.415580000, 10.915580000, 11.415580000, 11.915580000, 12.415580000, 12.915580000, 13.415580000, 13.915580000, 14.415580000, 14.915580000, 15.415580000, 15.915580000, 16.415580000, 16.915580000, 17.415580000, 17.915580000, 18.415580000, 18.915580000, 19.415580000, 19.915580000, 20.415580000, 20.915580000, 21.415580000, 21.915580000, 22.415580000, 22.915580000, 23.415580000, 23.915580000, 24.415580000, 24.915580000, 25.415580000, 25.915580000, 26.415580000, 26.915580000, 27.415580000, 27.915580000, 28.415580000, 28.915580000, 29.415580000, 29.915580000, 30.415580000, 30.915580000, 31.415580000, 31.915580000, 32.415580000, 32.915580000, 33.415580000, 33.915580000, 34.415580000, 34.915580000, 35.415580000, 35.915580000, 36.415580000, 36.915580000, 37.415580000, 37.915580000, 38.415580000, 38.915580000, 39.415580000, 39.915580000, 40.415580000, 40.915580000, 41.415580000, 41.915580000, 42.415580000, 42.915580000, 43.415580000, 43.915580000, 44.415580000, 44.915580000, 45.415580000, 45.915580000, 46.415580000");
values("0.1214800000, 0.1238600000, 0.1261000000, 0.1302300000, 0.1376100000, 0.1504900000, 0.1730400000, 0.2143600000, 0.2928900000, 0.3771700000, 0.4613200000, 0.5455700000, 0.6297400000, 0.7140500000, 0.7984800000, 0.8827100000, 0.9667300000, 1.0509700000, 1.1354700000, 1.2200700000, 1.3040700000, 1.3879700000, 1.4728700000, 1.5572700000, 1.6418700000, 1.7262700000, 1.8099700000, 1.8944700000, 1.9794700000, 2.0632700000, 2.1470700000, 2.2317700000, 2.3164700000, 2.4006700000, 2.4847700000, 2.5700700000, 2.6533700000, 2.7373700000, 2.8232700000, 2.9065700000, 2.9904700000, 3.0745700000, 3.1602700000, 3.2450700000, 3.3294700000, 3.4119700000, 3.4961700000, 3.5806700000, 3.6647700000, 3.7488700000, 3.8334700000, 3.9175700000, 4.0012700000, 4.0854700000, 4.1699700000, 4.2543700000, 4.3388700000, 4.4232700000, 4.5076700000, 4.5920700000, 4.6761700000, 4.7604700000, 4.8447700000, 4.9290700000, 5.0133700000, 5.0977700000, 5.1821700000, 5.2664700000, 5.3507700000, 5.4350700000, 5.5192700000, 5.6033700000, 5.6874700000, 5.7714700000, 5.8553700000, 5.9392700000, 6.0230700000, 6.1076700000, 6.1922700000, 6.2763700000, 6.3608700000, 6.4453700000, 6.5299700000, 6.6142700000, 6.6984700000, 6.7825700000, 6.8673700000, 6.9521700000, 7.0364700000, 7.1208700000, 7.2052700000, 7.2883700000, 7.3729700000, 7.4573700000, 7.5416700000, 7.6257700000, 7.7099700000, 7.7941700000, 7.8786700000, 7.9630700000", \
"0.1238700000, 0.1262400000, 0.1284700000, 0.1325900000, 0.1399600000, 0.1528300000, 0.1753800000, 0.2167200000, 0.2952100000, 0.3795400000, 0.4637400000, 0.5479200000, 0.6321900000, 0.7163600000, 0.8009200000, 0.8849400000, 0.9695500000, 1.0537700000, 1.1380700000, 1.2219700000, 1.3065700000, 1.3904700000, 1.4745700000, 1.5587700000, 1.6437700000, 1.7278700000, 1.8121700000, 1.8973700000, 1.9803700000, 2.0647700000, 2.1489700000, 2.2336700000, 2.3178700000, 2.4026700000, 2.4873700000, 2.5718700000, 2.6567700000, 2.7399700000, 2.8255700000, 2.9099700000, 2.9924700000, 3.0770700000, 3.1628700000, 3.2466700000, 3.3304700000, 3.4143700000, 3.4974700000, 3.5827700000, 3.6670700000, 3.7506700000, 3.8369700000, 3.9193700000, 4.0035700000, 4.0900700000, 4.1743700000, 4.2585700000, 4.3414700000, 4.4257700000, 4.5084700000, 4.5940700000, 4.6787700000, 4.7628700000, 4.8469700000, 4.9315700000, 5.0155700000, 5.1003700000, 5.1844700000, 5.2683700000, 5.3521700000, 5.4370700000, 5.5200700000, 5.6059700000, 5.6889700000, 5.7745700000, 5.8583700000, 5.9417700000, 6.0276700000, 6.1112700000, 6.1950700000, 6.2793700000, 6.3636700000, 6.4479700000, 6.5321700000, 6.6163700000, 6.7007700000, 6.7855700000, 6.8700700000, 6.9551700000, 7.0386700000, 7.1226700000, 7.2061700000, 7.2897700000, 7.3766700000, 7.4594700000, 7.5452700000, 7.6272700000, 7.7138700000, 7.7981700000, 7.8804700000, 7.9662700000", \
"0.1253000000, 0.1276700000, 0.1298900000, 0.1340100000, 0.1413700000, 0.1542400000, 0.1767800000, 0.2181100000, 0.2965600000, 0.3809600000, 0.4651500000, 0.5493000000, 0.6336200000, 0.7176900000, 0.8019900000, 0.8866200000, 0.9706500000, 1.0548300000, 1.1395300000, 1.2234300000, 1.3072300000, 1.3922300000, 1.4761300000, 1.5612300000, 1.6458300000, 1.7302300000, 1.8144300000, 1.8977300000, 1.9819300000, 2.0659300000, 2.1507300000, 2.2354300000, 2.3196300000, 2.4051300000, 2.4881300000, 2.5718300000, 2.6574300000, 2.7422300000, 2.8254300000, 2.9106300000, 2.9942300000, 3.0783300000, 3.1626300000, 3.2460300000, 3.3306300000, 3.4156300000, 3.5012300000, 3.5845300000, 3.6684300000, 3.7521300000, 3.8368300000, 3.9213300000, 4.0057300000, 4.0898300000, 4.1737300000, 4.2575300000, 4.3427300000, 4.4270300000, 4.5102300000, 4.5959300000, 4.6795300000, 4.7636300000, 4.8480300000, 4.9327300000, 5.0175300000, 5.1018300000, 5.1857300000, 5.2694300000, 5.3530300000, 5.4379300000, 5.5232300000, 5.6075300000, 5.6905300000, 5.7762300000, 5.8599300000, 5.9441300000, 6.0284300000, 6.1126300000, 6.1969300000, 6.2811300000, 6.3656300000, 6.4501300000, 6.5341300000, 6.6181300000, 6.7023300000, 6.7865300000, 6.8707300000, 6.9548300000, 7.0390300000, 7.1232300000, 7.2073300000, 7.2911300000, 7.3761300000, 7.4608300000, 7.5451300000, 7.6290300000, 7.7154300000, 7.7995300000, 7.8835300000, 7.9673300000", \
"0.1334300000, 0.1358000000, 0.1380200000, 0.1421400000, 0.1495000000, 0.1623400000, 0.1848100000, 0.2260600000, 0.3045300000, 0.3888500000, 0.4730000000, 0.5572700000, 0.6415000000, 0.7257600000, 0.8102400000, 0.8942500000, 0.9785000000, 1.0629000000, 1.1474000000, 1.2311000000, 1.3158000000, 1.3999000000, 1.4846000000, 1.5683000000, 1.6536000000, 1.7381000000, 1.8224000000, 1.9068000000, 1.9899000000, 2.0743000000, 2.1588000000, 2.2430000000, 2.3275000000, 2.4115000000, 2.4966000000, 2.5810000000, 2.6657000000, 2.7504000000, 2.8349000000, 2.9193000000, 3.0035000000, 3.0859000000, 3.1725000000, 3.2568000000, 3.3411000000, 3.4255000000, 3.5097000000, 3.5939000000, 3.6764000000, 3.7608000000, 3.8451000000, 3.9294000000, 4.0136000000, 4.0974000000, 4.1812000000, 4.2659000000, 4.3504000000, 4.4349000000, 4.5193000000, 4.6035000000, 4.6878000000, 4.7722000000, 4.8563000000, 4.9405000000, 5.0244000000, 5.1081000000, 5.1923000000, 5.2770000000, 5.3616000000, 5.4462000000, 5.5307000000, 5.6152000000, 5.6982000000, 5.7822000000, 5.8672000000, 5.9515000000, 6.0349000000, 6.1193000000, 6.2037000000, 6.2881000000, 6.3725000000, 6.4575000000, 6.5425000000, 6.6258000000, 6.7109000000, 6.7942000000, 6.8785000000, 6.9628000000, 7.0471000000, 7.1315000000, 7.2157000000, 7.3000000000, 7.3847000000, 7.4689000000, 7.5530000000, 7.6372000000, 7.7214000000, 7.8056000000, 7.8898000000, 7.9740000000", \
"0.1663100000, 0.1687300000, 0.1710000000, 0.1751700000, 0.1825700000, 0.1953300000, 0.2172800000, 0.2574600000, 0.3347100000, 0.4183100000, 0.5020500000, 0.5861200000, 0.6702600000, 0.7543900000, 0.8387000000, 0.9228000000, 1.0068000000, 1.0910000000, 1.1751000000, 1.2597000000, 1.3439000000, 1.4285000000, 1.5127000000, 1.5966000000, 1.6816000000, 1.7661000000, 1.8502000000, 1.9344000000, 2.0179000000, 2.1033000000, 2.1870000000, 2.2711000000, 2.3554000000, 2.4405000000, 2.5233000000, 2.6095000000, 2.6919000000, 2.7770000000, 2.8621000000, 2.9470000000, 3.0303000000, 3.1140000000, 3.1990000000, 3.2827000000, 3.3663000000, 3.4506000000, 3.5349000000, 3.6190000000, 3.7036000000, 3.7886000000, 3.8719000000, 3.9569000000, 4.0415000000, 4.1257000000, 4.2097000000, 4.2938000000, 4.3784000000, 4.4628000000, 4.5472000000, 4.6305000000, 4.7166000000, 4.7998000000, 4.8839000000, 4.9672000000, 5.0520000000, 5.1367000000, 5.2213000000, 5.3060000000, 5.3902000000, 5.4744000000, 5.5586000000, 5.6428000000, 5.7270000000, 5.8112000000, 5.8953000000, 5.9795000000, 6.0637000000, 6.1478000000, 6.2320000000, 6.3162000000, 6.4003000000, 6.4845000000, 6.5687000000, 6.6529000000, 6.7371000000, 6.8213000000, 6.9055000000, 6.9898000000, 7.0741000000, 7.1584000000, 7.2428000000, 7.3271000000, 7.4114000000, 7.4957000000, 7.5801000000, 7.6644000000, 7.7488000000, 7.8333000000, 7.9178000000, 8.002400000", \
"0.1995400000, 0.2021900000, 0.2046700000, 0.2092200000, 0.2172400000, 0.2307800000, 0.2534000000, 0.2932300000, 0.3692400000, 0.4516300000, 0.5344900000, 0.6178200000, 0.7014000000, 0.7851000000, 0.8691000000, 0.9528000000, 1.0371000000, 1.1213000000, 1.2052000000, 1.2892000000, 1.3738000000, 1.4579000000, 1.5419000000, 1.6258000000, 1.7103000000, 1.7949000000, 1.8794000000, 1.9639000000, 2.0482000000, 2.1325000000, 2.2165000000, 2.3003000000, 2.3838000000, 2.4698000000, 2.5522000000, 2.6370000000, 2.7216000000, 2.8062000000, 2.8904000000, 2.9747000000, 3.0603000000, 3.1446000000, 3.2290000000, 3.3133000000, 3.3976000000, 3.4819000000, 3.5662000000, 3.6504000000, 3.7344000000, 3.8182000000, 3.9020000000, 3.9857000000, 4.0694000000, 4.1535000000, 4.2380000000, 4.3226000000, 4.4071000000, 4.4915000000, 4.5759000000, 4.6603000000, 4.7446000000, 4.8289000000, 4.9132000000, 4.9974000000, 5.0816000000, 5.1658000000, 5.2500000000, 5.3342000000, 5.4183000000, 5.5025000000, 5.5867000000, 5.6708000000, 5.7550000000, 5.8392000000, 5.9235000000, 6.0078000000, 6.0921000000, 6.1764000000, 6.2608000000, 6.3453000000, 6.4299000000, 6.5145000000, 6.5992000000, 6.6841000000, 6.7680000000, 6.8513000000, 6.9346000000, 7.0194000000, 7.1041000000, 7.1887000000, 7.2732000000, 7.3577000000, 7.4421000000, 7.5265000000, 7.6108000000, 7.6951000000, 7.7794000000, 7.8636000000, 7.9479000000, 8.032100000", \
"0.2366400000, 0.2396200000, 0.2424400000, 0.2476400000, 0.2568400000, 0.2723000000, 0.2974000000, 0.3391000000, 0.4155000000, 0.4975000000, 0.5793000000, 0.6616000000, 0.7441000000, 0.8270000000, 0.9102000000, 0.9936000000, 1.0769000000, 1.1611000000, 1.2444000000, 1.3284000000, 1.4121000000, 1.4963000000, 1.5802000000, 1.6640000000, 1.7484000000, 1.8327000000, 1.9168000000, 2.0008000000, 2.0846000000, 2.1689000000, 2.2536000000, 2.3380000000, 2.4222000000, 2.5065000000, 2.5906000000, 2.6746000000, 2.7574000000, 2.8416000000, 2.9258000000, 3.0103000000, 3.0952000000, 3.1801000000, 3.2644000000, 3.3492000000, 3.4332000000, 3.5180000000, 3.6023000000, 3.6867000000, 3.7710000000, 3.8551000000, 3.9392000000, 4.0231000000, 4.1070000000, 4.1908000000, 4.2745000000, 4.3582000000, 4.4419000000, 4.5265000000, 4.6111000000, 4.6956000000, 4.7801000000, 4.8645000000, 4.9489000000, 5.0334000000, 5.1178000000, 5.2021000000, 5.2865000000, 5.3708000000, 5.4551000000, 5.5393000000, 5.6237000000, 5.7080000000, 5.7924000000, 5.8767000000, 5.9611000000, 6.0455000000, 6.1298000000, 6.2141000000, 6.2985000000, 6.3830000000, 6.4677000000, 6.5525000000, 6.6374000000, 6.7222000000, 6.8064000000, 6.8878000000, 6.9722000000, 7.0565000000, 7.1408000000, 7.2251000000, 7.3093000000, 7.3935000000, 7.4777000000, 7.5619000000, 7.6448000000, 7.7289000000, 7.8141000000, 7.8984000000, 7.9828000000, 8.067200000", \
"0.2570000000, 0.2602000000, 0.2633000000, 0.2689000000, 0.2790000000, 0.2959000000, 0.3233000000, 0.3675000000, 0.4451000000, 0.5280000000, 0.6108000000, 0.6935000000, 0.7761000000, 0.8587000000, 0.9416000000, 1.0242000000, 1.1073000000, 1.1903000000, 1.2739000000, 1.3571000000, 1.4408000000, 1.5246000000, 1.6081000000, 1.6925000000, 1.7763000000, 1.8597000000, 1.9436000000, 2.0276000000, 2.1113000000, 2.1957000000, 2.2798000000, 2.3637000000, 2.4475000000, 2.5315000000, 2.6156000000, 2.7005000000, 2.7849000000, 2.8690000000, 2.9532000000, 3.0371000000, 3.1216000000, 3.2052000000, 3.2889000000, 3.3728000000, 3.4576000000, 3.5421000000, 3.6272000000, 3.7114000000, 3.7959000000, 3.8801000000, 3.9640000000, 4.0487000000, 4.1330000000, 4.2161000000, 4.3000000000, 4.3834000000, 4.4695000000, 4.5515000000, 4.6362000000, 4.7207000000, 4.8056000000, 4.8900000000, 4.9746000000, 5.0585000000, 5.1409000000, 5.2265000000, 5.3134000000, 5.3976000000, 5.4813000000, 5.5653000000, 5.6490000000, 5.7344000000, 5.8196000000, 5.9038000000, 5.9874000000, 6.0713000000, 6.1565000000, 6.2407000000, 6.3249000000, 6.4089000000, 6.4930000000, 6.5769000000, 6.6610000000, 6.7452000000, 6.8295000000, 6.9140000000, 6.9984000000, 7.0827000000, 7.1668000000, 7.2516000000, 7.3368000000, 7.4177000000, 7.5020000000, 7.5882000000, 7.6706000000, 7.7536000000, 7.8371000000, 7.9230000000, 8.007600000, 8.091300000", \
"0.2787700000, 0.2822700000, 0.2856700000, 0.2921700000, 0.3037700000, 0.3236700000, 0.3558700000, 0.4067700000, 0.4896700000, 0.5741700000, 0.6585700000, 0.7432700000, 0.8282700000, 0.9129700000, 0.9980700000, 1.0824700000, 1.1666700000, 1.2507700000, 1.3346700000, 1.4181700000, 1.5012700000, 1.5842700000, 1.6674700000, 1.7514700000, 1.8344700000, 1.9177700000, 2.0013700000, 2.0840700000, 2.1674700000, 2.2511700000, 2.3344700000, 2.4183700000, 2.5020700000, 2.5858700000, 2.6694700000, 2.7539700000, 2.8377700000, 2.9207700000, 3.0052700000, 3.0903700000, 3.1735700000, 3.2564700000, 3.3411700000, 3.4254700000, 3.5094700000, 3.5934700000, 3.6770700000, 3.7605700000, 3.8447700000, 3.9292700000, 4.0135700000, 4.0977700000, 4.1817700000, 4.2656700000, 4.3494700000, 4.4331700000, 4.5171700000, 4.6018700000, 4.6864700000, 4.7711700000, 4.8548700000, 4.9393700000, 5.0237700000, 5.1081700000, 5.1924700000, 5.2767700000, 5.3609700000, 5.4450700000, 5.5293700000, 5.6134700000, 5.6965700000, 5.7816700000, 5.8644700000, 5.9506700000, 6.0344700000, 6.1173700000, 6.2032700000, 6.2875700000, 6.3718700000, 6.4562700000, 6.5405700000, 6.6247700000, 6.7089700000, 6.7931700000, 6.8773700000, 6.9615700000, 7.0457700000, 7.1298700000, 7.2139700000, 7.2980700000, 7.3821700000, 7.4661700000, 7.5502700000, 7.6337700000, 7.7173700000, 7.8009700000, 7.8870700000, 7.9696700000, 8.054670000, 8.137670000", \
"0.2796300000, 0.2833300000, 0.2868300000, 0.2935300000, 0.3057300000, 0.3267300000, 0.3609300000, 0.4148300000, 0.5010300000, 0.5865300000, 0.6714300000, 0.7566300000, 0.8423300000, 0.9281300000, 1.0137300000, 1.0996300000, 1.1846300000, 1.2699300000, 1.3546300000, 1.4390300000, 1.5236300000, 1.6075300000, 1.6919300000, 1.7757300000, 1.8586300000, 1.9420300000, 2.0254300000, 2.1089300000, 2.1919300000, 2.2753300000, 2.3585300000, 2.4424300000, 2.5263300000, 2.6091300000, 2.6926300000, 2.7764300000, 2.8603300000, 2.9435300000, 3.0278300000, 3.1109300000, 3.1953300000, 3.2790300000, 3.3622300000, 3.4469300000, 3.5309300000, 3.6147300000, 3.6980300000, 3.7825300000, 3.8672300000, 3.9515300000, 4.0358300000, 4.1194300000, 4.2031300000, 4.2854300000, 4.3705300000, 4.4552300000, 4.5394300000, 4.6234300000, 4.7073300000, 4.7911300000, 4.8748300000, 4.9588300000, 5.0433300000, 5.1272300000, 5.2116300000, 5.2958300000, 5.3800300000, 5.4639300000, 5.5480300000, 5.6315300000, 5.7154300000, 5.7993300000, 5.8828300000, 5.9671300000, 6.0518300000, 6.1365300000, 6.2212300000, 6.3059300000, 6.3904300000, 6.4749300000, 6.5593300000, 6.6436300000, 6.7279300000, 6.8122300000, 6.8964300000, 6.9805300000, 7.0646300000, 7.1488300000, 7.2328300000, 7.3165300000, 7.3998300000, 7.4838300000, 7.5678300000, 7.6508300000, 7.7348300000, 7.8198300000, 7.9048300000, 7.9908300000, 8.075830000, 8.159830000", \
"0.2765000000, 0.2802000000, 0.2839000000, 0.2908000000, 0.3034000000, 0.3254000000, 0.3613000000, 0.4180000000, 0.5074000000, 0.5943000000, 0.6795000000, 0.7652000000, 0.8514000000, 0.9377000000, 1.0243000000, 1.1107000000, 1.1970000000, 1.2827000000, 1.3686000000, 1.4542000000, 1.5394000000, 1.6248000000, 1.7091000000, 1.7939000000, 1.8786000000, 1.9630000000, 2.0467000000, 2.1307000000, 2.2136000000, 2.2976000000, 2.3808000000, 2.4644000000, 2.5481000000, 2.6310000000, 2.7148000000, 2.7987000000, 2.8815000000, 2.9657000000, 3.0492000000, 3.1322000000, 3.2154000000, 3.2994000000, 3.3833000000, 3.4672000000, 3.5503000000, 3.6344000000, 3.7181000000, 3.8015000000, 3.8863000000, 3.9700000000, 4.0530000000, 4.1375000000, 4.2222000000, 4.3062000000, 4.3898000000, 4.4730000000, 4.5572000000, 4.6417000000, 4.7266000000, 4.8110000000, 4.8951000000, 4.9789000000, 5.0627000000, 5.1466000000, 5.2293000000, 5.3135000000, 5.3975000000, 5.4821000000, 5.5665000000, 5.6508000000, 5.7349000000, 5.8186000000, 5.9023000000, 5.9857000000, 6.0697000000, 6.1542000000, 6.2391000000, 6.3237000000, 6.4081000000, 6.4923000000, 6.5764000000, 6.6603000000, 6.7448000000, 6.8287000000, 6.9130000000, 6.9960000000, 7.0800000000, 7.1640000000, 7.2480000000, 7.3320000000, 7.4160000000, 7.5010000000, 7.5860000000, 7.6710000000, 7.7550000000, 7.8400000000, 7.9240000000, 8.009000000, 8.093000000, 8.178000000", \
"0.2688000000, 0.2726000000, 0.2763000000, 0.2834000000, 0.2966000000, 0.3195000000, 0.3573000000, 0.4169000000, 0.5103000000, 0.5990000000, 0.6849000000, 0.7709000000, 0.8575000000, 0.9444000000, 1.0316000000, 1.1187000000, 1.2060000000, 1.2926000000, 1.3792000000, 1.4658000000, 1.5520000000, 1.6386000000, 1.7245000000, 1.8100000000, 1.8952000000, 1.9802000000, 2.0654000000, 2.1503000000, 2.2351000000, 2.3195000000, 2.4034000000, 2.4872000000, 2.5717000000, 2.6552000000, 2.7383000000, 2.8214000000, 2.9050000000, 2.9887000000, 3.0719000000, 3.1562000000, 3.2395000000, 3.3228000000, 3.4070000000, 3.4902000000, 3.5738000000, 3.6576000000, 3.7408000000, 3.8244000000, 3.9080000000, 3.9919000000, 4.0757000000, 4.1597000000, 4.2433000000, 4.3266000000, 4.4110000000, 4.4950000000, 4.5778000000, 4.6624000000, 4.7466000000, 4.8304000000, 4.9139000000, 4.9974000000, 5.0827000000, 5.1669000000, 5.2509000000, 5.3346000000, 5.4180000000, 5.5014000000, 5.5854000000, 5.6704000000, 5.7555000000, 5.8398000000, 5.9240000000, 6.0077000000, 6.0917000000, 6.1755000000, 6.2590000000, 6.3430000000, 6.4260000000, 6.5090000000, 6.5940000000, 6.6780000000, 6.7630000000, 6.8470000000, 6.9310000000, 7.0160000000, 7.1000000000, 7.1840000000, 7.2680000000, 7.3500000000, 7.4350000000, 7.5190000000, 7.6040000000, 7.6880000000, 7.7730000000, 7.8570000000, 7.9420000000, 8.026000000, 8.110000000, 8.195000000", \
"0.2535700000, 0.2574700000, 0.2613700000, 0.2686700000, 0.2823700000, 0.3063700000, 0.3462700000, 0.4093700000, 0.5077700000, 0.5993700000, 0.6864700000, 0.7729700000, 0.8599700000, 0.9473700000, 1.0350700000, 1.1230700000, 1.2108700000, 1.2988700000, 1.3862700000, 1.4739700000, 1.5613700000, 1.6483700000, 1.7356700000, 1.8225700000, 1.9093700000, 1.9955700000, 2.0813700000, 2.1671700000, 2.2533700000, 2.3383700000, 2.4241700000, 2.5093700000, 2.5942700000, 2.6788700000, 2.7631700000, 2.8475700000, 2.9323700000, 3.0163700000, 3.1007700000, 3.1846700000, 3.2676700000, 3.3515700000, 3.4350700000, 3.5181700000, 3.6011700000, 3.6852700000, 3.7697700000, 3.8532700000, 3.9365700000, 4.0202700000, 4.1038700000, 4.1877700000, 4.2713700000, 4.3552700000, 4.4389700000, 4.5223700000, 4.6053700000, 4.6890700000, 4.7725700000, 4.8567700000, 4.9401700000, 5.0242700000, 5.1086700000, 5.1921700000, 5.2751700000, 5.3594700000, 5.4436700000, 5.5276700000, 5.6106700000, 5.6946700000, 5.7796700000, 5.8636700000, 5.9476700000, 6.0306700000, 6.1146700000, 6.1986700000, 6.2836700000, 6.3686700000, 6.4526700000, 6.5366700000, 6.6206700000, 6.7036700000, 6.7866700000, 6.8706700000, 6.9546700000, 7.0396700000, 7.1246700000, 7.2086700000, 7.2936700000, 7.3776700000, 7.4616700000, 7.5456700000, 7.6286700000, 7.7126700000, 7.7966700000, 7.8796700000, 7.9646700000, 8.047670000, 8.130670000, 8.215670000", \
"0.2289000000, 0.2330000000, 0.2369000000, 0.2445000000, 0.2587000000, 0.2839000000, 0.3261000000, 0.3931000000, 0.4973000000, 0.5927000000, 0.6816000000, 0.7691000000, 0.8566000000, 0.9445000000, 1.0327000000, 1.1214000000, 1.2100000000, 1.2989000000, 1.3872000000, 1.4758000000, 1.5642000000, 1.6522000000, 1.7404000000, 1.8286000000, 1.9166000000, 2.0041000000, 2.0914000000, 2.1784000000, 2.2651000000, 2.3522000000, 2.4387000000, 2.5249000000, 2.6109000000, 2.6970000000, 2.7834000000, 2.8692000000, 2.9545000000, 3.0398000000, 3.1248000000, 3.2099000000, 3.2950000000, 3.3793000000, 3.4633000000, 3.5485000000, 3.6331000000, 3.7172000000, 3.8006000000, 3.8843000000, 3.9684000000, 4.0521000000, 4.1359000000, 4.2196000000, 4.3033000000, 4.3870000000, 4.4700000000, 4.5530000000, 4.6380000000, 4.7220000000, 4.8050000000, 4.8890000000, 4.9720000000, 5.0560000000, 5.1400000000, 5.2230000000, 5.3070000000, 5.3920000000, 5.4750000000, 5.5590000000, 5.6420000000, 5.7250000000, 5.8090000000, 5.8930000000, 5.9770000000, 6.0600000000, 6.1450000000, 6.2290000000, 6.3130000000, 6.3960000000, 6.4790000000, 6.5640000000, 6.6480000000, 6.7320000000, 6.8160000000, 6.8990000000, 6.9830000000, 7.0670000000, 7.1520000000, 7.2360000000, 7.3200000000, 7.4030000000, 7.4860000000, 7.5710000000, 7.6560000000, 7.7410000000, 7.8250000000, 7.9100000000, 7.9930000000, 8.077000000, 8.161000000, 8.244000000", \
"0.1870700000, 0.1911700000, 0.1952700000, 0.2030700000, 0.2179700000, 0.2444700000, 0.2893700000, 0.3612700000, 0.4728700000, 0.5736700000, 0.6656700000, 0.7547700000, 0.8432700000, 0.9318700000, 1.0207700000, 1.1099700000, 1.1994700000, 1.2888700000, 1.3785700000, 1.4680700000, 1.5574700000, 1.6467700000, 1.7359700000, 1.8249700000, 1.9139700000, 2.0028700000, 2.0917700000, 2.1804700000, 2.2687700000, 2.3569700000, 2.4449700000, 2.5326700000, 2.6202700000, 2.7079700000, 2.7957700000, 2.8817700000, 2.9686700000, 3.0556700000, 3.1426700000, 3.2296700000, 3.3156700000, 3.4016700000, 3.4876700000, 3.5726700000, 3.6596700000, 3.7446700000, 3.8296700000, 3.9156700000, 4.0006700000, 4.0856700000, 4.1706700000, 4.2556700000, 4.3406700000, 4.4246700000, 4.5086700000, 4.5926700000, 4.6766700000, 4.7606700000, 4.8456700000, 4.9296700000, 5.0126700000, 5.0966700000, 5.1806700000, 5.2636700000, 5.3476700000, 5.4316700000, 5.5156700000, 5.5996700000, 5.6826700000, 5.7656700000, 5.8506700000, 5.9346700000, 6.0176700000, 6.1006700000, 6.1846700000, 6.2686700000, 6.3526700000, 6.4366700000, 6.5196700000, 6.6026700000, 6.6876700000, 6.7716700000, 6.8556700000, 6.9396700000, 7.0226700000, 7.1056700000, 7.1886700000, 7.2726700000, 7.3566700000, 7.4406700000, 7.5246700000, 7.6086700000, 7.6916700000, 7.7766700000, 7.8606700000, 7.9446700000, 8.028670000, 8.111670000, 8.194670000, 8.279670000", \
"0.1463700000, 0.1504700000, 0.1545700000, 0.1626700000, 0.1778700000, 0.2054700000, 0.2523700000, 0.3277700000, 0.4451700000, 0.5506700000, 0.6455700000, 0.7363700000, 0.8258700000, 0.9151700000, 1.0046700000, 1.0942700000, 1.1843700000, 1.2743700000, 1.3646700000, 1.4545700000, 1.5446700000, 1.6347700000, 1.7246700000, 1.8146700000, 1.9046700000, 1.9936700000, 2.0836700000, 2.1736700000, 2.2626700000, 2.3526700000, 2.4406700000, 2.5296700000, 2.6186700000, 2.7066700000, 2.7946700000, 2.8826700000, 2.9706700000, 3.0586700000, 3.1466700000, 3.2346700000, 3.3216700000, 3.4096700000, 3.4966700000, 3.5836700000, 3.6706700000, 3.7556700000, 3.8426700000, 3.9296700000, 4.0156700000, 4.1016700000, 4.1876700000, 4.2736700000, 4.3586700000, 4.4446700000, 4.5286700000, 4.6146700000, 4.6996700000, 4.7846700000, 4.8696700000, 4.9546700000, 5.0396700000, 5.1246700000, 5.2086700000, 5.2926700000, 5.3766700000, 5.4616700000, 5.5466700000, 5.6306700000, 5.7156700000, 5.7996700000, 5.8826700000, 5.9666700000, 6.0496700000, 6.1336700000, 6.2176700000, 6.3006700000, 6.3846700000, 6.4696700000, 6.5536700000, 6.6366700000, 6.7196700000, 6.8036700000, 6.8886700000, 6.9716700000, 7.0546700000, 7.1376700000, 7.2216700000, 7.3066700000, 7.3906700000, 7.4746700000, 7.5576700000, 7.6416700000, 7.7256700000, 7.8096700000, 7.8936700000, 7.9776700000, 8.061670000, 8.145670000, 8.228670000, 8.310670000", \
"0.0870000000, 0.0910000000, 0.0950000000, 0.1040000000, 0.1190000000, 0.1480000000, 0.1970000000, 0.2770000000, 0.4010000000, 0.5130000000, 0.6120000000, 0.7050000000, 0.7960000000, 0.8870000000, 0.9770000000, 1.0670000000, 1.1580000000, 1.2490000000, 1.3400000000, 1.4300000000, 1.5210000000, 1.6120000000, 1.7030000000, 1.7940000000, 1.8840000000, 1.9750000000, 2.0650000000, 2.1560000000, 2.2460000000, 2.3360000000, 2.4270000000, 2.5160000000, 2.6060000000, 2.6960000000, 2.7850000000, 2.8740000000, 2.9630000000, 3.0520000000, 3.1410000000, 3.2300000000, 3.3180000000, 3.4070000000, 3.4960000000, 3.5840000000, 3.6720000000, 3.7600000000, 3.8460000000, 3.9330000000, 4.0210000000, 4.1090000000, 4.1960000000, 4.2830000000, 4.3700000000, 4.4570000000, 4.5440000000, 4.6300000000, 4.7160000000, 4.8030000000, 4.8880000000, 4.9740000000, 5.0590000000, 5.1460000000, 5.2310000000, 5.3170000000, 5.4030000000, 5.4890000000, 5.5740000000, 5.6590000000, 5.7450000000, 5.8300000000, 5.9150000000, 6.0000000000, 6.0840000000, 6.1690000000, 6.2530000000, 6.3370000000, 6.4210000000, 6.5060000000, 6.5920000000, 6.6760000000, 6.7600000000, 6.8440000000, 6.9280000000, 7.0110000000, 7.0950000000, 7.1790000000, 7.2630000000, 7.3460000000, 7.4300000000, 7.5140000000, 7.5980000000, 7.6810000000, 7.7650000000, 7.8490000000, 7.9330000000, 8.017000000, 8.100000000, 8.185000000, 8.269000000, 8.352000000");
}
fall_transition ("delay_template17x100") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.5000000000, 6.7500000000, 8.500000000, 10.000000000, 12.000000000");
index_2("0.0000000000, 0.0071500000, 0.0143100000, 0.0286100000, 0.0572200000, 0.1144500000, 0.2288900000, 0.4577900000, 0.9155800000, 1.4155800000, 1.9155800000, 2.4155800000, 2.9155800000, 3.4155800000, 3.9155800000, 4.4155800000, 4.9155800000, 5.4155800000, 5.9155800000, 6.4155800000, 6.9155800000, 7.4155800000, 7.9155800000, 8.415580000, 8.915580000, 9.415580000, 9.915580000, 10.415580000, 10.915580000, 11.415580000, 11.915580000, 12.415580000, 12.915580000, 13.415580000, 13.915580000, 14.415580000, 14.915580000, 15.415580000, 15.915580000, 16.415580000, 16.915580000, 17.415580000, 17.915580000, 18.415580000, 18.915580000, 19.415580000, 19.915580000, 20.415580000, 20.915580000, 21.415580000, 21.915580000, 22.415580000, 22.915580000, 23.415580000, 23.915580000, 24.415580000, 24.915580000, 25.415580000, 25.915580000, 26.415580000, 26.915580000, 27.415580000, 27.915580000, 28.415580000, 28.915580000, 29.415580000, 29.915580000, 30.415580000, 30.915580000, 31.415580000, 31.915580000, 32.415580000, 32.915580000, 33.415580000, 33.915580000, 34.415580000, 34.915580000, 35.415580000, 35.915580000, 36.415580000, 36.915580000, 37.415580000, 37.915580000, 38.415580000, 38.915580000, 39.415580000, 39.915580000, 40.415580000, 40.915580000, 41.415580000, 41.915580000, 42.415580000, 42.915580000, 43.415580000, 43.915580000, 44.415580000, 44.915580000, 45.415580000, 45.915580000, 46.415580000");
values("0.0378100000, 0.0398600000, 0.0418000000, 0.0454900000, 0.0521000000, 0.0638600000, 0.0854300000, 0.1287400000, 0.2187500000, 0.3204900000, 0.4247500000, 0.5296500000, 0.6347100000, 0.7408900000, 0.8467600000, 0.9522100000, 1.0587700000, 1.1646400000, 1.2706300000, 1.3768900000, 1.4828600000, 1.5892000000, 1.6947800000, 1.8009700000, 1.9069300000, 2.0127600000, 2.1190700000, 2.2246600000, 2.3313100000, 2.4371700000, 2.5427300000, 2.6491500000, 2.7578600000, 2.8609800000, 2.9673000000, 3.0736000000, 3.1816000000, 3.2843000000, 3.3909000000, 3.5005000000, 3.6032000000, 3.7085000000, 3.8143000000, 3.9202000000, 4.0261000000, 4.1339000000, 4.2435000000, 4.3495000000, 4.4500000000, 4.5581000000, 4.6624000000, 4.7691000000, 4.8797000000, 4.9805000000, 5.0921000000, 5.1948000000, 5.2982000000, 5.4056000000, 5.5104000000, 5.6166000000, 5.7283000000, 5.8353000000, 5.9404000000, 6.0409000000, 6.1492000000, 6.2535000000, 6.3658000000, 6.4718000000, 6.5781000000, 6.6779000000, 6.7826000000, 6.8917000000, 6.9941000000, 7.1094000000, 7.2119000000, 7.3144000000, 7.4208000000, 7.5254000000, 7.6300000000, 7.7419000000, 7.8430000000, 7.9497000000, 8.056100000, 8.159600000, 8.276100000, 8.382600000, 8.481500000, 8.587100000, 8.694400000, 8.799800000, 8.904200000, 9.007900000, 9.122900000, 9.229400000, 9.333200000, 9.441200000, 9.542700000, 9.647400000, 9.751500000, 9.859300000", \
"0.0378100000, 0.0398500000, 0.0418000000, 0.0454800000, 0.0520900000, 0.0638800000, 0.0854000000, 0.1287100000, 0.2187600000, 0.3205600000, 0.4246800000, 0.5294500000, 0.6351600000, 0.7405200000, 0.8472100000, 0.9523500000, 1.0587200000, 1.1643900000, 1.2702800000, 1.3766400000, 1.4830300000, 1.5886500000, 1.6949000000, 1.8009200000, 1.9069200000, 2.0129800000, 2.1190900000, 2.2247300000, 2.3310600000, 2.4394500000, 2.5431300000, 2.6492300000, 2.7549600000, 2.8611000000, 2.9694000000, 3.0760000000, 3.1787000000, 3.2878000000, 3.3926000000, 3.5009000000, 3.6067000000, 3.7096000000, 3.8158000000, 3.9222000000, 4.0273000000, 4.1323000000, 4.2392000000, 4.3486000000, 4.4514000000, 4.5561000000, 4.6630000000, 4.7693000000, 4.8754000000, 4.9798000000, 5.0867000000, 5.1925000000, 5.3001000000, 5.4073000000, 5.5179000000, 5.6194000000, 5.7292000000, 5.8309000000, 5.9365000000, 6.0416000000, 6.1495000000, 6.2541000000, 6.3605000000, 6.4719000000, 6.5716000000, 6.6767000000, 6.7893000000, 6.8911000000, 7.0017000000, 7.1089000000, 7.2146000000, 7.3212000000, 7.4192000000, 7.5239000000, 7.6294000000, 7.7369000000, 7.8433000000, 7.9578000000, 8.055600000, 8.169800000, 8.268500000, 8.375900000, 8.480800000, 8.587300000, 8.691600000, 8.807100000, 8.912600000, 9.011400000, 9.125600000, 9.221300000, 9.329000000, 9.445500000, 9.540100000, 9.652200000, 9.751900000, 9.858800000", \
"0.0378000000, 0.0398800000, 0.0418000000, 0.0454700000, 0.0521000000, 0.0638600000, 0.0854400000, 0.1287100000, 0.2187600000, 0.3207200000, 0.4247500000, 0.5296500000, 0.6347300000, 0.7407900000, 0.8466800000, 0.9527100000, 1.0585900000, 1.1649600000, 1.2704400000, 1.3768100000, 1.4828800000, 1.5893300000, 1.6945500000, 1.8006400000, 1.9065100000, 2.0128600000, 2.1191000000, 2.2271600000, 2.3332400000, 2.4372200000, 2.5428400000, 2.6491400000, 2.7578600000, 2.8603000000, 2.9692000000, 3.0739000000, 3.1790000000, 3.2855000000, 3.3941000000, 3.4975000000, 3.6040000000, 3.7090000000, 3.8189000000, 3.9213000000, 4.0275000000, 4.1331000000, 4.2401000000, 4.3454000000, 4.4502000000, 4.5621000000, 4.6639000000, 4.7740000000, 4.8743000000, 4.9829000000, 5.0875000000, 5.1929000000, 5.2997000000, 5.4055000000, 5.5155000000, 5.6169000000, 5.7231000000, 5.8284000000, 5.9360000000, 6.0422000000, 6.1465000000, 6.2559000000, 6.3660000000, 6.4721000000, 6.5726000000, 6.6843000000, 6.7858000000, 6.8946000000, 6.9967000000, 7.1022000000, 7.2100000000, 7.3162000000, 7.4180000000, 7.5311000000, 7.6355000000, 7.7357000000, 7.8441000000, 7.9486000000, 8.056500000, 8.164600000, 8.276200000, 8.382700000, 8.480300000, 8.584900000, 8.696700000, 8.800700000, 8.911900000, 9.018800000, 9.115400000, 9.223200000, 9.337500000, 9.439500000, 9.542500000, 9.648900000, 9.755400000, 9.859200000", \
"0.0378000000, 0.0398800000, 0.0417900000, 0.0454900000, 0.0521100000, 0.0639100000, 0.0855300000, 0.1287500000, 0.2188500000, 0.3205100000, 0.4247000000, 0.5296600000, 0.6345300000, 0.7408200000, 0.8468000000, 0.9527400000, 1.0585900000, 1.1649900000, 1.2705600000, 1.3767100000, 1.4828000000, 1.5885700000, 1.6948500000, 1.8002400000, 1.9079600000, 2.0126600000, 2.1188400000, 2.2247700000, 2.3320700000, 2.4375100000, 2.5425300000, 2.6489400000, 2.7555000000, 2.8607000000, 2.9699000000, 3.0736000000, 3.1792000000, 3.2851000000, 3.3906000000, 3.4969000000, 3.6036000000, 3.7120000000, 3.8187000000, 3.9203000000, 4.0283000000, 4.1345000000, 4.2387000000, 4.3496000000, 4.4557000000, 4.5587000000, 4.6621000000, 4.7719000000, 4.8745000000, 4.9830000000, 5.0885000000, 5.1986000000, 5.3039000000, 5.4087000000, 5.5160000000, 5.6158000000, 5.7233000000, 5.8331000000, 5.9362000000, 6.0428000000, 6.1479000000, 6.2597000000, 6.3660000000, 6.4668000000, 6.5782000000, 6.6843000000, 6.7903000000, 6.8965000000, 7.0025000000, 7.1085000000, 7.2080000000, 7.3142000000, 7.4205000000, 7.5269000000, 7.6337000000, 7.7393000000, 7.8460000000, 7.9516000000, 8.058100000, 8.166600000, 8.274000000, 8.380400000, 8.485900000, 8.592500000, 8.692000000, 8.801100000, 8.905700000, 9.019100000, 9.115900000, 9.219200000, 9.329200000, 9.435400000, 9.541000000, 9.647600000, 9.754200000, 9.859800000", \
"0.0416900000, 0.0437000000, 0.0456200000, 0.0492300000, 0.0557400000, 0.0673400000, 0.0884600000, 0.1308000000, 0.2198500000, 0.3211100000, 0.4250800000, 0.5296700000, 0.6352700000, 0.7408300000, 0.8465800000, 0.9523800000, 1.0580900000, 1.1648300000, 1.2707200000, 1.3768200000, 1.4828300000, 1.5886500000, 1.6950300000, 1.8005900000, 1.9072400000, 2.0129900000, 2.1185000000, 2.2245000000, 2.3314000000, 2.4363000000, 2.5447000000, 2.6485000000, 2.7542000000, 2.8640000000, 2.9698000000, 3.0738000000, 3.1791000000, 3.2883000000, 3.3914000000, 3.4976000000, 3.6038000000, 3.7096000000, 3.8144000000, 3.9204000000, 4.0261000000, 4.1339000000, 4.2409000000, 4.3442000000, 4.4506000000, 4.5561000000, 4.6620000000, 4.7713000000, 4.8754000000, 4.9815000000, 5.0874000000, 5.1936000000, 5.2989000000, 5.4048000000, 5.5124000000, 5.6189000000, 5.7232000000, 5.8326000000, 5.9363000000, 6.0406000000, 6.1489000000, 6.2545000000, 6.3586000000, 6.4721000000, 6.5783000000, 6.6765000000, 6.7877000000, 6.8969000000, 7.0039000000, 7.1070000000, 7.2059000000, 7.3154000000, 7.4188000000, 7.5266000000, 7.6333000000, 7.7387000000, 7.8442000000, 7.9499000000, 8.054300000, 8.164800000, 8.271200000, 8.379600000, 8.486000000, 8.588800000, 8.693700000, 8.796100000, 8.909300000, 9.015800000, 9.125500000, 9.231000000, 9.337500000, 9.434200000, 9.540800000, 9.649300000, 9.751000000, 9.859300000", \
"0.0535900000, 0.0556200000, 0.0575500000, 0.0612100000, 0.0678000000, 0.0793500000, 0.0998800000, 0.1400200000, 0.2253200000, 0.3245600000, 0.4267700000, 0.5304100000, 0.6356700000, 0.7406300000, 0.8467400000, 0.9528000000, 1.0585600000, 1.1649200000, 1.2708500000, 1.3770000000, 1.4831000000, 1.5890000000, 1.6960000000, 1.8022000000, 1.9080000000, 2.0127000000, 2.1188000000, 2.2248000000, 2.3312000000, 2.4370000000, 2.5429000000, 2.6516000000, 2.7578000000, 2.8639000000, 2.9668000000, 3.0731000000, 3.1794000000, 3.2855000000, 3.3913000000, 3.4975000000, 3.6035000000, 3.7092000000, 3.8177000000, 3.9255000000, 4.0266000000, 4.1321000000, 4.2382000000, 4.3499000000, 4.4511000000, 4.5623000000, 4.6629000000, 4.7739000000, 4.8750000000, 4.9801000000, 5.0861000000, 5.1965000000, 5.3003000000, 5.4044000000, 5.5111000000, 5.6173000000, 5.7231000000, 5.8287000000, 5.9345000000, 6.0413000000, 6.1479000000, 6.2554000000, 6.3626000000, 6.4698000000, 6.5769000000, 6.6843000000, 6.7831000000, 6.8884000000, 6.9941000000, 7.1000000000, 7.2060000000, 7.3211000000, 7.4276000000, 7.5332000000, 7.6397000000, 7.7452000000, 7.8517000000, 7.9573000000, 8.063800000, 8.161300000, 8.267900000, 8.374400000, 8.480000000, 8.586600000, 8.693200000, 8.798800000, 8.905400000, 9.012000000, 9.117600000, 9.229200000, 9.329400000, 9.435100000, 9.541700000, 9.648300000, 9.759000000, 9.864600000", \
"0.0769900000, 0.0790900000, 0.0811100000, 0.0850500000, 0.0921000000, 0.1043000000, 0.1253000000, 0.1637000000, 0.2442000000, 0.3381000000, 0.4361000000, 0.5374000000, 0.6399000000, 0.7441000000, 0.8488000000, 0.9541000000, 1.0594000000, 1.1653000000, 1.2711000000, 1.3765000000, 1.4828000000, 1.5889000000, 1.6944000000, 1.8001000000, 1.9071000000, 2.0128000000, 2.1204000000, 2.2258000000, 2.3309000000, 2.4372000000, 2.5425000000, 2.6486000000, 2.7550000000, 2.8612000000, 2.9673000000, 3.0732000000, 3.1792000000, 3.2852000000, 3.3948000000, 3.5007000000, 3.6068000000, 3.7090000000, 3.8156000000, 3.9213000000, 4.0278000000, 4.1339000000, 4.2398000000, 4.3451000000, 4.4525000000, 4.5569000000, 4.6628000000, 4.7696000000, 4.8784000000, 4.9871000000, 5.0934000000, 5.1930000000, 5.2985000000, 5.4048000000, 5.5103000000, 5.6231000000, 5.7292000000, 5.8298000000, 5.9412000000, 6.0476000000, 6.1537000000, 6.2596000000, 6.3597000000, 6.4654000000, 6.5733000000, 6.6777000000, 6.7843000000, 6.8914000000, 6.9971000000, 7.1037000000, 7.2093000000, 7.3159000000, 7.4207000000, 7.5243000000, 7.6321000000, 7.7377000000, 7.8473000000, 7.9538000000, 8.059400000, 8.165000000, 8.271500000, 8.372400000, 8.489100000, 8.583200000, 8.689700000, 8.796500000, 8.901900000, 9.008200000, 9.113800000, 9.220200000, 9.325700000, 9.432300000, 9.537900000, 9.644500000, 9.750000000, 9.856500000", \
"0.0969000000, 0.0990000000, 0.1011000000, 0.1053000000, 0.1128000000, 0.1257000000, 0.1475000000, 0.1865000000, 0.2657000000, 0.3580000000, 0.4538000000, 0.5522000000, 0.6525000000, 0.7540000000, 0.8568000000, 0.9601000000, 1.0640000000, 1.1686000000, 1.2738000000, 1.3787000000, 1.4842000000, 1.5895000000, 1.6955000000, 1.8012000000, 1.9072000000, 2.0130000000, 2.1192000000, 2.2245000000, 2.3305000000, 2.4363000000, 2.5428000000, 2.6494000000, 2.7557000000, 2.8620000000, 2.9681000000, 3.0736000000, 3.1798000000, 3.2853000000, 3.3899000000, 3.4964000000, 3.6030000000, 3.7087000000, 3.8154000000, 3.9210000000, 4.0275000000, 4.1337000000, 4.2397000000, 4.3457000000, 4.4512000000, 4.5572000000, 4.6682000000, 4.7744000000, 4.8751000000, 4.9807000000, 5.0927000000, 5.1937000000, 5.2992000000, 5.4069000000, 5.5132000000, 5.6178000000, 5.7239000000, 5.8308000000, 5.9372000000, 6.0424000000, 6.1494000000, 6.2559000000, 6.3615000000, 6.4659000000, 6.5718000000, 6.6774000000, 6.7836000000, 6.8893000000, 7.0026000000, 7.1012000000, 7.2071000000, 7.3210000000, 7.4192000000, 7.5330000000, 7.6396000000, 7.7451000000, 7.8421000000, 7.9574000000, 8.063700000, 8.160000000, 8.266100000, 8.382600000, 8.480000000, 8.586600000, 8.693200000, 8.798800000, 8.905500000, 9.012200000, 9.117600000, 9.230800000, 9.330000000, 9.442800000, 9.540500000, 9.644000000, 9.751800000, 9.860700000", \
"0.1430000000, 0.1451000000, 0.1473000000, 0.1518000000, 0.1602000000, 0.1750000000, 0.1995000000, 0.2409000000, 0.3194000000, 0.4103000000, 0.5063000000, 0.6051000000, 0.7051000000, 0.8051000000, 0.9058000000, 1.0059000000, 1.1063000000, 1.2072000000, 1.3090000000, 1.4107000000, 1.5138000000, 1.6153000000, 1.7194000000, 1.8213000000, 1.9251000000, 2.0295000000, 2.1321000000, 2.2370000000, 2.3433000000, 2.4452000000, 2.5525000000, 2.6565000000, 2.7611000000, 2.8652000000, 2.9698000000, 3.0748000000, 3.1807000000, 3.2866000000, 3.3924000000, 3.4981000000, 3.6032000000, 3.7089000000, 3.8146000000, 3.9215000000, 4.0277000000, 4.1334000000, 4.2396000000, 4.3454000000, 4.4512000000, 4.5560000000, 4.6613000000, 4.7684000000, 4.8773000000, 4.9829000000, 5.0901000000, 5.1946000000, 5.2992000000, 5.4080000000, 5.5154000000, 5.6191000000, 5.7225000000, 5.8292000000, 5.9349000000, 6.0406000000, 6.1453000000, 6.2520000000, 6.3586000000, 6.4643000000, 6.5689000000, 6.6756000000, 6.7822000000, 6.8889000000, 6.9956000000, 7.1012000000, 7.2078000000, 7.3142000000, 7.4209000000, 7.5265000000, 7.6321000000, 7.7377000000, 7.8434000000, 7.9490000000, 8.053700000, 8.162200000, 8.267900000, 8.374500000, 8.489200000, 8.586000000, 8.691600000, 8.798300000, 8.903900000, 9.009600000, 9.115200000, 9.222300000, 9.328000000, 9.438600000, 9.542200000, 9.647800000, 9.754400000, 9.860900000", \
"0.1639000000, 0.1661000000, 0.1683000000, 0.1728000000, 0.1814000000, 0.1971000000, 0.2229000000, 0.2657000000, 0.3449000000, 0.4351000000, 0.5304000000, 0.6296000000, 0.7303000000, 0.8319000000, 0.9334000000, 1.0347000000, 1.1358000000, 1.2366000000, 1.3373000000, 1.4392000000, 1.5388000000, 1.6406000000, 1.7418000000, 1.8442000000, 1.9470000000, 2.0498000000, 2.1521000000, 2.2540000000, 2.3568000000, 2.4612000000, 2.5638000000, 2.6685000000, 2.7726000000, 2.8762000000, 2.9803000000, 3.0837000000, 3.1891000000, 3.2961000000, 3.3994000000, 3.5029000000, 3.6092000000, 3.7147000000, 3.8197000000, 3.9230000000, 4.0283000000, 4.1344000000, 4.2406000000, 4.3466000000, 4.4524000000, 4.5581000000, 4.6639000000, 4.7694000000, 4.8746000000, 4.9799000000, 5.0869000000, 5.1932000000, 5.3000000000, 5.4057000000, 5.5124000000, 5.6180000000, 5.7237000000, 5.8294000000, 5.9350000000, 6.0408000000, 6.1465000000, 6.2522000000, 6.3569000000, 6.4626000000, 6.5703000000, 6.6769000000, 6.7826000000, 6.8892000000, 6.9949000000, 7.1016000000, 7.2073000000, 7.3140000000, 7.4197000000, 7.5262000000, 7.6319000000, 7.7377000000, 7.8464000000, 7.9581000000, 8.062700000, 8.159400000, 8.271900000, 8.377500000, 8.488300000, 8.586000000, 8.694600000, 8.800200000, 8.904800000, 9.009500000, 9.114100000, 9.222700000, 9.326400000, 9.434100000, 9.538700000, 9.646100000, 9.752800000, 9.857400000", \
"0.1837000000, 0.1858000000, 0.1880000000, 0.1926000000, 0.2016000000, 0.2178000000, 0.2449000000, 0.2891000000, 0.3694000000, 0.4590000000, 0.5542000000, 0.6531000000, 0.7544000000, 0.8565000000, 0.9592000000, 1.0615000000, 1.1640000000, 1.2658000000, 1.3672000000, 1.4682000000, 1.5689000000, 1.6695000000, 1.7725000000, 1.8714000000, 1.9729000000, 2.0744000000, 2.1766000000, 2.2784000000, 2.3814000000, 2.4836000000, 2.5844000000, 2.6890000000, 2.7907000000, 2.8937000000, 2.9976000000, 3.1006000000, 3.2038000000, 3.3082000000, 3.4114000000, 3.5170000000, 3.6202000000, 3.7241000000, 3.8274000000, 3.9371000000, 4.0377000000, 4.1423000000, 4.2502000000, 4.3540000000, 4.4576000000, 4.5633000000, 4.6685000000, 4.7734000000, 4.8786000000, 4.9839000000, 5.0872000000, 5.1946000000, 5.3000000000, 5.4065000000, 5.5120000000, 5.6186000000, 5.7242000000, 5.8298000000, 5.9355000000, 6.0401000000, 6.1468000000, 6.2515000000, 6.3572000000, 6.4649000000, 6.5716000000, 6.6772000000, 6.7841000000, 6.8907000000, 6.9964000000, 7.1031000000, 7.2088000000, 7.3145000000, 7.4203000000, 7.5259000000, 7.6315000000, 7.7372000000, 7.8429000000, 7.9476000000, 8.054000000, 8.159900000, 8.264600000, 8.370900000, 8.477900000, 8.583600000, 8.692100000, 8.797800000, 8.902500000, 9.009200000, 9.115900000, 9.221500000, 9.328200000, 9.433800000, 9.540500000, 9.646100000, 9.752700000, 9.858300000", \
"0.2063000000, 0.2083000000, 0.2105000000, 0.2151000000, 0.2243000000, 0.2412000000, 0.2696000000, 0.3155000000, 0.3972000000, 0.4872000000, 0.5814000000, 0.6798000000, 0.7812000000, 0.8841000000, 0.9878000000, 1.0914000000, 1.1949000000, 1.2983000000, 1.4012000000, 1.5037000000, 1.6054000000, 1.7067000000, 1.8077000000, 1.9085000000, 2.0090000000, 2.1112000000, 2.2111000000, 2.3113000000, 2.4130000000, 2.5141000000, 2.6158000000, 2.7174000000, 2.8195000000, 2.9231000000, 3.0231000000, 3.1284000000, 3.2293000000, 3.3326000000, 3.4338000000, 3.5377000000, 3.6414000000, 3.7456000000, 3.8480000000, 3.9501000000, 4.0553000000, 4.1594000000, 4.2626000000, 4.3657000000, 4.4719000000, 4.5771000000, 4.6793000000, 4.7860000000, 4.8914000000, 4.9959000000, 5.0997000000, 5.2035000000, 5.3071000000, 5.4109000000, 5.5169000000, 5.6228000000, 5.7280000000, 5.8338000000, 5.9402000000, 6.0454000000, 6.1507000000, 6.2549000000, 6.3603000000, 6.4645000000, 6.5721000000, 6.6776000000, 6.7831000000, 6.8897000000, 6.9964000000, 7.1019000000, 7.2086000000, 7.3143000000, 7.4199000000, 7.5255000000, 7.6322000000, 7.7358000000, 7.8426000000, 7.9472000000, 8.052000000, 8.159700000, 8.266400000, 8.373900000, 8.480600000, 8.586600000, 8.694900000, 8.801500000, 8.908300000, 9.011800000, 9.118500000, 9.225200000, 9.330000000, 9.437600000, 9.542200000, 9.647800000, 9.753500000, 9.860100000", \
"0.2347000000, 0.2368000000, 0.2390000000, 0.2436000000, 0.2530000000, 0.2705000000, 0.3005000000, 0.3490000000, 0.4326000000, 0.5229000000, 0.6173000000, 0.7153000000, 0.8164000000, 0.9195000000, 1.0236000000, 1.1284000000, 1.2334000000, 1.3378000000, 1.4422000000, 1.5459000000, 1.6496000000, 1.7529000000, 1.8555000000, 1.9576000000, 2.0591000000, 2.1598000000, 2.2612000000, 2.3620000000, 2.4636000000, 2.5647000000, 2.6643000000, 2.7650000000, 2.8648000000, 2.9663000000, 3.0671000000, 3.1685000000, 3.2699000000, 3.3723000000, 3.4744000000, 3.5754000000, 3.6801000000, 3.7786000000, 3.8856000000, 3.9845000000, 4.0875000000, 4.1906000000, 4.2918000000, 4.3968000000, 4.4993000000, 4.6017000000, 4.7063000000, 4.8098000000, 4.9164000000, 5.0171000000, 5.1198000000, 5.2247000000, 5.3278000000, 5.4309000000, 5.5359000000, 5.6399000000, 5.7462000000, 5.8506000000, 5.9549000000, 6.0591000000, 6.1616000000, 6.2693000000, 6.3750000000, 6.4797000000, 6.5843000000, 6.6870000000, 6.7917000000, 6.8947000000, 7.0007000000, 7.1068000000, 7.2129000000, 7.3190000000, 7.4241000000, 7.5293000000, 7.6354000000, 7.7406000000, 7.8460000000, 7.9514000000, 8.056800000, 8.160200000, 8.267600000, 8.373100000, 8.477600000, 8.584200000, 8.690800000, 8.798300000, 8.903900000, 9.010600000, 9.116300000, 9.222000000, 9.328700000, 9.434300000, 9.540900000, 9.646600000, 9.752300000, 9.858000000", \
"0.2684000000, 0.2706000000, 0.2728000000, 0.2774000000, 0.2869000000, 0.3052000000, 0.3368000000, 0.3881000000, 0.4744000000, 0.5661000000, 0.6606000000, 0.7583000000, 0.8590000000, 0.9618000000, 1.0660000000, 1.1721000000, 1.2776000000, 1.3836000000, 1.4898000000, 1.5954000000, 1.7008000000, 1.8057000000, 1.9100000000, 2.0139000000, 2.1174000000, 2.2204000000, 2.3230000000, 2.4249000000, 2.5266000000, 2.6278000000, 2.7282000000, 2.8292000000, 2.9305000000, 3.0298000000, 3.1322000000, 3.2316000000, 3.3351000000, 3.4338000000, 3.5335000000, 3.6332000000, 3.7340000000, 3.8350000000, 3.9359000000, 4.0401000000, 4.1403000000, 4.2415000000, 4.3437000000, 4.4441000000, 4.5497000000, 4.6512000000, 4.7497000000, 4.8564000000, 4.9551000000, 5.0580000000, 5.1610000000, 5.2629000000, 5.3670000000, 5.4700000000, 5.5732000000, 5.6746000000, 5.7789000000, 5.8822000000, 5.9867000000, 6.0904000000, 6.1920000000, 6.2957000000, 6.4013000000, 6.5059000000, 6.6078000000, 6.7149000000, 6.8209000000, 6.9269000000, 7.0310000000, 7.1361000000, 7.2333000000, 7.3375000000, 7.4417000000, 7.5523000000, 7.6544000000, 7.7580000000, 7.8604000000, 7.9650000000, 8.070800000, 8.176500000, 8.282100000, 8.386700000, 8.491700000, 8.596700000, 8.700700000, 8.805700000, 8.909700000, 9.012700000, 9.119700000, 9.225700000, 9.331700000, 9.438000000, 9.543200000, 9.649600000, 9.755000000, 9.860300000", \
"0.3133000000, 0.3154000000, 0.3176000000, 0.3221000000, 0.3319000000, 0.3509000000, 0.3845000000, 0.4396000000, 0.5301000000, 0.6234000000, 0.7184000000, 0.8167000000, 0.9174000000, 1.0197000000, 1.1248000000, 1.2300000000, 1.3371000000, 1.4433000000, 1.5501000000, 1.6587000000, 1.7648000000, 1.8723000000, 1.9781000000, 2.0841000000, 2.1895000000, 2.2950000000, 2.3996000000, 2.5043000000, 2.6081000000, 2.7111000000, 2.8143000000, 2.9165000000, 3.0188000000, 3.1212000000, 3.2226000000, 3.3232000000, 3.4238000000, 3.5244000000, 3.6261000000, 3.7280000000, 3.8261000000, 3.9271000000, 4.0271000000, 4.1293000000, 4.2267000000, 4.3330000000, 4.4282000000, 4.5287000000, 4.6283000000, 4.7299000000, 4.8314000000, 4.9321000000, 5.0321000000, 5.1360000000, 5.2359000000, 5.3379000000, 5.4369000000, 5.5409000000, 5.6411000000, 5.7450000000, 5.8500000000, 5.9490000000, 6.0480000000, 6.1540000000, 6.2530000000, 6.3570000000, 6.4580000000, 6.5610000000, 6.6650000000, 6.7660000000, 6.8700000000, 6.9730000000, 7.0770000000, 7.1790000000, 7.2800000000, 7.3840000000, 7.4890000000, 7.5930000000, 7.6960000000, 7.8070000000, 7.9020000000, 8.004000000, 8.110000000, 8.219000000, 8.318000000, 8.420000000, 8.528000000, 8.632000000, 8.739000000, 8.846000000, 8.949000000, 9.053000000, 9.157000000, 9.261000000, 9.358000000, 9.463000000, 9.575000000, 9.678000000, 9.781000000, 9.885000000", \
"0.3500000000, 0.3521000000, 0.3542000000, 0.3588000000, 0.3686000000, 0.3882000000, 0.4233000000, 0.4812000000, 0.5756000000, 0.6705000000, 0.7660000000, 0.8650000000, 0.9659000000, 1.0691000000, 1.1728000000, 1.2795000000, 1.3858000000, 1.4933000000, 1.6010000000, 1.7082000000, 1.8163000000, 1.9250000000, 2.0330000000, 2.1400000000, 2.2470000000, 2.3540000000, 2.4600000000, 2.5660000000, 2.6710000000, 2.7760000000, 2.8790000000, 2.9830000000, 3.0860000000, 3.1900000000, 3.2930000000, 3.3960000000, 3.4990000000, 3.6000000000, 3.7020000000, 3.8040000000, 3.9050000000, 4.0050000000, 4.1070000000, 4.2080000000, 4.3090000000, 4.4100000000, 4.5080000000, 4.6100000000, 4.7110000000, 4.8100000000, 4.9120000000, 5.0100000000, 5.1150000000, 5.2120000000, 5.3110000000, 5.4100000000, 5.5110000000, 5.6130000000, 5.7130000000, 5.8140000000, 5.9150000000, 6.0170000000, 6.1190000000, 6.2180000000, 6.3210000000, 6.4210000000, 6.5250000000, 6.6260000000, 6.7250000000, 6.8310000000, 6.9350000000, 7.0350000000, 7.1330000000, 7.2370000000, 7.3440000000, 7.4390000000, 7.5430000000, 7.6430000000, 7.7460000000, 7.8530000000, 7.9520000000, 8.055000000, 8.159000000, 8.263000000, 8.366000000, 8.468000000, 8.569000000, 8.671000000, 8.775000000, 8.881000000, 8.984000000, 9.088000000, 9.191000000, 9.294000000, 9.397000000, 9.502000000, 9.604000000, 9.711000000, 9.814000000, 9.914000000", \
"0.3980000000, 0.3990000000, 0.4010000000, 0.4060000000, 0.4150000000, 0.4360000000, 0.4730000000, 0.5340000000, 0.6340000000, 0.7310000000, 0.8290000000, 0.9270000000, 1.0300000000, 1.1330000000, 1.2380000000, 1.3430000000, 1.4500000000, 1.5580000000, 1.6660000000, 1.7740000000, 1.8840000000, 1.9930000000, 2.1010000000, 2.2080000000, 2.3170000000, 2.4260000000, 2.5340000000, 2.6420000000, 2.7480000000, 2.8560000000, 2.9620000000, 3.0680000000, 3.1730000000, 3.2780000000, 3.3830000000, 3.4870000000, 3.5910000000, 3.6950000000, 3.7990000000, 3.9020000000, 4.0050000000, 4.1070000000, 4.2090000000, 4.3100000000, 4.4120000000, 4.5140000000, 4.6160000000, 4.7170000000, 4.8180000000, 4.9170000000, 5.0160000000, 5.1160000000, 5.2200000000, 5.3210000000, 5.4210000000, 5.5170000000, 5.6220000000, 5.7220000000, 5.8210000000, 5.9220000000, 6.0230000000, 6.1200000000, 6.2250000000, 6.3260000000, 6.4210000000, 6.5210000000, 6.6210000000, 6.7220000000, 6.8220000000, 6.9240000000, 7.0240000000, 7.1260000000, 7.2260000000, 7.3300000000, 7.4310000000, 7.5270000000, 7.6330000000, 7.7330000000, 7.8320000000, 7.9370000000, 8.035000000, 8.137000000, 8.243000000, 8.348000000, 8.450000000, 8.548000000, 8.646000000, 8.752000000, 8.859000000, 8.952000000, 9.056000000, 9.159000000, 9.259000000, 9.362000000, 9.466000000, 9.569000000, 9.669000000, 9.775000000, 9.879000000, 9.983000000");
}
related_pin : "A";
rise_transition ("delay_template17x100") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.5000000000, 6.7500000000, 8.500000000, 10.000000000, 12.000000000");
index_2("0.0000000000, 0.0071500000, 0.0143100000, 0.0286100000, 0.0572200000, 0.1144500000, 0.2288900000, 0.4577900000, 0.9155800000, 1.4155800000, 1.9155800000, 2.4155800000, 2.9155800000, 3.4155800000, 3.9155800000, 4.4155800000, 4.9155800000, 5.4155800000, 5.9155800000, 6.4155800000, 6.9155800000, 7.4155800000, 7.9155800000, 8.415580000, 8.915580000, 9.415580000, 9.915580000, 10.415580000, 10.915580000, 11.415580000, 11.915580000, 12.415580000, 12.915580000, 13.415580000, 13.915580000, 14.415580000, 14.915580000, 15.415580000, 15.915580000, 16.415580000, 16.915580000, 17.415580000, 17.915580000, 18.415580000, 18.915580000, 19.415580000, 19.915580000, 20.415580000, 20.915580000, 21.415580000, 21.915580000, 22.415580000, 22.915580000, 23.415580000, 23.915580000, 24.415580000, 24.915580000, 25.415580000, 25.915580000, 26.415580000, 26.915580000, 27.415580000, 27.915580000, 28.415580000, 28.915580000, 29.415580000, 29.915580000, 30.415580000, 30.915580000, 31.415580000, 31.915580000, 32.415580000, 32.915580000, 33.415580000, 33.915580000, 34.415580000, 34.915580000, 35.415580000, 35.915580000, 36.415580000, 36.915580000, 37.415580000, 37.915580000, 38.415580000, 38.915580000, 39.415580000, 39.915580000, 40.415580000, 40.915580000, 41.415580000, 41.915580000, 42.415580000, 42.915580000, 43.415580000, 43.915580000, 44.415580000, 44.915580000, 45.415580000, 45.915580000, 46.415580000");
values("0.0389100000, 0.0404800000, 0.0422000000, 0.0455800000, 0.0521800000, 0.0648300000, 0.0906800000, 0.1453700000, 0.2587900000, 0.3856000000, 0.5138400000, 0.6424500000, 0.7711700000, 0.9002600000, 1.0290200000, 1.1589200000, 1.2873500000, 1.4177500000, 1.5455300000, 1.6755600000, 1.8038200000, 1.9325000000, 2.0627000000, 2.1903700000, 2.3202800000, 2.4495800000, 2.5794300000, 2.7083800000, 2.8365300000, 2.9659900000, 3.0957200000, 3.2235100000, 3.3532400000, 3.4826700000, 3.6126000000, 3.7401000000, 3.8686000000, 3.9976000000, 4.1266000000, 4.2577000000, 4.3895000000, 4.5141000000, 4.6429000000, 4.7719000000, 4.9011000000, 5.0332000000, 5.1599000000, 5.2883000000, 5.4188000000, 5.5457000000, 5.6783000000, 5.8054000000, 5.9340000000, 6.0665000000, 6.1915000000, 6.3227000000, 6.4570000000, 6.5827000000, 6.7110000000, 6.8369000000, 6.9679000000, 7.0973000000, 7.2270000000, 7.3567000000, 7.4865000000, 7.6147000000, 7.7436000000, 7.8723000000, 8.000000000, 8.127100000, 8.256200000, 8.388300000, 8.517400000, 8.647500000, 8.775800000, 8.903000000, 9.030300000, 9.161600000, 9.291000000, 9.428600000, 9.550300000, 9.682600000, 9.810000000, 9.937100000, 10.064900000, 10.199800000, 10.324800000, 10.456700000, 10.584800000, 10.717000000, 10.848100000, 10.970300000, 11.095400000, 11.231500000, 11.358700000, 11.483800000, 11.622900000, 11.743000000, 11.870600000, 12.007700000", \
"0.0388400000, 0.0405100000, 0.0422000000, 0.0456100000, 0.0521600000, 0.0649000000, 0.0906100000, 0.1454000000, 0.2587000000, 0.3859000000, 0.5136800000, 0.6421200000, 0.7710200000, 0.9001400000, 1.0299500000, 1.1584300000, 1.2876400000, 1.4176200000, 1.5452200000, 1.6748300000, 1.8037000000, 1.9323100000, 2.0614000000, 2.1900500000, 2.3201200000, 2.4483100000, 2.5783000000, 2.7077300000, 2.8375500000, 2.9653500000, 3.0941900000, 3.2229900000, 3.3525400000, 3.4810100000, 3.6117000000, 3.7403000000, 3.8693000000, 3.9970000000, 4.1270000000, 4.2562000000, 4.3845000000, 4.5168000000, 4.6439000000, 4.7719000000, 4.9012000000, 5.0323000000, 5.1622000000, 5.2894000000, 5.4162000000, 5.5475000000, 5.6752000000, 5.8074000000, 5.9329000000, 6.0637000000, 6.1925000000, 6.3224000000, 6.4546000000, 6.5803000000, 6.7114000000, 6.8380000000, 6.9644000000, 7.0963000000, 7.2251000000, 7.3547000000, 7.4895000000, 7.6166000000, 7.7417000000, 7.8711000000, 7.9997000000, 8.130600000, 8.260600000, 8.386400000, 8.516800000, 8.645300000, 8.772400000, 8.901700000, 9.035000000, 9.161600000, 9.294900000, 9.421000000, 9.548000000, 9.677100000, 9.807100000, 9.937200000, 10.066300000, 10.190600000, 10.328700000, 10.452900000, 10.580500000, 10.706300000, 10.835400000, 10.969400000, 11.100600000, 11.234000000, 11.356700000, 11.483500000, 11.613900000, 11.746000000, 11.869500000, 12.002300000", \
"0.0389200000, 0.0405000000, 0.0422200000, 0.0455900000, 0.0522300000, 0.0648800000, 0.0905900000, 0.1452900000, 0.2588600000, 0.3859000000, 0.5136200000, 0.6423100000, 0.7721900000, 0.9001000000, 1.0294700000, 1.1587600000, 1.2874300000, 1.4163700000, 1.5452700000, 1.6746600000, 1.8034500000, 1.9324200000, 2.0616600000, 2.1911400000, 2.3208400000, 2.4494600000, 2.5800800000, 2.7073800000, 2.8361500000, 2.9651000000, 3.0962000000, 3.2243800000, 3.3533800000, 3.4825000000, 3.6108000000, 3.7396000000, 3.8685000000, 3.9977000000, 4.1271000000, 4.2569000000, 4.3876000000, 4.5151000000, 4.6444000000, 4.7726000000, 4.9014000000, 5.0316000000, 5.1591000000, 5.2895000000, 5.4222000000, 5.5479000000, 5.6762000000, 5.8083000000, 5.9348000000, 6.0644000000, 6.1909000000, 6.3228000000, 6.4569000000, 6.5796000000, 6.7097000000, 6.8366000000, 6.9678000000, 7.1001000000, 7.2257000000, 7.3632000000, 7.4879000000, 7.6124000000, 7.7427000000, 7.8682000000, 8.004000000, 8.130200000, 8.257600000, 8.389900000, 8.518000000, 8.644200000, 8.774200000, 8.903300000, 9.031400000, 9.160600000, 9.289700000, 9.418800000, 9.547900000, 9.676000000, 9.806200000, 9.934400000, 10.064500000, 10.193700000, 10.321800000, 10.451000000, 10.578100000, 10.708300000, 10.839400000, 10.967800000, 11.109200000, 11.239700000, 11.360200000, 11.483900000, 11.613700000, 11.747000000, 11.870900000, 12.002000000", \
"0.0388700000, 0.0405100000, 0.0421800000, 0.0455900000, 0.0521800000, 0.0648500000, 0.0906500000, 0.1454500000, 0.2588600000, 0.3859800000, 0.5136300000, 0.6424500000, 0.7718500000, 0.9008700000, 1.0299600000, 1.1591600000, 1.2875900000, 1.4180200000, 1.5458600000, 1.6741000000, 1.8046500000, 1.9326600000, 2.0622600000, 2.1907000000, 2.3203500000, 2.4497900000, 2.5775600000, 2.7079200000, 2.8359500000, 2.9649200000, 3.0972900000, 3.2232900000, 3.3529000000, 3.4817000000, 3.6118000000, 3.7404000000, 3.8692000000, 3.9971000000, 4.1259000000, 4.2553000000, 4.3845000000, 4.5158000000, 4.6430000000, 4.7731000000, 4.9008000000, 5.0314000000, 5.1625000000, 5.2892000000, 5.4183000000, 5.5472000000, 5.6758000000, 5.8042000000, 5.9341000000, 6.0650000000, 6.1957000000, 6.3269000000, 6.4520000000, 6.5803000000, 6.7105000000, 6.8382000000, 6.9658000000, 7.0958000000, 7.2243000000, 7.3604000000, 7.4834000000, 7.6118000000, 7.7410000000, 7.8736000000, 7.9999000000, 8.128200000, 8.257500000, 8.390400000, 8.518800000, 8.645000000, 8.776200000, 8.903300000, 9.042600000, 9.160800000, 9.293000000, 9.425300000, 9.547500000, 9.676600000, 9.808000000, 9.935000000, 10.063800000, 10.192600000, 10.322600000, 10.452700000, 10.582700000, 10.715700000, 10.845700000, 10.973700000, 11.099000000, 11.227200000, 11.368400000, 11.483600000, 11.616800000, 11.754000000, 11.868200000, 12.001400000", \
"0.0432100000, 0.0447000000, 0.0462700000, 0.0495000000, 0.0558500000, 0.0681100000, 0.0931900000, 0.1470200000, 0.2595400000, 0.3856900000, 0.5143300000, 0.6425800000, 0.7712400000, 0.9003800000, 1.0295200000, 1.1582100000, 1.2875400000, 1.4163100000, 1.5455600000, 1.6749600000, 1.8046700000, 1.9330300000, 2.0621700000, 2.1918700000, 2.3203200000, 2.4487900000, 2.5809600000, 2.7078000000, 2.8360000000, 2.9646000000, 3.0945000000, 3.2234000000, 3.3534000000, 3.4827000000, 3.6137000000, 3.7389000000, 3.8700000000, 3.9979000000, 4.1277000000, 4.2568000000, 4.3869000000, 4.5145000000, 4.6449000000, 4.7721000000, 4.9055000000, 5.0326000000, 5.1642000000, 5.2911000000, 5.4245000000, 5.5467000000, 5.6776000000, 5.8046000000, 5.9358000000, 6.0629000000, 6.1930000000, 6.3240000000, 6.4509000000, 6.5784000000, 6.7093000000, 6.8385000000, 6.9748000000, 7.0965000000, 7.2248000000, 7.3579000000, 7.4873000000, 7.6160000000, 7.7429000000, 7.8692000000, 8.008300000, 8.129400000, 8.258500000, 8.387600000, 8.515700000, 8.647800000, 8.778000000, 8.911100000, 9.036300000, 9.159500000, 9.298600000, 9.426800000, 9.547000000, 9.681200000, 9.813400000, 9.938600000, 10.063800000, 10.203100000, 10.323300000, 10.455500000, 10.581800000, 10.710000000, 10.838300000, 10.966500000, 11.096800000, 11.227000000, 11.357100000, 11.487100000, 11.619100000, 11.743100000, 11.883100000, 12.002200000", \
"0.0553700000, 0.0567400000, 0.0582100000, 0.0612700000, 0.0674800000, 0.0792500000, 0.1026500000, 0.1536700000, 0.2633200000, 0.3878600000, 0.5150400000, 0.6430000000, 0.7717200000, 0.9006600000, 1.0295100000, 1.1580300000, 1.2880700000, 1.4172500000, 1.5466700000, 1.6760300000, 1.8035000000, 1.9326000000, 2.0626000000, 2.1914000000, 2.3198000000, 2.4494000000, 2.5796000000, 2.7069000000, 2.8372000000, 2.9662000000, 3.0948000000, 3.2239000000, 3.3522000000, 3.4839000000, 3.6118000000, 3.7410000000, 3.8690000000, 4.0004000000, 4.1278000000, 4.2571000000, 4.3844000000, 4.5135000000, 4.6426000000, 4.7725000000, 4.9020000000, 5.0307000000, 5.1594000000, 5.2886000000, 5.4179000000, 5.5479000000, 5.6788000000, 5.8060000000, 5.9340000000, 6.0673000000, 6.1945000000, 6.3227000000, 6.4495000000, 6.5780000000, 6.7065000000, 6.8369000000, 6.9701000000, 7.0969000000, 7.2231000000, 7.3549000000, 7.4828000000, 7.6133000000, 7.7424000000, 7.8746000000, 8.002700000, 8.127900000, 8.257100000, 8.388300000, 8.522500000, 8.645700000, 8.779900000, 8.908100000, 9.033300000, 9.164500000, 9.290800000, 9.419000000, 9.549200000, 9.681500000, 9.805700000, 9.935000000, 10.069000000, 10.198900000, 10.328900000, 10.457900000, 10.585800000, 10.713800000, 10.841800000, 10.969900000, 11.097900000, 11.223000000, 11.358000000, 11.487100000, 11.614200000, 11.743300000, 11.872400000, 12.001500000", \
"0.0780100000, 0.0791400000, 0.0804700000, 0.0833800000, 0.0896300000, 0.1015100000, 0.1239900000, 0.1713000000, 0.2763000000, 0.3974000000, 0.5223000000, 0.6481000000, 0.7756000000, 0.9030000000, 1.0313000000, 1.1594000000, 1.2881000000, 1.4180000000, 1.5455000000, 1.6763000000, 1.8038000000, 1.9326000000, 2.0618000000, 2.1911000000, 2.3204000000, 2.4506000000, 2.5809000000, 2.7089000000, 2.8372000000, 2.9652000000, 3.0955000000, 3.2233000000, 3.3518000000, 3.4849000000, 3.6144000000, 3.7391000000, 3.8692000000, 3.9973000000, 4.1272000000, 4.2559000000, 4.3887000000, 4.5158000000, 4.6434000000, 4.7739000000, 4.9031000000, 5.0314000000, 5.1610000000, 5.2898000000, 5.4178000000, 5.5455000000, 5.6766000000, 5.8064000000, 5.9355000000, 6.0633000000, 6.1912000000, 6.3226000000, 6.4522000000, 6.5810000000, 6.7100000000, 6.8390000000, 6.9682000000, 7.0973000000, 7.2256000000, 7.3539000000, 7.4849000000, 7.6119000000, 7.7429000000, 7.8720000000, 8.000000000, 8.130200000, 8.257300000, 8.394300000, 8.514400000, 8.650500000, 8.773600000, 8.903800000, 9.030900000, 9.162100000, 9.297200000, 9.425400000, 9.551600000, 9.680800000, 9.806000000, 9.935200000, 10.064400000, 10.194600000, 10.323800000, 10.452100000, 10.579400000, 10.708700000, 10.835900000, 10.967200000, 11.106500000, 11.232800000, 11.357800000, 11.486800000, 11.626600000, 11.742700000, 11.872800000, 12.002800000", \
"0.0976000000, 0.0986000000, 0.0997000000, 0.1024000000, 0.1086000000, 0.1210000000, 0.1437000000, 0.1888000000, 0.2910000000, 0.4110000000, 0.5342000000, 0.6584000000, 0.7849000000, 0.9114000000, 1.0378000000, 1.1647000000, 1.2933000000, 1.4207000000, 1.5482000000, 1.6775000000, 1.8050000000, 1.9353000000, 2.0627000000, 2.1909000000, 2.3208000000, 2.4487000000, 2.5793000000, 2.7072000000, 2.8363000000, 2.9680000000, 3.0951000000, 3.2230000000, 3.3526000000, 3.4834000000, 3.6107000000, 3.7407000000, 3.8688000000, 3.9991000000, 4.1263000000, 4.2591000000, 4.3866000000, 4.5147000000, 4.6450000000, 4.7727000000, 4.9008000000, 5.0305000000, 5.1622000000, 5.2916000000, 5.4199000000, 5.5500000000, 5.6755000000, 5.8063000000, 5.9335000000, 6.0642000000, 6.1920000000, 6.3212000000, 6.4498000000, 6.5792000000, 6.7068000000, 6.8387000000, 6.9672000000, 7.0964000000, 7.2236000000, 7.3557000000, 7.4829000000, 7.6121000000, 7.7397000000, 7.8740000000, 8.000100000, 8.128400000, 8.258500000, 8.387100000, 8.515900000, 8.642900000, 8.777700000, 8.902900000, 9.030100000, 9.162200000, 9.292400000, 9.421500000, 9.547600000, 9.677700000, 9.806900000, 9.936000000, 10.065200000, 10.194400000, 10.323500000, 10.452700000, 10.578800000, 10.711000000, 10.846100000, 10.970200000, 11.099500000, 11.224700000, 11.357900000, 11.483700000, 11.615600000, 11.744600000, 11.873800000, 12.011000000", \
"0.1440000000, 0.1446000000, 0.1455000000, 0.1475000000, 0.1535000000, 0.1663000000, 0.1908000000, 0.2354000000, 0.3304000000, 0.4472000000, 0.5695000000, 0.6952000000, 0.8213000000, 0.9478000000, 1.0724000000, 1.1983000000, 1.3244000000, 1.4502000000, 1.5773000000, 1.7046000000, 1.8295000000, 1.9565000000, 2.0820000000, 2.2098000000, 2.3403000000, 2.4639000000, 2.5909000000, 2.7187000000, 2.8463000000, 2.9746000000, 3.1037000000, 3.2301000000, 3.3584000000, 3.4870000000, 3.6142000000, 3.7481000000, 3.8748000000, 4.0015000000, 4.1283000000, 4.2575000000, 4.3883000000, 4.5140000000, 4.6439000000, 4.7717000000, 4.9009000000, 5.0294000000, 5.1598000000, 5.2893000000, 5.4196000000, 5.5479000000, 5.6745000000, 5.8026000000, 5.9340000000, 6.0672000000, 6.1913000000, 6.3216000000, 6.4508000000, 6.5791000000, 6.7085000000, 6.8398000000, 6.9670000000, 7.0974000000, 7.2277000000, 7.3560000000, 7.4862000000, 7.6114000000, 7.7447000000, 7.8769000000, 8.003200000, 8.135400000, 8.258800000, 8.392900000, 8.516100000, 8.643400000, 8.776700000, 8.909900000, 9.029200000, 9.167500000, 9.294800000, 9.425600000, 9.544800000, 9.678000000, 9.809200000, 9.938400000, 10.067600000, 10.196800000, 10.325100000, 10.454300000, 10.582600000, 10.711900000, 10.840100000, 10.968400000, 11.097700000, 11.226100000, 11.360000000, 11.482500000, 11.611800000, 11.742200000, 11.874800000, 11.999200000", \
"0.1655000000, 0.1658000000, 0.1665000000, 0.1685000000, 0.1740000000, 0.1871000000, 0.2123000000, 0.2574000000, 0.3504000000, 0.4650000000, 0.5865000000, 0.7120000000, 0.8380000000, 0.9654000000, 1.0932000000, 1.2195000000, 1.3445000000, 1.4709000000, 1.5979000000, 1.7234000000, 1.8492000000, 1.9751000000, 2.1019000000, 2.2292000000, 2.3540000000, 2.4813000000, 2.6102000000, 2.7338000000, 2.8607000000, 2.9916000000, 3.1168000000, 3.2413000000, 3.3696000000, 3.4969000000, 3.6229000000, 3.7533000000, 3.8824000000, 4.0082000000, 4.1354000000, 4.2661000000, 4.3922000000, 4.5193000000, 4.6481000000, 4.7766000000, 4.9058000000, 5.0342000000, 5.1615000000, 5.2943000000, 5.4191000000, 5.5514000000, 5.6773000000, 5.8096000000, 5.9338000000, 6.0659000000, 6.1938000000, 6.3210000000, 6.4503000000, 6.5786000000, 6.7078000000, 6.8361000000, 6.9684000000, 7.1017000000, 7.2229000000, 7.3518000000, 7.4799000000, 7.6112000000, 7.7386000000, 7.8721000000, 7.9993000000, 8.129400000, 8.256700000, 8.385900000, 8.513100000, 8.652400000, 8.772700000, 8.903000000, 9.033300000, 9.161700000, 9.292000000, 9.422200000, 9.553500000, 9.676700000, 9.805000000, 9.934300000, 10.064500000, 10.199700000, 10.331900000, 10.460200000, 10.588500000, 10.714700000, 10.836800000, 10.969000000, 11.095200000, 11.227400000, 11.356400000, 11.484700000, 11.611900000, 11.743300000, 11.868600000, 12.005900000", \
"0.1856000000, 0.1860000000, 0.1866000000, 0.1883000000, 0.1934000000, 0.2065000000, 0.2324000000, 0.2785000000, 0.3704000000, 0.4827000000, 0.6032000000, 0.7272000000, 0.8541000000, 0.9825000000, 1.1103000000, 1.2374000000, 1.3643000000, 1.4911000000, 1.6185000000, 1.7436000000, 1.8693000000, 1.9971000000, 2.1230000000, 2.2478000000, 2.3744000000, 2.5003000000, 2.6273000000, 2.7536000000, 2.8790000000, 3.0082000000, 3.1330000000, 3.2618000000, 3.3857000000, 3.5113000000, 3.6435000000, 3.7703000000, 3.8924000000, 4.0220000000, 4.1520000000, 4.2750000000, 4.4025000000, 4.5295000000, 4.6603000000, 4.7857000000, 4.9164000000, 5.0395000000, 5.1718000000, 5.2984000000, 5.4239000000, 5.5518000000, 5.6814000000, 5.8081000000, 5.9379000000, 6.0667000000, 6.1956000000, 6.3225000000, 6.4544000000, 6.5814000000, 6.7104000000, 6.8415000000, 6.9685000000, 7.1017000000, 7.2239000000, 7.3519000000, 7.4820000000, 7.6112000000, 7.7393000000, 7.8696000000, 8.002800000, 8.130100000, 8.256400000, 8.384700000, 8.512900000, 8.641200000, 8.770500000, 8.902800000, 9.032100000, 9.166300000, 9.287500000, 9.421700000, 9.543000000, 9.682200000, 9.805500000, 9.931800000, 10.063100000, 10.194400000, 10.327700000, 10.451900000, 10.580100000, 10.710300000, 10.842600000, 10.969800000, 11.094100000, 11.227400000, 11.356700000, 11.487900000, 11.616200000, 11.746400000, 11.876700000, 12.007900000", \
"0.2085000000, 0.2089000000, 0.2093000000, 0.2109000000, 0.2157000000, 0.2286000000, 0.2553000000, 0.3026000000, 0.3941000000, 0.5035000000, 0.6222000000, 0.7462000000, 0.8729000000, 1.0014000000, 1.1295000000, 1.2599000000, 1.3874000000, 1.5143000000, 1.6419000000, 1.7689000000, 1.8974000000, 2.0218000000, 2.1481000000, 2.2745000000, 2.3998000000, 2.5261000000, 2.6510000000, 2.7775000000, 2.9043000000, 3.0305000000, 3.1566000000, 3.2842000000, 3.4090000000, 3.5349000000, 3.6650000000, 3.7881000000, 3.9165000000, 4.0432000000, 4.1672000000, 4.2933000000, 4.4230000000, 4.5538000000, 4.6786000000, 4.8010000000, 4.9310000000, 5.0549000000, 5.1839000000, 5.3139000000, 5.4430000000, 5.5651000000, 5.6953000000, 5.8256000000, 5.9500000000, 6.0774000000, 6.2027000000, 6.3320000000, 6.4607000000, 6.5912000000, 6.7188000000, 6.8444000000, 6.9741000000, 7.0998000000, 7.2305000000, 7.3562000000, 7.4870000000, 7.6159000000, 7.7418000000, 7.8767000000, 8.001600000, 8.133600000, 8.257200000, 8.389600000, 8.515500000, 8.645900000, 8.777000000, 8.902200000, 9.036400000, 9.157600000, 9.286800000, 9.416000000, 9.544200000, 9.674500000, 9.809700000, 9.938000000, 10.065200000, 10.192500000, 10.329800000, 10.457000000, 10.577300000, 10.709600000, 10.835900000, 10.967100000, 11.092300000, 11.224600000, 11.354800000, 11.484100000, 11.613400000, 11.749700000, 11.871900000, 12.005000000", \
"0.2375000000, 0.2379000000, 0.2383000000, 0.2397000000, 0.2440000000, 0.2566000000, 0.2840000000, 0.3330000000, 0.4245000000, 0.5317000000, 0.6480000000, 0.7709000000, 0.8966000000, 1.0256000000, 1.1536000000, 1.2841000000, 1.4149000000, 1.5433000000, 1.6719000000, 1.8004000000, 1.9303000000, 2.0572000000, 2.1820000000, 2.3099000000, 2.4361000000, 2.5608000000, 2.6879000000, 2.8141000000, 2.9394000000, 3.0645000000, 3.1910000000, 3.3161000000, 3.4419000000, 3.5681000000, 3.6938000000, 3.8201000000, 3.9477000000, 4.0755000000, 4.1992000000, 4.3263000000, 4.4534000000, 4.5826000000, 4.7060000000, 4.8312000000, 4.9586000000, 5.0840000000, 5.2105000000, 5.3370000000, 5.4624000000, 5.5921000000, 5.7198000000, 5.8444000000, 5.9692000000, 6.1000000000, 6.2300000000, 6.3518000000, 6.4796000000, 6.6036000000, 6.7337000000, 6.8598000000, 6.9879000000, 7.1160000000, 7.2451000000, 7.3754000000, 7.4987000000, 7.6331000000, 7.7614000000, 7.8828000000, 8.012100000, 8.139700000, 8.273100000, 8.398700000, 8.522300000, 8.651800000, 8.787400000, 8.908100000, 9.037900000, 9.165600000, 9.295300000, 9.430200000, 9.548500000, 9.683800000, 9.808700000, 9.937600000, 10.065400000, 10.194400000, 10.321300000, 10.453300000, 10.583300000, 10.707400000, 10.840500000, 10.967500000, 11.106100000, 11.222200000, 11.351400000, 11.483500000, 11.611000000, 11.740200000, 11.867000000, 11.998300000", \
"0.2721000000, 0.2722000000, 0.2726000000, 0.2738000000, 0.2778000000, 0.2898000000, 0.3177000000, 0.3689000000, 0.4612000000, 0.5671000000, 0.6809000000, 0.8019000000, 0.9261000000, 1.0540000000, 1.1830000000, 1.3126000000, 1.4437000000, 1.5746000000, 1.7043000000, 1.8335000000, 1.9638000000, 2.0925000000, 2.2241000000, 2.3508000000, 2.4801000000, 2.6044000000, 2.7308000000, 2.8572000000, 2.9861000000, 3.1102000000, 3.2366000000, 3.3637000000, 3.4883000000, 3.6148000000, 3.7403000000, 3.8668000000, 3.9943000000, 4.1169000000, 4.2427000000, 4.3684000000, 4.4951000000, 4.6197000000, 4.7454000000, 4.8732000000, 4.9991000000, 5.1270000000, 5.2499000000, 5.3777000000, 5.5029000000, 5.6339000000, 5.7599000000, 5.8831000000, 6.0093000000, 6.1374000000, 6.2626000000, 6.3850000000, 6.5134000000, 6.6398000000, 6.7652000000, 6.8958000000, 7.0241000000, 7.1509000000, 7.2765000000, 7.3991000000, 7.5298000000, 7.6595000000, 7.7883000000, 7.9141000000, 8.036900000, 8.162700000, 8.289500000, 8.417500000, 8.545500000, 8.672600000, 8.803700000, 8.928900000, 9.061000000, 9.191200000, 9.310400000, 9.444600000, 9.576900000, 9.698100000, 9.824400000, 9.950800000, 10.079200000, 10.218700000, 10.338200000, 10.462700000, 10.592200000, 10.724800000, 10.845400000, 10.974000000, 11.105600000, 11.233200000, 11.362900000, 11.499500000, 11.619200000, 11.747000000, 11.871900000, 12.015700000", \
"0.3174000000, 0.3177000000, 0.3179000000, 0.3192000000, 0.3225000000, 0.3339000000, 0.3622000000, 0.4159000000, 0.5109000000, 0.6157000000, 0.7270000000, 0.8453000000, 0.9685000000, 1.0937000000, 1.2220000000, 1.3521000000, 1.4822000000, 1.6137000000, 1.7459000000, 1.8771000000, 2.0084000000, 2.1395000000, 2.2700000000, 2.4024000000, 2.5320000000, 2.6615000000, 2.7898000000, 2.9171000000, 3.0424000000, 3.1696000000, 3.2989000000, 3.4251000000, 3.5503000000, 3.6795000000, 3.8046000000, 3.9318000000, 4.0559000000, 4.1831000000, 4.3093000000, 4.4324000000, 4.5585000000, 4.6847000000, 4.8121000000, 4.9365000000, 5.0618000000, 5.1881000000, 5.3154000000, 5.4379000000, 5.5632000000, 5.6898000000, 5.8163000000, 5.9427000000, 6.0671000000, 6.1957000000, 6.3192000000, 6.4489000000, 6.5736000000, 6.6973000000, 6.8251000000, 6.9490000000, 7.0790000000, 7.2088000000, 7.3337000000, 7.4567000000, 7.5788000000, 7.7099000000, 7.8370000000, 7.9642000000, 8.086300000, 8.209500000, 8.338600000, 8.464000000, 8.590400000, 8.720800000, 8.849200000, 8.977600000, 9.102100000, 9.230500000, 9.352900000, 9.475600000, 9.608300000, 9.739900000, 9.868600000, 9.995300000, 10.118100000, 10.242000000, 10.369000000, 10.493000000, 10.622000000, 10.750000000, 10.876000000, 11.011000000, 11.132000000, 11.260000000, 11.387000000, 11.526000000, 11.645000000, 11.783000000, 11.905000000, 12.028000000", \
"0.3545000000, 0.3547000000, 0.3552000000, 0.3562000000, 0.3593000000, 0.3703000000, 0.3985000000, 0.4545000000, 0.5519000000, 0.6559000000, 0.7665000000, 0.8830000000, 1.0046000000, 1.1288000000, 1.2556000000, 1.3853000000, 1.5163000000, 1.6474000000, 1.7784000000, 1.9108000000, 2.0436000000, 2.1747000000, 2.3069000000, 2.4381000000, 2.5693000000, 2.7026000000, 2.8329000000, 2.9630000000, 3.0921000000, 3.2212000000, 3.3462000000, 3.4793000000, 3.6033000000, 3.7323000000, 3.8582000000, 3.9831000000, 4.1120000000, 4.2410000000, 4.3659000000, 4.4889000000, 4.6188000000, 4.7417000000, 4.8666000000, 4.9934000000, 5.1204000000, 5.2465000000, 5.3695000000, 5.4966000000, 5.6197000000, 5.7470000000, 5.8720000000, 5.9960000000, 6.1260000000, 6.2500000000, 6.3760000000, 6.4990000000, 6.6250000000, 6.7510000000, 6.8780000000, 7.0040000000, 7.1290000000, 7.2560000000, 7.3830000000, 7.5050000000, 7.6360000000, 7.7610000000, 7.8840000000, 8.011000000, 8.137000000, 8.260000000, 8.392000000, 8.522000000, 8.648000000, 8.771000000, 8.892000000, 9.020000000, 9.150000000, 9.278000000, 9.403000000, 9.527000000, 9.648000000, 9.776000000, 9.904000000, 10.029000000, 10.153000000, 10.285000000, 10.416000000, 10.544000000, 10.669000000, 10.794000000, 10.922000000, 11.043000000, 11.167000000, 11.297000000, 11.430000000, 11.559000000, 11.687000000, 11.814000000, 11.932000000, 12.060000000", \
"0.4017000000, 0.4027000000, 0.4027000000, 0.4038000000, 0.4064000000, 0.4167000000, 0.4451000000, 0.5026000000, 0.6050000000, 0.7090000000, 0.8190000000, 0.9340000000, 1.0530000000, 1.1770000000, 1.3020000000, 1.4290000000, 1.5600000000, 1.6900000000, 1.8230000000, 1.9540000000, 2.0870000000, 2.2190000000, 2.3530000000, 2.4840000000, 2.6180000000, 2.7490000000, 2.8810000000, 3.0140000000, 3.1470000000, 3.2750000000, 3.4060000000, 3.5370000000, 3.6660000000, 3.7940000000, 3.9200000000, 4.0500000000, 4.1780000000, 4.3050000000, 4.4320000000, 4.5600000000, 4.6880000000, 4.8130000000, 4.9430000000, 5.0690000000, 5.1980000000, 5.3200000000, 5.4450000000, 5.5710000000, 5.6960000000, 5.8230000000, 5.9500000000, 6.0740000000, 6.2020000000, 6.3260000000, 6.4520000000, 6.5780000000, 6.7010000000, 6.8300000000, 6.9560000000, 7.0790000000, 7.2050000000, 7.3300000000, 7.4610000000, 7.5840000000, 7.7070000000, 7.8290000000, 7.9550000000, 8.082000000, 8.210000000, 8.335000000, 8.460000000, 8.586000000, 8.712000000, 8.840000000, 8.963000000, 9.089000000, 9.218000000, 9.344000000, 9.468000000, 9.592000000, 9.720000000, 9.845000000, 9.968000000, 10.099000000, 10.230000000, 10.358000000, 10.482000000, 10.605000000, 10.728000000, 10.850000000, 10.983000000, 11.112000000, 11.239000000, 11.365000000, 11.488000000, 11.610000000, 11.736000000, 11.864000000, 11.990000000, 12.115000000");
}
timing_sense : "positive_unate";
}
}
}
cell ("sky130_fd_sc_hvl__buf_4") {
leakage_power () {
value : 5.3149600000;
when : "!A";
}
leakage_power () {
value : 10.451804800;
when : "A";
}
area : 19.536000000;
cell_footprint : "sky130_fd_sc_hvl__buf";
cell_leakage_power : 7.8833820000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0046100000;
clock : "false";
direction : "input";
max_transition : 5.0000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("X") {
direction : "output";
function : "(A)";
internal_power () {
fall_power ("pwr_template15x19") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0000000000, 0.0062800000, 0.0125500000, 0.0250900000, 0.0501900000, 0.1003700000, 0.2007400000, 0.4014800000, 0.8029500000, 1.3029500000, 1.8029500000, 2.3029500000, 2.8029500000, 3.3029500000, 3.8029500000, 4.3029500000, 4.8029500000, 5.3029500000, 5.8029500000");
values("0.7637677000, 0.6252636000, 0.5001904000, 0.2739626000, -0.1357985000, -0.9217583000, -2.4580566000, -5.5084475000, -11.587572900, -19.156023600, -26.719946100, -34.282711200, -41.847855900, -49.393669700, -56.958353100, -64.54963070, -72.09627950, -79.63973050, -87.22574450", \
"0.7635104000, 0.6243958000, 0.4991247000, 0.2732035000, -0.1382777000, -0.9234400000, -2.4591686000, -5.5085092000, -11.587546200, -19.153253300, -26.717180700, -34.280480300, -41.843151500, -49.404848400, -56.971673000, -64.53145730, -72.09186720, -79.65868720, -87.22304540", \
"0.7595267000, 0.6242762000, 0.4989799000, 0.2720278000, -0.1398447000, -0.9239996000, -2.4599911000, -5.5084968000, -11.588648700, -19.153597300, -26.718020600, -34.280643600, -41.845077300, -49.407300900, -56.968769400, -64.53283100, -72.09638440, -79.65822250, -87.21993020", \
"0.7765314000, 0.6365352000, 0.5108794000, 0.2837106000, -0.1295058000, -0.9140552000, -2.4517828000, -5.5009843000, -11.580227100, -19.145265700, -26.709784400, -34.272473700, -41.836786000, -49.399062200, -56.961924700, -64.52511640, -72.08662880, -79.64807230, -87.21174250", \
"0.8907730000, 0.7497926000, 0.6214183000, 0.3876685000, -0.0381352000, -0.8347513000, -2.3803400000, -5.4361337000, -11.520029600, -19.087521800, -26.652098000, -34.215826100, -41.779600600, -49.340811900, -56.904943500, -64.46966150, -72.03117420, -79.59660080, -87.15516470", \
"1.1500890000, 1.0017213000, 0.8652577000, 0.6175775000, 0.1687209000, -0.6534555000, -2.2241595000, -5.2975472000, -11.393987900, -18.966083300, -26.533919000, -34.099189200, -41.664236700, -49.226752300, -56.791942600, -64.35551970, -71.91562400, -79.47853130, -87.04168820", \
"1.6991354000, 1.5376098000, 1.3887759000, 1.1139096000, 0.6186931000, -0.2447350000, -1.8606234000, -4.9796110000, -11.106076800, -18.691932000, -26.267072600, -33.836723200, -41.404043400, -48.971540900, -56.534246700, -64.09779310, -71.66568930, -79.22784640, -86.79272560", \
"2.2148378000, 2.0468686000, 1.8921705000, 1.6055265000, 1.0867741000, 0.1682544000, -1.4863925000, -4.6421388000, -10.799796600, -18.403486600, -25.986376400, -33.561055500, -41.131835100, -48.700022800, -56.265927500, -63.831510400, -71.39671450, -78.96356310, -86.52786520", \
"3.5695926000, 3.3942521000, 3.2313271000, 2.9271462000, 2.3693597000, 1.3765638000, -0.3965037000, -3.6601671000, -9.905909500, -17.557621400, -25.167015700, -32.757470900, -40.338408900, -47.914708200, -55.488364000, -63.057655300, -70.62731350, -78.19444730, -85.76103950", \
"4.2364106000, 4.0612911000, 3.8935872000, 3.5850733000, 3.0171127000, 1.9970060000, 0.1828145000, -3.1352411000, -9.430275400, -17.108737000, -24.732135800, -32.331175500, -39.918712600, -47.499387200, -55.076401000, -62.650188900, -70.22039510, -77.78988080, -85.35928290", \
"4.8977896000, 4.7217064000, 4.5512842000, 4.2352310000, 3.6553594000, 2.6202705000, 0.7669265000, -2.6072960000, -8.948986800, -16.652149800, -24.292648000, -31.902146100, -39.496015000, -47.082014900, -54.665302000, -62.241653100, -69.81606580, -77.38765980, -84.95765940", \
"5.6747359000, 5.4961128000, 5.3289522000, 5.0064970000, 4.4155128000, 3.3586407000, 1.4723827000, -1.9547518000, -8.360847600, -16.100077800, -23.758174900, -31.379141700, -38.981569000, -46.574137000, -54.160237900, -61.741317700, -69.31888070, -76.89370220, -84.46650410", \
"6.1917040000, 6.0145549000, 5.8426720000, 5.5186625000, 4.9227225000, 3.8490770000, 1.9354253000, -1.5219669000, -7.9593769000, -15.726022800, -23.397190900, -31.028250200, -38.637626800, -46.234042700, -53.824042800, -61.407562000, -68.98536760, -76.56158280, -84.13562090", \
"7.4788975000, 7.3009427000, 7.1275262000, 6.7987996000, 6.1912656000, 5.0872473000, 3.1249944000, -0.4031762000, -6.9471821000, -14.779892700, -22.482886000, -30.137634400, -37.763189800, -45.372487000, -52.971365400, -60.562868200, -68.14856910, -75.73125310, -83.30927340", \
" 8.738719700, 8.563648000, 8.387788700, 8.057534600, 7.4379946000, 6.3144949000, 4.3106442000, 0.7115754000, -5.9194087000, -13.812678600, -21.557811400, -29.231666600, -36.877844100, -44.500145100, -52.108706300, -59.708334000, -67.30158550, -74.88940390, -82.47232920");
}
related_pin : "A";
rise_power ("pwr_template15x19") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0000000000, 0.0062800000, 0.0125500000, 0.0250900000, 0.0501900000, 0.1003700000, 0.2007400000, 0.4014800000, 0.8029500000, 1.3029500000, 1.8029500000, 2.3029500000, 2.8029500000, 3.3029500000, 3.8029500000, 4.3029500000, 4.8029500000, 5.3029500000, 5.8029500000");
values("0.6697705000, 0.7196450000, 0.7799404000, 0.9269335000, 1.2651170000, 1.9875864000, 3.4658860000, 6.4635928000, 12.464653900, 19.945274700, 27.432876000, 34.923020300, 42.410757100, 49.884150800, 57.370513700, 64.86564710, 72.33115860, 79.82006060, 87.31223790", \
"0.6704175000, 0.7200345000, 0.7806385000, 0.9275645000, 1.2641189000, 1.9897394000, 3.4718925000, 6.4592013000, 12.457462700, 19.941815800, 27.433295800, 34.920369700, 42.391075000, 49.891616900, 57.377105400, 64.84444180, 72.32329710, 79.81954740, 87.31452790", \
"0.6700879000, 0.7198869000, 0.7800621000, 0.9259350000, 1.2632080000, 1.9869956000, 3.4659731000, 6.4581492000, 12.460487100, 19.936694800, 27.444326800, 34.915977400, 42.395252100, 49.883141100, 57.357881600, 64.85897160, 72.36431230, 79.82245450, 87.30092750", \
"0.6783002000, 0.7266888000, 0.7869668000, 0.9327654000, 1.2692634000, 1.9904434000, 3.4695884000, 6.4658133000, 12.467351900, 19.946596400, 27.449483800, 34.923193500, 42.402714500, 49.886006500, 57.366190500, 64.87171120, 72.33299000, 79.83592930, 87.30604120", \
"0.7965907000, 0.8421376000, 0.9001012000, 1.0387938000, 1.3655268000, 2.0738752000, 3.5453033000, 6.5305229000, 12.526106300, 20.001026000, 27.494551200, 34.971171600, 42.459695900, 49.949548800, 57.441670700, 64.90711960, 72.38813960, 79.87158120, 87.37225150", \
"1.0684853000, 1.0999017000, 1.1513800000, 1.2772954000, 1.5769363000, 2.2501473000, 3.6947046000, 6.6616847000, 12.642228500, 20.123562200, 27.607407800, 35.092386000, 42.574679000, 50.046720200, 57.540208700, 65.01279820, 72.50455190, 79.97347100, 87.48332820", \
"1.6145745000, 1.6424269000, 1.6824263000, 1.7832523000, 2.0426452000, 2.6820800000, 4.0723637000, 6.9947758000, 12.935722300, 20.394927800, 27.871687100, 35.347107300, 42.824508400, 50.300372600, 57.796865800, 65.26330390, 72.75925580, 80.23459950, 87.69989650", \
"2.1498629000, 2.1743945000, 2.2077866000, 2.2983587000, 2.5401336000, 3.1241303000, 4.4800966000, 7.3397959000, 13.246426400, 20.695567100, 28.154033800, 35.623096000, 43.096195000, 50.574841900, 58.053280100, 65.52154690, 73.02870470, 80.49170410, 87.96178910", \
"3.5278135000, 3.5504532000, 3.5784626000, 3.6477399000, 3.8410471000, 4.3614941000, 5.6074011000, 8.358833300, 14.168805100, 21.542399700, 28.966439000, 36.425445100, 43.893527200, 51.355700900, 58.818960600, 66.30406730, 73.76918300, 81.24436760, 88.73274930", \
"4.2224888000, 4.2422092000, 4.2672915000, 4.3337180000, 4.5153986000, 5.0100078000, 6.2249235000, 8.888311300, 14.669028000, 22.013268200, 29.413533200, 36.857696900, 44.308910800, 51.766358900, 59.223960500, 66.71616520, 74.17341910, 81.64618910, 89.14456250", \
"4.9071724000, 4.9250864000, 4.9514889000, 5.0110077000, 5.1782095000, 5.6454625000, 6.8276080000, 9.448424500, 15.150715900, 22.486031100, 29.863652800, 37.291815600, 44.755144500, 52.185347300, 59.647104500, 67.10541390, 74.58408770, 82.04943980, 89.53849460", \
"5.7102260000, 5.7311021000, 5.7528256000, 5.8144711000, 5.9702766000, 6.4078099000, 7.5493538000, 10.160661600, 15.770931500, 23.056909500, 30.430296500, 37.830950200, 45.255095400, 52.697729300, 60.156735000, 67.61444010, 75.07874410, 82.54041890, 90.03648840", \
"6.2403550000, 6.2611656000, 6.2819623000, 6.3409585000, 6.4901057000, 6.9121228000, 8.033973200, 10.627950300, 16.163167500, 23.435921600, 30.788712400, 38.195374900, 45.601877900, 53.039457000, 60.488335500, 67.95023160, 75.41119140, 82.87417660, 90.33036990", \
"7.5539517000, 7.5677349000, 7.5937124000, 7.6482695000, 7.7922524000, 8.179933600, 9.245163100, 11.783912700, 17.209949200, 24.407319300, 31.723462300, 39.101127100, 46.508324200, 53.913984000, 61.352041500, 68.78742470, 76.25315790, 83.71057810, 91.17351550", \
" 8.851928100, 8.870046400, 8.891236900, 8.942196200, 9.083021000, 9.445605100, 10.462283200, 12.932821700, 18.288185100, 25.392525200, 32.680989100, 40.023241300, 47.410506100, 54.812780200, 62.224698900, 69.65674420, 77.09879510, 84.55341890, 92.00555200");
}
}
max_capacitance : 1.9084800000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template15x19") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0000000000, 0.0062800000, 0.0125500000, 0.0250900000, 0.0501900000, 0.1003700000, 0.2007400000, 0.4014800000, 0.8029500000, 1.3029500000, 1.8029500000, 2.3029500000, 2.8029500000, 3.3029500000, 3.8029500000, 4.3029500000, 4.8029500000, 5.3029500000, 5.8029500000");
values("0.1274600000, 0.1430200000, 0.1556900000, 0.1776200000, 0.2156000000, 0.2844100000, 0.4157500000, 0.6769800000, 1.2005700000, 1.8526700000, 2.5053700000, 3.1585700000, 3.8101700000, 4.4636700000, 5.1165700000, 5.7679700000, 6.4208700000, 7.0730700000, 7.7276700000", \
"0.1295400000, 0.1450200000, 0.1576800000, 0.1796000000, 0.2175900000, 0.2864100000, 0.4177800000, 0.6789900000, 1.2025700000, 1.8555700000, 2.5077700000, 3.1603700000, 3.8131700000, 4.4656700000, 5.1184700000, 5.7709700000, 6.4240700000, 7.0758700000, 7.7279700000", \
"0.1320200000, 0.1474500000, 0.1601000000, 0.1820100000, 0.2199900000, 0.2888100000, 0.4201500000, 0.6814200000, 1.2046300000, 1.8574300000, 2.5100300000, 3.1622300000, 3.8153300000, 4.4675300000, 5.1203300000, 5.7729300000, 6.4253300000, 7.0782300000, 7.7311300000", \
"0.1434900000, 0.1588800000, 0.1714800000, 0.1933100000, 0.2312100000, 0.2999900000, 0.4313400000, 0.6925000000, 1.2161000000, 1.8683000000, 2.5207000000, 3.1732000000, 3.8267000000, 4.4795000000, 5.1313000000, 5.7845000000, 6.4360000000, 7.0885000000, 7.7430000000", \
"0.1870300000, 0.2021100000, 0.2143500000, 0.2355200000, 0.2724900000, 0.3402400000, 0.4706500000, 0.7312100000, 1.2543000000, 1.9071000000, 2.5590000000, 3.2116000000, 3.8644000000, 4.5173000000, 5.1697000000, 5.8219000000, 6.4734000000, 7.1273000000, 7.7802000000", \
"0.2398500000, 0.2557300000, 0.2684600000, 0.2901200000, 0.3272400000, 0.3941200000, 0.5226500000, 0.7811000000, 1.3028000000, 1.9551000000, 2.6067000000, 3.2593000000, 3.9119000000, 4.5645000000, 5.2160000000, 5.8684000000, 6.5226000000, 7.1754000000, 7.8275000000", \
"0.3152000000, 0.3327000000, 0.3468000000, 0.3704000000, 0.4099000000, 0.4781000000, 0.6059000000, 0.8609000000, 1.3791000000, 2.0288000000, 2.6812000000, 3.3329000000, 3.9854000000, 4.6373000000, 5.2898000000, 5.9425000000, 6.5944000000, 7.2458000000, 7.8985000000", \
"0.3713000000, 0.3901000000, 0.4052000000, 0.4306000000, 0.4724000000, 0.5434000000, 0.6737000000, 0.9287000000, 1.4436000000, 2.0919000000, 2.7428000000, 3.3932000000, 4.0466000000, 4.6985000000, 5.3494000000, 6.0031000000, 6.6553000000, 7.3074000000, 7.9588000000", \
"0.4863700000, 0.5071700000, 0.5243700000, 0.5532700000, 0.6005700000, 0.6782700000, 0.8148700000, 1.0794700000, 1.6004700000, 2.2437700000, 2.8908700000, 3.5407700000, 4.1916700000, 4.8423700000, 5.4937700000, 6.1469700000, 6.7984700000, 7.4482700000, 8.102670000", \
"0.5335300000, 0.5551300000, 0.5731300000, 0.6034300000, 0.6529300000, 0.7338300000, 0.8736300000, 1.1413300000, 1.6714300000, 2.3165300000, 2.9620300000, 3.6104300000, 4.2597300000, 4.9107300000, 5.5627300000, 6.2134300000, 6.8646300000, 7.5188300000, 8.171830000", \
"0.5758000000, 0.5980000000, 0.6166000000, 0.6481000000, 0.6996000000, 0.7833000000, 0.9264000000, 1.1968000000, 1.7345000000, 2.3864000000, 3.0317000000, 3.6786000000, 4.3272000000, 4.9771000000, 5.6279000000, 6.2776000000, 6.9310000000, 7.5820000000, 8.232000000", \
"0.6217000000, 0.6444000000, 0.6636000000, 0.6964000000, 0.7501000000, 0.8371000000, 0.9837000000, 1.2573000000, 1.8019000000, 2.4648000000, 3.1118000000, 3.7578000000, 4.4057000000, 5.0548000000, 5.7047000000, 6.3540000000, 7.0070000000, 7.6570000000, 8.309000000", \
"0.6499300000, 0.6730300000, 0.6926300000, 0.7261300000, 0.7811300000, 0.8701300000, 1.0191300000, 1.2948300000, 1.8432300000, 2.5127300000, 3.1641300000, 3.8097300000, 4.4569300000, 5.1061300000, 5.7554300000, 6.4053300000, 7.0553300000, 7.7073300000, 8.358330000", \
"0.7146700000, 0.7383700000, 0.7587700000, 0.7939700000, 0.8518700000, 0.9454700000, 1.1002700000, 1.3811700000, 1.9372700000, 2.6203700000, 3.2861700000, 3.9371700000, 4.5835700000, 5.2306700000, 5.8796700000, 6.5286700000, 7.1786700000, 7.8276700000, 8.479670000", \
"0.7727000000, 0.7970000000, 0.8181000000, 0.8547000000, 0.9151000000, 1.0129000000, 1.1731000000, 1.4594000000, 2.0218000000, 2.7154000000, 3.3935000000, 4.0560000000, 4.7070000000, 5.3540000000, 6.0010000000, 6.6490000000, 7.2980000000, 7.9480000000, 8.599000000");
}
cell_rise ("delay_template15x19") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0000000000, 0.0062800000, 0.0125500000, 0.0250900000, 0.0501900000, 0.1003700000, 0.2007400000, 0.4014800000, 0.8029500000, 1.3029500000, 1.8029500000, 2.3029500000, 2.8029500000, 3.3029500000, 3.8029500000, 4.3029500000, 4.8029500000, 5.3029500000, 5.8029500000");
values("0.1374900000, 0.1520600000, 0.1635300000, 0.1831200000, 0.2177300000, 0.2825000000, 0.4083000000, 0.6598500000, 1.1638700000, 1.7919700000, 2.4200700000, 3.0489700000, 3.6771700000, 4.3052700000, 4.9344700000, 5.5622700000, 6.1911700000, 6.8195700000, 7.4490700000", \
"0.1386800000, 0.1531800000, 0.1646400000, 0.1842200000, 0.2188200000, 0.2835500000, 0.4094500000, 0.6608400000, 1.1646700000, 1.7932700000, 2.4220700000, 3.0499700000, 3.6783700000, 4.3073700000, 4.9349700000, 5.5645700000, 6.1918700000, 6.8200700000, 7.4482700000", \
"0.1401200000, 0.1545800000, 0.1660300000, 0.1856100000, 0.2202100000, 0.2849800000, 0.4107600000, 0.6624400000, 1.1663300000, 1.7950300000, 2.4225300000, 3.0522300000, 3.6792300000, 4.3080300000, 4.9370300000, 5.5653300000, 6.1916300000, 6.8212300000, 7.4494300000", \
"0.1483400000, 0.1628000000, 0.1742400000, 0.1937800000, 0.2283200000, 0.2930500000, 0.4188900000, 0.6704800000, 1.1742000000, 1.8038000000, 2.4309000000, 3.0588000000, 3.6879000000, 4.3150000000, 4.9429000000, 5.5730000000, 6.2017000000, 6.8308000000, 7.4564000000", \
"0.1847800000, 0.1991700000, 0.2104500000, 0.2296100000, 0.2634000000, 0.3271000000, 0.4520600000, 0.7030800000, 1.2072000000, 1.8354000000, 2.4630000000, 3.0913000000, 3.7191000000, 4.3485000000, 4.9767000000, 5.6058000000, 6.2322000000, 6.8633000000, 7.4892000000", \
"0.2280900000, 0.2438600000, 0.2560400000, 0.2760500000, 0.3098500000, 0.3724200000, 0.4953000000, 0.7442000000, 1.2465000000, 1.8745000000, 2.5037000000, 3.1281000000, 3.7573000000, 4.3867000000, 5.0145000000, 5.6431000000, 6.2723000000, 6.9006000000, 7.5285000000", \
"0.2846000000, 0.3025000000, 0.3164000000, 0.3390000000, 0.3752000000, 0.4380000000, 0.5591000000, 0.8042000000, 1.3034000000, 1.9301000000, 2.5579000000, 3.1838000000, 3.8119000000, 4.4392000000, 5.0693000000, 5.6955000000, 6.3239000000, 6.9537000000, 7.5828000000", \
"0.3230000000, 0.3423000000, 0.3575000000, 0.3822000000, 0.4213000000, 0.4858000000, 0.6076000000, 0.8518000000, 1.3476000000, 1.9716000000, 2.5987000000, 3.2255000000, 3.8551000000, 4.4795000000, 5.1087000000, 5.7363000000, 6.3633000000, 6.9946000000, 7.6200000000", \
"0.3912700000, 0.4129700000, 0.4305700000, 0.4594700000, 0.5050700000, 0.5764700000, 0.7007700000, 0.9484700000, 1.4458700000, 2.0655700000, 2.6881700000, 3.3138700000, 3.9392700000, 4.5676700000, 5.1966700000, 5.8243700000, 6.4512700000, 7.0814700000, 7.7107700000", \
"0.4152300000, 0.4377300000, 0.4562300000, 0.4868300000, 0.5350300000, 0.6099300000, 0.7361300000, 0.9848300000, 1.4865300000, 2.1081300000, 2.7288300000, 3.3530300000, 3.9790300000, 4.6053300000, 5.2325300000, 5.8578300000, 6.4894300000, 7.1162300000, 7.7408300000", \
"0.4346000000, 0.4577000000, 0.4770000000, 0.5090000000, 0.5595000000, 0.6378000000, 0.7663000000, 1.0157000000, 1.5214000000, 2.1469000000, 2.7678000000, 3.3895000000, 4.0146000000, 4.6401000000, 5.2662000000, 5.8927000000, 6.5221000000, 7.1460000000, 7.7790000000", \
"0.4534000000, 0.4772000000, 0.4972000000, 0.5306000000, 0.5837000000, 0.6657000000, 0.7975000000, 1.0476000000, 1.5566000000, 2.1878000000, 2.8110000000, 3.4331000000, 4.0560000000, 4.6815000000, 5.3082000000, 5.9336000000, 6.5600000000, 7.1860000000, 7.8160000000", \
"0.4639300000, 0.4880300000, 0.5085300000, 0.5427300000, 0.5973300000, 0.6816300000, 0.8158300000, 1.0665300000, 1.5777300000, 2.2119300000, 2.8378300000, 3.4597300000, 4.0829300000, 4.7071300000, 5.3322300000, 5.9593300000, 6.5853300000, 7.2123300000, 7.8383300000", \
"0.4846700000, 0.5094700000, 0.5308700000, 0.5670700000, 0.6250700000, 0.7146700000, 0.8547700000, 1.1071700000, 1.6217700000, 2.2630700000, 2.8970700000, 3.5234700000, 4.1464700000, 4.7693700000, 5.3926700000, 6.0176700000, 6.6446700000, 7.2726700000, 7.8966700000", \
"0.4994000000, 0.5248000000, 0.5470000000, 0.5849000000, 0.6458000000, 0.7401000000, 0.8863000000, 1.1411000000, 1.6572000000, 2.3051000000, 2.9458000000, 3.5788000000, 4.2070000000, 4.8300000000, 5.4550000000, 6.0790000000, 6.7020000000, 7.3270000000, 7.9540000000");
}
fall_transition ("delay_template15x19") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0000000000, 0.0062800000, 0.0125500000, 0.0250900000, 0.0501900000, 0.1003700000, 0.2007400000, 0.4014800000, 0.8029500000, 1.3029500000, 1.8029500000, 2.3029500000, 2.8029500000, 3.3029500000, 3.8029500000, 4.3029500000, 4.8029500000, 5.3029500000, 5.8029500000");
values("0.0412400000, 0.0539300000, 0.0645500000, 0.0838200000, 0.1221000000, 0.2025200000, 0.3713000000, 0.7195200000, 1.4217800000, 2.2970800000, 3.1714000000, 4.0469000000, 4.9239000000, 5.7993000000, 6.6732000000, 7.5481000000, 8.426600000, 9.298400000, 10.175600000", \
"0.0412400000, 0.0539500000, 0.0645500000, 0.0838000000, 0.1220800000, 0.2025000000, 0.3712000000, 0.7195100000, 1.4223900000, 2.2971100000, 3.1719000000, 4.0474000000, 4.9239000000, 5.7992000000, 6.6747000000, 7.5473000000, 8.425500000, 9.296800000, 10.176900000", \
"0.0412400000, 0.0539400000, 0.0645500000, 0.0838100000, 0.1221000000, 0.2025200000, 0.3713000000, 0.7194500000, 1.4222200000, 2.2978500000, 3.1714000000, 4.0470000000, 4.9218000000, 5.7996000000, 6.6751000000, 7.5506000000, 8.421600000, 9.296400000, 10.171200000", \
"0.0412600000, 0.0539300000, 0.0645800000, 0.0839100000, 0.1221700000, 0.2025200000, 0.3712600000, 0.7192700000, 1.4223500000, 2.2969400000, 3.1725000000, 4.0476000000, 4.9241000000, 5.7985000000, 6.6712000000, 7.5504000000, 8.423900000, 9.300600000, 10.175800000", \
"0.0445800000, 0.0570400000, 0.0675500000, 0.0865800000, 0.1242200000, 0.2037100000, 0.3717400000, 0.7197000000, 1.4222600000, 2.2968000000, 3.1731000000, 4.0463000000, 4.9222000000, 5.7994000000, 6.6750000000, 7.5506000000, 8.425100000, 9.300000000, 10.172100000", \
"0.0574000000, 0.0698500000, 0.0801900000, 0.0985900000, 0.1340700000, 0.2098100000, 0.3742500000, 0.7198700000, 1.4216000000, 2.2968000000, 3.1714000000, 4.0470000000, 4.9240000000, 5.7993000000, 6.6726000000, 7.5482000000, 8.423800000, 9.300400000, 10.176500000", \
"0.0822400000, 0.0952400000, 0.1061000000, 0.1248000000, 0.1585000000, 0.2291000000, 0.3851000000, 0.7229000000, 1.4222000000, 2.2975000000, 3.1726000000, 4.0467000000, 4.9216000000, 5.7995000000, 6.6725000000, 7.5504000000, 8.425600000, 9.301100000, 10.174000000", \
"0.1033000000, 0.1169000000, 0.1283000000, 0.1478000000, 0.1820000000, 0.2505000000, 0.4025000000, 0.7328000000, 1.4233000000, 2.2972000000, 3.1718000000, 4.0493000000, 4.9217000000, 5.7996000000, 6.6714000000, 7.5496000000, 8.425000000, 9.300600000, 10.176900000", \
"0.1522000000, 0.1662000000, 0.1792000000, 0.2011000000, 0.2376000000, 0.3051000000, 0.4520000000, 0.7784000000, 1.4514000000, 2.3075000000, 3.1745000000, 4.0484000000, 4.9227000000, 5.7991000000, 6.6712000000, 7.5469000000, 8.425400000, 9.301800000, 10.171400000", \
"0.1745000000, 0.1886000000, 0.2021000000, 0.2250000000, 0.2629000000, 0.3308000000, 0.4757000000, 0.8019000000, 1.4757000000, 2.3227000000, 3.1822000000, 4.0490000000, 4.9225000000, 5.7978000000, 6.6727000000, 7.5500000000, 8.422800000, 9.296500000, 10.174700000", \
"0.1955000000, 0.2095000000, 0.2235000000, 0.2474000000, 0.2865000000, 0.3555000000, 0.4986000000, 0.8242000000, 1.5024000000, 2.3434000000, 3.1989000000, 4.0590000000, 4.9241000000, 5.7964000000, 6.6735000000, 7.5455000000, 8.421500000, 9.300400000, 10.176000000", \
"0.2193000000, 0.2335000000, 0.2477000000, 0.2726000000, 0.3135000000, 0.3835000000, 0.5256000000, 0.8492000000, 1.5324000000, 2.3742000000, 3.2197000000, 4.0747000000, 4.9354000000, 5.8031000000, 6.6751000000, 7.5455000000, 8.424500000, 9.298200000, 10.168900000", \
"0.2347000000, 0.2486000000, 0.2631000000, 0.2887000000, 0.3305000000, 0.4014000000, 0.5436000000, 0.8652000000, 1.5518000000, 2.3967000000, 3.2372000000, 4.0914000000, 4.9470000000, 5.8107000000, 6.6767000000, 7.5500000000, 8.419200000, 9.299100000, 10.175100000", \
"0.2714000000, 0.2850000000, 0.3000000000, 0.3268000000, 0.3711000000, 0.4445000000, 0.5869000000, 0.9044000000, 1.5974000000, 2.4519000000, 3.2914000000, 4.1316000000, 4.9809000000, 5.8380000000, 6.6945000000, 7.5597000000, 8.429500000, 9.300800000, 10.172900000", \
"0.3062000000, 0.3196000000, 0.3348000000, 0.3627000000, 0.4092000000, 0.4852000000, 0.6284000000, 0.9435000000, 1.6380000000, 2.5048000000, 3.3509000000, 4.1855000000, 5.0276000000, 5.8732000000, 6.7295000000, 7.5878000000, 8.449100000, 9.313600000, 10.181000000");
}
related_pin : "A";
rise_transition ("delay_template15x19") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0000000000, 0.0062800000, 0.0125500000, 0.0250900000, 0.0501900000, 0.1003700000, 0.2007400000, 0.4014800000, 0.8029500000, 1.3029500000, 1.8029500000, 2.3029500000, 2.8029500000, 3.3029500000, 3.8029500000, 4.3029500000, 4.8029500000, 5.3029500000, 5.8029500000");
values("0.0443000000, 0.0551800000, 0.0655500000, 0.0857400000, 0.1289400000, 0.2208600000, 0.4120200000, 0.8028100000, 1.5874500000, 2.5655600000, 3.5433000000, 4.5226000000, 5.4992000000, 6.4782000000, 7.4587000000, 8.434400000, 9.409600000, 10.388200000, 11.370200000", \
"0.0443100000, 0.0552100000, 0.0656200000, 0.0857100000, 0.1290100000, 0.2207500000, 0.4119800000, 0.8027000000, 1.5872900000, 2.5665000000, 3.5467000000, 4.5231000000, 5.4990000000, 6.4762000000, 7.4541000000, 8.431500000, 9.412400000, 10.394200000, 11.364400000", \
"0.0442800000, 0.0552100000, 0.0656000000, 0.0857100000, 0.1289600000, 0.2207800000, 0.4120100000, 0.8026700000, 1.5880000000, 2.5647500000, 3.5443000000, 4.5205000000, 5.5004000000, 6.4761000000, 7.4558000000, 8.431800000, 9.416400000, 10.400800000, 11.367000000", \
"0.0442900000, 0.0552100000, 0.0655600000, 0.0858300000, 0.1289900000, 0.2207700000, 0.4119800000, 0.8026800000, 1.5880200000, 2.5651000000, 3.5425000000, 4.5222000000, 5.4981000000, 6.4812000000, 7.4557000000, 8.431600000, 9.410200000, 10.389100000, 11.367600000", \
"0.0468900000, 0.0574800000, 0.0676800000, 0.0875500000, 0.1303500000, 0.2215300000, 0.4121800000, 0.8028100000, 1.5878000000, 2.5677900000, 3.5437000000, 4.5205000000, 5.5020000000, 6.4795000000, 7.4594000000, 8.434000000, 9.409800000, 10.397400000, 11.368800000", \
"0.0600500000, 0.0700700000, 0.0798600000, 0.0984700000, 0.1383800000, 0.2260000000, 0.4141100000, 0.8027600000, 1.5872400000, 2.5661000000, 3.5426000000, 4.5192000000, 5.5000000000, 6.4785000000, 7.4555000000, 8.431300000, 9.410600000, 10.390200000, 11.368500000", \
"0.0843200000, 0.0938500000, 0.1039600000, 0.1223800000, 0.1584000000, 0.2401000000, 0.4213000000, 0.8046000000, 1.5879000000, 2.5653000000, 3.5438000000, 4.5211000000, 5.4994000000, 6.4780000000, 7.4546000000, 8.436700000, 9.410900000, 10.400900000, 11.369500000", \
"0.1053000000, 0.1142000000, 0.1246000000, 0.1435000000, 0.1785000000, 0.2566000000, 0.4332000000, 0.8115000000, 1.5903000000, 2.5657000000, 3.5422000000, 4.5231000000, 5.5003000000, 6.4778000000, 7.4532000000, 8.439800000, 9.412300000, 10.387100000, 11.370100000", \
"0.1547000000, 0.1616000000, 0.1723000000, 0.1929000000, 0.2291000000, 0.3005000000, 0.4691000000, 0.8409000000, 1.6074000000, 2.5729000000, 3.5446000000, 4.5210000000, 5.5010000000, 6.4761000000, 7.4538000000, 8.430000000, 9.412800000, 10.399900000, 11.376000000", \
"0.1774000000, 0.1833000000, 0.1938000000, 0.2152000000, 0.2524000000, 0.3226000000, 0.4865000000, 0.8565000000, 1.6229000000, 2.5855000000, 3.5510000000, 4.5249000000, 5.4992000000, 6.4831000000, 7.4539000000, 8.430800000, 9.409100000, 10.386400000, 11.375600000", \
"0.1985000000, 0.2039000000, 0.2141000000, 0.2358000000, 0.2743000000, 0.3441000000, 0.5037000000, 0.8718000000, 1.6395000000, 2.6005000000, 3.5633000000, 4.5289000000, 5.5028000000, 6.4849000000, 7.4532000000, 8.439000000, 9.410400000, 10.386700000, 11.365400000", \
"0.2225000000, 0.2272000000, 0.2371000000, 0.2593000000, 0.2989000000, 0.3690000000, 0.5248000000, 0.8893000000, 1.6602000000, 2.6183000000, 3.5832000000, 4.5427000000, 5.5097000000, 6.4829000000, 7.4541000000, 8.430700000, 9.410000000, 10.386600000, 11.363900000", \
"0.2380000000, 0.2423000000, 0.2518000000, 0.2741000000, 0.3147000000, 0.3855000000, 0.5388000000, 0.9014000000, 1.6746000000, 2.6339000000, 3.5929000000, 4.5540000000, 5.5235000000, 6.4860000000, 7.4596000000, 8.431900000, 9.410300000, 10.399100000, 11.364800000", \
"0.2749000000, 0.2783000000, 0.2873000000, 0.3094000000, 0.3517000000, 0.4242000000, 0.5731000000, 0.9286000000, 1.7039000000, 2.6707000000, 3.6249000000, 4.5862000000, 5.5471000000, 6.5078000000, 7.4743000000, 8.452000000, 9.416900000, 10.390400000, 11.369300000", \
"0.3095000000, 0.3125000000, 0.3207000000, 0.3428000000, 0.3865000000, 0.4612000000, 0.6078000000, 0.9565000000, 1.7311000000, 2.7032000000, 3.6641000000, 4.6190000000, 5.5800000000, 6.5405000000, 7.5027000000, 8.472700000, 9.431800000, 10.407000000, 11.373800000");
}
timing_sense : "positive_unate";
}
}
}
cell ("sky130_fd_sc_hvl__buf_8") {
leakage_power () {
value : 22.317941300;
when : "A";
}
leakage_power () {
value : 12.651201000;
when : "!A";
}
area : 39.072000000;
cell_footprint : "sky130_fd_sc_hvl__buf";
cell_leakage_power : 17.484570000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0131800000;
clock : "false";
direction : "input";
max_transition : 5.0000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("X") {
direction : "output";
function : "(A)";
internal_power () {
fall_power ("pwr_template15x21") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0000000000, 0.0091800000, 0.0183500000, 0.0366900000, 0.0733700000, 0.1467400000, 0.2934700000, 0.5869400000, 1.0869400000, 1.5869400000, 2.0869400000, 2.5869400000, 3.0869400000, 3.5869400000, 4.0869400000, 4.5869400000, 5.0869400000, 5.5869400000, 6.0869400000, 6.5869400000, 7.0869400000");
values("1.4247428000, 1.2312946000, 1.0521583000, 0.7232588000, 0.1221647000, -1.0258185000, -3.2738904000, -7.7304759000, -15.303879200, -22.869406300, -30.435429700, -37.998154400, -45.561921400, -53.125344400, -60.686567400, -68.25333850, -75.81185880, -83.37767130, -90.94257650, -98.50342040, -106.06199710", \
"1.4420001000, 1.2474807000, 1.0690312000, 0.7412773000, 0.1381187000, -1.0074042000, -3.2568764000, -7.7130172000, -15.284841300, -22.852213800, -30.416316400, -37.982764800, -45.545753500, -53.108791300, -60.670378900, -68.23569280, -75.79483840, -83.36108780, -90.92254270, -98.48275120, -106.04806260", \
"1.4453829000, 1.2503190000, 1.0712755000, 0.7430319000, 0.1403608000, -1.0063160000, -3.2548442000, -7.7120016000, -15.281766100, -22.849978000, -30.414397900, -37.979548800, -45.544109600, -53.104389300, -60.667153200, -68.23301480, -75.79385910, -83.35773640, -90.92145470, -98.48096060, -106.04717640", \
"1.4873313000, 1.2935504000, 1.1132862000, 0.7845955000, 0.1785177000, -0.9687800000, -3.2179757000, -7.6733012000, -15.247539000, -22.814781800, -30.378293200, -37.942413200, -45.507289100, -53.069123600, -60.633382500, -68.19599620, -75.75732820, -83.32101680, -90.88501280, -98.44560140, -106.00861080", \
"1.8116410000, 1.6086443000, 1.4237432000, 1.0774125000, 0.4500906000, -0.7261628000, -2.9950680000, -7.4669776000, -15.050627200, -22.621538700, -30.186890600, -37.751298300, -45.315519600, -52.879164100, -60.442545600, -68.00604760, -75.57001550, -83.13263610, -90.69604220, -98.25707540, -105.82007110", \
"2.3958239000, 2.1970784000, 2.0039700000, 1.6411656000, 0.9752507000, -0.2502648000, -2.5632989000, -7.0722131000, -14.674023900, -22.255640300, -29.828658000, -37.397378700, -44.964999500, -52.530552700, -60.095661300, -67.66009340, -75.22393680, -82.78788900, -90.35161490, -97.91500680, -105.47825850", \
"3.6766339000, 3.4471946000, 3.2324602000, 2.8285049000, 2.0928785000, 0.7932965000, -1.6057870000, -6.1950170000, -13.853034800, -21.456029200, -29.042315600, -36.619055400, -44.191693800, -51.763297300, -59.331428400, -66.89953130, -74.46547680, -82.03196170, -89.59770700, -97.16263200, -104.72697990", \
"4.8885823000, 4.6479012000, 4.4253734000, 4.0057612000, 3.2393985000, 1.8570273000, -0.6127158000, -5.2780402000, -12.986845000, -20.615624400, -28.218331600, -35.806437600, -43.387772700, -50.963259500, -58.537413400, -66.10783420, -73.67805260, -81.24678090, -88.81361370, -96.38014250, -103.94693910", \
" 8.146202600, 7.9007167000, 7.6660164000, 7.2171567000, 6.4039387000, 4.9215269000, 2.2557309000, -2.6027899000, -10.476835800, -18.184430500, -25.834701400, -33.457315400, -41.069872000, -48.668125000, -56.254646400, -63.838723900, -71.41334960, -78.99100720, -86.56508460, -94.14312350, -101.71718690", \
" 9.808808000, 9.566655400, 9.325815000, 8.843874200, 8.032746100, 6.5223089000, 3.7945369000, -1.2009972000, -9.138516000, -16.892675000, -24.577290700, -32.217646800, -39.844792900, -47.444377300, -55.041381400, -62.635442900, -70.22225890, -77.80487950, -85.38387500, -92.96032030, -100.53569450", \
"11.427708800, 11.170896000, 10.934515700, 10.468496900, 9.618034000, 8.075540400, 5.2943715000, 0.2406780000, -7.7880942000, -15.590535900, -23.302194100, -30.960165400, -38.599365100, -46.220644100, -53.826085700, -61.425554900, -69.01128350, -76.59872530, -84.18324460, -91.76485210, -99.34241980", \
"13.369042600, 13.122653700, 12.871893400, 12.410223600, 11.542230400, 9.962742200, 7.1355657000, 1.9982492000, -6.1332468000, -14.001546900, -21.745478100, -29.433646200, -37.084213900, -44.717345500, -52.345157400, -59.953631200, -67.55548530, -75.15003260, -82.74108150, -90.32848240, -97.91182340", \
"14.653520000, 14.400640600, 14.155396700, 13.681586500, 12.810399700, 11.226122700, 8.358261400, 3.1627845000, -5.0272273000, -12.922795500, -20.696660600, -28.405786400, -36.074304100, -43.715626100, -51.350446100, -58.967292100, -66.57450280, -74.17589870, -81.77075140, -89.36279360, -96.94959690", \
"17.856111800, 17.604150200, 17.358105700, 16.884024800, 15.989022000, 14.383353300, 11.424805000, 6.1152960000, -2.2369898000, -10.220557600, -18.060581100, -25.818323600, -33.521677700, -41.194645000, -48.843798000, -56.482000500, -64.10325490, -71.71791880, -79.32513570, -86.92671310, -94.52377220", \
"21.063791700, 20.809569800, 20.560064500, 20.087886600, 19.158146000, 17.495741400, 14.500041300, 9.091793700, 0.6049950000, -7.4834389000, -15.374027100, -23.181039600, -30.927886700, -38.628894200, -46.305379100, -53.960451300, -61.600271300, -69.22800030, -76.84981730, -84.46230780, -92.06862330");
}
related_pin : "A";
rise_power ("pwr_template15x21") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0000000000, 0.0091800000, 0.0183500000, 0.0366900000, 0.0733700000, 0.1467400000, 0.2934700000, 0.5869400000, 1.0869400000, 1.5869400000, 2.0869400000, 2.5869400000, 3.0869400000, 3.5869400000, 4.0869400000, 4.5869400000, 5.0869400000, 5.5869400000, 6.0869400000, 6.5869400000, 7.0869400000");
values("1.0427231000, 1.1271065000, 1.2212808000, 1.4389047000, 1.9407133000, 3.0020346000, 5.1696212000, 9.546961500, 17.027179100, 24.508418100, 31.994737400, 39.481792800, 46.976161500, 54.457985200, 61.951278000, 69.43611570, 76.91809530, 84.40088000, 91.90120510, 99.39348200, 106.85769140", \
"1.0599736000, 1.1403956000, 1.2345414000, 1.4534384000, 1.9524336000, 3.0188812000, 5.1827916000, 9.564907200, 17.036711400, 24.522557800, 32.006419100, 39.499066800, 46.989053600, 54.484438900, 61.958716000, 69.44304130, 76.94758520, 84.41720010, 91.91744220, 99.39044920, 106.87470480", \
"1.0613161000, 1.1417709000, 1.2363639000, 1.4533817000, 1.9535154000, 3.0179283000, 5.1816760000, 9.562761200, 17.042789800, 24.528786600, 32.006571500, 39.499167300, 46.989761300, 54.480587800, 61.968312100, 69.44796770, 76.93732240, 84.41790060, 91.90139970, 99.40405640, 106.89778310", \
"1.0973151000, 1.1768833000, 1.2687028000, 1.4882935000, 1.9850753000, 3.0474864000, 5.2125039000, 9.590915000, 17.071656500, 24.555969100, 32.059174700, 39.525496500, 47.010577900, 54.507055700, 62.000237600, 69.47523690, 76.97429940, 84.46553500, 91.93528800, 99.42069540, 106.89454320", \
"1.4370535000, 1.5097785000, 1.5946198000, 1.7943496000, 2.2641275000, 3.2920992000, 5.4335488000, 9.789115000, 17.248621000, 24.725637300, 32.209237100, 39.699941700, 47.191250300, 54.682906100, 62.168025000, 69.64608450, 77.15020530, 84.61762710, 92.09845490, 99.58446570, 107.06161610", \
"2.0551631000, 2.1129126000, 2.1858378000, 2.3636198000, 2.7958236000, 3.7725694000, 5.8521002000, 10.175334600, 17.615770800, 25.101019900, 32.551863100, 40.038432300, 47.538626200, 55.024949100, 62.498952800, 69.98471370, 77.48363740, 84.95681810, 92.43745870, 99.91040430, 107.41471920", \
"3.3408721000, 3.3862204000, 3.4436653000, 3.5875689000, 3.9525645000, 4.8302919000, 6.8382146000, 11.061028900, 18.434229000, 25.876065200, 33.334790600, 40.817900900, 48.285912800, 55.760380700, 63.255002400, 70.72859930, 78.20859470, 85.70233520, 93.19348730, 100.65829350, 108.14172320", \
"4.5879373000, 4.6248131000, 4.6766527000, 4.8099038000, 5.1427552000, 5.9664817000, 7.8626314000, 12.009288000, 19.315420300, 26.723130900, 34.167546600, 41.623779200, 49.093973100, 56.556593200, 64.03993940, 71.52343080, 79.00405030, 86.47838980, 93.95005170, 101.43568000, 108.91733370", \
"7.9458837000, 7.9763004000, 8.024599400, 8.120878300, 8.396289900, 9.115169800, 10.865170100, 14.745084300, 21.911299000, 29.220848600, 36.588208000, 43.996146300, 51.433639900, 58.890850800, 66.34374230, 73.80413490, 81.26405580, 88.74402940, 96.19153030, 103.68808730, 111.14124330", \
" 9.623260400, 9.651134800, 9.692519200, 9.788756500, 10.045080900, 10.723999700, 12.409800500, 16.199825100, 23.251933200, 30.524517600, 37.882065300, 45.260158900, 52.666560500, 60.101764300, 67.55108210, 75.01348680, 82.45924550, 89.91972100, 97.38634480, 104.85010260, 112.33117290", \
"11.308480600, 11.339487900, 11.369424900, 11.471368500, 11.709614500, 12.355982900, 13.969575000, 17.741330500, 24.672231000, 31.858134900, 39.182983000, 46.550543600, 53.951910300, 61.351917300, 68.78643460, 76.21581750, 83.67262870, 91.12577750, 98.58580880, 106.05422970, 113.51276250", \
"13.303106100, 13.333635500, 13.370909500, 13.454947300, 13.684738300, 14.292964500, 15.858594100, 19.565590600, 26.335264300, 33.472828400, 40.751484100, 48.087580500, 55.461823100, 62.855748200, 70.28256300, 77.69954590, 85.15475440, 92.58311060, 100.03804140, 107.48259360, 114.95293520", \
"14.603192300, 14.641567900, 14.662578900, 14.759813600, 14.980038500, 15.557318000, 17.089306100, 20.733349500, 27.439665400, 34.560615700, 41.792576500, 49.130389700, 56.493269900, 63.865719500, 71.27744930, 78.69328750, 86.14347900, 93.57696910, 101.02362970, 108.47982400, 115.91556310", \
"17.877754500, 17.917880400, 17.958558000, 18.036306500, 18.259539400, 18.796495300, 20.249036500, 23.755932700, 30.416974200, 37.337440000, 44.510683500, 51.759377300, 59.098637500, 66.44981860, 73.81058320, 81.22829360, 88.63325170, 96.06400810, 103.50050260, 110.91314230, 118.37631660", \
"21.144153100, 21.180218000, 21.212593200, 21.292685400, 21.491418700, 22.014578400, 23.400969400, 26.814225200, 33.398966600, 40.121973600, 47.242665200, 54.450880900, 61.725168700, 69.02567560, 76.39999750, 83.77206480, 91.16423390, 98.56939270, 105.98054030, 113.40593320, 120.84922770");
}
}
max_capacitance : 3.5661500000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template15x21") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0000000000, 0.0091800000, 0.0183500000, 0.0366900000, 0.0733700000, 0.1467400000, 0.2934700000, 0.5869400000, 1.0869400000, 1.5869400000, 2.0869400000, 2.5869400000, 3.0869400000, 3.5869400000, 4.0869400000, 4.5869400000, 5.0869400000, 5.5869400000, 6.0869400000, 6.5869400000, 7.0869400000");
values("0.1027800000, 0.1144600000, 0.1241900000, 0.1410900000, 0.1702000000, 0.2220500000, 0.3191600000, 0.5099700000, 0.8350100000, 1.1601700000, 1.4853700000, 1.8104700000, 2.1357700000, 2.4608700000, 2.7861700000, 3.1113700000, 3.4368700000, 3.7614700000, 4.0865700000, 4.4120700000, 4.7372700000", \
"0.1065700000, 0.1182300000, 0.1279500000, 0.1448500000, 0.1739700000, 0.2258200000, 0.3229300000, 0.5136900000, 0.8386200000, 1.1637700000, 1.4889700000, 1.8142700000, 2.1392700000, 2.4647700000, 2.7897700000, 3.1151700000, 3.4403700000, 3.7657700000, 4.0909700000, 4.4165700000, 4.7420700000", \
"0.1094600000, 0.1211000000, 0.1308100000, 0.1477000000, 0.1768200000, 0.2286700000, 0.3257700000, 0.5166500000, 0.8414900000, 1.1665300000, 1.4916300000, 1.8170300000, 2.1423300000, 2.4675300000, 2.7928300000, 3.1181300000, 3.4436300000, 3.7682300000, 4.0937300000, 4.4187300000, 4.7445300000", \
"0.1222600000, 0.1338600000, 0.1435100000, 0.1602900000, 0.1893100000, 0.2411000000, 0.3381700000, 0.5289900000, 0.8539900000, 1.1789000000, 1.5040000000, 1.8294000000, 2.1548000000, 2.4799000000, 2.8051000000, 3.1301000000, 3.4558000000, 3.7806000000, 4.1060000000, 4.4308000000, 4.7564000000", \
"0.1641100000, 0.1757300000, 0.1853200000, 0.2017900000, 0.2301200000, 0.2810200000, 0.3772400000, 0.5673300000, 0.8919000000, 1.2166000000, 1.5417000000, 1.8672000000, 2.1920000000, 2.5175000000, 2.8428000000, 3.1677000000, 3.4932000000, 3.8184000000, 4.1429000000, 4.4690000000, 4.7937000000", \
"0.2122500000, 0.2243900000, 0.2343400000, 0.2513700000, 0.2803800000, 0.3313100000, 0.4268800000, 0.6153500000, 0.9388000000, 1.2630000000, 1.5878000000, 1.9129000000, 2.2379000000, 2.5631000000, 2.8882000000, 3.2132000000, 3.5388000000, 3.8640000000, 4.1889000000, 4.5144000000, 4.8390000000", \
"0.2821000000, 0.2955000000, 0.3065000000, 0.3251000000, 0.3564000000, 0.4100000000, 0.5074000000, 0.6954000000, 1.0162000000, 1.3395000000, 1.6635000000, 1.9880000000, 2.3123000000, 2.6374000000, 2.9621000000, 3.2873000000, 3.6122000000, 3.9372000000, 4.2625000000, 4.5879000000, 4.9130000000", \
"0.3362000000, 0.3506000000, 0.3624000000, 0.3824000000, 0.4157000000, 0.4719000000, 0.5722000000, 0.7643000000, 1.0851000000, 1.4068000000, 1.7299000000, 2.0541000000, 2.3780000000, 2.7024000000, 3.0271000000, 3.3521000000, 3.6770000000, 4.0016000000, 4.3268000000, 4.6518000000, 4.9768000000", \
"0.4531700000, 0.4691700000, 0.4826700000, 0.5055700000, 0.5434700000, 0.6056700000, 0.7130700000, 0.9141700000, 1.2499700000, 1.5768700000, 1.8987700000, 2.2211700000, 2.5441700000, 2.8675700000, 3.1912700000, 3.5164700000, 3.8396700000, 4.1646700000, 4.4886700000, 4.8134700000, 5.1387700000", \
"0.5038300000, 0.5204300000, 0.5345300000, 0.5586300000, 0.5983300000, 0.6633300000, 0.7740300000, 0.9787300000, 1.3206300000, 1.6547300000, 1.9810300000, 2.3033300000, 2.6258300000, 2.9488300000, 3.2719300000, 3.5958300000, 3.9198300000, 4.2440300000, 4.5683300000, 4.8928300000, 5.2177300000", \
"0.5505000000, 0.5675000000, 0.5821000000, 0.6072000000, 0.6487000000, 0.7163000000, 0.8301000000, 1.0382000000, 1.3848000000, 1.7249000000, 2.0572000000, 2.3832000000, 2.7059000000, 3.0288000000, 3.3515000000, 3.6745000000, 3.9982000000, 4.3220000000, 4.6466000000, 4.9709000000, 5.2960000000", \
"0.6025000000, 0.6200000000, 0.6352000000, 0.6613000000, 0.7046000000, 0.7751000000, 0.8925000000, 1.1046000000, 1.4560000000, 1.8019000000, 2.1407000000, 2.4727000000, 2.7992000000, 3.1225000000, 3.4454000000, 3.7682000000, 4.0916000000, 4.4148000000, 4.7383000000, 5.0621000000, 5.3866000000", \
"0.6353300000, 0.6531300000, 0.6686300000, 0.6953300000, 0.7398300000, 0.8121300000, 0.9318300000, 1.1465300000, 1.5008300000, 1.8499300000, 2.1924300000, 2.5282300000, 2.8584300000, 3.1839300000, 3.5070300000, 3.8302300000, 4.1529300000, 4.4759300000, 4.7995300000, 5.1233300000, 5.4472300000", \
"0.7126700000, 0.7310700000, 0.7472700000, 0.7753700000, 0.8222700000, 0.8986700000, 1.0241700000, 1.2449700000, 1.6060700000, 1.9616700000, 2.3118700000, 2.6558700000, 2.9942700000, 3.3274700000, 3.6561700000, 3.9815700000, 4.3048700000, 4.6276700000, 4.9516700000, 5.2736700000, 5.5976700000", \
"0.7847000000, 0.8037000000, 0.8204000000, 0.8497000000, 0.8988000000, 0.9789000000, 1.1097000000, 1.3365000000, 1.7041000000, 2.0652000000, 2.4211000000, 2.7717000000, 3.1169000000, 3.4570000000, 3.7930000000, 4.1240000000, 4.4520000000, 4.7770000000, 5.1000000000, 5.4240000000, 5.7480000000");
}
cell_rise ("delay_template15x21") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0000000000, 0.0091800000, 0.0183500000, 0.0366900000, 0.0733700000, 0.1467400000, 0.2934700000, 0.5869400000, 1.0869400000, 1.5869400000, 2.0869400000, 2.5869400000, 3.0869400000, 3.5869400000, 4.0869400000, 4.5869400000, 5.0869400000, 5.5869400000, 6.0869400000, 6.5869400000, 7.0869400000");
values("0.1028100000, 0.1134900000, 0.1223100000, 0.1377100000, 0.1651000000, 0.2160100000, 0.3136400000, 0.5071400000, 0.8375000000, 1.1669700000, 1.4965700000, 1.8268700000, 2.1553700000, 2.4876700000, 2.8169700000, 3.1464700000, 3.4761700000, 3.8064700000, 4.1364700000, 4.4664700000, 4.7958700000", \
"0.1057600000, 0.1164200000, 0.1252300000, 0.1406300000, 0.1680200000, 0.2189400000, 0.3166300000, 0.5102100000, 0.8399800000, 1.1699700000, 1.4999700000, 1.8297700000, 2.1602700000, 2.4883700000, 2.8180700000, 3.1496700000, 3.4786700000, 3.8095700000, 4.1389700000, 4.4683700000, 4.7992700000", \
"0.1076500000, 0.1182900000, 0.1270900000, 0.1424900000, 0.1698800000, 0.2208000000, 0.3184600000, 0.5120500000, 0.8420000000, 1.1725300000, 1.5013300000, 1.8317300000, 2.1608300000, 2.4909300000, 2.8224300000, 3.1495300000, 3.4808300000, 3.8099300000, 4.1416300000, 4.4711300000, 4.7998300000", \
"0.1170700000, 0.1277000000, 0.1364800000, 0.1518100000, 0.1790800000, 0.2299300000, 0.3275800000, 0.5212000000, 0.8513700000, 1.1807000000, 1.5107000000, 1.8406000000, 2.1706000000, 2.4991000000, 2.8301000000, 3.1588000000, 3.4892000000, 3.8208000000, 4.1491000000, 4.4793000000, 4.8130000000", \
"0.1495500000, 0.1603400000, 0.1690900000, 0.1841700000, 0.2107300000, 0.2604800000, 0.3570500000, 0.5499700000, 0.8792000000, 1.2085000000, 1.5388000000, 1.8686000000, 2.1994000000, 2.5277000000, 2.8575000000, 3.1877000000, 3.5177000000, 3.8471000000, 4.1794000000, 4.5086000000, 4.8388000000", \
"0.1803900000, 0.1922200000, 0.2016300000, 0.2174000000, 0.2442000000, 0.2932700000, 0.3886100000, 0.5798200000, 0.9079000000, 1.2373000000, 1.5663000000, 1.8966000000, 2.2263000000, 2.5554000000, 2.8843000000, 3.2170000000, 3.5463000000, 3.8745000000, 4.2056000000, 4.5343000000, 4.8640000000", \
"0.2152600000, 0.2287000000, 0.2394600000, 0.2572400000, 0.2862000000, 0.3361000000, 0.4317000000, 0.6214000000, 0.9472000000, 1.2750000000, 1.6042000000, 1.9337000000, 2.2621000000, 2.5922000000, 2.9212000000, 3.2514000000, 3.5805000000, 3.9114000000, 4.2417000000, 4.5716000000, 4.8998000000", \
"0.2352000000, 0.2497000000, 0.2615000000, 0.2810000000, 0.3122000000, 0.3640000000, 0.4601000000, 0.6515000000, 0.9771000000, 1.3033000000, 1.6317000000, 1.9607000000, 2.2898000000, 2.6179000000, 2.9495000000, 3.2769000000, 3.6071000000, 3.9359000000, 4.2653000000, 4.5959000000, 4.9255000000", \
"0.2594700000, 0.2759700000, 0.2896700000, 0.3125700000, 0.3490700000, 0.4070700000, 0.5059700000, 0.7001700000, 1.0334700000, 1.3635700000, 1.6910700000, 2.0179700000, 2.3456700000, 2.6738700000, 3.0028700000, 3.3313700000, 3.6600700000, 3.9896700000, 4.3182700000, 4.6510700000, 4.9792700000", \
"0.2629300000, 0.2801300000, 0.2945300000, 0.3187300000, 0.3574300000, 0.4185300000, 0.5198300000, 0.7146300000, 1.0505300000, 1.3847300000, 1.7151300000, 2.0432300000, 2.3710300000, 2.6984300000, 3.0267300000, 3.3547300000, 3.6844300000, 4.0126300000, 4.3418300000, 4.6699300000, 5.0005300000", \
"0.2627000000, 0.2805000000, 0.2955000000, 0.3208000000, 0.3616000000, 0.4255000000, 0.5295000000, 0.7250000000, 1.0629000000, 1.4002000000, 1.7342000000, 2.0646000000, 2.3936000000, 2.7215000000, 3.0486000000, 3.3768000000, 3.7055000000, 4.0339000000, 4.3644000000, 4.6914000000, 5.0195000000", \
"0.2591000000, 0.2774000000, 0.2930000000, 0.3196000000, 0.3624000000, 0.4296000000, 0.5371000000, 0.7336000000, 1.0734000000, 1.4137000000, 1.7512000000, 2.0851000000, 2.4168000000, 2.7454000000, 3.0741000000, 3.4005000000, 3.7295000000, 4.0577000000, 4.3859000000, 4.7157000000, 5.0443000000", \
"0.2550300000, 0.2736300000, 0.2896300000, 0.3169300000, 0.3610300000, 0.4302300000, 0.5401300000, 0.7375300000, 1.0778300000, 1.4201300000, 1.7590300000, 2.0960300000, 2.4293300000, 2.7599300000, 3.0889300000, 3.4175300000, 3.7462300000, 4.0745300000, 4.4009300000, 4.7293300000, 5.0584300000", \
"0.2407700000, 0.2599700000, 0.2767700000, 0.3056700000, 0.3525700000, 0.4263700000, 0.5422700000, 0.7427700000, 1.0844700000, 1.4295700000, 1.7728700000, 2.1143700000, 2.4524700000, 2.7875700000, 3.1209700000, 3.4518700000, 3.7820700000, 4.1104700000, 4.4396700000, 4.7665700000, 5.0956700000", \
"0.2218000000, 0.2416000000, 0.2591000000, 0.2893000000, 0.3387000000, 0.4166000000, 0.5383000000, 0.7430000000, 1.0858000000, 1.4331000000, 1.7797000000, 2.1240000000, 2.4663000000, 2.8059000000, 3.1428000000, 3.4778000000, 3.8110000000, 4.1430000000, 4.4710000000, 4.8000000000, 5.1280000000");
}
fall_transition ("delay_template15x21") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0000000000, 0.0091800000, 0.0183500000, 0.0366900000, 0.0733700000, 0.1467400000, 0.2934700000, 0.5869400000, 1.0869400000, 1.5869400000, 2.0869400000, 2.5869400000, 3.0869400000, 3.5869400000, 4.0869400000, 4.5869400000, 5.0869400000, 5.5869400000, 6.0869400000, 6.5869400000, 7.0869400000");
values("0.0347200000, 0.0441900000, 0.0524400000, 0.0675600000, 0.0972700000, 0.1593600000, 0.2887300000, 0.5552900000, 1.0146900000, 1.4746800000, 1.9343000000, 2.3945600000, 2.8564400000, 3.3135000000, 3.7742000000, 4.2341000000, 4.7002000000, 5.1553000000, 5.6162000000, 6.0793000000, 6.5353000000", \
"0.0347200000, 0.0442000000, 0.0524400000, 0.0675900000, 0.0973000000, 0.1593700000, 0.2885800000, 0.5553600000, 1.0148300000, 1.4742300000, 1.9352000000, 2.3944200000, 2.8544000000, 3.3135000000, 3.7741000000, 4.2372000000, 4.6937000000, 5.1543000000, 5.6163000000, 6.0779000000, 6.5405000000", \
"0.0347200000, 0.0442000000, 0.0524400000, 0.0676100000, 0.0972900000, 0.1593600000, 0.2886400000, 0.5552500000, 1.0150200000, 1.4752300000, 1.9357500000, 2.3945400000, 2.8533900000, 3.3138000000, 3.7755000000, 4.2352000000, 4.6962000000, 5.1546000000, 5.6164000000, 6.0757000000, 6.5358000000", \
"0.0347300000, 0.0442300000, 0.0525100000, 0.0677200000, 0.0974500000, 0.1594600000, 0.2888600000, 0.5553500000, 1.0147200000, 1.4743800000, 1.9342400000, 2.3945400000, 2.8552400000, 3.3170000000, 3.7778000000, 4.2340000000, 4.6972000000, 5.1543000000, 5.6166000000, 6.0759000000, 6.5433000000", \
"0.0399200000, 0.0495200000, 0.0576500000, 0.0723900000, 0.1009800000, 0.1614300000, 0.2893500000, 0.5552800000, 1.0145800000, 1.4752400000, 1.9336800000, 2.3949000000, 2.8550000000, 3.3159000000, 3.7767000000, 4.2347000000, 4.6956000000, 5.1536000000, 5.6156000000, 6.0765000000, 6.5347000000", \
"0.0521700000, 0.0616500000, 0.0698400000, 0.0843800000, 0.1117200000, 0.1695200000, 0.2934700000, 0.5560200000, 1.0148800000, 1.4743300000, 1.9344000000, 2.3943000000, 2.8545000000, 3.3148000000, 3.7726000000, 4.2341000000, 4.7000000000, 5.1550000000, 5.6138000000, 6.0808000000, 6.5346000000", \
"0.0752700000, 0.0850000000, 0.0935700000, 0.1086600000, 0.1358000000, 0.1902000000, 0.3091000000, 0.5636000000, 1.0165000000, 1.4747000000, 1.9341000000, 2.3944000000, 2.8549000000, 3.3157000000, 3.7735000000, 4.2335000000, 4.6986000000, 5.1525000000, 5.6138000000, 6.0806000000, 6.5349000000", \
"0.0949000000, 0.1048000000, 0.1139000000, 0.1297000000, 0.1572000000, 0.2108000000, 0.3277000000, 0.5785000000, 1.0236000000, 1.4786000000, 1.9363000000, 2.3952000000, 2.8544000000, 3.3152000000, 3.7753000000, 4.2329000000, 4.6963000000, 5.1534000000, 5.6153000000, 6.0802000000, 6.5382000000", \
"0.1413000000, 0.1512000000, 0.1613000000, 0.1789000000, 0.2086000000, 0.2626000000, 0.3750000000, 0.6256000000, 1.0675000000, 1.5122000000, 1.9586000000, 2.4084000000, 2.8639000000, 3.3176000000, 3.7809000000, 4.2369000000, 4.6965000000, 5.1527000000, 5.6165000000, 6.0767000000, 6.5341000000", \
"0.1625000000, 0.1724000000, 0.1827000000, 0.2013000000, 0.2323000000, 0.2870000000, 0.3984000000, 0.6479000000, 1.0931000000, 1.5374000000, 1.9816000000, 2.4276000000, 2.8769000000, 3.3288000000, 3.7821000000, 4.2397000000, 4.6995000000, 5.1569000000, 5.6193000000, 6.0797000000, 6.5321000000", \
"0.1827000000, 0.1924000000, 0.2029000000, 0.2222000000, 0.2544000000, 0.3102000000, 0.4215000000, 0.6687000000, 1.1185000000, 1.5643000000, 2.0069000000, 2.4517000000, 2.8991000000, 3.3456000000, 3.7971000000, 4.2495000000, 4.7019000000, 5.1608000000, 5.6172000000, 6.0784000000, 6.5393000000", \
"0.2058000000, 0.2152000000, 0.2260000000, 0.2460000000, 0.2797000000, 0.3368000000, 0.4487000000, 0.6935000000, 1.1442000000, 1.5962000000, 2.0402000000, 2.4829000000, 2.9266000000, 3.3725000000, 3.8201000000, 4.2704000000, 4.7206000000, 5.1730000000, 5.6276000000, 6.0863000000, 6.5378000000", \
"0.2207000000, 0.2300000000, 0.2409000000, 0.2612000000, 0.2957000000, 0.3539000000, 0.4659000000, 0.7098000000, 1.1606000000, 1.6148000000, 2.0625000000, 2.5054000000, 2.9488000000, 3.3922000000, 3.8400000000, 4.2863000000, 4.7338000000, 5.1883000000, 5.6362000000, 6.0912000000, 6.5492000000", \
"0.2563000000, 0.2653000000, 0.2763000000, 0.2976000000, 0.3343000000, 0.3951000000, 0.5086000000, 0.7505000000, 1.2006000000, 1.6612000000, 2.1149000000, 2.5625000000, 3.0058000000, 3.4462000000, 3.8912000000, 4.3324000000, 4.7810000000, 5.2269000000, 5.6720000000, 6.1203000000, 6.5738000000", \
"0.2905000000, 0.2992000000, 0.3102000000, 0.3322000000, 0.3707000000, 0.4343000000, 0.5494000000, 0.7912000000, 1.2401000000, 1.7029000000, 2.1633000000, 2.6148000000, 3.0640000000, 3.5047000000, 3.9478000000, 4.3882000000, 4.8310000000, 5.2739000000, 5.7198000000, 6.1661000000, 6.6153000000");
}
related_pin : "A";
rise_transition ("delay_template15x21") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0000000000, 0.0091800000, 0.0183500000, 0.0366900000, 0.0733700000, 0.1467400000, 0.2934700000, 0.5869400000, 1.0869400000, 1.5869400000, 2.0869400000, 2.5869400000, 3.0869400000, 3.5869400000, 4.0869400000, 4.5869400000, 5.0869400000, 5.5869400000, 6.0869400000, 6.5869400000, 7.0869400000");
values("0.0358400000, 0.0442800000, 0.0525600000, 0.0688400000, 0.1033800000, 0.1759100000, 0.3253400000, 0.6309100000, 1.1534200000, 1.6767300000, 2.1993700000, 2.7225300000, 3.2442400000, 3.7719400000, 4.2905000000, 4.8145000000, 5.3379000000, 5.8659000000, 6.3891000000, 6.9075000000, 7.4298000000", \
"0.0358300000, 0.0442700000, 0.0525800000, 0.0688800000, 0.1033900000, 0.1759500000, 0.3256100000, 0.6307400000, 1.1531700000, 1.6764800000, 2.2001000000, 2.7228300000, 3.2458000000, 3.7673000000, 4.2900000000, 4.8129000000, 5.3374000000, 5.8618000000, 6.3823000000, 6.9069000000, 7.4307000000", \
"0.0358200000, 0.0443000000, 0.0525700000, 0.0688700000, 0.1033700000, 0.1758800000, 0.3254700000, 0.6306200000, 1.1537600000, 1.6765000000, 2.1993200000, 2.7225300000, 3.2458700000, 3.7689000000, 4.2921000000, 4.8130000000, 5.3391000000, 5.8618000000, 6.3850000000, 6.9073000000, 7.4286000000", \
"0.0358600000, 0.0442800000, 0.0525900000, 0.0689600000, 0.1034300000, 0.1759800000, 0.3255200000, 0.6307700000, 1.1535000000, 1.6761300000, 2.2009500000, 2.7233700000, 3.2454100000, 3.7675000000, 4.2927000000, 4.8140000000, 5.3376000000, 5.8617000000, 6.3862000000, 6.9070000000, 7.4319000000", \
"0.0415500000, 0.0496600000, 0.0576500000, 0.0732800000, 0.1066200000, 0.1777400000, 0.3261200000, 0.6304200000, 1.1538700000, 1.6759300000, 2.1997900000, 2.7229600000, 3.2462000000, 3.7678000000, 4.2911000000, 4.8189000000, 5.3380000000, 5.8612000000, 6.3920000000, 6.9071000000, 7.4311000000", \
"0.0548300000, 0.0622300000, 0.0698900000, 0.0846000000, 0.1153600000, 0.1836200000, 0.3288600000, 0.6320500000, 1.1532800000, 1.6776000000, 2.1994000000, 2.7218000000, 3.2454000000, 3.7697000000, 4.2901000000, 4.8160000000, 5.3423000000, 5.8591000000, 6.3848000000, 6.9059000000, 7.4294000000", \
"0.0785200000, 0.0852800000, 0.0930200000, 0.1075600000, 0.1359700000, 0.1995100000, 0.3399000000, 0.6369000000, 1.1551000000, 1.6767000000, 2.2014000000, 2.7222000000, 3.2497000000, 3.7703000000, 4.2919000000, 4.8148000000, 5.3387000000, 5.8600000000, 6.3856000000, 6.9076000000, 7.4298000000", \
"0.0990000000, 0.1052000000, 0.1130000000, 0.1279000000, 0.1559000000, 0.2161000000, 0.3535000000, 0.6472000000, 1.1611000000, 1.6798000000, 2.2001000000, 2.7230000000, 3.2495000000, 3.7689000000, 4.2932000000, 4.8150000000, 5.3401000000, 5.8600000000, 6.3835000000, 6.9073000000, 7.4278000000", \
"0.1478000000, 0.1525000000, 0.1601000000, 0.1762000000, 0.2052000000, 0.2612000000, 0.3898000000, 0.6804000000, 1.1922000000, 1.7052000000, 2.2190000000, 2.7353000000, 3.2524000000, 3.7718000000, 4.2922000000, 4.8182000000, 5.3388000000, 5.8613000000, 6.3850000000, 6.9081000000, 7.4279000000", \
"0.1702000000, 0.1744000000, 0.1819000000, 0.1982000000, 0.2281000000, 0.2837000000, 0.4085000000, 0.6960000000, 1.2107000000, 1.7223000000, 2.2372000000, 2.7522000000, 3.2659000000, 3.7821000000, 4.3011000000, 4.8208000000, 5.3395000000, 5.8614000000, 6.3890000000, 6.9076000000, 7.4287000000", \
"0.1914000000, 0.1953000000, 0.2024000000, 0.2190000000, 0.2498000000, 0.3057000000, 0.4273000000, 0.7112000000, 1.2271000000, 1.7417000000, 2.2549000000, 2.7686000000, 3.2827000000, 3.7971000000, 4.3137000000, 4.8299000000, 5.3483000000, 5.8697000000, 6.3868000000, 6.9075000000, 7.4307000000", \
"0.2157000000, 0.2192000000, 0.2260000000, 0.2427000000, 0.2746000000, 0.3312000000, 0.4500000000, 0.7296000000, 1.2457000000, 1.7639000000, 2.2787000000, 2.7892000000, 3.3037000000, 3.8194000000, 4.3304000000, 4.8437000000, 5.3606000000, 5.8791000000, 6.4004000000, 6.9213000000, 7.4360000000", \
"0.2315000000, 0.2347000000, 0.2412000000, 0.2579000000, 0.2904000000, 0.3477000000, 0.4658000000, 0.7417000000, 1.2575000000, 1.7781000000, 2.2937000000, 2.8065000000, 3.3174000000, 3.8308000000, 4.3447000000, 4.8577000000, 5.3734000000, 5.8929000000, 6.4062000000, 6.9214000000, 7.4398000000", \
"0.2688000000, 0.2718000000, 0.2778000000, 0.2942000000, 0.3281000000, 0.3875000000, 0.5036000000, 0.7730000000, 1.2860000000, 1.8100000000, 2.3312000000, 2.8472000000, 3.3574000000, 3.8702000000, 4.3814000000, 4.8933000000, 5.4118000000, 5.9211000000, 6.4368000000, 6.9504000000, 7.4696000000", \
"0.3044000000, 0.3074000000, 0.3128000000, 0.3288000000, 0.3638000000, 0.4255000000, 0.5410000000, 0.8044000000, 1.3115000000, 1.8388000000, 2.3638000000, 2.8838000000, 3.3994000000, 3.9120000000, 4.4208000000, 4.9323000000, 5.4460000000, 5.9574000000, 6.4774000000, 6.9870000000, 7.4975000000");
}
timing_sense : "positive_unate";
}
}
}
cell ("sky130_fd_sc_hvl__conb_1") {
area : 9.768000000;
cell_footprint : "sky130_fd_sc_hvl__conb";
cell_leakage_power : 0.0334712500;
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("HI") {
direction : "output";
function : "1";
max_capacitance : 4.8505000000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("LO") {
direction : "output";
function : "0";
max_capacitance : 4.9606000000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
}
cell ("sky130_fd_sc_hvl__decap_4") {
area : 7.8144000000;
cell_footprint : "sky130_fd_sc_hvl__decap";
cell_leakage_power : 0.0331111200;
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
}
cell ("sky130_fd_sc_hvl__decap_8") {
area : 15.628800000;
cell_footprint : "sky130_fd_sc_hvl__decap";
cell_leakage_power : 0.0345516400;
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
}
cell ("sky130_fd_sc_hvl__dfrbp_1") {
leakage_power () {
value : 21.762872400;
when : "D&RESET_B&CLK";
}
leakage_power () {
value : 18.205597000;
when : "!D&RESET_B&!CLK";
}
leakage_power () {
value : 11.791117400;
when : "!D&!RESET_B&CLK";
}
leakage_power () {
value : 19.772532100;
when : "!D&RESET_B&CLK";
}
leakage_power () {
value : 15.525611000;
when : "D&RESET_B&!CLK";
}
leakage_power () {
value : 13.779902600;
when : "D&!RESET_B&CLK";
}
leakage_power () {
value : 12.046234200;
when : "!D&!RESET_B&!CLK";
}
leakage_power () {
value : 14.035037100;
when : "D&!RESET_B&!CLK";
}
area : 68.37600000;
cell_footprint : "sky130_fd_sc_hvl__dfrbp";
cell_leakage_power : 15.864860000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
ff ("IQ","IQ_N") {
clear : "!RESET_B";
clocked_on : "CLK";
next_state : "D";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("CLK") {
capacitance : 0.0025830000;
clock : "true";
direction : "input";
fall_capacitance : 0.0025390000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3121215000, 0.3123602000, 0.3126214000, 0.3245810000, 0.3620850000, 0.4517631000, 0.6311498000, 0.8259564000, 1.3689441000, 1.6507960000, 1.9326477000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2830316000, 0.2811232000, 0.2790581000, 0.2897123000, 0.3231226000, 0.4101682000, 0.5842866000, 0.7789243000, 1.3214356000, 1.6030417000, 1.8846478000");
}
}
max_transition : 3.7500000000;
min_pulse_width_high : 0.2434310000;
min_pulse_width_low : 0.2599100000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0026280000;
}
pin ("D") {
capacitance : 0.0024520000;
clock : "false";
direction : "input";
fall_capacitance : 0.0024110000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0645965000, 0.0664953000, 0.0685547000, 0.0781321000, 0.1081768000, 0.1629433000, 0.2725059000, 0.3842457000, 0.6957217000, 0.8573955000, 1.0190693000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0009940000, 0.0027275000, 0.0046078000, 0.0124725000, 0.0371526000, 0.0911095000, 0.1990514000, 0.3104612000, 0.6210152000, 0.7822111000, 0.9434070000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0024930000;
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1143047000, 0.1226152000, 0.1239889000, 0.1381458000, 0.1866029000, 0.2617298000, 0.3948939000, 0.5134095000, 0.7942559000, 0.9240573000, 1.0477553000", \
"0.1120977000, 0.1204082000, 0.1217819000, 0.1359388000, 0.1831751000, 0.2595228000, 0.3926868000, 0.5112025000, 0.7920488000, 0.9218503000, 1.0455482000", \
"0.1107240000, 0.1190345000, 0.1204082000, 0.1345651000, 0.1818014000, 0.2569284000, 0.3925339000, 0.5098288000, 0.7906751000, 0.9204766000, 1.0441746000", \
"0.1026706000, 0.1122018000, 0.1123548000, 0.1277324000, 0.1749688000, 0.2500957000, 0.3844805000, 0.5017754000, 0.7838424000, 0.9136439000, 1.0373419000", \
"0.0761862000, 0.0869382000, 0.0895326000, 0.1012480000, 0.1484844000, 0.2248320000, 0.3592168000, 0.4752910000, 0.7536960000, 0.8822767000, 1.0059747000", \
"0.0413424000, 0.0533151000, 0.0559095000, 0.0664043000, 0.1160820000, 0.1924297000, 0.3292559000, 0.4465508000, 0.7200729000, 0.8474329000, 0.9686895000", \
"0.0021725000, 0.0129245000, 0.0155189000, 0.0272344000, 0.0744707000, 0.1508184000, 0.2876445000, 0.4086016000, 0.6857858000, 0.8107044000, 0.9295196000", \
"-0.0186868000, -0.0067142000, -0.0041198000, 0.0063750000, 0.0536113000, 0.1287383000, 0.2655644000, 0.3853008000, 0.6649264000, 0.7910658000, 0.9098809000", \
"-0.0309785000, -0.0190059000, -0.0151908000, -0.0046960000, 0.0400990000, 0.1115638000, 0.2459486000, 0.3656849000, 0.6453106000, 0.7714499000, 0.8914858000", \
"-0.0203991000, -0.0108678000, -0.0070527000, 0.0046628000, 0.0494577000, 0.1197018000, 0.2516452000, 0.3701608000, 0.6485657000, 0.7747051000, 0.8947410000", \
"-0.0049369000, 0.0070357000, 0.0096301000, 0.0213456000, 0.0636991000, 0.1327226000, 0.2622245000, 0.3795194000, 0.6579244000, 0.7852844000, 0.9040996000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1216289000, 0.1250566000, 0.1252096000, 0.1320423000, 0.1585267000, 0.1884876000, 0.2191126000, 0.2289857000, 0.2046563000, 0.1782077000, 0.1444350000", \
"0.1194219000, 0.1228496000, 0.1230026000, 0.1310560000, 0.1563197000, 0.1862806000, 0.2169056000, 0.2267786000, 0.2024492000, 0.1760006000, 0.1422279000", \
"0.1180482000, 0.1214759000, 0.1216289000, 0.1296823000, 0.1549460000, 0.1849069000, 0.2155319000, 0.2254049000, 0.2010755000, 0.1746270000, 0.1408542000", \
"0.1124362000, 0.1146432000, 0.1160169000, 0.1228496000, 0.1481133000, 0.1768535000, 0.2074785000, 0.2161309000, 0.1918014000, 0.1653529000, 0.1315802000", \
"0.0957175000, 0.0979245000, 0.0992982000, 0.1061309000, 0.1301738000, 0.1576934000, 0.1834355000, 0.1908672000, 0.1653171000, 0.1376478000, 0.1038751000", \
"0.0852878000, 0.0887155000, 0.0888685000, 0.0957012000, 0.1173027000, 0.1411602000, 0.1669023000, 0.1743340000, 0.1475631000, 0.1198939000, 0.0873419000", \
"0.0815182000, 0.0837253000, 0.0850990000, 0.0907109000, 0.1123125000, 0.1361699000, 0.1619121000, 0.1705645000, 0.1462350000, 0.1197865000, 0.0860138000", \
"0.0826315000, 0.0860592000, 0.0862122000, 0.0930449000, 0.1146465000, 0.1385039000, 0.1691289000, 0.1814434000, 0.1632174000, 0.1367689000, 0.1054376000", \
"0.1032988000, 0.1067266000, 0.1068796000, 0.1137122000, 0.1365345000, 0.1652747000, 0.2032240000, 0.2240833000, 0.2253887000, 0.2087057000, 0.1834779000", \
"0.1212025000, 0.1234095000, 0.1247832000, 0.1303952000, 0.1532174000, 0.1831784000, 0.2260104000, 0.2493112000, 0.2603821000, 0.2485820000, 0.2257956000", \
"0.1403267000, 0.1437545000, 0.1439075000, 0.1495194000, 0.1735624000, 0.2047441000, 0.2500175000, 0.2769804000, 0.2953756000, 0.2860168000, 0.2693340000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0065449000, -0.0148555000, -0.0186706000, -0.0316068000, -0.0788431000, -0.1478665000, -0.2651615000, -0.3629251000, -0.5912813000, -0.6978893000, -0.7971733000", \
"-0.0055586000, -0.0138691000, -0.0164635000, -0.0293997000, -0.0766361000, -0.1456595000, -0.2629544000, -0.3607181000, -0.5890742000, -0.6956823000, -0.7961870000", \
"-0.0041849000, -0.0137161000, -0.0163105000, -0.0280260000, -0.0752624000, -0.1442858000, -0.2615807000, -0.3593444000, -0.5877005000, -0.6943086000, -0.7935925000", \
"0.0026478000, -0.0068835000, -0.0082572000, -0.0199727000, -0.0684297000, -0.1362324000, -0.2535273000, -0.3512910000, -0.5808678000, -0.6874759000, -0.7879806000", \
"0.0376771000, 0.0257044000, 0.0231100000, 0.0126152000, -0.0358418000, -0.1048652000, -0.2246016000, -0.3235859000, -0.5556042000, -0.6622122000, -0.7627169000", \
"0.0725208000, 0.0605482000, 0.0579538000, 0.0474590000, 0.0014434000, -0.0688008000, -0.1897578000, -0.2911836000, -0.5280846000, -0.6383548000, -0.7425216000", \
"0.1104701000, 0.0984974000, 0.0959030000, 0.0854082000, 0.0406133000, -0.0271895000, -0.1481465000, -0.2532344000, -0.4950182000, -0.6052884000, -0.7106759000", \
"0.1301087000, 0.1181361000, 0.1155417000, 0.1050469000, 0.0626934000, -0.0051094000, -0.1260664000, -0.2311543000, -0.4778210000, -0.5868705000, -0.6934786000", \
"0.1485039000, 0.1365312000, 0.1339369000, 0.1234421000, 0.0835299000, 0.0181686000, -0.1040091000, -0.2115384000, -0.4594258000, -0.5733581000, -0.6799662000", \
"0.1489108000, 0.1369381000, 0.1343437000, 0.1238490000, 0.0827161000, 0.0210169000, -0.1023815000, -0.2111315000, -0.4614603000, -0.5766133000, -0.6820007000", \
"0.1456557000, 0.1336830000, 0.1310886000, 0.1205938000, 0.0806817000, 0.0177618000, -0.1031952000, -0.2143866000, -0.4659361000, -0.5847512000, -0.6901387000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0443867000, -0.0453730000, -0.0479674000, -0.0548001000, -0.0751810000, -0.0904935000, -0.0893802000, -0.0699564000, 0.0288359000, 0.0894642000, 0.1549752000", \
"-0.0421797000, -0.0431660000, -0.0457604000, -0.0525931000, -0.0729740000, -0.0870658000, -0.0859525000, -0.0653079000, 0.0334844000, 0.0953333000, 0.1608443000", \
"-0.0408060000, -0.0417923000, -0.0443867000, -0.0512194000, -0.0716003000, -0.0856921000, -0.0833581000, -0.0627135000, 0.0372995000, 0.0991484000, 0.1646594000", \
"-0.0351940000, -0.0374010000, -0.0387747000, -0.0443867000, -0.0659883000, -0.0800801000, -0.0789668000, -0.0595430000, 0.0380286000, 0.0986569000, 0.1629472000", \
"-0.0184753000, -0.0206823000, -0.0220560000, -0.0288887000, -0.0492695000, -0.0670234000, -0.0695723000, -0.0550313000, 0.0352161000, 0.0934030000, 0.1552519000", \
"-0.0092663000, -0.0114733000, -0.0116263000, -0.0184590000, -0.0388398000, -0.0578145000, -0.0701289000, -0.0568086000, 0.0139076000, 0.0659909000, 0.1229570000", \
"-0.0030553000, -0.0052624000, -0.0066361000, -0.0122480000, -0.0338496000, -0.0564863000, -0.0761250000, -0.0750117000, -0.0226061000, 0.0160495000, 0.0669120000", \
"-0.0041686000, -0.0063757000, -0.0065286000, -0.0133613000, -0.0349629000, -0.0600410000, -0.0857832000, -0.0919941000, -0.0566784000, -0.0216849000, 0.0206327000", \
"-0.0199531000, -0.0221602000, -0.0223131000, -0.0291458000, -0.0519681000, -0.0831497000, -0.1210990000, -0.1382962000, -0.1322773000, -0.1119323000, -0.0818217000", \
"-0.0317533000, -0.0351810000, -0.0353340000, -0.0421667000, -0.0649889000, -0.0973913000, -0.1426647000, -0.1647448000, -0.1684915000, -0.1530293000, -0.1278015000", \
"-0.0459947000, -0.0494224000, -0.0507961000, -0.0564081000, -0.0804511000, -0.1140741000, -0.1630097000, -0.1899726000, -0.2047056000, -0.1929055000, -0.1725605000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("Q") {
direction : "output";
function : "IQ";
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085000000, 0.0170000000, 0.0339900000, 0.0679700000, 0.1359400000, 0.2718900000, 0.5437800000");
values("-0.0559838000, 0.1525716000, 0.2926195000, 0.4376524000, 0.4247994000, -0.0927464000, -1.7485606000, -5.5894721000", \
"-0.0553336000, 0.1516322000, 0.2905813000, 0.4347699000, 0.4197929000, -0.1024744000, -1.7555804000, -5.6003324000", \
"-0.0546306000, 0.1519388000, 0.2905789000, 0.4344340000, 0.4193825000, -0.1041927000, -1.7584574000, -5.6032330000", \
"-0.0546215000, 0.1546516000, 0.2956836000, 0.4425387000, 0.4318152000, -0.0879194000, -1.7365674000, -5.5804311000", \
"-0.0546297000, 0.1644457000, 0.3130665000, 0.4719391000, 0.4753293000, -0.0289097000, -1.6669605000, -5.5021073000", \
"-0.0546245000, 0.1846455000, 0.3495319000, 0.5330180000, 0.5666235000, 0.0941000000, -1.5209255000, -5.3403042000", \
"-0.0546309000, 0.2280763000, 0.4275640000, 0.6627282000, 0.7610950000, 0.3521563000, -1.2114031000, -4.9954596000", \
"-0.0547372000, 0.2717214000, 0.5060661000, 0.7931233000, 0.9568577000, 0.6140235000, -0.8969832000, -4.6456648000", \
"-0.0550635000, 0.3828679000, 0.7064180000, 1.1270756000, 1.4587067000, 1.2816416000, -0.0952272000, -3.7551220000", \
"-0.0552243000, 0.4365563000, 0.8031775000, 1.2885580000, 1.7006750000, 1.6056654000, 0.2934218000, -3.3234313000", \
"-0.0553871000, 0.4891623000, 0.8978103000, 1.4466437000, 1.9368856000, 1.9215713000, 0.6724208000, -2.9020705000");
}
related_pin : "RESET_B";
rise_power ("scalar") {
values("0.0000000000");
}
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085000000, 0.0170000000, 0.0339900000, 0.0679700000, 0.1359400000, 0.2718900000, 0.5437800000");
values("-0.1415438000, 0.0521962000, 0.1808159000, 0.3094091000, 0.2750105000, -0.2670617000, -1.9373509000, -5.7920117000", \
"-0.1405897000, 0.0535506000, 0.1824091000, 0.3108785000, 0.2778207000, -0.2646005000, -1.9342615000, -5.7892779000", \
"-0.1395574000, 0.0539215000, 0.1820926000, 0.3097789000, 0.2758648000, -0.2679944000, -1.9381331000, -5.7918364000", \
"-0.1448853000, 0.0490759000, 0.1778337000, 0.3059473000, 0.2719584000, -0.2689132000, -1.9397391000, -5.7944902000", \
"-0.1615929000, 0.0372282000, 0.1697930000, 0.3042964000, 0.2768740000, -0.2580078000, -1.9218265000, -5.7732079000", \
"-0.2051190000, 0.0036212000, 0.1442395000, 0.2906976000, 0.2791720000, -0.2394876000, -1.8922194000, -5.7352026000", \
"-0.2921846000, -0.0606462000, 0.0978384000, 0.2718339000, 0.2937092000, -0.1922218000, -1.8167435000, -5.6426945000", \
"-0.3895098000, -0.1341311000, 0.0433031000, 0.2447781000, 0.3027957000, -0.1467597000, -1.7430275000, -5.5492057000", \
"-0.6607817000, -0.3393724000, -0.1087349000, 0.1726338000, 0.3286643000, -0.0225539000, -1.5401093000, -5.2936245000", \
"-0.8015993000, -0.4449409000, -0.1863084000, 0.1371909000, 0.3479516000, 0.0440347000, -1.4324473000, -5.1581767000", \
"-0.9424181000, -0.5515881000, -0.2655899000, 0.0989531000, 0.3597717000, 0.1048612000, -1.3304440000, -5.0302113000");
}
related_pin : "CLK";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085000000, 0.0170000000, 0.0339900000, 0.0679700000, 0.1359400000, 0.2718900000, 0.5437800000");
values("-0.1415426000, -0.1427774000, -0.1198686000, -0.0225472000, 0.2866498000, 1.1085616000, 2.9818317000, 6.9462331000", \
"-0.1405886000, -0.1415267000, -0.1183827000, -0.0206271000, 0.2889251000, 1.1118139000, 2.9852097000, 6.9496444000", \
"-0.1395562000, -0.1412573000, -0.1186795000, -0.0221289000, 0.2866093000, 1.1082550000, 2.9815287000, 6.9444948000", \
"-0.1448839000, -0.1461098000, -0.1232885000, -0.0258741000, 0.2834536000, 1.1055294000, 2.9795179000, 6.9420846000", \
"-0.1615916000, -0.1577628000, -0.1308072000, -0.0274223000, 0.2894274000, 1.1188253000, 2.9978331000, 6.9662057000", \
"-0.2051179000, -0.1905168000, -0.1550668000, -0.0388928000, 0.2944380000, 1.1392658000, 3.0326314000, 7.0092603000", \
"-0.2921835000, -0.2523498000, -0.1965448000, -0.0503472000, 0.3203150000, 1.2031091000, 3.1253849000, 7.1273466000", \
"-0.3895087000, -0.3232399000, -0.2464265000, -0.0686005000, 0.3412257000, 1.2631838000, 3.2185357000, 7.2366345000", \
"-0.6607811000, -0.5191662000, -0.3824156000, -0.1148429000, 0.4066792000, 1.4406642000, 3.4837046000, 7.5666717000", \
"-0.8015993000, -0.6213417000, -0.4538423000, -0.1399899000, 0.4431375000, 1.5300946000, 3.6227113000, 7.7247027000", \
"-0.9424181000, -0.7237725000, -0.5256167000, -0.1665101000, 0.4747802000, 1.6172211000, 3.7547396000, 7.8893389000");
}
}
max_capacitance : 0.5436040000;
max_transition : 3.7564430000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085000000, 0.0170000000, 0.0339900000, 0.0679700000, 0.1359400000, 0.2718900000, 0.5437800000");
values("0.4290391000, 0.4831377000, 0.5285421000, 0.6168732000, 0.7938542000, 1.1489923000, 1.8611976000, 3.2860714000", \
"0.4307599000, 0.4846904000, 0.5301248000, 0.6184693000, 0.7953475000, 1.1506339000, 1.8625901000, 3.2877767000", \
"0.4310488000, 0.4851850000, 0.5306212000, 0.6189089000, 0.7957633000, 1.1511350000, 1.8631914000, 3.2885885000", \
"0.4436813000, 0.4976090000, 0.5430154000, 0.6313661000, 0.8082334000, 1.1635660000, 1.8757713000, 3.3008858000", \
"0.4888723000, 0.5428099000, 0.5882015000, 0.6765518000, 0.8534073000, 1.2087571000, 1.9209807000, 3.3465533000", \
"0.5612769000, 0.6152052000, 0.6606796000, 0.7490165000, 0.9259309000, 1.2811733000, 1.9934592000, 3.4200398000", \
"0.6900114000, 0.7438379000, 0.7891863000, 0.8773829000, 1.0542630000, 1.4096423000, 2.1218538000, 3.5482282000", \
"0.8017571000, 0.8558433000, 0.9011116000, 0.9892352000, 1.1659202000, 1.5210908000, 2.2332118000, 3.6593240000", \
"1.0669091000, 1.1208382000, 1.1660055000, 1.2541380000, 1.4307412000, 1.7857849000, 2.4980154000, 3.9239705000", \
"1.1890485000, 1.2430001000, 1.2881419000, 1.3761595000, 1.5526586000, 1.9077746000, 2.6195237000, 4.0454324000", \
"1.3048064000, 1.3587059000, 1.4038140000, 1.4916774000, 1.6679885000, 2.0229467000, 2.7347453000, 4.1599080000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085000000, 0.0170000000, 0.0339900000, 0.0679700000, 0.1359400000, 0.2718900000, 0.5437800000");
values("0.0324230000, 0.0857054000, 0.1385831000, 0.2518729000, 0.4834452000, 0.9474168000, 1.8836908000, 3.7497254000", \
"0.0323568000, 0.0855102000, 0.1387042000, 0.2517832000, 0.4832006000, 0.9483215000, 1.8854593000, 3.7564347000", \
"0.0325037000, 0.0855260000, 0.1386021000, 0.2521783000, 0.4841868000, 0.9481804000, 1.8830373000, 3.7564427000", \
"0.0324925000, 0.0855369000, 0.1387084000, 0.2515697000, 0.4837126000, 0.9485823000, 1.8860222000, 3.7551069000", \
"0.0324952000, 0.0856952000, 0.1387000000, 0.2515034000, 0.4838528000, 0.9480086000, 1.8848665000, 3.7541561000", \
"0.0324971000, 0.0857058000, 0.1387281000, 0.2515954000, 0.4829041000, 0.9483934000, 1.8820929000, 3.7498338000", \
"0.0326385000, 0.0855906000, 0.1389091000, 0.2520598000, 0.4842154000, 0.9486099000, 1.8825155000, 3.7540846000", \
"0.0326180000, 0.0856118000, 0.1391030000, 0.2508453000, 0.4837473000, 0.9487178000, 1.8861450000, 3.7442223000", \
"0.0334727000, 0.0865422000, 0.1392276000, 0.2519276000, 0.4827886000, 0.9467539000, 1.8864121000, 3.7483487000", \
"0.0336795000, 0.0866895000, 0.1393315000, 0.2518485000, 0.4828138000, 0.9487046000, 1.8833990000, 3.7557224000", \
"0.0346824000, 0.0866411000, 0.1394407000, 0.2519773000, 0.4846496000, 0.9481413000, 1.8802242000, 3.7473904000");
}
related_pin : "RESET_B";
timing_sense : "positive_unate";
timing_type : "clear";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085000000, 0.0170000000, 0.0339900000, 0.0679700000, 0.1359400000, 0.2718900000, 0.5437800000");
values("0.3765926000, 0.4307380000, 0.4761376000, 0.5644114000, 0.7413581000, 1.0967240000, 1.8086087000, 3.2335159000", \
"0.3762253000, 0.4303921000, 0.4757919000, 0.5640981000, 0.7410282000, 1.0963646000, 1.8085856000, 3.2346841000", \
"0.3799078000, 0.4340466000, 0.4794447000, 0.5677199000, 0.7446967000, 1.1000429000, 1.8120923000, 3.2381935000", \
"0.3879632000, 0.4420563000, 0.4874569000, 0.5757338000, 0.7528195000, 1.1080343000, 1.8202708000, 3.2463976000", \
"0.4205246000, 0.4746424000, 0.5200607000, 0.6083619000, 0.7852943000, 1.1405935000, 1.8526805000, 3.2774574000", \
"0.4576633000, 0.5117664000, 0.5571750000, 0.6454580000, 0.8224295000, 1.1777841000, 1.8897308000, 3.3173737000", \
"0.5045178000, 0.5586438000, 0.6040163000, 0.6922408000, 0.8691768000, 1.2244215000, 1.9366793000, 3.3627465000", \
"0.5317730000, 0.5859022000, 0.6312010000, 0.7194034000, 0.8962414000, 1.2514524000, 1.9636244000, 3.3894947000", \
"0.5647852000, 0.6188365000, 0.6641182000, 0.7523165000, 0.9290750000, 1.2842073000, 1.9962749000, 3.4225722000", \
"0.5682653000, 0.6221927000, 0.6674880000, 0.7556474000, 0.9324346000, 1.2875176000, 1.9994257000, 3.4251948000", \
"0.5659171000, 0.6199468000, 0.6651755000, 0.7533925000, 0.9300906000, 1.2852230000, 1.9971285000, 3.4218668000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085000000, 0.0170000000, 0.0339900000, 0.0679700000, 0.1359400000, 0.2718900000, 0.5437800000");
values("0.3781574000, 0.4260589000, 0.4642919000, 0.5382820000, 0.6872100000, 0.9866006000, 1.5865237000, 2.7907938000", \
"0.3780999000, 0.4257377000, 0.4639743000, 0.5380759000, 0.6876820000, 0.9863860000, 1.5866540000, 2.7905205000", \
"0.3815688000, 0.4294311000, 0.4677159000, 0.5416778000, 0.6907197000, 0.9900760000, 1.5900662000, 2.7935174000", \
"0.3892540000, 0.4372037000, 0.4753457000, 0.5494858000, 0.6989396000, 0.9977099000, 1.5978862000, 2.8003180000", \
"0.4219234000, 0.4698146000, 0.5079317000, 0.5819004000, 0.7309663000, 1.0302944000, 1.6303250000, 2.8347054000", \
"0.4615740000, 0.5094077000, 0.5477183000, 0.6215685000, 0.7710226000, 1.0698716000, 1.6698185000, 2.8738756000", \
"0.5128483000, 0.5607606000, 0.5987935000, 0.6728740000, 0.8215145000, 1.1209990000, 1.7211362000, 2.9257408000", \
"0.5458878000, 0.5939770000, 0.6322672000, 0.7061435000, 0.8554050000, 1.1540734000, 1.7541850000, 2.9568610000", \
"0.5954710000, 0.6442523000, 0.6823208000, 0.7561457000, 0.9049257000, 1.2032539000, 1.8030764000, 3.0082217000", \
"0.6077732000, 0.6568449000, 0.6951025000, 0.7687664000, 0.9170229000, 1.2158360000, 1.8153109000, 3.0168636000", \
"0.6140242000, 0.6635001000, 0.7015111000, 0.7751743000, 0.9237877000, 1.2218488000, 1.8216025000, 3.0240721000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085000000, 0.0170000000, 0.0339900000, 0.0679700000, 0.1359400000, 0.2718900000, 0.5437800000");
values("0.0327815000, 0.0859313000, 0.1394639000, 0.2516343000, 0.4824907000, 0.9486922000, 1.8852078000, 3.7553352000", \
"0.0327326000, 0.0860190000, 0.1394733000, 0.2515765000, 0.4842048000, 0.9478359000, 1.8859789000, 3.7542112000", \
"0.0330762000, 0.0858460000, 0.1394135000, 0.2509663000, 0.4833058000, 0.9482657000, 1.8862139000, 3.7543506000", \
"0.0327709000, 0.0859441000, 0.1394659000, 0.2517128000, 0.4837244000, 0.9486107000, 1.8858282000, 3.7510182000", \
"0.0327745000, 0.0858811000, 0.1393778000, 0.2512806000, 0.4834955000, 0.9481607000, 1.8839532000, 3.7560075000", \
"0.0327631000, 0.0858667000, 0.1394112000, 0.2516188000, 0.4834191000, 0.9499228000, 1.8834132000, 3.7500945000", \
"0.0327683000, 0.0860514000, 0.1395396000, 0.2513765000, 0.4838768000, 0.9482169000, 1.8858772000, 3.7450003000", \
"0.0330961000, 0.0860833000, 0.1393873000, 0.2518053000, 0.4838819000, 0.9481520000, 1.8806730000, 3.7539878000", \
"0.0330139000, 0.0861968000, 0.1391189000, 0.2515983000, 0.4833330000, 0.9479019000, 1.8813910000, 3.7453707000", \
"0.0331540000, 0.0861812000, 0.1395330000, 0.2518707000, 0.4820482000, 0.9484322000, 1.8822901000, 3.7521697000", \
"0.0335949000, 0.0860561000, 0.1396406000, 0.2518548000, 0.4831559000, 0.9478429000, 1.8867947000, 3.7536525000");
}
related_pin : "CLK";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085000000, 0.0170000000, 0.0339900000, 0.0679700000, 0.1359400000, 0.2718900000, 0.5437800000");
values("0.0388574000, 0.0856907000, 0.1362498000, 0.2434148000, 0.4663168000, 0.9177134000, 1.8174101000, 3.6162981000", \
"0.0388221000, 0.0856734000, 0.1361257000, 0.2448365000, 0.4666046000, 0.9166043000, 1.8162736000, 3.6178402000", \
"0.0386948000, 0.0857727000, 0.1361869000, 0.2438303000, 0.4659877000, 0.9179210000, 1.8164843000, 3.6196719000", \
"0.0388522000, 0.0858551000, 0.1360924000, 0.2438882000, 0.4665230000, 0.9177381000, 1.8151234000, 3.6192740000", \
"0.0387931000, 0.0858401000, 0.1365880000, 0.2437765000, 0.4664282000, 0.9165849000, 1.8173559000, 3.6131116000", \
"0.0387610000, 0.0859089000, 0.1361655000, 0.2442479000, 0.4665738000, 0.9186097000, 1.8168895000, 3.6189294000", \
"0.0393405000, 0.0861165000, 0.1366692000, 0.2441244000, 0.4666910000, 0.9185243000, 1.8172399000, 3.6346777000", \
"0.0398784000, 0.0866276000, 0.1366845000, 0.2443745000, 0.4665748000, 0.9176104000, 1.8151159000, 3.6198060000", \
"0.0416717000, 0.0882702000, 0.1378210000, 0.2444440000, 0.4663412000, 0.9173455000, 1.8177853000, 3.6351835000", \
"0.0426573000, 0.0888276000, 0.1384997000, 0.2450045000, 0.4684990000, 0.9178820000, 1.8149898000, 3.6169774000", \
"0.0433697000, 0.0900454000, 0.1391229000, 0.2455908000, 0.4670357000, 0.9178278000, 1.8161317000, 3.6119980000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
}
pin ("Q_N") {
direction : "output";
function : "IQ_N";
internal_power () {
fall_power ("scalar") {
values("0.0000000000");
}
related_pin : "RESET_B";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088300000, 0.0176600000, 0.0353100000, 0.0706100000, 0.1412200000, 0.2824500000, 0.5649000000");
values("-0.0559833000, -0.0483215000, -0.0180440000, 0.0925075000, 0.4244175000, 1.2877152000, 3.2428405000, 7.3659709000", \
"-0.0553332000, -0.0489987000, -0.0197478000, 0.0888357000, 0.4194183000, 1.2818041000, 3.2335011000, 7.3614631000", \
"-0.0546301000, -0.0484736000, -0.0191955000, 0.0888765000, 0.4187610000, 1.2804894000, 3.2330144000, 7.3610871000", \
"-0.0546211000, -0.0458397000, -0.0146193000, 0.0969186000, 0.4312835000, 1.2970122000, 3.2519064000, 7.3812749000", \
"-0.0546293000, -0.0360194000, 0.0030472000, 0.1263009000, 0.4746771000, 1.3560788000, 3.3251334000, 7.4654176000", \
"-0.0546241000, -0.0155546000, 0.0397016000, 0.1872141000, 0.5658638000, 1.4763894000, 3.4698223000, 7.6166094000", \
"-0.0546305000, 0.0284096000, 0.1185019000, 0.3175832000, 0.7604750000, 1.7352361000, 3.7784837000, 7.9610184000", \
"-0.0547369000, 0.0724163000, 0.1978196000, 0.4496326000, 0.9565775000, 1.9957778000, 4.0908907000, 8.304995200", \
"-0.0550631000, 0.1847882000, 0.3993627000, 0.7840794000, 1.4568933000, 2.6608466000, 4.8862822000, 9.192753900", \
"-0.0552239000, 0.2390059000, 0.4969194000, 0.9463920000, 1.6999986000, 2.9841501000, 5.2728076000, 9.616603300", \
"-0.0553871000, 0.2915285000, 0.5914974000, 1.1030310000, 1.9350361000, 3.2978417000, 5.6502609000, 10.034508500");
}
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088300000, 0.0176600000, 0.0353100000, 0.0706100000, 0.1412200000, 0.2824500000, 0.5649000000");
values("-0.1415459000, 0.0636452000, 0.1963516000, 0.3269222000, 0.2861922000, -0.2854159000, -2.0286270000, -6.0406823000", \
"-0.1405918000, 0.0648317000, 0.1980133000, 0.3290160000, 0.2880017000, -0.2826590000, -2.0255918000, -6.0368126000", \
"-0.1395593000, 0.0652019000, 0.1978009000, 0.3275810000, 0.2861735000, -0.2860799000, -2.0298323000, -6.0412581000", \
"-0.1448874000, 0.0604469000, 0.1935776000, 0.3242675000, 0.2834963000, -0.2879120000, -2.0305796000, -6.0421151000", \
"-0.1615949000, 0.0482844000, 0.1850171000, 0.3212530000, 0.2871475000, -0.2770688000, -2.0145078000, -6.0228703000", \
"-0.2051211000, 0.0161597000, 0.1620366000, 0.3114609000, 0.2944476000, -0.2536096000, -1.9779808000, -5.9762437000", \
"-0.2921869000, -0.0452796000, 0.1206198000, 0.2999510000, 0.3189898000, -0.1917669000, -1.8869926000, -5.8664518000", \
"-0.3895119000, -0.1155201000, 0.0717080000, 0.2819545000, 0.3407901000, -0.1318726000, -1.7969939000, -5.7561037000", \
"-0.6607842000, -0.3104063000, -0.0628230000, 0.2372061000, 0.4055444000, 0.0416807000, -1.5380985000, -5.4406816000", \
"-0.8015993000, -0.4110385000, -0.1315967000, 0.2157228000, 0.4417678000, 0.1292245000, -1.4058985000, -5.2797922000", \
"-0.9424181000, -0.5126838000, -0.2022118000, 0.1910432000, 0.4734031000, 0.2146504000, -1.2766297000, -5.1216516000");
}
related_pin : "CLK";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088300000, 0.0176600000, 0.0353100000, 0.0706100000, 0.1412200000, 0.2824500000, 0.5649000000");
values("-0.1415433000, -0.1473013000, -0.1281429000, -0.0357328000, 0.2744759000, 1.1165230000, 3.0521805000, 7.1627202000", \
"-0.1405892000, -0.1460484000, -0.1266329000, -0.0335910000, 0.2769524000, 1.1185985000, 3.0542497000, 7.1723376000", \
"-0.1395568000, -0.1457274000, -0.1269402000, -0.0346246000, 0.2740956000, 1.1152576000, 3.0527438000, 7.1636032000", \
"-0.1448848000, -0.1505617000, -0.1313928000, -0.0385916000, 0.2717051000, 1.1127768000, 3.0510160000, 7.1613426000", \
"-0.1615922000, -0.1622981000, -0.1389848000, -0.0403505000, 0.2773854000, 1.1259555000, 3.0697631000, 7.1844306000", \
"-0.2051186000, -0.1956170000, -0.1644122000, -0.0541401000, 0.2781597000, 1.1421092000, 3.0965639000, 7.2217241000", \
"-0.2921842000, -0.2594570000, -0.2099417000, -0.0722912000, 0.2931182000, 1.1894351000, 3.1703743000, 7.3092197000", \
"-0.3895092000, -0.3328315000, -0.2642304000, -0.0983897000, 0.3020003000, 1.2333532000, 3.2413032000, 7.4046360000", \
"-0.6607813000, -0.5368934000, -0.4148814000, -0.1694500000, 0.3290134000, 1.3565032000, 3.4412700000, 7.6505856000", \
"-0.8015993000, -0.6433010000, -0.4940042000, -0.2076526000, 0.3463048000, 1.4200281000, 3.5481070000, 7.7808929000", \
"-0.9424181000, -0.7495857000, -0.5727780000, -0.2455320000, 0.3591117000, 1.4823184000, 3.6492336000, 7.9157664000");
}
}
max_capacitance : 0.5355930000;
max_transition : 3.9553850000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088300000, 0.0176600000, 0.0353100000, 0.0706100000, 0.1412200000, 0.2824500000, 0.5649000000");
values("0.3328216000, 0.3935336000, 0.4385423000, 0.5213863000, 0.6779471000, 0.9875224000, 1.6075897000, 2.8504497000", \
"0.3344761000, 0.3950356000, 0.4401582000, 0.5229562000, 0.6797391000, 0.9891744000, 1.6091726000, 2.8533491000", \
"0.3349317000, 0.3955640000, 0.4405707000, 0.5232564000, 0.6799997000, 0.9896467000, 1.6098550000, 2.8537157000", \
"0.3473815000, 0.4079651000, 0.4530768000, 0.5358595000, 0.6926953000, 1.0019369000, 1.6217546000, 2.8653986000", \
"0.3925929000, 0.4531478000, 0.4982479000, 0.5810191000, 0.7377908000, 1.0472055000, 1.6675518000, 2.9105331000", \
"0.4649205000, 0.5254299000, 0.5703949000, 0.6529796000, 0.8096758000, 1.1189722000, 1.7391187000, 2.9807947000", \
"0.5930115000, 0.6539806000, 0.6986035000, 0.7806177000, 0.9369957000, 1.2459038000, 1.8658373000, 3.1069058000", \
"0.7040175000, 0.7654874000, 0.8100720000, 0.8920334000, 1.0475929000, 1.3560284000, 1.9758155000, 3.2187597000", \
"0.9664159000, 1.0291670000, 1.0739779000, 1.1554966000, 1.3100505000, 1.6175697000, 2.2356008000, 3.4767476000", \
"1.0875162000, 1.1509587000, 1.1958500000, 1.2773005000, 1.4313644000, 1.7391621000, 2.3565105000, 3.5981609000", \
"1.2024231000, 1.2665109000, 1.3114846000, 1.3926699000, 1.5464220000, 1.8533132000, 2.4705966000, 3.7103440000");
}
related_pin : "RESET_B";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088300000, 0.0176600000, 0.0353100000, 0.0706100000, 0.1412200000, 0.2824500000, 0.5649000000");
values("0.0443863000, 0.1018968000, 0.1551247000, 0.2640546000, 0.4890487000, 0.9508507000, 1.8832969000, 3.7507002000", \
"0.0446261000, 0.1018634000, 0.1557085000, 0.2643606000, 0.4893076000, 0.9526898000, 1.8820383000, 3.7499972000", \
"0.0441760000, 0.1021410000, 0.1553481000, 0.2643550000, 0.4890655000, 0.9508760000, 1.8838353000, 3.7587689000", \
"0.0447161000, 0.1019166000, 0.1556469000, 0.2644804000, 0.4887752000, 0.9535603000, 1.8835511000, 3.7523078000", \
"0.0447365000, 0.1019357000, 0.1557490000, 0.2644029000, 0.4892680000, 0.9514918000, 1.8864565000, 3.7583123000", \
"0.0452171000, 0.1024010000, 0.1560067000, 0.2646353000, 0.4896403000, 0.9505268000, 1.8811481000, 3.7473114000", \
"0.0462891000, 0.1039105000, 0.1567493000, 0.2652410000, 0.4897549000, 0.9512110000, 1.8841786000, 3.7507162000", \
"0.0485892000, 0.1065126000, 0.1582431000, 0.2668686000, 0.4894787000, 0.9506601000, 1.8840562000, 3.7530179000", \
"0.0538379000, 0.1106831000, 0.1624191000, 0.2685113000, 0.4906306000, 0.9506170000, 1.8814674000, 3.7496055000", \
"0.0560681000, 0.1129463000, 0.1638762000, 0.2694880000, 0.4931672000, 0.9502673000, 1.8855093000, 3.7531063000", \
"0.0572663000, 0.1151365000, 0.1652360000, 0.2699896000, 0.4917652000, 0.9525764000, 1.8863988000, 3.7504737000");
}
timing_sense : "negative_unate";
timing_type : "preset";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088300000, 0.0176600000, 0.0353100000, 0.0706100000, 0.1412200000, 0.2824500000, 0.5649000000");
values("0.2979037000, 0.3797127000, 0.4441169000, 0.5519745000, 0.7450167000, 1.1177975000, 1.8639805000, 3.3604675000", \
"0.2976078000, 0.3794198000, 0.4438501000, 0.5517161000, 0.7447836000, 1.1175587000, 1.8636619000, 3.3606453000", \
"0.3013820000, 0.3831510000, 0.4476148000, 0.5553633000, 0.7484839000, 1.1211534000, 1.8673583000, 3.3631691000", \
"0.3092622000, 0.3910359000, 0.4554676000, 0.5632306000, 0.7563232000, 1.1290282000, 1.8751265000, 3.3712473000", \
"0.3419067000, 0.4234812000, 0.4878414000, 0.5956138000, 0.7886771000, 1.1613828000, 1.9074478000, 3.4045931000", \
"0.3811423000, 0.4625332000, 0.5265636000, 0.6339935000, 0.8267785000, 1.1994110000, 1.9454685000, 3.4411862000", \
"0.4335848000, 0.5145737000, 0.5782627000, 0.6853951000, 0.8778162000, 1.2499937000, 1.9956465000, 3.4925511000", \
"0.4669806000, 0.5479823000, 0.6114836000, 0.7183962000, 0.9105164000, 1.2821919000, 2.0273696000, 3.5241225000", \
"0.5161079000, 0.5991943000, 0.6630900000, 0.7695087000, 0.9603319000, 1.3307183000, 2.0744146000, 3.5696750000", \
"0.5279462000, 0.6124387000, 0.6767639000, 0.7829427000, 0.9731926000, 1.3425169000, 2.0856274000, 3.5808065000", \
"0.5342341000, 0.6201843000, 0.6848108000, 0.7910054000, 0.9805329000, 1.3489991000, 2.0915516000, 3.5850165000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088300000, 0.0176600000, 0.0353100000, 0.0706100000, 0.1412200000, 0.2824500000, 0.5649000000");
values("0.2797987000, 0.3410823000, 0.3871418000, 0.4720571000, 0.6300237000, 0.9398092000, 1.5596736000, 2.8050282000", \
"0.2794720000, 0.3407641000, 0.3868707000, 0.4717878000, 0.6297592000, 0.9392689000, 1.5594975000, 2.8040998000", \
"0.2831468000, 0.3444116000, 0.3905589000, 0.4754696000, 0.6333564000, 0.9430645000, 1.5633549000, 2.8059528000", \
"0.2912102000, 0.3524513000, 0.3985520000, 0.4834814000, 0.6414586000, 0.9509382000, 1.5712723000, 2.8132534000", \
"0.3232915000, 0.3845474000, 0.4306044000, 0.5154678000, 0.6734128000, 0.9830086000, 1.6030490000, 2.8453508000", \
"0.3614049000, 0.4225064000, 0.4684406000, 0.5530558000, 0.7108432000, 1.0202255000, 1.6401138000, 2.8817988000", \
"0.4075043000, 0.4685421000, 0.5141204000, 0.5982685000, 0.7555036000, 1.0650949000, 1.6848201000, 2.9290863000", \
"0.4352417000, 0.4960778000, 0.5413805000, 0.6254471000, 0.7821064000, 1.0906078000, 1.7103258000, 2.9544127000", \
"0.4666761000, 0.5275569000, 0.5724781000, 0.6558008000, 0.8116561000, 1.1194464000, 1.7382741000, 2.9819265000", \
"0.4707723000, 0.5316921000, 0.5765381000, 0.6595720000, 0.8154082000, 1.1230076000, 1.7410613000, 2.9823064000", \
"0.4674781000, 0.5285472000, 0.5733661000, 0.6561410000, 0.8116489000, 1.1187986000, 1.7372824000, 2.9795941000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088300000, 0.0176600000, 0.0353100000, 0.0706100000, 0.1412200000, 0.2824500000, 0.5649000000");
values("0.0593097000, 0.1362028000, 0.1955624000, 0.3066846000, 0.5301412000, 1.0046514000, 1.9817854000, 3.9550740000", \
"0.0593401000, 0.1361930000, 0.1954768000, 0.3061743000, 0.5306607000, 1.0063809000, 1.9852660000, 3.9523744000", \
"0.0582241000, 0.1361650000, 0.1956187000, 0.3065884000, 0.5309945000, 1.0055697000, 1.9848707000, 3.9538111000", \
"0.0582442000, 0.1359756000, 0.1955904000, 0.3066997000, 0.5309973000, 1.0045665000, 1.9866808000, 3.9550239000", \
"0.0584346000, 0.1362242000, 0.1955777000, 0.3066400000, 0.5310183000, 1.0074790000, 1.9865167000, 3.9449257000", \
"0.0583414000, 0.1367047000, 0.1960151000, 0.3069756000, 0.5309073000, 1.0056673000, 1.9849036000, 3.9537671000", \
"0.0605201000, 0.1388803000, 0.1981065000, 0.3080488000, 0.5314377000, 1.0059346000, 1.9850364000, 3.9524197000", \
"0.0631348000, 0.1414109000, 0.2004730000, 0.3106051000, 0.5342166000, 1.0071103000, 1.9866029000, 3.9434846000", \
"0.0715577000, 0.1507157000, 0.2090715000, 0.3171759000, 0.5367264000, 1.0108758000, 1.9837305000, 3.9553849000", \
"0.0753381000, 0.1558315000, 0.2136708000, 0.3205671000, 0.5382823000, 1.0108512000, 1.9816013000, 3.9539985000", \
"0.0800331000, 0.1612738000, 0.2178558000, 0.3228973000, 0.5394294000, 1.0113729000, 1.9819471000, 3.9539088000");
}
related_pin : "CLK";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088300000, 0.0176600000, 0.0353100000, 0.0706100000, 0.1412200000, 0.2824500000, 0.5649000000");
values("0.0459556000, 0.1050011000, 0.1605518000, 0.2698178000, 0.4921525000, 0.9534653000, 1.8848532000, 3.7530481000", \
"0.0459319000, 0.1049803000, 0.1603289000, 0.2695527000, 0.4928248000, 0.9533903000, 1.8832753000, 3.7606918000", \
"0.0457351000, 0.1049530000, 0.1602191000, 0.2695910000, 0.4928896000, 0.9513665000, 1.8838833000, 3.7548977000", \
"0.0455717000, 0.1050176000, 0.1602198000, 0.2697642000, 0.4932742000, 0.9514783000, 1.8843636000, 3.7505186000", \
"0.0460307000, 0.1050095000, 0.1601916000, 0.2698275000, 0.4936785000, 0.9516534000, 1.8826094000, 3.7509680000", \
"0.0459742000, 0.1051726000, 0.1603291000, 0.2699884000, 0.4935326000, 0.9525896000, 1.8830681000, 3.7511669000", \
"0.0471813000, 0.1059133000, 0.1608598000, 0.2702314000, 0.4932172000, 0.9511557000, 1.8845621000, 3.7463121000", \
"0.0494955000, 0.1065453000, 0.1609032000, 0.2709387000, 0.4928986000, 0.9535244000, 1.8841475000, 3.7509782000", \
"0.0512847000, 0.1089751000, 0.1630947000, 0.2713283000, 0.4947847000, 0.9542218000, 1.8840012000, 3.7551433000", \
"0.0524477000, 0.1099738000, 0.1635770000, 0.2720319000, 0.4943985000, 0.9527166000, 1.8844653000, 3.7534367000", \
"0.0535233000, 0.1106223000, 0.1642533000, 0.2728032000, 0.4943845000, 0.9517805000, 1.8838617000, 3.7690309000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
}
pin ("RESET_B") {
capacitance : 0.0076240000;
clock : "false";
direction : "input";
fall_capacitance : 0.0075830000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1119101000, 0.1106093000, 0.1092028000, 0.1091831000, 0.1091942000, 0.1091759000, 0.1091733000, 0.1093710000, 0.1099833000, 0.1102846000, 0.1105859000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1055395000, -0.1041130000, -0.1025657000, -0.1034181000, -0.1060360000, -0.1059360000, -0.1057123000, -0.1058579000, -0.1062215000, -0.1064217000, -0.1066217000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0076640000;
timing () {
fall_constraint ("pulse_width_template3") {
index_1("0.0100000000, 1.5000000000, 3.7499999000");
values("0.2038596000, 2.5000000000, 6.2499998000");
}
related_output_pin : "Q";
related_pin : "RESET_B";
sim_opt : "runlvl=5 accurate=1";
timing_type : "min_pulse_width";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1436016000, 0.1421465000, 0.1435202000, 0.1381458000, 0.1670716000, 0.2116810000, 0.2972376000, 0.3730287000, 0.5403496000, 0.6152194000, 0.6839857000", \
"0.1413945000, 0.1399395000, 0.1413131000, 0.1359388000, 0.1648646000, 0.2094740000, 0.2950306000, 0.3696009000, 0.5381426000, 0.6117917000, 0.6842201000", \
"0.1400208000, 0.1385658000, 0.1399395000, 0.1345651000, 0.1634909000, 0.2081003000, 0.2936569000, 0.3682272000, 0.5367689000, 0.6104180000, 0.6791843000", \
"0.1331882000, 0.1317331000, 0.1343275000, 0.1277324000, 0.1566582000, 0.2012676000, 0.2856035000, 0.3613945000, 0.5287155000, 0.6023646000, 0.6723517000", \
"0.1176901000, 0.1186764000, 0.1176087000, 0.1183379000, 0.1387187000, 0.1833281000, 0.2640019000, 0.3397930000, 0.5071140000, 0.5832044000, 0.6531915000", \
"0.1072604000, 0.1082467000, 0.1071790000, 0.1079082000, 0.1282891000, 0.1692363000, 0.2511309000, 0.3244805000, 0.4930221000, 0.5691126000, 0.6390997000", \
"0.1022702000, 0.1032565000, 0.1021888000, 0.1029180000, 0.1245195000, 0.1654668000, 0.2485820000, 0.3243730000, 0.4929147000, 0.5677845000, 0.6377715000", \
"0.1021628000, 0.1031491000, 0.1020814000, 0.1040313000, 0.1256328000, 0.1690215000, 0.2557988000, 0.3340313000, 0.5062350000, 0.5811048000, 0.6523126000", \
"0.1191680000, 0.1201543000, 0.1203073000, 0.1210365000, 0.1450794000, 0.1921302000, 0.2886732000, 0.3766712000, 0.5696269000, 0.6481588000, 0.7205873000", \
"0.1346302000, 0.1356165000, 0.1345488000, 0.1364987000, 0.1605417000, 0.2088131000, 0.3090182000, 0.4018991000, 0.6058411000, 0.6880352000, 0.7616843000", \
"0.1537545000, 0.1547408000, 0.1536731000, 0.1544023000, 0.1784452000, 0.2291581000, 0.3305839000, 0.4271269000, 0.6432760000, 0.7291321000, 0.8052227000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "recovery_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1261738000, -0.1247187000, -0.1260924000, -0.1207181000, -0.1496439000, -0.1918119000, -0.2749271000, -0.3446146000, -0.5021699000, -0.5721569000, -0.6360405000", \
"-0.1239668000, -0.1225117000, -0.1251061000, -0.1185111000, -0.1474369000, -0.1896048000, -0.2727201000, -0.3424076000, -0.5011836000, -0.5699499000, -0.6338334000", \
"-0.1225931000, -0.1211380000, -0.1237324000, -0.1171374000, -0.1460631000, -0.1882311000, -0.2701257000, -0.3410339000, -0.4985892000, -0.5685762000, -0.6324597000", \
"-0.1169811000, -0.1155260000, -0.1168997000, -0.1115254000, -0.1392305000, -0.1813984000, -0.2632930000, -0.3342012000, -0.4917565000, -0.5605228000, -0.6256271000", \
"-0.1027038000, -0.1036901000, -0.1026224000, -0.1033516000, -0.1237324000, -0.1646797000, -0.2441328000, -0.3150410000, -0.4725964000, -0.5425833000, -0.6089083000", \
"-0.0934948000, -0.0944811000, -0.0934134000, -0.0941426000, -0.1145234000, -0.1542500000, -0.2361445000, -0.3058320000, -0.4646081000, -0.5333744000, -0.5984786000", \
"-0.0885046000, -0.0894909000, -0.0884232000, -0.0903730000, -0.1107539000, -0.1529219000, -0.2348164000, -0.3093867000, -0.4693835000, -0.5405911000, -0.6069161000", \
"-0.0896178000, -0.0918249000, -0.0907572000, -0.0914863000, -0.1130879000, -0.1576973000, -0.2432539000, -0.3202656000, -0.4888073000, -0.5600150000, -0.6275606000", \
"-0.1102852000, -0.1112715000, -0.1102038000, -0.1109329000, -0.1349759000, -0.1820267000, -0.2785697000, -0.3665677000, -0.5570820000, -0.6331725000, -0.7031596000", \
"-0.1257474000, -0.1267337000, -0.1256660000, -0.1276159000, -0.1516588000, -0.1999303000, -0.2989147000, -0.3917956000, -0.5932962000, -0.6754902000, -0.7479187000", \
"-0.1448717000, -0.1458580000, -0.1447903000, -0.1467401000, -0.1707831000, -0.2202753000, -0.3229218000, -0.4182441000, -0.6319517000, -0.7178079000, -0.7938985000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "removal_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
}
cell ("sky130_fd_sc_hvl__dfrtp_1") {
leakage_power () {
value : 20.244700100;
when : "D&RESET_B&CLK";
}
leakage_power () {
value : 16.696622800;
when : "!D&RESET_B&!CLK";
}
leakage_power () {
value : 10.250696300;
when : "!D&!RESET_B&CLK";
}
leakage_power () {
value : 18.344243500;
when : "!D&RESET_B&CLK";
}
leakage_power () {
value : 14.100873400;
when : "D&RESET_B&!CLK";
}
leakage_power () {
value : 12.239481400;
when : "D&!RESET_B&CLK";
}
leakage_power () {
value : 10.513828000;
when : "!D&!RESET_B&!CLK";
}
leakage_power () {
value : 12.502630000;
when : "D&!RESET_B&!CLK";
}
area : 62.515200000;
cell_footprint : "sky130_fd_sc_hvl__dfrtp";
cell_leakage_power : 14.361630000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
ff ("IQ","IQ_N") {
clear : "!RESET_B";
clocked_on : "CLK";
next_state : "D";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("CLK") {
capacitance : 0.0027060000;
clock : "true";
direction : "input";
fall_capacitance : 0.0026180000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3167788000, 0.3172084000, 0.3176761000, 0.3295892000, 0.3669415000, 0.4572705000, 0.6379563000, 0.8340488000, 1.3806154000, 1.6643265000, 1.9480374000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2814834000, 0.2797167000, 0.2778048000, 0.2877971000, 0.3191297000, 0.4077051000, 0.5848807000, 0.7810005000, 1.3276374000, 1.6113865000, 1.8951354000");
}
}
max_transition : 3.7500000000;
min_pulse_width_high : 0.2137680000;
min_pulse_width_low : 0.2544170000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0027940000;
}
pin ("D") {
capacitance : 0.0024120000;
clock : "false";
direction : "input";
fall_capacitance : 0.0023510000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0599870000, 0.0634988000, 0.0673053000, 0.0764612000, 0.1051806000, 0.1599594000, 0.2695441000, 0.3813665000, 0.6930681000, 0.8548604000, 1.0166526000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0011816000, 0.0006474000, 0.0026309000, 0.0108476000, 0.0366239000, 0.0909377000, 0.1995907000, 0.3113324000, 0.6228062000, 0.7844810000, 0.9461557000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0024720000;
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1069805000, 0.1152910000, 0.1166647000, 0.1320423000, 0.1792786000, 0.2544056000, 0.3863489000, 0.5024231000, 0.7783867000, 0.9069674000, 1.0294448000", \
"0.1035527000, 0.1118633000, 0.1132370000, 0.1286146000, 0.1758509000, 0.2509779000, 0.3841419000, 0.5002161000, 0.7761797000, 0.9047604000, 1.0260170000", \
"0.1021790000, 0.1117103000, 0.1118633000, 0.1272409000, 0.1744772000, 0.2496042000, 0.3827682000, 0.4988425000, 0.7748060000, 0.9033867000, 1.0246433000", \
"0.0953464000, 0.1036569000, 0.1050306000, 0.1204082000, 0.1676445000, 0.2427715000, 0.3759355000, 0.4920098000, 0.7679733000, 0.8965540000, 1.0190313000", \
"0.0676413000, 0.0783932000, 0.0809876000, 0.0927031000, 0.1399395000, 0.2162871000, 0.3494512000, 0.4655254000, 0.7378268000, 0.8664075000, 0.9876641000", \
"0.0327975000, 0.0435495000, 0.0461439000, 0.0578594000, 0.1063164000, 0.1838848000, 0.3194902000, 0.4367852000, 0.7078659000, 0.8327845000, 0.9515997000", \
"-0.0075931000, 0.0031589000, 0.0057533000, 0.0186895000, 0.0647051000, 0.1410527000, 0.2778789000, 0.3976152000, 0.6735788000, 0.7972767000, 0.9148712000", \
"-0.0284525000, -0.0177005000, -0.0151061000, -0.0033906000, 0.0426250000, 0.1177519000, 0.2533574000, 0.3743145000, 0.6514987000, 0.7776380000, 0.8952325000", \
"-0.0456270000, -0.0348750000, -0.0322806000, -0.0205651000, 0.0254505000, 0.0969154000, 0.2313001000, 0.3510365000, 0.6306621000, 0.7568014000, 0.8743959000", \
"-0.0399303000, -0.0291784000, -0.0265840000, -0.0148685000, 0.0299264000, 0.1001706000, 0.2345553000, 0.3530710000, 0.6314759000, 0.7588359000, 0.8776511000", \
"-0.0293510000, -0.0173783000, -0.0160046000, -0.0042891000, 0.0405058000, 0.1095292000, 0.2426933000, 0.3612089000, 0.6396139000, 0.7669739000, 0.8857890000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1179668000, 0.1177324000, 0.1178854000, 0.1283802000, 0.1548646000, 0.1836048000, 0.2142298000, 0.2241029000, 0.1985527000, 0.1708835000, 0.1383315000", \
"0.1157598000, 0.1167461000, 0.1156784000, 0.1273939000, 0.1526576000, 0.1813978000, 0.2132435000, 0.2218958000, 0.1975664000, 0.1698971000, 0.1373451000", \
"0.1143861000, 0.1141517000, 0.1143047000, 0.1247995000, 0.1512839000, 0.1800241000, 0.2118698000, 0.2205221000, 0.1949720000, 0.1685234000, 0.1347507000", \
"0.1075534000, 0.1085397000, 0.1074720000, 0.1179668000, 0.1444512000, 0.1731914000, 0.2038164000, 0.2112480000, 0.1856979000, 0.1592494000, 0.1254766000", \
"0.0908346000, 0.0930417000, 0.0944154000, 0.1012480000, 0.1240703000, 0.1515898000, 0.1785527000, 0.1859844000, 0.1592135000, 0.1315443000, 0.0977716000", \
"0.0804049000, 0.0826120000, 0.0839857000, 0.0895977000, 0.1124199000, 0.1350566000, 0.1607988000, 0.1682305000, 0.1414596000, 0.1137904000, 0.0800177000", \
"0.0729733000, 0.0751803000, 0.0765540000, 0.0833867000, 0.1049883000, 0.1288457000, 0.1558086000, 0.1644609000, 0.1401315000, 0.1124622000, 0.0799102000", \
"0.0740866000, 0.0762936000, 0.0776673000, 0.0832793000, 0.1048809000, 0.1299590000, 0.1605840000, 0.1716777000, 0.1546725000, 0.1306654000, 0.0981134000", \
"0.0910918000, 0.0932988000, 0.0934518000, 0.1002845000, 0.1231068000, 0.1518470000, 0.1910169000, 0.2118763000, 0.2156230000, 0.1989401000, 0.1737123000", \
"0.1053333000, 0.1075404000, 0.1089141000, 0.1157467000, 0.1385690000, 0.1685299000, 0.2113620000, 0.2358835000, 0.2493958000, 0.2363750000, 0.2160300000", \
"0.1232369000, 0.1266646000, 0.1280383000, 0.1336503000, 0.1564726000, 0.1888749000, 0.2341484000, 0.2611112000, 0.2831685000, 0.2750305000, 0.2595684000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0089863000, -0.0172969000, -0.0186706000, -0.0316068000, -0.0812845000, -0.1515286000, -0.2688236000, -0.3690287000, -0.6010469000, -0.7064343000, -0.8081596000", \
"-0.0067793000, -0.0150898000, -0.0176842000, -0.0293997000, -0.0778568000, -0.1481009000, -0.2653958000, -0.3656009000, -0.5963984000, -0.7030065000, -0.8047318000", \
"-0.0054056000, -0.0149369000, -0.0175312000, -0.0292467000, -0.0777038000, -0.1467272000, -0.2652428000, -0.3642272000, -0.5962455000, -0.7028535000, -0.8033582000", \
"0.0014271000, -0.0081042000, -0.0106986000, -0.0224141000, -0.0696504000, -0.1398945000, -0.2571894000, -0.3561738000, -0.5894128000, -0.6960208000, -0.7965255000", \
"0.0352357000, 0.0244837000, 0.0218893000, 0.0101738000, -0.0382832000, -0.1085273000, -0.2282637000, -0.3284688000, -0.5629284000, -0.6707572000, -0.7749239000", \
"0.0700794000, 0.0593275000, 0.0567331000, 0.0462383000, -0.0009980000, -0.0700215000, -0.1921992000, -0.2948457000, -0.5341881000, -0.6444583000, -0.7486251000", \
"0.1116907000, 0.0997181000, 0.0971237000, 0.0866289000, 0.0430547000, -0.0259688000, -0.1469258000, -0.2520137000, -0.4962390000, -0.6089505000, -0.7131173000", \
"0.1337708000, 0.1230189000, 0.1204245000, 0.1099297000, 0.0663555000, -0.0002266000, -0.1211836000, -0.2262715000, -0.4717175000, -0.5856498000, -0.6910372000", \
"0.1631523000, 0.1511797000, 0.1485853000, 0.1380905000, 0.0981784000, 0.0352585000, -0.0856986000, -0.1920072000, -0.4386738000, -0.5550475000, -0.6604350000", \
"0.1672214000, 0.1564694000, 0.1538750000, 0.1433802000, 0.1034681000, 0.0417689000, -0.0767467000, -0.1842760000, -0.4333841000, -0.5485371000, -0.6551453000", \
"0.1688490000, 0.1580971000, 0.1555027000, 0.1450079000, 0.1063165000, 0.0458380000, -0.0714569000, -0.1789862000, -0.4293150000, -0.5432473000, -0.6510761000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0504902000, -0.0502559000, -0.0504089000, -0.0609036000, -0.0825052000, -0.0990384000, -0.1040286000, -0.0894876000, -0.0041230000, 0.0516224000, 0.1122506000", \
"-0.0482832000, -0.0480488000, -0.0482018000, -0.0586966000, -0.0802982000, -0.0968314000, -0.1018216000, -0.0860599000, 0.0005254000, 0.0562708000, 0.1168990000", \
"-0.0469095000, -0.0478958000, -0.0468281000, -0.0524401000, -0.0789245000, -0.0954577000, -0.1004479000, -0.0859069000, -0.0005423000, 0.0552031000, 0.1158313000", \
"-0.0412975000, -0.0435046000, -0.0448783000, -0.0504902000, -0.0720918000, -0.0886250000, -0.0936152000, -0.0790742000, 0.0062904000, 0.0608151000, 0.1214433000", \
"-0.0257995000, -0.0267858000, -0.0281595000, -0.0337715000, -0.0565937000, -0.0731270000, -0.0805586000, -0.0672383000, 0.0108021000, 0.0628854000, 0.1198515000", \
"-0.0141491000, -0.0163561000, -0.0165091000, -0.0233418000, -0.0437227000, -0.0639180000, -0.0774531000, -0.0690156000, -0.0019616000, 0.0464596000, 0.0985429000", \
"-0.0054967000, -0.0077038000, -0.0090775000, -0.0159102000, -0.0362910000, -0.0601484000, -0.0797871000, -0.0786738000, -0.0323717000, 0.0062839000, 0.0547050000", \
"-0.0053893000, -0.0075964000, -0.0077493000, -0.0145820000, -0.0361836000, -0.0612617000, -0.0882246000, -0.0932148000, -0.0603405000, -0.0253470000, 0.0157499000", \
"-0.0175117000, -0.0197188000, -0.0198717000, -0.0267044000, -0.0495267000, -0.0794876000, -0.1174368000, -0.1346341000, -0.1273945000, -0.1082702000, -0.0781596000", \
"-0.0280911000, -0.0302982000, -0.0304512000, -0.0372839000, -0.0613268000, -0.0925085000, -0.1365612000, -0.1586413000, -0.1623880000, -0.1457051000, -0.1216980000", \
"-0.0411119000, -0.0445396000, -0.0446926000, -0.0515253000, -0.0743476000, -0.1079706000, -0.1556855000, -0.1826484000, -0.1949400000, -0.1831399000, -0.1640156000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("Q") {
direction : "output";
function : "IQ";
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170100000, 0.0340200000, 0.0680400000, 0.1360900000, 0.2721800000, 0.5443600000");
values("0.7447252000, 0.6060266000, 0.4751276000, 0.2157262000, -0.3009975000, -1.3312874000, -3.3902313000, -7.5069724000", \
"0.7509699000, 0.5963455000, 0.4646718000, 0.2044495000, -0.2952077000, -1.3250192000, -3.3846386000, -7.5014768000", \
"0.7490711000, 0.6111663000, 0.4797396000, 0.2039988000, -0.2970891000, -1.3275213000, -3.3863479000, -7.5031816000", \
"0.7572982000, 0.6193248000, 0.4878472000, 0.2280742000, -0.2859291000, -1.3157150000, -3.3750394000, -7.4917021000", \
"0.8484654000, 0.7077057000, 0.5763778000, 0.3168877000, -0.1962627000, -1.2272725000, -3.2862628000, -7.4041400000", \
"1.0298027000, 0.8914808000, 0.7597848000, 0.5000707000, -0.0168602000, -1.0467748000, -3.1058132000, -7.2228275000", \
"1.4193487000, 1.2814503000, 1.1502137000, 0.8899618000, 0.3745141000, -0.6559369000, -2.7148512000, -6.8334469000", \
"1.8103890000, 1.6615054000, 1.5373381000, 1.2776245000, 0.7609159000, -0.2691343000, -2.3277972000, -6.4445681000", \
"2.8076414000, 2.6568651000, 2.5215261000, 2.2602768000, 1.7500953000, 0.7202366000, -1.3385939000, -5.4547946000", \
"3.2902701000, 3.1417101000, 3.0069464000, 2.7456018000, 2.2279845000, 1.2029147000, -0.8562709000, -4.9730030000", \
"3.7613353000, 3.6102543000, 3.4762378000, 3.2145788000, 2.6964403000, 1.6725587000, -0.3858907000, -4.5027937000");
}
related_pin : "RESET_B";
rise_power ("scalar") {
values("0.0000000000");
}
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170100000, 0.0340200000, 0.0680400000, 0.1360900000, 0.2721800000, 0.5443600000");
values("0.4254876000, 0.2942233000, 0.1641422000, -0.0954585000, -0.6118201000, -1.6419630000, -3.7014822000, -7.8174668000", \
"0.4240448000, 0.2925767000, 0.1625643000, -0.0973179000, -0.6134499000, -1.6438971000, -3.7028119000, -7.8196456000", \
"0.4254570000, 0.2940680000, 0.1640731000, -0.0957790000, -0.6121880000, -1.6421381000, -3.7010717000, -7.8179881000", \
"0.4196566000, 0.2883091000, 0.1583457000, -0.1010793000, -0.6175145000, -1.6481054000, -3.7069698000, -7.8235927000", \
"0.4300603000, 0.2988267000, 0.1686213000, -0.0909736000, -0.6071485000, -1.6376225000, -3.6968859000, -7.8127578000", \
"0.4348105000, 0.3036221000, 0.1731867000, -0.0861514000, -0.6025121000, -1.6330250000, -3.6918919000, -7.8085346000", \
"0.4782613000, 0.3305454000, 0.1998965000, -0.0596981000, -0.5761085000, -1.6064798000, -3.6653095000, -7.7822928000", \
"0.4977285000, 0.3494970000, 0.2163751000, -0.0444122000, -0.5605811000, -1.5910356000, -3.6499219000, -7.7668745000", \
"0.5481469000, 0.3994980000, 0.2658335000, 0.0034795000, -0.5136437000, -1.5444778000, -3.6022707000, -7.7192082000", \
"0.5702803000, 0.4209197000, 0.2873922000, 0.0254725000, -0.4925683000, -1.5241768000, -3.5809806000, -7.6980250000", \
"0.5915891000, 0.4423040000, 0.3091560000, 0.0477878000, -0.4710876000, -1.5035943000, -3.5611048000, -7.6767223000");
}
related_pin : "CLK";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170100000, 0.0340200000, 0.0680400000, 0.1360900000, 0.2721800000, 0.5443600000");
values("0.4176604000, 0.5431573000, 0.6703969000, 0.9213746000, 1.4268202000, 2.4436939000, 4.4798894000, 8.554004200", \
"0.4159054000, 0.5415977000, 0.6683748000, 0.9195673000, 1.4253309000, 2.4416816000, 4.4778977000, 8.556977000", \
"0.4169306000, 0.5435551000, 0.6699615000, 0.9205171000, 1.4261552000, 2.4446771000, 4.4819949000, 8.560141000", \
"0.4109830000, 0.5367120000, 0.6635236000, 0.9147726000, 1.4201856000, 2.4393992000, 4.4775900000, 8.548447200", \
"0.4234175000, 0.5499925000, 0.6763644000, 0.9269800000, 1.4325635000, 2.4515856000, 4.4865729000, 8.558627900", \
"0.4395794000, 0.5653022000, 0.6920125000, 0.9430888000, 1.4490710000, 2.4685875000, 4.5088453000, 8.582731200", \
"0.5134193000, 0.6214358000, 0.7487453000, 0.9985024000, 1.5041140000, 2.5190309000, 4.5611300000, 8.629976000", \
"0.5756836000, 0.6789919000, 0.7980619000, 1.0444797000, 1.5510877000, 2.5657419000, 4.6042671000, 8.674141100", \
"0.7055197000, 0.8056241000, 0.9247464000, 1.1727553000, 1.6700349000, 2.6868152000, 4.7216799000, 8.800093000", \
"0.7668815000, 0.8660825000, 0.9847031000, 1.2313803000, 1.7361972000, 2.7447107000, 4.7820298000, 8.851929800", \
"0.8233217000, 0.9208869000, 1.0389164000, 1.2860158000, 1.7911703000, 2.7929265000, 4.8334245000, 8.911608600");
}
}
max_capacitance : 0.5436040000;
max_transition : 3.7591470000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170100000, 0.0340200000, 0.0680400000, 0.1360900000, 0.2721800000, 0.5443600000");
values("0.3985756000, 0.4523831000, 0.4977976000, 0.5865025000, 0.7641477000, 1.1211397000, 1.8328564000, 3.2591909000", \
"0.4021255000, 0.4541423000, 0.4996425000, 0.5882774000, 0.7675600000, 1.1244026000, 1.8364551000, 3.2634868000", \
"0.4037511000, 0.4575081000, 0.5027693000, 0.5886173000, 0.7692708000, 1.1262764000, 1.8392811000, 3.2666418000", \
"0.4131351000, 0.4668742000, 0.5122203000, 0.6008247000, 0.7786788000, 1.1355573000, 1.8483227000, 3.2753882000", \
"0.4581172000, 0.5120507000, 0.5573436000, 0.6461779000, 0.8237967000, 1.1799656000, 1.8934845000, 3.3199190000", \
"0.5307975000, 0.5844932000, 0.6301848000, 0.7187147000, 0.8962255000, 1.2530417000, 1.9649089000, 3.3908660000", \
"0.6596484000, 0.7133207000, 0.7586182000, 0.8471163000, 1.0243758000, 1.3810063000, 2.0939748000, 3.5199363000", \
"0.7710012000, 0.8248010000, 0.8700878000, 0.9586535000, 1.1357734000, 1.4921504000, 2.2057580000, 3.6314518000", \
"1.0347787000, 1.0883363000, 1.1330831000, 1.2216288000, 1.3987137000, 1.7544750000, 2.4665570000, 3.8943058000", \
"1.1558188000, 1.2102025000, 1.2552057000, 1.3433481000, 1.5211813000, 1.8774624000, 2.5881823000, 4.0135055000", \
"1.2714492000, 1.3250013000, 1.3701134000, 1.4583627000, 1.6351989000, 1.9910926000, 2.7034737000, 4.1290322000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170100000, 0.0340200000, 0.0680400000, 0.1360900000, 0.2721800000, 0.5443600000");
values("0.0306672000, 0.0837886000, 0.1375320000, 0.2513726000, 0.4829126000, 0.9514510000, 1.8851007000, 3.7537515000", \
"0.0301596000, 0.0838828000, 0.1374902000, 0.2513031000, 0.4824857000, 0.9486754000, 1.8900435000, 3.7530445000", \
"0.0301699000, 0.0838479000, 0.1374199000, 0.2508435000, 0.4837056000, 0.9512838000, 1.8812589000, 3.7577972000", \
"0.0306382000, 0.0837295000, 0.1373058000, 0.2514441000, 0.4824389000, 0.9485949000, 1.8860298000, 3.7507624000", \
"0.0306004000, 0.0835797000, 0.1375578000, 0.2513972000, 0.4837379000, 0.9528625000, 1.8818660000, 3.7508303000", \
"0.0303076000, 0.0836487000, 0.1374390000, 0.2515798000, 0.4826994000, 0.9494858000, 1.8867852000, 3.7550243000", \
"0.0308811000, 0.0836507000, 0.1375563000, 0.2514017000, 0.4822039000, 0.9523335000, 1.8884834000, 3.7518868000", \
"0.0311972000, 0.0839176000, 0.1375802000, 0.2508836000, 0.4835233000, 0.9511064000, 1.8827997000, 3.7591472000", \
"0.0312878000, 0.0847589000, 0.1383600000, 0.2512934000, 0.4826248000, 0.9499689000, 1.8825135000, 3.7487129000", \
"0.0321257000, 0.0846680000, 0.1381441000, 0.2518852000, 0.4849233000, 0.9529236000, 1.8841215000, 3.7494301000", \
"0.0319076000, 0.0848597000, 0.1383692000, 0.2514143000, 0.4829346000, 0.9524519000, 1.8856765000, 3.7486312000");
}
related_pin : "RESET_B";
timing_sense : "positive_unate";
timing_type : "clear";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170100000, 0.0340200000, 0.0680400000, 0.1360900000, 0.2721800000, 0.5443600000");
values("0.3433652000, 0.3972159000, 0.4426477000, 0.5312899000, 0.7087184000, 1.0659320000, 1.7777917000, 3.2059557000", \
"0.3454667000, 0.3993151000, 0.4447362000, 0.5333587000, 0.7110886000, 1.0682061000, 1.7811917000, 3.2080776000", \
"0.3468726000, 0.4007405000, 0.4461474000, 0.5347978000, 0.7124486000, 1.0694312000, 1.7826447000, 3.2097565000", \
"0.3547383000, 0.4086022000, 0.4540293000, 0.5427074000, 0.7203866000, 1.0773064000, 1.7903219000, 3.2175422000", \
"0.3875886000, 0.4414469000, 0.4868792000, 0.5755217000, 0.7530376000, 1.1101459000, 1.8216963000, 3.2498717000", \
"0.4254713000, 0.4792224000, 0.5247194000, 0.6133422000, 0.7909741000, 1.1473797000, 1.8610765000, 3.2855907000", \
"0.4722269000, 0.5260170000, 0.5714927000, 0.6600816000, 0.8374785000, 1.1940928000, 1.9076656000, 3.3327507000", \
"0.4998185000, 0.5535944000, 0.5990068000, 0.6876133000, 0.8652069000, 1.2209134000, 1.9358535000, 3.3599095000", \
"0.5316441000, 0.5853706000, 0.6313446000, 0.7198913000, 0.8972200000, 1.2540780000, 1.9656909000, 3.3913385000", \
"0.5354696000, 0.5892064000, 0.6345324000, 0.7229954000, 0.9005469000, 1.2563551000, 1.9701840000, 3.3945504000", \
"0.5334852000, 0.5872447000, 0.6325235000, 0.7210589000, 0.8990312000, 1.2542604000, 1.9666675000, 3.3921999000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170100000, 0.0340200000, 0.0680400000, 0.1360900000, 0.2721800000, 0.5443600000");
values("0.3319003000, 0.3782039000, 0.4159892000, 0.4902168000, 0.6394721000, 0.9382886000, 1.5391782000, 2.7380058000", \
"0.3341402000, 0.3804480000, 0.4182618000, 0.4923896000, 0.6416022000, 0.9406884000, 1.5410833000, 2.7425571000", \
"0.3356145000, 0.3818812000, 0.4197167000, 0.4937972000, 0.6426298000, 0.9420674000, 1.5405147000, 2.7436009000", \
"0.3429376000, 0.3892787000, 0.4270932000, 0.5011978000, 0.6503927000, 0.9484870000, 1.5486535000, 2.7446221000", \
"0.3759955000, 0.4222489000, 0.4600753000, 0.5340389000, 0.6827375000, 0.9822018000, 1.5798438000, 2.7824378000", \
"0.4165543000, 0.4629560000, 0.5007464000, 0.5748172000, 0.7239845000, 1.0220194000, 1.6221389000, 2.8205782000", \
"0.4688383000, 0.5153779000, 0.5531440000, 0.6268979000, 0.7756561000, 1.0748716000, 1.6741570000, 2.8730680000", \
"0.5024605000, 0.5491455000, 0.5868522000, 0.6606670000, 0.8095263000, 1.1072925000, 1.7059227000, 2.9056308000", \
"0.5503273000, 0.5979802000, 0.6356959000, 0.7092096000, 0.8573385000, 1.1560384000, 1.7552788000, 2.9548552000", \
"0.5618642000, 0.6095537000, 0.6473404000, 0.7206472000, 0.8690690000, 1.1668293000, 1.7679274000, 2.9609195000", \
"0.5673323000, 0.6156797000, 0.6534952000, 0.7268329000, 0.8745051000, 1.1727074000, 1.7734856000, 2.9694414000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170100000, 0.0340200000, 0.0680400000, 0.1360900000, 0.2721800000, 0.5443600000");
values("0.0306884000, 0.0839828000, 0.1377881000, 0.2511623000, 0.4839985000, 0.9505206000, 1.8906232000, 3.7505683000", \
"0.0303837000, 0.0839760000, 0.1376973000, 0.2513231000, 0.4835459000, 0.9522710000, 1.8807433000, 3.7564720000", \
"0.0304043000, 0.0839924000, 0.1376901000, 0.2511564000, 0.4837550000, 0.9550564000, 1.8853716000, 3.7472367000", \
"0.0303855000, 0.0839786000, 0.1378765000, 0.2512723000, 0.4846443000, 0.9496645000, 1.8830677000, 3.7577870000", \
"0.0303794000, 0.0839784000, 0.1377672000, 0.2511557000, 0.4846107000, 0.9502734000, 1.8892814000, 3.7499457000", \
"0.0304652000, 0.0839401000, 0.1377477000, 0.2512325000, 0.4848988000, 0.9520651000, 1.8842223000, 3.7574535000", \
"0.0304140000, 0.0839956000, 0.1379730000, 0.2502835000, 0.4840648000, 0.9517862000, 1.8822193000, 3.7543972000", \
"0.0303930000, 0.0841487000, 0.1378020000, 0.2510727000, 0.4824495000, 0.9500688000, 1.8876616000, 3.7573002000", \
"0.0306261000, 0.0840997000, 0.1379319000, 0.2511585000, 0.4842665000, 0.9502799000, 1.8821440000, 3.7559187000", \
"0.0308793000, 0.0842237000, 0.1384061000, 0.2516959000, 0.4841088000, 0.9492502000, 1.8838520000, 3.7537120000", \
"0.0308944000, 0.0842445000, 0.1377532000, 0.2512882000, 0.4842669000, 0.9512381000, 1.8844195000, 3.7521501000");
}
related_pin : "CLK";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170100000, 0.0340200000, 0.0680400000, 0.1360900000, 0.2721800000, 0.5443600000");
values("0.0342266000, 0.0816676000, 0.1328624000, 0.2411228000, 0.4638836000, 0.9114477000, 1.8101534000, 3.6018877000", \
"0.0342154000, 0.0817595000, 0.1330463000, 0.2408471000, 0.4640219000, 0.9112159000, 1.8108878000, 3.6023780000", \
"0.0341373000, 0.0816047000, 0.1328219000, 0.2413640000, 0.4643630000, 0.9121762000, 1.8116573000, 3.6191450000", \
"0.0342317000, 0.0817403000, 0.1329600000, 0.2412684000, 0.4643077000, 0.9118462000, 1.8105928000, 3.5996675000", \
"0.0341964000, 0.0816654000, 0.1329447000, 0.2412882000, 0.4637903000, 0.9112025000, 1.8106490000, 3.6018581000", \
"0.0344737000, 0.0818899000, 0.1330555000, 0.2413007000, 0.4643533000, 0.9122607000, 1.8098928000, 3.6097985000", \
"0.0351301000, 0.0823012000, 0.1332206000, 0.2413924000, 0.4635516000, 0.9106504000, 1.8103298000, 3.6050847000", \
"0.0358649000, 0.0829421000, 0.1337111000, 0.2413788000, 0.4636355000, 0.9125101000, 1.8095262000, 3.6050616000", \
"0.0385554000, 0.0850277000, 0.1349538000, 0.2420104000, 0.4636275000, 0.9125344000, 1.8100088000, 3.6007721000", \
"0.0394251000, 0.0859586000, 0.1357402000, 0.2426840000, 0.4642801000, 0.9133314000, 1.8088925000, 3.5980638000", \
"0.0403256000, 0.0869072000, 0.1362886000, 0.2427832000, 0.4641918000, 0.9141354000, 1.8093520000, 3.6142958000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
}
pin ("RESET_B") {
capacitance : 0.0080500000;
clock : "false";
direction : "input";
fall_capacitance : 0.0080460000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1164706000, 0.1151637000, 0.1137504000, 0.1137341000, 0.1137503000, 0.1137171000, 0.1136824000, 0.1138695000, 0.1144480000, 0.1147330000, 0.1150181000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1092349000, -0.1077569000, -0.1061539000, -0.1057971000, -0.1046355000, -0.1062063000, -0.1093271000, -0.1093883000, -0.1095221000, -0.1096015000, -0.1096809000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0080540000;
timing () {
fall_constraint ("pulse_width_template3") {
index_1("0.0100000000, 1.5000000000, 3.7499999000");
values("0.1994651000, 2.5000000000, 6.2499998000");
}
related_output_pin : "Q";
related_pin : "RESET_B";
sim_opt : "runlvl=5 accurate=1";
timing_type : "min_pulse_width";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1411602000, 0.1384844000, 0.1410788000, 0.1418079000, 0.1646302000, 0.2092396000, 0.2935755000, 0.3669251000, 0.5281426000, 0.5969088000, 0.6620131000", \
"0.1389531000, 0.1374980000, 0.1388717000, 0.1396009000, 0.1624232000, 0.2070325000, 0.2913685000, 0.3647181000, 0.5259356000, 0.5947018000, 0.6598061000", \
"0.1375794000, 0.1361244000, 0.1374980000, 0.1382272000, 0.1610495000, 0.2056589000, 0.2887741000, 0.3621237000, 0.5245618000, 0.5933282000, 0.6584324000", \
"0.1307467000, 0.1292917000, 0.1318861000, 0.1326152000, 0.1542168000, 0.1976055000, 0.2819414000, 0.3552910000, 0.5165084000, 0.5864955000, 0.6503790000", \
"0.1152487000, 0.1162350000, 0.1151673000, 0.1146758000, 0.1362773000, 0.1796660000, 0.2603398000, 0.3336895000, 0.4949069000, 0.5661146000, 0.6312188000", \
"0.1035983000, 0.1045846000, 0.1035169000, 0.1042461000, 0.1258477000, 0.1667949000, 0.2486894000, 0.3195976000, 0.4832565000, 0.5532435000, 0.6207891000", \
"0.0961667000, 0.0971530000, 0.0960853000, 0.0980352000, 0.1184160000, 0.1605840000, 0.2436992000, 0.3182695000, 0.4831491000, 0.5555775000, 0.6231232000", \
"0.0948385000, 0.0958249000, 0.0959779000, 0.0967070000, 0.1195293000, 0.1629180000, 0.2496953000, 0.3279277000, 0.4976901000, 0.5713392000, 0.6401056000", \
"0.1094023000, 0.1103887000, 0.1093210000, 0.1112708000, 0.1353138000, 0.1823646000, 0.2789076000, 0.3681263000, 0.5610821000, 0.6396140000, 0.7120424000", \
"0.1224232000, 0.1246302000, 0.1235625000, 0.1255124000, 0.1495553000, 0.1978268000, 0.2980319000, 0.3909128000, 0.5972962000, 0.6794902000, 0.7543601000", \
"0.1403267000, 0.1413131000, 0.1402454000, 0.1421952000, 0.1662382000, 0.2169511000, 0.3195976000, 0.4161405000, 0.6322897000, 0.7205871000, 0.7978985000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "recovery_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1200703000, -0.1186152000, -0.1199889000, -0.1219388000, -0.1435404000, -0.1857083000, -0.2663821000, -0.3348490000, -0.4863008000, -0.5514049000, -0.6128471000", \
"-0.1190840000, -0.1176289000, -0.1190026000, -0.1197318000, -0.1413333000, -0.1847220000, -0.2641751000, -0.3338626000, -0.4840937000, -0.5491979000, -0.6094194000", \
"-0.1177103000, -0.1162552000, -0.1176289000, -0.1183581000, -0.1399596000, -0.1821276000, -0.2628014000, -0.3324889000, -0.4827200000, -0.5478242000, -0.6080457000", \
"-0.1108776000, -0.1106432000, -0.1120169000, -0.1127461000, -0.1343477000, -0.1752949000, -0.2559688000, -0.3244355000, -0.4758873000, -0.5409915000, -0.6012130000", \
"-0.0966003000, -0.0975866000, -0.0965189000, -0.0972480000, -0.1188496000, -0.1610176000, -0.2392500000, -0.3052754000, -0.4579479000, -0.5230521000, -0.5844942000", \
"-0.0873913000, -0.0883776000, -0.0873099000, -0.0880391000, -0.1084199000, -0.1481465000, -0.2275996000, -0.2960664000, -0.4499596000, -0.5162845000, -0.5777267000", \
"-0.0811803000, -0.0821667000, -0.0810990000, -0.0818281000, -0.1034297000, -0.1455977000, -0.2262715000, -0.3008418000, -0.4583971000, -0.5259427000, -0.5898263000", \
"-0.0798522000, -0.0820592000, -0.0809915000, -0.0829414000, -0.1045430000, -0.1479316000, -0.2347090000, -0.3117207000, -0.4778210000, -0.5465872000, -0.6116915000", \
"-0.0968574000, -0.0978438000, -0.0967760000, -0.0987259000, -0.1227689000, -0.1698197000, -0.2651419000, -0.3531400000, -0.5448750000, -0.6209655000, -0.6909526000", \
"-0.1123197000, -0.1133060000, -0.1122383000, -0.1129674000, -0.1370104000, -0.1865026000, -0.2854870000, -0.3771471000, -0.5798685000, -0.6620625000, -0.7344910000", \
"-0.1290025000, -0.1299889000, -0.1289211000, -0.1308710000, -0.1549140000, -0.2056269000, -0.3070526000, -0.4023749000, -0.6148619000, -0.7019387000, -0.7780293000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "removal_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
}
cell ("sky130_fd_sc_hvl__dfsbp_1") {
leakage_power () {
value : 21.073123700;
when : "D&SET_B&CLK";
}
leakage_power () {
value : 17.683055000;
when : "!D&SET_B&!CLK";
}
leakage_power () {
value : 17.590874500;
when : "!D&!SET_B&CLK";
}
leakage_power () {
value : 20.450118000;
when : "!D&SET_B&CLK";
}
leakage_power () {
value : 14.697518800;
when : "D&SET_B&!CLK";
}
leakage_power () {
value : 11.402480700;
when : "D&!SET_B&CLK";
}
leakage_power () {
value : 19.764726700;
when : "!D&!SET_B&!CLK";
}
leakage_power () {
value : 10.041461000;
when : "D&!SET_B&!CLK";
}
area : 72.28320000;
cell_footprint : "sky130_fd_sc_hvl__dfsbp";
cell_leakage_power : 16.587920000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
ff ("IQ","IQ_N") {
clocked_on : "CLK";
next_state : "D";
preset : "!SET_B";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("CLK") {
capacitance : 0.0027050000;
clock : "true";
direction : "input";
fall_capacitance : 0.0026580000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3089146000, 0.3096893000, 0.3105314000, 0.3218172000, 0.3572152000, 0.4472528000, 0.6273603000, 0.8226083000, 1.3668290000, 1.6493201000, 1.9318112000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3065574000, 0.3045828000, 0.3024460000, 0.3124796000, 0.3439497000, 0.4317757000, 0.6074560000, 0.8027431000, 1.3470661000, 1.6296123000, 1.9121583000");
}
}
max_transition : 3.7500000000;
min_pulse_width_high : 0.3543910000;
min_pulse_width_low : 0.2741920000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0027510000;
}
pin ("D") {
capacitance : 0.0022330000;
clock : "false";
direction : "input";
fall_capacitance : 0.0021690000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0546535000, 0.0576458000, 0.0608896000, 0.0726031000, 0.1093272000, 0.1721294000, 0.2977598000, 0.4240925000, 0.7762331000, 0.9590178000, 1.1418024000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0162481000, -0.0129524000, -0.0093791000, 0.0017605000, 0.0367058000, 0.0983550000, 0.2216878000, 0.3481299000, 0.7005899000, 0.8835365000, 1.0664829000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0022980000;
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1082012000, 0.1152910000, 0.1166647000, 0.1320423000, 0.1841615000, 0.2641712000, 0.4132044000, 0.5463685000, 0.8699394000, 1.0241549000, 1.1710463000", \
"0.1059941000, 0.1118633000, 0.1132370000, 0.1286146000, 0.1807337000, 0.2607435000, 0.4097767000, 0.5429407000, 0.8677324000, 1.0207272000, 1.1688393000", \
"0.1046204000, 0.1104896000, 0.1118633000, 0.1272409000, 0.1793600000, 0.2593698000, 0.4084030000, 0.5415671000, 0.8663588000, 1.0193535000, 1.1674656000", \
"0.0965671000, 0.1036569000, 0.1050306000, 0.1204082000, 0.1713066000, 0.2525371000, 0.4003496000, 0.5335137000, 0.8583054000, 1.0125208000, 1.1594122000", \
"0.0676413000, 0.0759518000, 0.0785462000, 0.0914824000, 0.1436016000, 0.2236113000, 0.3726445000, 0.5058086000, 0.8293796000, 0.9823743000, 1.1280450000", \
"0.0315768000, 0.0386667000, 0.0424818000, 0.0554180000, 0.1075371000, 0.1875469000, 0.3378008000, 0.4734062000, 0.7981979000, 0.9499720000, 1.0956426000", \
"-0.0112552000, -0.0029447000, -0.0003503000, 0.0138066000, 0.0634844000, 0.1422734000, 0.2925274000, 0.4293535000, 0.7578073000, 0.9108021000, 1.0564727000", \
"-0.0345560000, -0.0250247000, -0.0236510000, -0.0107148000, 0.0401836000, 0.1177519000, 0.2667852000, 0.4023906000, 0.7320651000, 0.8850599000, 1.0307305000", \
"-0.0529512000, -0.0446406000, -0.0420462000, -0.0291100000, 0.0193470000, 0.0944740000, 0.2422865000, 0.3766712000, 0.7075664000, 0.8605612000, 1.0062318000", \
"-0.0472546000, -0.0401647000, -0.0363496000, -0.0234134000, 0.0238229000, 0.0989499000, 0.2443210000, 0.3799264000, 0.7096009000, 0.8625957000, 1.0082664000", \
"-0.0330131000, -0.0247026000, -0.0221082000, -0.0091720000, 0.0392851000, 0.1095292000, 0.2536796000, 0.3880644000, 0.7189595000, 0.8719543000, 1.0164043000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0703594000, 0.0725664000, 0.0727194000, 0.0844349000, 0.1084779000, 0.1274525000, 0.1312220000, 0.1142396000, 0.0178887000, -0.0464017000, -0.1155748000", \
"0.0681523000, 0.0703594000, 0.0705124000, 0.0822279000, 0.1062708000, 0.1252454000, 0.1302357000, 0.1120325000, 0.0156816000, -0.0486087000, -0.1190025000", \
"0.0667786000, 0.0689857000, 0.0691387000, 0.0808542000, 0.1036764000, 0.1226510000, 0.1276413000, 0.1106589000, 0.0143079000, -0.0499824000, -0.1203762000", \
"0.0611667000, 0.0645944000, 0.0659681000, 0.0740215000, 0.0980645000, 0.1158184000, 0.1195879000, 0.1026055000, 0.0050339000, -0.0592565000, -0.1296503000", \
"0.0456686000, 0.0503171000, 0.0516908000, 0.0597441000, 0.0776836000, 0.0929961000, 0.0955449000, 0.0773418000, -0.0214505000, -0.0869616000, -0.1573554000", \
"0.0327975000, 0.0374460000, 0.0388197000, 0.0468730000, 0.0635918000, 0.0764629000, 0.0765703000, 0.0583672000, -0.0404251000, -0.1047155000, -0.1751093000", \
"0.0229245000, 0.0263522000, 0.0277259000, 0.0357793000, 0.0512773000, 0.0641484000, 0.0666973000, 0.0497148000, -0.0429740000, -0.1060436000, -0.1752167000", \
"0.0191549000, 0.0225827000, 0.0251771000, 0.0320098000, 0.0487285000, 0.0628203000, 0.0678105000, 0.0544902000, -0.0308743000, -0.0902819000, -0.1570136000", \
"0.0300566000, 0.0334844000, 0.0360788000, 0.0429115000, 0.0596302000, 0.0773841000, 0.0909193000, 0.0849232000, 0.0178691000, -0.0342142000, -0.0924010000", \
"0.0430775000, 0.0465052000, 0.0478789000, 0.0547116000, 0.0714303000, 0.0904049000, 0.1076022000, 0.1052682000, 0.0455384000, -0.0016621000, -0.0561868000", \
"0.0573189000, 0.0619674000, 0.0633411000, 0.0701737000, 0.0868925000, 0.1070878000, 0.1267265000, 0.1268339000, 0.0756490000, 0.0321106000, -0.0187520000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0163105000, -0.0221797000, -0.0235534000, -0.0377103000, -0.0934915000, -0.1722806000, -0.3164310000, -0.4434915000, -0.7499727000, -0.8932018000, -1.0327689000", \
"-0.0116621000, -0.0175313000, -0.0201257000, -0.0342826000, -0.0876224000, -0.1664115000, -0.3093411000, -0.4364017000, -0.7416621000, -0.8861120000, -1.0244585000", \
"-0.0127298000, -0.0198197000, -0.0224141000, -0.0353503000, -0.0886901000, -0.1674792000, -0.3116296000, -0.4386901000, -0.7451712000, -0.8896211000, -1.0279676000", \
"-0.0058971000, -0.0129870000, -0.0155814000, -0.0285176000, -0.0818574000, -0.1606465000, -0.3047969000, -0.4318574000, -0.7383385000, -0.8827884000, -1.0223556000", \
"0.0266908000, 0.0183802000, 0.0157858000, 0.0028496000, -0.0504902000, -0.1305000000, -0.2758711000, -0.4041523000, -0.7142956000, -0.8587454000, -0.9970919000", \
"0.0627552000, 0.0556654000, 0.0530710000, 0.0401348000, -0.0095430000, -0.0871113000, -0.2349238000, -0.3644258000, -0.6806725000, -0.8263431000, -0.9671310000", \
"0.1080286000, 0.0997181000, 0.0971237000, 0.0841875000, 0.0381719000, -0.0381758000, -0.1811055000, -0.3142695000, -0.6329577000, -0.7822904000, -0.9255196000", \
"0.1325501000, 0.1242396000, 0.1216452000, 0.1087090000, 0.0639141000, -0.0087715000, -0.1504805000, -0.2812031000, -0.6023327000, -0.7528860000, -0.8973361000", \
"0.1643730000, 0.1560625000, 0.1534681000, 0.1417526000, 0.0993991000, 0.0328171000, -0.1040091000, -0.2335111000, -0.5534199000, -0.7051940000, -0.8508647000", \
"0.1708835000, 0.1625729000, 0.1599785000, 0.1482630000, 0.1059095000, 0.0405482000, -0.0926159000, -0.2196764000, -0.5383646000, -0.6913594000, -0.8345886000", \
"0.1725111000, 0.1642006000, 0.1616062000, 0.1498907000, 0.1075372000, 0.0446173000, -0.0848847000, -0.2107245000, -0.5281919000, -0.6787453000, -0.8231953000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0407246000, -0.0429316000, -0.0467467000, -0.0560208000, -0.0751810000, -0.0868314000, -0.0759525000, -0.0492044000, 0.0740020000, 0.1504994000, 0.2331002000", \
"-0.0385176000, -0.0407246000, -0.0445397000, -0.0525931000, -0.0717533000, -0.0834036000, -0.0725247000, -0.0445560000, 0.0798711000, 0.1563685000, 0.2389693000", \
"-0.0371439000, -0.0393509000, -0.0431660000, -0.0512194000, -0.0703796000, -0.0808092000, -0.0699303000, -0.0419616000, 0.0836862000, 0.1601836000, 0.2427844000", \
"-0.0327526000, -0.0361803000, -0.0387747000, -0.0456074000, -0.0659883000, -0.0764180000, -0.0667598000, -0.0387910000, 0.0844154000, 0.1609128000, 0.2422929000", \
"-0.0172546000, -0.0206823000, -0.0232767000, -0.0301094000, -0.0480488000, -0.0596992000, -0.0488203000, -0.0220723000, 0.0999134000, 0.1739694000, 0.2553495000", \
"-0.0056042000, -0.0090319000, -0.0116263000, -0.0184590000, -0.0351777000, -0.0456074000, -0.0396113000, -0.0153047000, 0.1030189000, 0.1758542000, 0.2523515000", \
"0.0042689000, -0.0003796000, -0.0017533000, -0.0085859000, -0.0253047000, -0.0381758000, -0.0358418000, -0.0151973000, 0.0896986000, 0.1588717000, 0.2341484000", \
"0.0068177000, 0.0033900000, 0.0007956000, -0.0060371000, -0.0227559000, -0.0368477000, -0.0406172000, -0.0236348000, 0.0714954000, 0.1345651000, 0.2049589000", \
"-0.0016426000, -0.0050703000, -0.0076647000, -0.0144974000, -0.0312161000, -0.0501908000, -0.0649466000, -0.0577298000, 0.0142070000, 0.0699525000, 0.1293599000", \
"-0.0110013000, -0.0144290000, -0.0170234000, -0.0238561000, -0.0405749000, -0.0607702000, -0.0791882000, -0.0780749000, -0.0146829000, 0.0349590000, 0.0919250000", \
"-0.0228014000, -0.0262291000, -0.0276028000, -0.0344355000, -0.0523749000, -0.0725702000, -0.0958710000, -0.0984198000, -0.0435728000, 0.0024070000, 0.0557109000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("Q") {
direction : "output";
function : "IQ";
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0060600000, 0.0121300000, 0.0242600000, 0.0485100000, 0.0970300000, 0.1940600000, 0.3881300000");
values("-0.1533028000, 0.0185890000, 0.1369254000, 0.2684033000, 0.2936071000, -0.0440953000, -1.1960399000, -3.9208803000", \
"-0.1523157000, 0.0201550000, 0.1390026000, 0.2712144000, 0.2969359000, -0.0403073000, -1.1917265000, -3.9166471000", \
"-0.1512473000, 0.0204574000, 0.1387614000, 0.2698074000, 0.2943477000, -0.0438526000, -1.1963622000, -3.9218851000", \
"-0.1562650000, 0.0157112000, 0.1342945000, 0.2657968000, 0.2910089000, -0.0465416000, -1.1983788000, -3.9236086000", \
"-0.1720027000, 0.0044601000, 0.1264676000, 0.2635427000, 0.2954998000, -0.0353458000, -1.1815194000, -3.9035863000", \
"-0.2159193000, -0.0305088000, 0.0985868000, 0.2465796000, 0.2918386000, -0.0255411000, -1.1612333000, -3.8756272000", \
"-0.3037661000, -0.0976749000, 0.0480652000, 0.2206751000, 0.2970381000, 0.0111155000, -1.0998643000, -3.7977883000", \
"-0.4014162000, -0.1736088000, -0.0103028000, 0.1879671000, 0.2969926000, 0.0440041000, -1.0407195000, -3.7210356000", \
"-0.6735987000, -0.3841135000, -0.1714153000, 0.1012643000, 0.3023931000, 0.1368329000, -0.8750133000, -3.5064135000", \
"-0.8148882000, -0.4936758000, -0.2551488000, 0.0554676000, 0.3052182000, 0.1873006000, -0.7862018000, -3.3924875000", \
"-0.9561776000, -0.6028640000, -0.3391884000, 0.0099284000, 0.3074225000, 0.2364736000, -0.6998178000, -3.2800025000");
}
related_pin : "CLK";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0060600000, 0.0121300000, 0.0242600000, 0.0485100000, 0.0970300000, 0.1940600000, 0.3881300000");
values("-0.1533019000, -0.1163448000, -0.0701480000, 0.0437583000, 0.3211039000, 0.9613505000, 2.3454724000, 5.2022996000", \
"-0.1523148000, -0.1156854000, -0.0696189000, 0.0441543000, 0.3209775000, 0.9599503000, 2.3421963000, 5.2056150000", \
"-0.1512466000, -0.1146610000, -0.0686964000, 0.0451095000, 0.3216223000, 0.9609485000, 2.3447915000, 5.2020774000", \
"-0.1562641000, -0.1192728000, -0.0729700000, 0.0410845000, 0.3186702000, 0.9585471000, 2.3447058000, 5.2040160000", \
"-0.1720017000, -0.1306501000, -0.0808534000, 0.0384743000, 0.3226018000, 0.9687587000, 2.3606434000, 5.2242949000", \
"-0.2159183000, -0.1637690000, -0.1053412000, 0.0269330000, 0.3273251000, 0.9900485000, 2.3926071000, 5.2619030000", \
"-0.3037652000, -0.2267881000, -0.1484231000, 0.0132457000, 0.3504456000, 1.0502729000, 2.4833974000, 5.3773029000", \
"-0.4014153000, -0.2977276000, -0.1980696000, -0.0040334000, 0.3727898000, 1.1123255000, 2.5765108000, 5.4863666000", \
"-0.6735987000, -0.4933062000, -0.3323384000, -0.0466453000, 0.4431643000, 1.2977625000, 2.8521252000, 5.8210717000", \
"-0.8148882000, -0.5951313000, -0.4026732000, -0.0698882000, 0.4813400000, 1.3894719000, 2.9909903000, 5.9970732000", \
"-0.9561776000, -0.6974444000, -0.4739355000, -0.0947172000, 0.5159359000, 1.4803051000, 3.1290863000, 6.1613023000");
}
}
internal_power () {
fall_power ("scalar") {
values("0.0000000000");
}
related_pin : "SET_B";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0060600000, 0.0121300000, 0.0242600000, 0.0485100000, 0.0970300000, 0.1940600000, 0.3881300000");
values("-0.0364022000, 0.0184465000, 0.0795167000, 0.2165992000, 0.5228905000, 1.1917435000, 2.5987873000, 5.4796463000", \
"-0.0364288000, 0.0173644000, 0.0776411000, 0.2131949000, 0.5180371000, 1.1858458000, 2.5949906000, 5.4697743000", \
"-0.0364592000, 0.0184738000, 0.0797637000, 0.2166164000, 0.5235486000, 1.1933611000, 2.5999595000, 5.4767678000", \
"-0.0364227000, 0.0193690000, 0.0813461000, 0.2193404000, 0.5273926000, 1.1978348000, 2.6081550000, 5.4858523000", \
"-0.0363445000, 0.0249385000, 0.0912450000, 0.2358027000, 0.5519476000, 1.2310414000, 2.6492140000, 5.5308609000", \
"-0.0369272000, 0.0341994000, 0.1084133000, 0.2648001000, 0.5959299000, 1.2896562000, 2.7196670000, 5.6084049000", \
"-0.0381090000, 0.0566624000, 0.1498763000, 0.3344534000, 0.7011989000, 1.4301850000, 2.8877201000, 5.7958255000", \
"-0.0382768000, 0.0796786000, 0.1914588000, 0.4039156000, 0.8048424000, 1.5685130000, 3.0533923000, 5.9797540000", \
"-0.0387740000, 0.1372628000, 0.2954210000, 0.5775574000, 1.0664698000, 1.9176452000, 3.4722673000, 6.4418253000", \
"-0.0390276000, 0.1652105000, 0.3459698000, 0.6621364000, 1.1934205000, 2.0898857000, 3.6743031000, 6.6690688000", \
"-0.0392830000, 0.1925150000, 0.3954199000, 0.7447953000, 1.3153403000, 2.2517467000, 3.8730978000, 6.8878420000");
}
}
max_capacitance : 0.3879650000;
max_transition : 3.7548420000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0060600000, 0.0121300000, 0.0242600000, 0.0485100000, 0.0970300000, 0.1940600000, 0.3881300000");
values("0.4144968000, 0.4582817000, 0.4967620000, 0.5738570000, 0.7297963000, 1.0425562000, 1.6712666000, 2.9336145000", \
"0.4164918000, 0.4602676000, 0.4986814000, 0.5756803000, 0.7318308000, 1.0445047000, 1.6732518000, 2.9341820000", \
"0.4200440000, 0.4639440000, 0.5023961000, 0.5794175000, 0.7354411000, 1.0484839000, 1.6768896000, 2.9380220000", \
"0.4330571000, 0.4769290000, 0.5152991000, 0.5923521000, 0.7485306000, 1.0613384000, 1.6899219000, 2.9515916000", \
"0.4825076000, 0.5263914000, 0.5647887000, 0.6419175000, 0.7980416000, 1.1107141000, 1.7394761000, 3.0004697000", \
"0.5547844000, 0.5986498000, 0.6371330000, 0.7142334000, 0.8702458000, 1.1830347000, 1.8117485000, 3.0717893000", \
"0.6898945000, 0.7336632000, 0.7722839000, 0.8496769000, 1.0053299000, 1.3186823000, 1.9462385000, 3.2037692000", \
"0.8017802000, 0.8456899000, 0.8840900000, 0.9612134000, 1.1165298000, 1.4304633000, 2.0582402000, 3.3151295000", \
"1.0630854000, 1.1070476000, 1.1455298000, 1.2224743000, 1.3781110000, 1.6906248000, 2.3180375000, 3.5779111000", \
"1.1844781000, 1.2286340000, 1.2669443000, 1.3437482000, 1.4994818000, 1.8121518000, 2.4399547000, 3.6998624000", \
"1.2993899000, 1.3434311000, 1.3817599000, 1.4587004000, 1.6138711000, 1.9268862000, 2.5552851000, 3.8134393000");
}
related_pin : "SET_B";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0060600000, 0.0121300000, 0.0242600000, 0.0485100000, 0.0970300000, 0.1940600000, 0.3881300000");
values("0.0321486000, 0.0824695000, 0.1370770000, 0.2510837000, 0.4837062000, 0.9486562000, 1.8843169000, 3.7517558000", \
"0.0325218000, 0.0824608000, 0.1374434000, 0.2510338000, 0.4835491000, 0.9497014000, 1.8818340000, 3.7500709000", \
"0.0322343000, 0.0825376000, 0.1373685000, 0.2509350000, 0.4863989000, 0.9496113000, 1.8812030000, 3.7518348000", \
"0.0321449000, 0.0823928000, 0.1373636000, 0.2512103000, 0.4844181000, 0.9492307000, 1.8831829000, 3.7495616000", \
"0.0321118000, 0.0824458000, 0.1373985000, 0.2512668000, 0.4835461000, 0.9495355000, 1.8815587000, 3.7500714000", \
"0.0319591000, 0.0824916000, 0.1373039000, 0.2510287000, 0.4835073000, 0.9500191000, 1.8825850000, 3.7503809000", \
"0.0322795000, 0.0825761000, 0.1372969000, 0.2508977000, 0.4837203000, 0.9521129000, 1.8812023000, 3.7481587000", \
"0.0325895000, 0.0830605000, 0.1377721000, 0.2512765000, 0.4850977000, 0.9514577000, 1.8831052000, 3.7477417000", \
"0.0337097000, 0.0834681000, 0.1379448000, 0.2511754000, 0.4840732000, 0.9501169000, 1.8822861000, 3.7500909000", \
"0.0335696000, 0.0834270000, 0.1379870000, 0.2513637000, 0.4838581000, 0.9496617000, 1.8829738000, 3.7503698000", \
"0.0336360000, 0.0838135000, 0.1381612000, 0.2517507000, 0.4840675000, 0.9506154000, 1.8864228000, 3.7421599000");
}
timing_sense : "negative_unate";
timing_type : "preset";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0060600000, 0.0121300000, 0.0242600000, 0.0485100000, 0.0970300000, 0.1940600000, 0.3881300000");
values("0.4489572000, 0.4914213000, 0.5252117000, 0.5889478000, 0.7149837000, 0.9680329000, 1.4756950000, 2.4929327000", \
"0.4491649000, 0.4915865000, 0.5254127000, 0.5890862000, 0.7150737000, 0.9682420000, 1.4759127000, 2.4923261000", \
"0.4527912000, 0.4951855000, 0.5289928000, 0.5927037000, 0.7187664000, 0.9717565000, 1.4796283000, 2.4965148000", \
"0.4606411000, 0.5031737000, 0.5369304000, 0.6005790000, 0.7266568000, 0.9797555000, 1.4874340000, 2.5042719000", \
"0.4941789000, 0.5366620000, 0.5704317000, 0.6341562000, 0.7601869000, 1.0132652000, 1.5209330000, 2.5381859000", \
"0.5343606000, 0.5767619000, 0.6105673000, 0.6742815000, 0.8003482000, 1.0533965000, 1.5609470000, 2.5782141000", \
"0.5844308000, 0.6268876000, 0.6606577000, 0.7242930000, 0.8503593000, 1.1034499000, 1.6111965000, 2.6273004000", \
"0.6152453000, 0.6575993000, 0.6913793000, 0.7551301000, 0.8810985000, 1.1341253000, 1.6417901000, 2.6588547000", \
"0.6541974000, 0.6964960000, 0.7303248000, 0.7939647000, 0.9199050000, 1.1730775000, 1.6807882000, 2.6976481000", \
"0.6605648000, 0.7029491000, 0.7366983000, 0.8003698000, 0.9264048000, 1.1795700000, 1.6869873000, 2.7032614000", \
"0.6605225000, 0.7029058000, 0.7366349000, 0.8002373000, 0.9262592000, 1.1794297000, 1.6871637000, 2.7033418000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0060600000, 0.0121300000, 0.0242600000, 0.0485100000, 0.0970300000, 0.1940600000, 0.3881300000");
values("0.4338765000, 0.4798694000, 0.5185348000, 0.5951882000, 0.7504906000, 1.0624069000, 1.6902336000, 2.9522800000", \
"0.4362535000, 0.4822565000, 0.5210561000, 0.5977915000, 0.7533782000, 1.0654017000, 1.6928509000, 2.9561380000", \
"0.4377705000, 0.4835370000, 0.5223640000, 0.5992455000, 0.7545916000, 1.0666784000, 1.6940235000, 2.9571980000", \
"0.4454836000, 0.4912785000, 0.5300815000, 0.6068571000, 0.7624017000, 1.0739014000, 1.7014978000, 2.9623641000", \
"0.4760333000, 0.5218540000, 0.5606571000, 0.6374873000, 0.7929538000, 1.1046800000, 1.7317098000, 2.9904168000", \
"0.5124110000, 0.5581081000, 0.5968310000, 0.6737502000, 0.8287587000, 1.1406172000, 1.7682940000, 3.0288789000", \
"0.5612790000, 0.6071116000, 0.6458993000, 0.7222520000, 0.8780984000, 1.1895193000, 1.8171681000, 3.0787559000", \
"0.5926893000, 0.6385912000, 0.6773275000, 0.7538536000, 0.9083727000, 1.2205067000, 1.8480193000, 3.1090901000", \
"0.6392610000, 0.6857895000, 0.7242803000, 0.8008681000, 0.9547250000, 1.2666338000, 1.8954962000, 3.1555571000", \
"0.6503746000, 0.6968857000, 0.7356473000, 0.8116801000, 0.9658867000, 1.2769219000, 1.9041000000, 3.1600227000", \
"0.6556267000, 0.7024078000, 0.7409454000, 0.8170753000, 0.9708239000, 1.2818309000, 1.9092397000, 3.1637271000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0060600000, 0.0121300000, 0.0242600000, 0.0485100000, 0.0970300000, 0.1940600000, 0.3881300000");
values("0.0325099000, 0.0698789000, 0.1063975000, 0.1839433000, 0.3479346000, 0.6788253000, 1.3465172000, 2.6759759000", \
"0.0320002000, 0.0698171000, 0.1062967000, 0.1841309000, 0.3475206000, 0.6789605000, 1.3445842000, 2.6821079000", \
"0.0325203000, 0.0699805000, 0.1062518000, 0.1839311000, 0.3478232000, 0.6801809000, 1.3483674000, 2.6747644000", \
"0.0319638000, 0.0698211000, 0.1062615000, 0.1840382000, 0.3477708000, 0.6783901000, 1.3466021000, 2.6820801000", \
"0.0323623000, 0.0697700000, 0.1064276000, 0.1840877000, 0.3477901000, 0.6786637000, 1.3470143000, 2.6743898000", \
"0.0325779000, 0.0699527000, 0.1061723000, 0.1839276000, 0.3479702000, 0.6788160000, 1.3443869000, 2.6770259000", \
"0.0331299000, 0.0698251000, 0.1061971000, 0.1841988000, 0.3481628000, 0.6790311000, 1.3476621000, 2.6829479000", \
"0.0322844000, 0.0698697000, 0.1063574000, 0.1838755000, 0.3478349000, 0.6789076000, 1.3438012000, 2.6759790000", \
"0.0321762000, 0.0698647000, 0.1062560000, 0.1841046000, 0.3480549000, 0.6798959000, 1.3425313000, 2.6779108000", \
"0.0324088000, 0.0698143000, 0.1060962000, 0.1838788000, 0.3469373000, 0.6785865000, 1.3445867000, 2.6782552000", \
"0.0321229000, 0.0697855000, 0.1063417000, 0.1840522000, 0.3476149000, 0.6788037000, 1.3473851000, 2.6765810000");
}
related_pin : "CLK";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0060600000, 0.0121300000, 0.0242600000, 0.0485100000, 0.0970300000, 0.1940600000, 0.3881300000");
values("0.0387219000, 0.0880194000, 0.1411665000, 0.2536291000, 0.4837865000, 0.9498244000, 1.8822450000, 3.7498640000", \
"0.0390498000, 0.0880284000, 0.1412172000, 0.2531945000, 0.4860330000, 0.9497331000, 1.8847706000, 3.7548418000", \
"0.0387959000, 0.0879362000, 0.1410254000, 0.2539015000, 0.4846214000, 0.9498118000, 1.8831543000, 3.7463512000", \
"0.0392532000, 0.0879837000, 0.1412130000, 0.2534518000, 0.4841230000, 0.9509742000, 1.8829489000, 3.7495602000", \
"0.0389551000, 0.0879228000, 0.1411609000, 0.2537603000, 0.4841858000, 0.9523478000, 1.8810369000, 3.7472958000", \
"0.0387965000, 0.0880409000, 0.1412119000, 0.2539940000, 0.4840755000, 0.9519268000, 1.8838102000, 3.7513539000", \
"0.0387976000, 0.0881478000, 0.1411612000, 0.2530103000, 0.4848732000, 0.9511708000, 1.8821407000, 3.7522589000", \
"0.0395023000, 0.0886267000, 0.1418521000, 0.2537857000, 0.4843770000, 0.9497373000, 1.8837887000, 3.7515546000", \
"0.0411542000, 0.0899453000, 0.1426825000, 0.2548008000, 0.4847047000, 0.9523711000, 1.8829356000, 3.7449812000", \
"0.0418027000, 0.0905220000, 0.1430946000, 0.2545859000, 0.4853269000, 0.9519447000, 1.8854416000, 3.7479424000", \
"0.0426403000, 0.0911911000, 0.1436351000, 0.2551545000, 0.4848225000, 0.9518950000, 1.8821753000, 3.7448027000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
}
pin ("Q_N") {
direction : "output";
function : "IQ_N";
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0089100000, 0.0178300000, 0.0356500000, 0.0712900000, 0.1425900000, 0.2851800000, 0.5703600000");
values("-0.0364232000, 0.1966634000, 0.3524211000, 0.5164420000, 0.5170657000, -0.0214947000, -1.7456521000, -5.7712331000", \
"-0.0364495000, 0.1956027000, 0.3510533000, 0.5137426000, 0.5130913000, -0.0267159000, -1.7527796000, -5.7801925000", \
"-0.0364801000, 0.1967139000, 0.3530040000, 0.5169894000, 0.5178240000, -0.0202827000, -1.7452568000, -5.7722124000", \
"-0.0364436000, 0.1976915000, 0.3547006000, 0.5195190000, 0.5220916000, -0.0141692000, -1.7373780000, -5.7630130000", \
"-0.0363655000, 0.2031471000, 0.3642363000, 0.5364197000, 0.5480111000, 0.0191082000, -1.6977998000, -5.7188120000", \
"-0.0369480000, 0.2121200000, 0.3814392000, 0.5649756000, 0.5908288000, 0.0795578000, -1.6242568000, -5.6366448000", \
"-0.0381298000, 0.2347848000, 0.4225364000, 0.6344391000, 0.6957718000, 0.2194317000, -1.4560902000, -5.4496629000", \
"-0.0382977000, 0.2577265000, 0.4648747000, 0.7041172000, 0.7992688000, 0.3560643000, -1.2930454000, -5.2681102000", \
"-0.0387949000, 0.3163452000, 0.5700988000, 0.8790110000, 1.0601111000, 0.7034690000, -0.8771794000, -4.8072737000", \
"-0.0390446000, 0.3443480000, 0.6204085000, 0.9641137000, 1.1874830000, 0.8713003000, -0.6766915000, -4.5852611000", \
"-0.0392940000, 0.3718921000, 0.6694887000, 1.0460440000, 1.3106929000, 1.0352686000, -0.4800840000, -4.3671144000");
}
related_pin : "SET_B";
rise_power ("scalar") {
values("0.0000000000");
}
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0089100000, 0.0178300000, 0.0356500000, 0.0712900000, 0.1425900000, 0.2851800000, 0.5703600000");
values("-0.1533221000, 0.0635189000, 0.2044502000, 0.3440027000, 0.3125555000, -0.2597242000, -2.0141843000, -6.0614171000", \
"-0.1523350000, 0.0642250000, 0.2047940000, 0.3440131000, 0.3123263000, -0.2606247000, -2.0147630000, -6.0622485000", \
"-0.1512668000, 0.0653262000, 0.2060166000, 0.3455310000, 0.3129405000, -0.2587994000, -2.0132589000, -6.0606905000", \
"-0.1562844000, 0.0607044000, 0.2018025000, 0.3413340000, 0.3100019000, -0.2620260000, -2.0153198000, -6.0624596000", \
"-0.1720220000, 0.0492828000, 0.1936866000, 0.3388891000, 0.3139861000, -0.2517604000, -2.0002430000, -6.0440977000", \
"-0.2159385000, 0.0162232000, 0.1692932000, 0.3272626000, 0.3181867000, -0.2316663000, -1.9675903000, -6.0030573000", \
"-0.3037855000, -0.0461339000, 0.1269858000, 0.3147458000, 0.3420591000, -0.1717311000, -1.8787844000, -5.8945519000", \
"-0.4014354000, -0.1164479000, 0.0779018000, 0.2969464000, 0.3630012000, -0.1123957000, -1.7896526000, -5.7862771000", \
"-0.6736144000, -0.3114992000, -0.0558211000, 0.2535207000, 0.4304535000, 0.0640037000, -1.5288387000, -5.4693158000", \
"-0.8148963000, -0.4130882000, -0.1258516000, 0.2299443000, 0.4644193000, 0.1528512000, -1.3949578000, -5.3065862000", \
"-0.9561780000, -0.5146234000, -0.1962932000, 0.2057172000, 0.4974462000, 0.2405360000, -1.2634356000, -5.1464048000");
}
related_pin : "CLK";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0089100000, 0.0178300000, 0.0356500000, 0.0712900000, 0.1425900000, 0.2851800000, 0.5703600000");
values("-0.1533089000, -0.1535638000, -0.1304295000, -0.0313481000, 0.2881806000, 1.1448768000, 3.1042688000, 7.2579109000", \
"-0.1523218000, -0.1521472000, -0.1286948000, -0.0290900000, 0.2911035000, 1.1482485000, 3.1078298000, 7.2613574000", \
"-0.1512536000, -0.1519077000, -0.1290163000, -0.0302468000, 0.2891937000, 1.1442661000, 3.1039755000, 7.2568132000", \
"-0.1562711000, -0.1564856000, -0.1332252000, -0.0340810000, 0.2859889000, 1.1428319000, 3.1030139000, 7.2588103000", \
"-0.1720087000, -0.1677734000, -0.1409085000, -0.0363415000, 0.2908586000, 1.1538723000, 3.1182106000, 7.2799816000", \
"-0.2159254000, -0.2025923000, -0.1685752000, -0.0534293000, 0.2866239000, 1.1633401000, 3.1408995000, 7.3048652000", \
"-0.3037723000, -0.2697884000, -0.2191709000, -0.0791114000, 0.2914492000, 1.1989127000, 3.2013567000, 7.3868208000", \
"-0.4014224000, -0.3456896000, -0.2777211000, -0.1114395000, 0.2915640000, 1.2311648000, 3.2586345000, 7.4549607000", \
"-0.6736012000, -0.5567595000, -0.4399830000, -0.2005689000, 0.2935194000, 1.3246052000, 3.4242009000, 7.6723634000", \
"-0.8148882000, -0.6661388000, -0.5240014000, -0.2468493000, 0.2988120000, 1.3723629000, 3.5134711000, 7.7842193000", \
"-0.9561776000, -0.7755308000, -0.6078539000, -0.2923026000, 0.3018959000, 1.4212534000, 3.5987811000, 7.8911386000");
}
}
max_capacitance : 0.5344490000;
max_transition : 4.0070360000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0089100000, 0.0178300000, 0.0356500000, 0.0712900000, 0.1425900000, 0.2851800000, 0.5703600000");
values("0.3444143000, 0.4147979000, 0.4687055000, 0.5650189000, 0.7512378000, 1.1262355000, 1.8812951000, 3.3943175000", \
"0.3464651000, 0.4168919000, 0.4707087000, 0.5669991000, 0.7533145000, 1.1283072000, 1.8832857000, 3.3967089000", \
"0.3500925000, 0.4204604000, 0.4742863000, 0.5707085000, 0.7570427000, 1.1320348000, 1.8869355000, 3.3999563000", \
"0.3631963000, 0.4335440000, 0.4873452000, 0.5836203000, 0.7699347000, 1.1449007000, 1.8998891000, 3.4126872000", \
"0.4125717000, 0.4830788000, 0.5369160000, 0.6332701000, 0.8197482000, 1.1949475000, 1.9498170000, 3.4634486000", \
"0.4846737000, 0.5553744000, 0.6095502000, 0.7062990000, 0.8931090000, 1.2686394000, 2.0238478000, 3.5377554000", \
"0.6195587000, 0.6908535000, 0.7452665000, 0.8419719000, 1.0284035000, 1.4036909000, 2.1588931000, 3.6719738000", \
"0.7310954000, 0.8033022000, 0.8581453000, 0.9551342000, 1.1416200000, 1.5165056000, 2.2711848000, 3.7849870000", \
"0.9914959000, 1.0652709000, 1.1205784000, 1.2177568000, 1.4040116000, 1.7784942000, 2.5329894000, 4.0463775000", \
"1.1129481000, 1.1868910000, 1.2423804000, 1.3396331000, 1.5257530000, 1.8999695000, 2.6543605000, 4.1671555000", \
"1.2277004000, 1.3018854000, 1.3574820000, 1.4547222000, 1.6405699000, 2.0146218000, 2.7688947000, 4.2820854000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0089100000, 0.0178300000, 0.0356500000, 0.0712900000, 0.1425900000, 0.2851800000, 0.5703600000");
values("0.0517091000, 0.1172267000, 0.1715793000, 0.2828873000, 0.5198375000, 1.0130405000, 2.0094442000, 3.9998667000", \
"0.0516112000, 0.1171071000, 0.1715508000, 0.2826600000, 0.5204283000, 1.0136855000, 2.0040973000, 3.9989962000", \
"0.0516919000, 0.1171142000, 0.1715675000, 0.2827732000, 0.5203521000, 1.0137324000, 2.0088153000, 3.9897867000", \
"0.0516593000, 0.1170210000, 0.1715061000, 0.2824816000, 0.5214316000, 1.0118857000, 2.0089546000, 4.0017865000", \
"0.0514651000, 0.1167662000, 0.1716350000, 0.2823993000, 0.5196955000, 1.0136240000, 2.0092386000, 3.9970964000", \
"0.0512027000, 0.1164007000, 0.1708459000, 0.2822641000, 0.5198134000, 1.0112443000, 2.0045332000, 4.0003978000", \
"0.0527496000, 0.1178007000, 0.1719892000, 0.2829134000, 0.5193041000, 1.0150776000, 2.0085071000, 4.0018917000", \
"0.0548770000, 0.1204802000, 0.1743744000, 0.2842795000, 0.5204401000, 1.0121332000, 2.0064073000, 3.9928829000", \
"0.0576552000, 0.1239961000, 0.1777092000, 0.2869035000, 0.5219777000, 1.0119010000, 2.0084125000, 3.9915742000", \
"0.0583958000, 0.1251882000, 0.1784055000, 0.2875039000, 0.5228227000, 1.0149870000, 2.0103437000, 4.0014996000", \
"0.0590456000, 0.1260125000, 0.1790028000, 0.2884806000, 0.5220673000, 1.0147092000, 2.0102904000, 4.0000407000");
}
related_pin : "SET_B";
timing_sense : "positive_unate";
timing_type : "clear";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0089100000, 0.0178300000, 0.0356500000, 0.0712900000, 0.1425900000, 0.2851800000, 0.5703600000");
values("0.3563409000, 0.4446461000, 0.5099821000, 0.6169844000, 0.8101476000, 1.1851732000, 1.9382393000, 3.4499175000", \
"0.3588206000, 0.4470701000, 0.5123824000, 0.6195206000, 0.8125291000, 1.1876363000, 1.9405051000, 3.4526955000", \
"0.3601296000, 0.4485397000, 0.5138048000, 0.6208469000, 0.8139492000, 1.1890428000, 1.9418613000, 3.4541769000", \
"0.3678849000, 0.4562234000, 0.5215120000, 0.6285805000, 0.8216926000, 1.1966143000, 1.9497579000, 3.4616627000", \
"0.3977358000, 0.4859954000, 0.5512369000, 0.6582989000, 0.8513997000, 1.2264036000, 1.9794468000, 3.4921059000", \
"0.4354192000, 0.5234513000, 0.5885158000, 0.6953117000, 0.8882300000, 1.2631397000, 2.0161104000, 3.5283485000", \
"0.4841244000, 0.5720424000, 0.6368248000, 0.7431089000, 0.9355248000, 1.3097684000, 2.0620350000, 3.5740708000", \
"0.5150702000, 0.6032332000, 0.6681768000, 0.7745282000, 0.9663031000, 1.3400203000, 2.0916535000, 3.6032568000", \
"0.5624754000, 0.6523258000, 0.7171989000, 0.8224297000, 1.0129376000, 1.3842446000, 2.1340130000, 3.6438832000", \
"0.5734856000, 0.6644768000, 0.7292446000, 0.8340713000, 1.0234403000, 1.3938327000, 2.1429001000, 3.6514956000", \
"0.5787963000, 0.6708227000, 0.7357534000, 0.8401416000, 1.0285651000, 1.3978595000, 2.1459550000, 3.6543126000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0089100000, 0.0178300000, 0.0356500000, 0.0712900000, 0.1425900000, 0.2851800000, 0.5703600000");
values("0.3660632000, 0.4311143000, 0.4791224000, 0.5653804000, 0.7248459000, 1.0354032000, 1.6572497000, 2.9038425000", \
"0.3661962000, 0.4312028000, 0.4792442000, 0.5655248000, 0.7247526000, 1.0353003000, 1.6573271000, 2.9050737000", \
"0.3697849000, 0.4348564000, 0.4828588000, 0.5691579000, 0.7285217000, 1.0395076000, 1.6609313000, 2.9081131000", \
"0.3776068000, 0.4426639000, 0.4907115000, 0.5769767000, 0.7364588000, 1.0470409000, 1.6685354000, 2.9152443000", \
"0.4113786000, 0.4764246000, 0.5244774000, 0.6107378000, 0.7702178000, 1.0807455000, 1.7024534000, 2.9499481000", \
"0.4514116000, 0.5163576000, 0.5643515000, 0.6506499000, 0.8100203000, 1.1207157000, 1.7425045000, 2.9895819000", \
"0.5019148000, 0.5667861000, 0.6146698000, 0.7009253000, 0.8602111000, 1.1708620000, 1.7925984000, 3.0399936000", \
"0.5319350000, 0.5965717000, 0.6443542000, 0.7304972000, 0.8895398000, 1.2000777000, 1.8218121000, 3.0677425000", \
"0.5715960000, 0.6359054000, 0.6833523000, 0.7691968000, 0.9282884000, 1.2388010000, 1.8599328000, 3.1060516000", \
"0.5785103000, 0.6424687000, 0.6898020000, 0.7755003000, 0.9345058000, 1.2448436000, 1.8663779000, 3.1106193000", \
"0.5787948000, 0.6425454000, 0.6897502000, 0.7752999000, 0.9339794000, 1.2448720000, 1.8656794000, 3.1099243000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0089100000, 0.0178300000, 0.0356500000, 0.0712900000, 0.1425900000, 0.2851800000, 0.5703600000");
values("0.0783697000, 0.1572982000, 0.2121095000, 0.3178046000, 0.5400393000, 1.0211838000, 2.0129669000, 4.0034855000", \
"0.0789931000, 0.1573042000, 0.2121184000, 0.3183483000, 0.5402652000, 1.0214452000, 2.0071891000, 4.0070360000", \
"0.0787226000, 0.1568967000, 0.2120720000, 0.3178164000, 0.5404348000, 1.0205500000, 2.0097592000, 3.9983563000", \
"0.0789707000, 0.1570077000, 0.2120820000, 0.3178273000, 0.5398196000, 1.0205079000, 2.0128659000, 3.9994119000", \
"0.0787336000, 0.1570918000, 0.2121244000, 0.3180044000, 0.5404502000, 1.0212344000, 2.0120123000, 3.9956758000", \
"0.0785381000, 0.1571860000, 0.2123705000, 0.3178105000, 0.5408507000, 1.0213461000, 2.0118385000, 3.9947219000", \
"0.0797662000, 0.1592064000, 0.2136420000, 0.3191641000, 0.5417946000, 1.0219829000, 2.0086777000, 4.0003743000", \
"0.0816117000, 0.1620170000, 0.2166457000, 0.3221833000, 0.5422012000, 1.0218939000, 2.0079396000, 4.0019636000", \
"0.0905468000, 0.1714521000, 0.2258325000, 0.3285070000, 0.5467025000, 1.0237399000, 2.0108961000, 4.0041463000", \
"0.0957232000, 0.1764029000, 0.2305392000, 0.3323870000, 0.5483624000, 1.0243576000, 2.0097461000, 4.0045767000", \
"0.1011959000, 0.1816488000, 0.2349425000, 0.3352874000, 0.5502332000, 1.0242918000, 2.0082298000, 3.9956922000");
}
related_pin : "CLK";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0089100000, 0.0178300000, 0.0356500000, 0.0712900000, 0.1425900000, 0.2851800000, 0.5703600000");
values("0.0522636000, 0.1130437000, 0.1671423000, 0.2748379000, 0.4958288000, 0.9529715000, 1.8833382000, 3.7504053000", \
"0.0517461000, 0.1132345000, 0.1666935000, 0.2750564000, 0.4960150000, 0.9531608000, 1.8831661000, 3.7462864000", \
"0.0517460000, 0.1131059000, 0.1673360000, 0.2746250000, 0.4973421000, 0.9527673000, 1.8844764000, 3.7498911000", \
"0.0521250000, 0.1128737000, 0.1668925000, 0.2750342000, 0.4962232000, 0.9549525000, 1.8836503000, 3.7481587000", \
"0.0518092000, 0.1129427000, 0.1668466000, 0.2750141000, 0.4960730000, 0.9548334000, 1.8831001000, 3.7535539000", \
"0.0523548000, 0.1132737000, 0.1669644000, 0.2747520000, 0.4952161000, 0.9524596000, 1.8840614000, 3.7472847000", \
"0.0522526000, 0.1129980000, 0.1673304000, 0.2746108000, 0.4962306000, 0.9528532000, 1.8844406000, 3.7577985000", \
"0.0526421000, 0.1131185000, 0.1670009000, 0.2753706000, 0.4971780000, 0.9548997000, 1.8819037000, 3.7491454000", \
"0.0526334000, 0.1134440000, 0.1668483000, 0.2749030000, 0.4973312000, 0.9552075000, 1.8835093000, 3.7492334000", \
"0.0529438000, 0.1133217000, 0.1668035000, 0.2749433000, 0.4963551000, 0.9528734000, 1.8843993000, 3.7465835000", \
"0.0539933000, 0.1132033000, 0.1677710000, 0.2760620000, 0.4974293000, 0.9554885000, 1.8839934000, 3.7459640000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
}
pin ("SET_B") {
capacitance : 0.0048480000;
clock : "false";
direction : "input";
fall_capacitance : 0.0047390000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0727601000, 0.0728131000, 0.0728735000, 0.0727988000, 0.0726366000, 0.0737935000, 0.0761409000, 0.0764608000, 0.0774130000, 0.0778910000, 0.0783691000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0029925000, 0.0030898000, 0.0031977000, 0.0100040000, 0.0313676000, 0.0823833000, 0.1844413000, 0.2984604000, 0.6162835000, 0.7812535000, 0.9462236000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0049570000;
timing () {
fall_constraint ("pulse_width_template3") {
index_1("0.0100000000, 1.5000000000, 3.7499999000");
values("0.2686780000, 2.5000000000, 6.2499998000");
}
related_output_pin : "Q";
related_pin : "SET_B";
sim_opt : "runlvl=5 accurate=1";
timing_type : "min_pulse_width";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1872090000, -0.1862227000, -0.1872904000, -0.1877819000, -0.1918151000, -0.2009167000, -0.2252233000, -0.2507507000, -0.3117012000, -0.3393704000, -0.3572740000", \
"-0.1881953000, -0.1884297000, -0.1882767000, -0.1899889000, -0.1940221000, -0.2043444000, -0.2274303000, -0.2541784000, -0.3139082000, -0.3403568000, -0.3594810000", \
"-0.1895690000, -0.1885827000, -0.1896504000, -0.1901419000, -0.1953958000, -0.2057181000, -0.2300247000, -0.2567728000, -0.3165026000, -0.3441719000, -0.3657375000", \
"-0.2000638000, -0.1990775000, -0.2001452000, -0.2006367000, -0.2034492000, -0.2137715000, -0.2392988000, -0.2660469000, -0.3306595000, -0.3619909000, -0.3823358000", \
"-0.2363138000, -0.2353275000, -0.2363952000, -0.2368867000, -0.2409199000, -0.2512422000, -0.2779902000, -0.3071797000, -0.3974271000, -0.4324206000, -0.4747382000", \
"-0.2784818000, -0.2774955000, -0.2785632000, -0.2790547000, -0.2843086000, -0.2946309000, -0.3213789000, -0.3542305000, -0.4579056000, -0.5075475000, -0.5608515000", \
"-0.3310794000, -0.3300931000, -0.3299401000, -0.3316524000, -0.3369062000, -0.3472285000, -0.3739766000, -0.4092695000, -0.5239310000, -0.5931042000, -0.6561737000", \
"-0.3604837000, -0.3607181000, -0.3605651000, -0.3610566000, -0.3675312000, -0.3778535000, -0.4058223000, -0.4423359000, -0.5655423000, -0.6310534000, -0.7051093000", \
"-0.3971895000, -0.3962031000, -0.3972708000, -0.3977624000, -0.4030163000, -0.4145592000, -0.4437487000, -0.4778210000, -0.6034687000, -0.6738626000, -0.7466978000", \
"-0.4012585000, -0.4014929000, -0.4013398000, -0.4030521000, -0.4070853000, -0.4186283000, -0.4465970000, -0.4843314000, -0.6099792000, -0.6828144000, -0.7532082000", \
"-0.3992241000, -0.3994584000, -0.3993054000, -0.4010177000, -0.4050509000, -0.4178145000, -0.4433419000, -0.4798556000, -0.6042826000, -0.6771179000, -0.7499531000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "recovery_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2278301000, 0.2268437000, 0.2266908000, 0.2284030000, 0.2348776000, 0.2513034000, 0.3000241000, 0.3560690000, 0.5244414000, 0.6155872000, 0.7091744000", \
"0.2275957000, 0.2266094000, 0.2276771000, 0.2281686000, 0.2346432000, 0.2535104000, 0.3022311000, 0.3582760000, 0.5266484000, 0.6190150000, 0.7113814000", \
"0.2277487000, 0.2267624000, 0.2266094000, 0.2283216000, 0.2347962000, 0.2548841000, 0.3036048000, 0.3596497000, 0.5280221000, 0.6203887000, 0.7127551000", \
"0.2394642000, 0.2384779000, 0.2395456000, 0.2400371000, 0.2465117000, 0.2629375000, 0.3116582000, 0.3677031000, 0.5360755000, 0.6272214000, 0.7208085000", \
"0.2744935000, 0.2735072000, 0.2733542000, 0.2738457000, 0.2815410000, 0.2979668000, 0.3454668000, 0.4002910000, 0.5686634000, 0.6598093000, 0.7521757000", \
"0.3142201000, 0.3132337000, 0.3130807000, 0.3147930000, 0.3224883000, 0.3389141000, 0.3851934000, 0.4400176000, 0.6059486000, 0.6958737000, 0.7894609000", \
"0.3643763000, 0.3633900000, 0.3632370000, 0.3649492000, 0.3726445000, 0.3890703000, 0.4329082000, 0.4865117000, 0.6500013000, 0.7411471000, 0.8322929000", \
"0.3937806000, 0.3927943000, 0.3926413000, 0.3943535000, 0.4020488000, 0.4184746000, 0.4623125000, 0.5134746000, 0.6769642000, 0.7656686000, 0.8568144000", \
"0.4280449000, 0.4282793000, 0.4281263000, 0.4298385000, 0.4363131000, 0.4527389000, 0.4953561000, 0.5465182000, 0.7075664000, 0.7950501000, 0.8849752000", \
"0.4333346000, 0.4335690000, 0.4334160000, 0.4339076000, 0.4403822000, 0.4580287000, 0.4994252000, 0.5493665000, 0.7104147000, 0.7978985000, 0.8878235000", \
"0.4337416000, 0.4327553000, 0.4326023000, 0.4343145000, 0.4395685000, 0.4559942000, 0.4986114000, 0.5473321000, 0.7071596000, 0.7958640000, 0.8845684000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "removal_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
}
cell ("sky130_fd_sc_hvl__dfstp_1") {
leakage_power () {
value : 19.369107200;
when : "D&SET_B&CLK";
}
leakage_power () {
value : 16.102790200;
when : "!D&SET_B&!CLK";
}
leakage_power () {
value : 16.345936200;
when : "!D&!SET_B&CLK";
}
leakage_power () {
value : 19.074249700;
when : "!D&SET_B&CLK";
}
leakage_power () {
value : 13.468176800;
when : "D&SET_B&!CLK";
}
leakage_power () {
value : 10.087391400;
when : "D&!SET_B&CLK";
}
leakage_power () {
value : 18.557626500;
when : "!D&!SET_B&!CLK";
}
leakage_power () {
value : 8.492534700;
when : "D&!SET_B&!CLK";
}
area : 60.561600000;
cell_footprint : "sky130_fd_sc_hvl__dfstp";
cell_leakage_power : 15.187230000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
ff ("IQ","IQ_N") {
clocked_on : "CLK";
next_state : "D";
preset : "!SET_B";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("CLK") {
capacitance : 0.0026290000;
clock : "true";
direction : "input";
fall_capacitance : 0.0025550000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3570842000, 0.3597455000, 0.3626310000, 0.3745533000, 0.4119380000, 0.5016236000, 0.6810244000, 0.8774436000, 1.4249240000, 1.7091084000, 1.9932928000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2848562000, 0.2857801000, 0.2867830000, 0.2958252000, 0.3241865000, 0.4114450000, 0.5859877000, 0.7826384000, 1.3307574000, 1.6152751000, 1.8997928000");
}
}
max_transition : 3.7500000000;
min_pulse_width_high : 0.2115710000;
min_pulse_width_low : 0.2676000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0027020000;
}
pin ("D") {
capacitance : 0.0022550000;
clock : "false";
direction : "input";
fall_capacitance : 0.0021510000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0551357000, 0.0561274000, 0.0572038000, 0.0694212000, 0.1077173000, 0.1692035000, 0.2921991000, 0.4159287000, 0.7608092000, 0.9398264000, 1.1188436000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0163755000, -0.0135340000, -0.0104533000, 0.0000261171, 0.0328991000, 0.0937050000, 0.2153485000, 0.3393355000, 0.6849488000, 0.8643425000, 1.0437360000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0023600000;
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0886699000, 0.0945391000, 0.0959128000, 0.1125111000, 0.1646302000, 0.2458607000, 0.3948939000, 0.5292787000, 0.8552910000, 1.0095065000, 1.1576186000", \
"0.0864629000, 0.0923320000, 0.0937057000, 0.1090833000, 0.1612025000, 0.2436536000, 0.3926868000, 0.5270716000, 0.8518633000, 1.0060788000, 1.1541908000", \
"0.0850892000, 0.0909583000, 0.0923320000, 0.1077096000, 0.1598288000, 0.2422800000, 0.3913132000, 0.5256979000, 0.8504896000, 1.0047050000, 1.1540378000", \
"0.0770358000, 0.0829049000, 0.0842786000, 0.0996563000, 0.1517754000, 0.2342266000, 0.3832598000, 0.5176445000, 0.8436569000, 0.9978724000, 1.1459845000", \
"0.0468893000, 0.0539792000, 0.0565736000, 0.0707305000, 0.1228496000, 0.2040801000, 0.3543340000, 0.4887188000, 0.8159519000, 0.9689466000, 1.1170587000", \
"0.0120456000, 0.0191354000, 0.0217298000, 0.0346660000, 0.0867852000, 0.1680156000, 0.3194902000, 0.4550957000, 0.7835495000, 0.9377650000, 1.0846563000", \
"-0.0295658000, -0.0224759000, -0.0198815000, -0.0069453000, 0.0439531000, 0.1215215000, 0.2729961000, 0.4098223000, 0.7419382000, 0.8973743000, 1.0467071000", \
"-0.0528665000, -0.0457767000, -0.0419616000, -0.0290254000, 0.0194316000, 0.0970000000, 0.2460332000, 0.3840801000, 0.7161960000, 0.8728529000, 1.0209649000", \
"-0.0737031000, -0.0666133000, -0.0627982000, -0.0498620000, -0.0026256000, 0.0725013000, 0.2203138000, 0.3571400000, 0.6904766000, 0.8459128000, 0.9952455000", \
"-0.0716686000, -0.0645788000, -0.0619844000, -0.0490482000, -0.0005911000, 0.0733151000, 0.2211276000, 0.3579538000, 0.6912903000, 0.8479473000, 0.9972801000", \
"-0.0647514000, -0.0564408000, -0.0538464000, -0.0409102000, 0.0063261000, 0.0814530000, 0.2280448000, 0.3648710000, 0.6994283000, 0.8548645000, 1.0041972000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0703594000, 0.0725664000, 0.0763815000, 0.0844349000, 0.1084779000, 0.1262318000, 0.1300013000, 0.1117982000, 0.0093437000, -0.0573880000, -0.1314439000", \
"0.0693730000, 0.0703594000, 0.0741745000, 0.0822279000, 0.1062708000, 0.1240247000, 0.1277943000, 0.1095911000, 0.0071367000, -0.0608158000, -0.1336510000", \
"0.0679994000, 0.0689857000, 0.0728008000, 0.0820749000, 0.1048971000, 0.1226510000, 0.1264206000, 0.1069967000, 0.0057630000, -0.0621895000, -0.1350247000", \
"0.0611667000, 0.0645944000, 0.0659681000, 0.0752422000, 0.0968438000, 0.1158184000, 0.1183672000, 0.0989434000, -0.0035111000, -0.0714635000, -0.1442988000", \
"0.0444479000, 0.0478757000, 0.0504701000, 0.0573027000, 0.0764629000, 0.0905547000, 0.0918828000, 0.0724590000, -0.0312161000, -0.0991686000, -0.1732245000", \
"0.0291354000, 0.0325631000, 0.0351576000, 0.0419902000, 0.0587090000, 0.0715801000, 0.0716875000, 0.0510430000, -0.0514115000, -0.1205846000, -0.1934198000", \
"0.0143796000, 0.0178073000, 0.0204017000, 0.0272344000, 0.0439531000, 0.0568242000, 0.0593730000, 0.0411699000, -0.0576224000, -0.1231335000, -0.1971894000", \
"0.0093893000, 0.0128171000, 0.0141908000, 0.0222441000, 0.0377422000, 0.0518340000, 0.0580449000, 0.0435039000, -0.0467435000, -0.1098131000, -0.1802069000", \
"0.0166289000, 0.0200566000, 0.0214303000, 0.0282630000, 0.0462025000, 0.0627357000, 0.0774915000, 0.0702747000, 0.0007793000, -0.0525247000, -0.1155943000", \
"0.0296497000, 0.0318568000, 0.0332305000, 0.0412839000, 0.0580026000, 0.0769772000, 0.0941745000, 0.0918405000, 0.0296693000, -0.0199727000, -0.0781594000", \
"0.0451119000, 0.0485396000, 0.0499133000, 0.0567460000, 0.0734648000, 0.0936601000, 0.1145194000, 0.1146269000, 0.0610006000, 0.0150207000, -0.0395039000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0028828000, -0.0087520000, -0.0113464000, -0.0255033000, -0.0788431000, -0.1564115000, -0.3005618000, -0.4276224000, -0.7377656000, -0.8834362000, -1.0242240000", \
"-0.0031172000, -0.0089863000, -0.0115807000, -0.0245169000, -0.0766361000, -0.1542044000, -0.2971341000, -0.4254154000, -0.7343379000, -0.8812292000, -1.0220170000", \
"-0.0017435000, -0.0063919000, -0.0102070000, -0.0231432000, -0.0752624000, -0.1528307000, -0.2945397000, -0.4228210000, -0.7329642000, -0.8786348000, -1.0194226000", \
"0.0050892000, -0.0007800000, -0.0033743000, -0.0163105000, -0.0672090000, -0.1459980000, -0.2889277000, -0.4172090000, -0.7261315000, -0.8742435000, -1.0138107000", \
"0.0376771000, 0.0305872000, 0.0292135000, 0.0150566000, -0.0358418000, -0.1146309000, -0.2587813000, -0.3870625000, -0.6996471000, -0.8477591000, -0.9897677000", \
"0.0749622000, 0.0666517000, 0.0640573000, 0.0511211000, 0.0014434000, -0.0749043000, -0.2202754000, -0.3509980000, -0.6696862000, -0.8190189000, -0.9622481000", \
"0.1190150000, 0.1119251000, 0.1093307000, 0.0963945000, 0.0491582000, -0.0235273000, -0.1652363000, -0.2971797000, -0.6195299000, -0.7700833000, -0.9169747000", \
"0.1484193000, 0.1401087000, 0.1375143000, 0.1245781000, 0.0797832000, 0.0095391000, -0.1309492000, -0.2604512000, -0.5791393000, -0.7345755000, -0.8778048000", \
"0.1887871000, 0.1804766000, 0.1778822000, 0.1649460000, 0.1225925000, 0.0584518000, -0.0722708000, -0.1968900000, -0.5070332000, -0.6563659000, -0.8008158000", \
"0.1977389000, 0.1894284000, 0.1880547000, 0.1751185000, 0.1327650000, 0.0698450000, -0.0559948000, -0.1769518000, -0.4822122000, -0.6266621000, -0.7686706000", \
"0.2018080000, 0.1934975000, 0.1909031000, 0.1791876000, 0.1356134000, 0.0739141000, -0.0458222000, -0.1631171000, -0.4610533000, -0.6030618000, -0.7426289000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0443867000, -0.0478145000, -0.0504089000, -0.0584622000, -0.0788431000, -0.0904935000, -0.0808353000, -0.0528665000, 0.0715606000, 0.1492786000, 0.2318795000", \
"-0.0434004000, -0.0468281000, -0.0482018000, -0.0562552000, -0.0766361000, -0.0870658000, -0.0786283000, -0.0494388000, 0.0749883000, 0.1527064000, 0.2365279000", \
"-0.0408060000, -0.0442337000, -0.0468281000, -0.0548815000, -0.0740417000, -0.0856921000, -0.0760339000, -0.0480651000, 0.0788034000, 0.1565215000, 0.2391223000", \
"-0.0364147000, -0.0398424000, -0.0424368000, -0.0504902000, -0.0696504000, -0.0800801000, -0.0704219000, -0.0424531000, 0.0819740000, 0.1596921000, 0.2422929000", \
"-0.0196960000, -0.0231237000, -0.0244974000, -0.0325508000, -0.0504902000, -0.0609199000, -0.0524824000, -0.0245137000, 0.0974720000, 0.1764108000, 0.2577909000", \
"-0.0043835000, -0.0078112000, -0.0104056000, -0.0184590000, -0.0339570000, -0.0456074000, -0.0396113000, -0.0116426000, 0.1091224000, 0.1843991000, 0.2633378000", \
"0.0103724000, 0.0069447000, 0.0043503000, -0.0024824000, -0.0192012000, -0.0320723000, -0.0309590000, -0.0078730000, 0.1006849000, 0.1747409000, 0.2500175000", \
"0.0165833000, 0.0131556000, 0.0117819000, 0.0049492000, -0.0129902000, -0.0270820000, -0.0308516000, -0.0126484000, 0.0885853000, 0.1540963000, 0.2269316000", \
"0.0178887000, 0.0144609000, 0.0130872000, 0.0062546000, -0.0116849000, -0.0306595000, -0.0454154000, -0.0381986000, 0.0386211000, 0.0980286000, 0.1610982000", \
"0.0134128000, 0.0099850000, 0.0073906000, 0.0005579000, -0.0161608000, -0.0363561000, -0.0572155000, -0.0548815000, 0.0133932000, 0.0666973000, 0.1273254000", \
"0.0052748000, 0.0018471000, 0.0004734000, -0.0063593000, -0.0242987000, -0.0444941000, -0.0702362000, -0.0715644000, -0.0118345000, 0.0365867000, 0.0935527000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("Q") {
direction : "output";
function : "IQ";
internal_power () {
fall_power ("scalar") {
values("0.0000000000");
}
related_pin : "SET_B";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0061000000, 0.0122000000, 0.0244000000, 0.0488100000, 0.0976300000, 0.1952500000, 0.3904900000");
values("0.8829933000, 0.9723028000, 1.0604997000, 1.2421482000, 1.6039378000, 2.3345926000, 3.7961366000, 6.7202030000", \
"0.8919581000, 0.9810972000, 1.0693430000, 1.2489699000, 1.6131886000, 2.3436228000, 3.8040820000, 6.7303318000", \
"0.8959311000, 0.9850695000, 1.0736555000, 1.2534716000, 1.6185849000, 2.3500255000, 3.8080586000, 6.7353344000", \
"0.9003992000, 0.9894899000, 1.0779972000, 1.2591038000, 1.6236413000, 2.3542830000, 3.8135398000, 6.7356497000", \
"0.9426433000, 1.0319298000, 1.1201891000, 1.2999950000, 1.6656084000, 2.3963925000, 3.8602861000, 6.7823994000", \
"1.0248934000, 1.1140656000, 1.2025153000, 1.3830569000, 1.7480966000, 2.4793436000, 3.9410884000, 6.8660219000", \
"1.2223266000, 1.3113119000, 1.3996099000, 1.5796072000, 1.9454111000, 2.6764657000, 4.1395963000, 7.0619709000", \
"1.4306214000, 1.5096623000, 1.6015186000, 1.7818545000, 2.1442570000, 2.8755232000, 4.3392817000, 7.2584694000", \
"1.9427463000, 2.0222728000, 2.1106144000, 2.2895017000, 2.6564262000, 3.3881868000, 4.8466542000, 7.7684641000", \
"2.1931267000, 2.2728699000, 2.3600905000, 2.5416977000, 2.9056141000, 3.6389762000, 5.0987381000, 8.021948000", \
"2.4349111000, 2.5141667000, 2.6022937000, 2.7831395000, 3.1443749000, 3.8770483000, 5.3398078000, 8.262996500");
}
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0061000000, 0.0122000000, 0.0244000000, 0.0488100000, 0.0976300000, 0.1952500000, 0.3904900000");
values("0.4007687000, 0.3098824000, 0.2177582000, 0.0320250000, -0.3383464000, -1.0775678000, -2.5545080000, -5.5074809000", \
"0.4031212000, 0.3116579000, 0.2198312000, 0.0339295000, -0.3363951000, -1.0759281000, -2.5525649000, -5.5056666000", \
"0.3950310000, 0.3036465000, 0.2118249000, 0.0259380000, -0.3445228000, -1.0836323000, -2.5607358000, -5.5133556000", \
"0.3896681000, 0.2987579000, 0.2066675000, 0.0209715000, -0.3493365000, -1.0886187000, -2.5655717000, -5.5186761000", \
"0.4016294000, 0.3107500000, 0.2189323000, 0.0329882000, -0.3376239000, -1.0766478000, -2.5537600000, -5.5071069000", \
"0.3955826000, 0.3044306000, 0.2127013000, 0.0268294000, -0.3437512000, -1.0827373000, -2.5598140000, -5.5130970000", \
"0.4187889000, 0.3168217000, 0.2252999000, 0.0393277000, -0.3309407000, -1.0701560000, -2.5471462000, -5.5002851000", \
"0.4286077000, 0.3218144000, 0.2265417000, 0.0386711000, -0.3317277000, -1.0707154000, -2.5477147000, -5.5006754000", \
"0.4365016000, 0.3298710000, 0.2345250000, 0.0461533000, -0.3255188000, -1.0661037000, -2.5413990000, -5.4944873000", \
"0.4411296000, 0.3328546000, 0.2378861000, 0.0514223000, -0.3219479000, -1.0622231000, -2.5389998000, -5.4903791000", \
"0.4440921000, 0.3372769000, 0.2417606000, 0.0543650000, -0.3183189000, -1.0589512000, -2.5366061000, -5.4871892000");
}
related_pin : "CLK";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0061000000, 0.0122000000, 0.0244000000, 0.0488100000, 0.0976300000, 0.1952500000, 0.3904900000");
values("0.3922795000, 0.4842067000, 0.5739157000, 0.7538722000, 1.1161092000, 1.8482177000, 3.3094799000, 6.2321169000", \
"0.3945635000, 0.4864773000, 0.5761822000, 0.7568998000, 1.1186702000, 1.8505129000, 3.3150115000, 6.2302957000", \
"0.3863457000, 0.4783088000, 0.5680158000, 0.7479591000, 1.1106564000, 1.8444910000, 3.3008561000, 6.2288701000", \
"0.3806599000, 0.4726275000, 0.5622941000, 0.7422163000, 1.1045024000, 1.8372658000, 3.2968202000, 6.2214172000", \
"0.3969351000, 0.4896781000, 0.5787897000, 0.7584355000, 1.1210469000, 1.8518141000, 3.3136794000, 6.2365880000", \
"0.4109815000, 0.5037361000, 0.5930310000, 0.7728397000, 1.1352293000, 1.8685295000, 3.3298694000, 6.2505354000", \
"0.4844433000, 0.5630634000, 0.6534239000, 0.8315514000, 1.1938652000, 1.9228275000, 3.3831448000, 6.3043254000", \
"0.5408420000, 0.6157920000, 0.7009266000, 0.8769243000, 1.2396215000, 1.9686703000, 3.4306291000, 6.3484472000", \
"0.6700813000, 0.7429935000, 0.8278557000, 1.0044508000, 1.3594522000, 2.0887768000, 3.5521029000, 6.4740247000", \
"0.7324063000, 0.8046342000, 0.8889059000, 1.0650359000, 1.4267804000, 2.1485569000, 3.6103315000, 6.5321925000", \
"0.7843407000, 0.8561624000, 0.9403617000, 1.1157167000, 1.4766303000, 2.1969171000, 3.6577817000, 6.5814937000");
}
}
max_capacitance : 0.3902530000;
max_transition : 3.7556660000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0061000000, 0.0122000000, 0.0244000000, 0.0488100000, 0.0976300000, 0.1952500000, 0.3904900000");
values("0.3728483000, 0.4163502000, 0.4551989000, 0.5327659000, 0.6891298000, 1.0037075000, 1.6332081000, 2.8918072000", \
"0.3769232000, 0.4204884000, 0.4592377000, 0.5371667000, 0.6941342000, 1.0097151000, 1.6369767000, 2.8949210000", \
"0.3789981000, 0.4225705000, 0.4612977000, 0.5389591000, 0.6959662000, 1.0125177000, 1.6405275000, 2.9033591000", \
"0.3919322000, 0.4355297000, 0.4742151000, 0.5521438000, 0.7092094000, 1.0238380000, 1.6519224000, 2.9162519000", \
"0.4406066000, 0.4841976000, 0.5229920000, 0.6009684000, 0.7578737000, 1.0725153000, 1.7044730000, 2.9626233000", \
"0.5112999000, 0.5548181000, 0.5936818000, 0.6716742000, 0.8285769000, 1.1424476000, 1.7723754000, 3.0344909000", \
"0.6403923000, 0.6838867000, 0.7227172000, 0.8008568000, 0.9577888000, 1.2725379000, 1.9042388000, 3.1633967000", \
"0.7477310000, 0.7912602000, 0.8302186000, 0.9080712000, 1.0643897000, 1.3799583000, 2.0124371000, 3.2674414000", \
"1.0011144000, 1.0447163000, 1.0835292000, 1.1610346000, 1.3176742000, 1.6325483000, 2.2598450000, 3.5242926000", \
"1.1197636000, 1.1634758000, 1.2019159000, 1.2799046000, 1.4362711000, 1.7516844000, 2.3811799000, 3.6357062000", \
"1.2320152000, 1.2755949000, 1.3143622000, 1.3919890000, 1.5485068000, 1.8625632000, 2.4916167000, 3.7471732000");
}
related_pin : "SET_B";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0061000000, 0.0122000000, 0.0244000000, 0.0488100000, 0.0976300000, 0.1952500000, 0.3904900000");
values("0.0299954000, 0.0811622000, 0.1364105000, 0.2509155000, 0.4842284000, 0.9516287000, 1.8829565000, 3.7487284000", \
"0.0298571000, 0.0811142000, 0.1364545000, 0.2514999000, 0.4844118000, 0.9517453000, 1.8859143000, 3.7506855000", \
"0.0299300000, 0.0811189000, 0.1365752000, 0.2514510000, 0.4842866000, 0.9504925000, 1.8875406000, 3.7556660000", \
"0.0299003000, 0.0810887000, 0.1366367000, 0.2515614000, 0.4862437000, 0.9514941000, 1.8846740000, 3.7455696000", \
"0.0296150000, 0.0810964000, 0.1362206000, 0.2513244000, 0.4841436000, 0.9527474000, 1.8846054000, 3.7490668000", \
"0.0298662000, 0.0811223000, 0.1363194000, 0.2509369000, 0.4854255000, 0.9512330000, 1.8875046000, 3.7494718000", \
"0.0299406000, 0.0811837000, 0.1365548000, 0.2508927000, 0.4838742000, 0.9524521000, 1.8852750000, 3.7518482000", \
"0.0298662000, 0.0812610000, 0.1364514000, 0.2512473000, 0.4833893000, 0.9513387000, 1.8855231000, 3.7512891000", \
"0.0304259000, 0.0815446000, 0.1367548000, 0.2514841000, 0.4848432000, 0.9516168000, 1.8861455000, 3.7520933000", \
"0.0306159000, 0.0816985000, 0.1367084000, 0.2514116000, 0.4845576000, 0.9526324000, 1.8831066000, 3.7488923000", \
"0.0305017000, 0.0815771000, 0.1369920000, 0.2523360000, 0.4853644000, 0.9508061000, 1.8923281000, 3.7485881000");
}
timing_sense : "negative_unate";
timing_type : "preset";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0061000000, 0.0122000000, 0.0244000000, 0.0488100000, 0.0976300000, 0.1952500000, 0.3904900000");
values("0.3997349000, 0.4412730000, 0.4750843000, 0.5391135000, 0.6666043000, 0.9222463000, 1.4331234000, 2.4574494000", \
"0.3996874000, 0.4412402000, 0.4749690000, 0.5391418000, 0.6665958000, 0.9221739000, 1.4331523000, 2.4577183000", \
"0.4033023000, 0.4449332000, 0.4786310000, 0.5428026000, 0.6701000000, 0.9257515000, 1.4381696000, 2.4596818000", \
"0.4112365000, 0.4527755000, 0.4865836000, 0.5507518000, 0.6781699000, 0.9337926000, 1.4455322000, 2.4692250000", \
"0.4445440000, 0.4861295000, 0.5198258000, 0.5839885000, 0.7113079000, 0.9668474000, 1.4793008000, 2.5006803000", \
"0.4849067000, 0.5265471000, 0.5602565000, 0.6244385000, 0.7518095000, 1.0072487000, 1.5197685000, 2.5410152000", \
"0.5350779000, 0.5766992000, 0.6103938000, 0.6745572000, 0.8018455000, 1.0571558000, 1.5697256000, 2.5928573000", \
"0.5663092000, 0.6078976000, 0.6415865000, 0.7057410000, 0.8330742000, 1.0882492000, 1.6004014000, 2.6237232000", \
"0.6049916000, 0.6464679000, 0.6801392000, 0.7443114000, 0.8717086000, 1.1272674000, 1.6386211000, 2.6610363000", \
"0.6115938000, 0.6529526000, 0.6867205000, 0.7508942000, 0.8782184000, 1.1337428000, 1.6456323000, 2.6669953000", \
"0.6124622000, 0.6539889000, 0.6877197000, 0.7518624000, 0.8792124000, 1.1345977000, 1.6456186000, 2.6690437000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0061000000, 0.0122000000, 0.0244000000, 0.0488100000, 0.0976300000, 0.1952500000, 0.3904900000");
values("0.3464141000, 0.3909516000, 0.4298918000, 0.5072880000, 0.6635275000, 0.9782584000, 1.6109746000, 2.8653684000", \
"0.3463543000, 0.3909147000, 0.4298232000, 0.5071703000, 0.6633051000, 0.9780198000, 1.6075872000, 2.8675806000", \
"0.3499717000, 0.3945193000, 0.4334449000, 0.5108196000, 0.6670180000, 0.9817333000, 1.6093582000, 2.8691991000", \
"0.3574583000, 0.4019582000, 0.4409347000, 0.5183693000, 0.6746199000, 0.9893183000, 1.6219356000, 2.8761682000", \
"0.3895757000, 0.4341168000, 0.4729576000, 0.5502492000, 0.7068857000, 1.0207385000, 1.6513161000, 2.9133527000", \
"0.4289226000, 0.4734699000, 0.5123394000, 0.5898662000, 0.7461251000, 1.0606279000, 1.6898299000, 2.9499743000", \
"0.4794453000, 0.5241475000, 0.5627658000, 0.6402843000, 0.7960287000, 1.1099079000, 1.7380047000, 3.0030209000", \
"0.5116062000, 0.5564996000, 0.5951698000, 0.6720987000, 0.8276828000, 1.1408406000, 1.7729407000, 3.0294631000", \
"0.5553821000, 0.6005587000, 0.6391992000, 0.7155365000, 0.8704774000, 1.1838989000, 1.8116157000, 3.0745080000", \
"0.5631540000, 0.6085238000, 0.6468909000, 0.7231494000, 0.8783783000, 1.1908899000, 1.8207867000, 3.0750833000", \
"0.5650473000, 0.6105729000, 0.6488894000, 0.7248579000, 0.8799967000, 1.1926935000, 1.8229109000, 3.0762803000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0061000000, 0.0122000000, 0.0244000000, 0.0488100000, 0.0976300000, 0.1952500000, 0.3904900000");
values("0.0280429000, 0.0666598000, 0.1042829000, 0.1839746000, 0.3503650000, 0.6862627000, 1.3560552000, 2.6951870000", \
"0.0278135000, 0.0666770000, 0.1043346000, 0.1840898000, 0.3503517000, 0.6841630000, 1.3533237000, 2.6924829000", \
"0.0278940000, 0.0666095000, 0.1043784000, 0.1840878000, 0.3504895000, 0.6851948000, 1.3534283000, 2.6946256000", \
"0.0280459000, 0.0666596000, 0.1043246000, 0.1840069000, 0.3503705000, 0.6839420000, 1.3575069000, 2.6941385000", \
"0.0279598000, 0.0665424000, 0.1044158000, 0.1840961000, 0.3505196000, 0.6854580000, 1.3531615000, 2.6935825000", \
"0.0278222000, 0.0665747000, 0.1044082000, 0.1840927000, 0.3505219000, 0.6856651000, 1.3527541000, 2.6936813000", \
"0.0278552000, 0.0666111000, 0.1044069000, 0.1836518000, 0.3502831000, 0.6861476000, 1.3554475000, 2.6953109000", \
"0.0280440000, 0.0665921000, 0.1044203000, 0.1840535000, 0.3505293000, 0.6828158000, 1.3535533000, 2.6991716000", \
"0.0280901000, 0.0663825000, 0.1044568000, 0.1840014000, 0.3502206000, 0.6850083000, 1.3550859000, 2.6948044000", \
"0.0281337000, 0.0667611000, 0.1041375000, 0.1838975000, 0.3500139000, 0.6850845000, 1.3560916000, 2.6910205000", \
"0.0280848000, 0.0668628000, 0.1045197000, 0.1836972000, 0.3504834000, 0.6834436000, 1.3579454000, 2.6874549000");
}
related_pin : "CLK";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0061000000, 0.0122000000, 0.0244000000, 0.0488100000, 0.0976300000, 0.1952500000, 0.3904900000");
values("0.0331998000, 0.0836625000, 0.1380254000, 0.2520700000, 0.4846999000, 0.9515086000, 1.8835358000, 3.7500851000", \
"0.0332544000, 0.0836281000, 0.1379503000, 0.2521050000, 0.4848841000, 0.9509744000, 1.8832049000, 3.7531489000", \
"0.0332296000, 0.0836547000, 0.1380040000, 0.2520943000, 0.4845793000, 0.9511338000, 1.8845510000, 3.7487804000", \
"0.0331917000, 0.0836755000, 0.1380669000, 0.2520237000, 0.4847622000, 0.9515964000, 1.8835606000, 3.7504257000", \
"0.0330316000, 0.0834219000, 0.1382666000, 0.2517990000, 0.4841613000, 0.9504765000, 1.8829860000, 3.7490602000", \
"0.0333738000, 0.0837066000, 0.1381888000, 0.2514759000, 0.4843945000, 0.9498540000, 1.8858786000, 3.7530987000", \
"0.0343615000, 0.0843551000, 0.1381822000, 0.2523718000, 0.4837701000, 0.9518106000, 1.8838993000, 3.7482422000", \
"0.0350186000, 0.0849071000, 0.1390800000, 0.2523418000, 0.4839678000, 0.9495666000, 1.8852231000, 3.7515728000", \
"0.0372879000, 0.0864771000, 0.1398456000, 0.2525540000, 0.4841121000, 0.9518295000, 1.8827271000, 3.7543559000", \
"0.0381875000, 0.0872133000, 0.1409496000, 0.2527625000, 0.4846868000, 0.9531509000, 1.8836261000, 3.7478496000", \
"0.0389398000, 0.0876846000, 0.1406644000, 0.2530013000, 0.4844515000, 0.9517905000, 1.8829149000, 3.7488976000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
}
pin ("SET_B") {
capacitance : 0.0044060000;
clock : "false";
direction : "input";
fall_capacitance : 0.0043930000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0601716000, 0.0549832000, 0.0493649000, 0.0514934000, 0.0582226000, 0.0587781000, 0.0599203000, 0.0601228000, 0.0607426000, 0.0610495000, 0.0613564000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0272167000, 0.0234438000, 0.0193585000, 0.0263439000, 0.0482634000, 0.1003265000, 0.2044770000, 0.3203200000, 0.6432222000, 0.8108299000, 0.9784377000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0044180000;
timing () {
fall_constraint ("pulse_width_template3") {
index_1("0.0100000000, 1.5000000000, 3.7499999000");
values("0.1851831000, 2.5000000000, 6.2499998000");
}
related_output_pin : "Q";
related_pin : "SET_B";
sim_opt : "runlvl=5 accurate=1";
timing_type : "min_pulse_width";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.2128438000, -0.2130781000, -0.2129251000, -0.2134167000, -0.2186706000, -0.2338757000, -0.2801549000, -0.3374206000, -0.5118965000, -0.6067044000, -0.7039537000", \
"-0.2138301000, -0.2140645000, -0.2139115000, -0.2144030000, -0.2196569000, -0.2360827000, -0.2835827000, -0.3396276000, -0.5141035000, -0.6089115000, -0.7061608000", \
"-0.2152038000, -0.2142174000, -0.2140644000, -0.2157767000, -0.2210306000, -0.2374564000, -0.2849564000, -0.3410013000, -0.5166979000, -0.6115059000, -0.7087551000", \
"-0.2256986000, -0.2247122000, -0.2245592000, -0.2262715000, -0.2315254000, -0.2455098000, -0.2930098000, -0.3502754000, -0.5259720000, -0.6207799000, -0.7168085000", \
"-0.2607279000, -0.2609622000, -0.2608092000, -0.2613008000, -0.2665547000, -0.2817598000, -0.3304805000, -0.3877461000, -0.5634427000, -0.6594714000, -0.7554999000", \
"-0.3028958000, -0.3019095000, -0.3029772000, -0.3034688000, -0.3099434000, -0.3251484000, -0.3726485000, -0.4299141000, -0.6056107000, -0.7004186000, -0.7976679000", \
"-0.3542728000, -0.3545072000, -0.3543542000, -0.3560664000, -0.3613203000, -0.3777461000, -0.4240254000, -0.4800703000, -0.6545462000, -0.7493541000, -0.8441620000", \
"-0.3848978000, -0.3851322000, -0.3849792000, -0.3866914000, -0.3919453000, -0.4083711000, -0.4546504000, -0.5106953000, -0.6827298000, -0.7763171000, -0.8723456000", \
"-0.4240449000, -0.4230586000, -0.4229056000, -0.4246178000, -0.4298717000, -0.4450768000, -0.4901354000, -0.5449596000, -0.7145527000, -0.8081400000, -0.9017271000", \
"-0.4293346000, -0.4283483000, -0.4294160000, -0.4311283000, -0.4351615000, -0.4503665000, -0.4942044000, -0.5490287000, -0.7174010000, -0.8097676000, -0.9045754000", \
"-0.4285209000, -0.4275346000, -0.4286023000, -0.4290938000, -0.4343477000, -0.4483321000, -0.4921700000, -0.5457735000, -0.7141459000, -0.8065125000, -0.9013203000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "recovery_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2375957000, 0.2366094000, 0.2376771000, 0.2381686000, 0.2458639000, 0.2622897000, 0.3110104000, 0.3682760000, 0.5427519000, 0.6387806000, 0.7360298000", \
"0.2385820000, 0.2375957000, 0.2374427000, 0.2379342000, 0.2456296000, 0.2644967000, 0.3132174000, 0.3704831000, 0.5461797000, 0.6409876000, 0.7382369000", \
"0.2375143000, 0.2365280000, 0.2363750000, 0.2380872000, 0.2457825000, 0.2658705000, 0.3145911000, 0.3718568000, 0.5475534000, 0.6423613000, 0.7396106000", \
"0.2492298000, 0.2482435000, 0.2493112000, 0.2498027000, 0.2574980000, 0.2739238000, 0.3226445000, 0.3799101000, 0.5543861000, 0.6504147000, 0.7464433000", \
"0.2842591000, 0.2832728000, 0.2831198000, 0.2836113000, 0.2913066000, 0.3077324000, 0.3552324000, 0.4124981000, 0.5869740000, 0.6817819000, 0.7790312000", \
"0.3239857000, 0.3229993000, 0.3228463000, 0.3245586000, 0.3322539000, 0.3486797000, 0.3949590000, 0.4510039000, 0.6230384000, 0.7178463000, 0.8150956000", \
"0.3741419000, 0.3731556000, 0.3730026000, 0.3747148000, 0.3824101000, 0.4000566000, 0.4451152000, 0.4987188000, 0.6695325000, 0.7631198000, 0.8591484000", \
"0.4047669000, 0.4037806000, 0.4036276000, 0.4053398000, 0.4118145000, 0.4294609000, 0.4745195000, 0.5269023000, 0.6952747000, 0.7888620000, 0.8848905000", \
"0.4426933000, 0.4417070000, 0.4415540000, 0.4432663000, 0.4497409000, 0.4673874000, 0.5100046000, 0.5623873000, 0.7283183000, 0.8194642000, 0.9142720000", \
"0.4492038000, 0.4494381000, 0.4492852000, 0.4509974000, 0.4562513000, 0.4726771000, 0.5152943000, 0.5664564000, 0.7323874000, 0.8223125000, 0.9171204000", \
"0.4496108000, 0.4498451000, 0.4496921000, 0.4514044000, 0.4566583000, 0.4718634000, 0.5144806000, 0.5656427000, 0.7303529000, 0.8214988000, 0.9150859000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "removal_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
}
cell ("sky130_fd_sc_hvl__dfxbp_1") {
leakage_power () {
value : 18.421602000;
when : "D&CLK";
}
leakage_power () {
value : 15.085898800;
when : "!D&!CLK";
}
leakage_power () {
value : 20.980419200;
when : "!D&CLK";
}
leakage_power () {
value : 16.588614900;
when : "D&!CLK";
}
area : 56.654400000;
cell_footprint : "sky130_fd_sc_hvl__dfxbp";
cell_leakage_power : 17.769130000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
ff ("IQ","IQ_N") {
clocked_on : "CLK";
next_state : "D";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("CLK") {
capacitance : 0.0026090000;
clock : "true";
direction : "input";
fall_capacitance : 0.0025660000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3158776000, 0.3162409000, 0.3166374000, 0.3286198000, 0.3662064000, 0.4563920000, 0.6367991000, 0.8322190000, 1.3769254000, 1.6596670000, 1.9424084000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2757697000, 0.2766717000, 0.2776512000, 0.2869356000, 0.3160613000, 0.4040614000, 0.5800904000, 0.7753331000, 1.3195334000, 1.6020155000, 1.8844975000");
}
}
max_transition : 3.7500000000;
min_pulse_width_high : 0.2060780000;
min_pulse_width_low : 0.2412330000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0026510000;
}
pin ("D") {
capacitance : 0.0023230000;
clock : "false";
direction : "input";
fall_capacitance : 0.0022520000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0534238000, 0.0565265000, 0.0598904000, 0.0720622000, 0.1102337000, 0.1740596000, 0.3017436000, 0.4301469000, 0.7880690000, 0.9738520000, 1.1596351000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0168078000, -0.0133218000, -0.0095425000, 0.0013660000, 0.0355845000, 0.0990832000, 0.2261134000, 0.3545947000, 0.7127358000, 0.8986320000, 1.0845283000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0023940000;
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0593730000, 0.0664629000, 0.0702780000, 0.0844349000, 0.1365540000, 0.2165638000, 0.3594935000, 0.4841126000, 0.7905937000, 0.9387057000, 1.0807142000", \
"0.0559453000, 0.0630352000, 0.0668503000, 0.0810072000, 0.1343470000, 0.2143568000, 0.3560657000, 0.4806849000, 0.7883867000, 0.9352780000, 1.0772865000", \
"0.0545716000, 0.0616615000, 0.0654766000, 0.0796335000, 0.1329733000, 0.2129831000, 0.3546921000, 0.4793112000, 0.7870130000, 0.9339043000, 1.0759128000", \
"0.0465182000, 0.0560495000, 0.0586439000, 0.0715801000, 0.1261406000, 0.2049297000, 0.3478594000, 0.4724785000, 0.7789596000, 0.9270716000, 1.0690802000", \
"0.0175924000, 0.0246823000, 0.0272767000, 0.0414336000, 0.0959941000, 0.1760039000, 0.3201543000, 0.4459941000, 0.7524752000, 0.8981458000, 1.0401543000", \
"-0.0172513000, -0.0101615000, -0.0075671000, 0.0065898000, 0.0599297000, 0.1399395000, 0.2865313000, 0.4160332000, 0.7249558000, 0.8706263000, 1.0089727000", \
"-0.0576419000, -0.0493314000, -0.0467370000, -0.0338008000, 0.0183184000, 0.0971074000, 0.2424785000, 0.3744219000, 0.6894479000, 0.8363392000, 0.9771271000", \
"-0.0785013000, -0.0701908000, -0.0675964000, -0.0546602000, -0.0037617000, 0.0725859000, 0.2191777000, 0.3499004000, 0.6661471000, 0.8142591000, 0.9562677000", \
"-0.0956758000, -0.0873652000, -0.0847708000, -0.0718346000, -0.0233776000, 0.0517494000, 0.1958997000, 0.3266224000, 0.6440898000, 0.7934225000, 0.9366518000", \
"-0.0924206000, -0.0841100000, -0.0815156000, -0.0685794000, -0.0201224000, 0.0537839000, 0.1979342000, 0.3286569000, 0.6473451000, 0.7966777000, 0.9399070000", \
"-0.0842826000, -0.0759721000, -0.0721570000, -0.0604415000, -0.0119845000, 0.0607011000, 0.2048515000, 0.3355741000, 0.6542623000, 0.8048156000, 0.9468242000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0386211000, 0.0408281000, 0.0422018000, 0.0539173000, 0.0730775000, 0.0847279000, 0.0775111000, 0.0532044000, -0.0553535000, -0.1233060000, -0.1949205000", \
"0.0376348000, 0.0386211000, 0.0399948000, 0.0517103000, 0.0708704000, 0.0825208000, 0.0753040000, 0.0497767000, -0.0575606000, -0.1255130000, -0.1983482000", \
"0.0350404000, 0.0372474000, 0.0398418000, 0.0503366000, 0.0694967000, 0.0799264000, 0.0727096000, 0.0484030000, -0.0601549000, -0.1281074000, -0.1997219000", \
"0.0294284000, 0.0328561000, 0.0354505000, 0.0435039000, 0.0626641000, 0.0730937000, 0.0646562000, 0.0403496000, -0.0682083000, -0.1361608000, -0.2089960000", \
"0.0102682000, 0.0136960000, 0.0162904000, 0.0243438000, 0.0410625000, 0.0502715000, 0.0406133000, 0.0138652000, -0.0959134000, -0.1638659000, -0.2367011000", \
"-0.0074857000, -0.0028372000, -0.0014635000, 0.0065898000, 0.0233086000, 0.0325176000, 0.0240801000, -0.0014473000, -0.1124466000, -0.1803991000, -0.2520136000", \
"-0.0246829000, -0.0212552000, -0.0186608000, -0.0118281000, 0.0061113000, 0.0189824000, 0.0154277000, -0.0064375000, -0.1113333000, -0.1780651000, -0.2496796000", \
"-0.0333353000, -0.0299076000, -0.0273132000, -0.0204805000, -0.0013203000, 0.0139922000, 0.0165410000, -0.0016621000, -0.0967923000, -0.1598620000, -0.2290351000", \
"-0.0297578000, -0.0251094000, -0.0237357000, -0.0169030000, 0.0034779000, 0.0248939000, 0.0384290000, 0.0299915000, -0.0431660000, -0.0964701000, -0.1570982000", \
"-0.0203991000, -0.0157507000, -0.0131563000, -0.0063236000, 0.0140573000, 0.0366940000, 0.0551120000, 0.0503366000, -0.0130553000, -0.0614766000, -0.1184426000", \
"-0.0061576000, -0.0027299000, -0.0001355000, 0.0066972000, 0.0270780000, 0.0521562000, 0.0742362000, 0.0731230000, 0.0170553000, -0.0277039000, -0.0797871000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0142070000, 0.0058965000, 0.0045228000, -0.0084134000, -0.0593119000, -0.1332181000, -0.2639407000, -0.3787943000, -0.6571992000, -0.7906628000, -0.9192436000", \
"0.0139727000, 0.0081035000, 0.0067298000, -0.0062064000, -0.0571048000, -0.1310111000, -0.2605130000, -0.3753665000, -0.6549922000, -0.7872350000, -0.9158158000", \
"0.0153464000, 0.0094772000, 0.0081035000, -0.0048327000, -0.0557311000, -0.1296374000, -0.2591393000, -0.3739928000, -0.6536185000, -0.7858613000, -0.9144421000", \
"0.0246204000, 0.0175306000, 0.0161569000, 0.0032207000, -0.0488984000, -0.1228047000, -0.2523066000, -0.3671601000, -0.6467858000, -0.7802494000, -0.9088302000", \
"0.0620911000, 0.0537806000, 0.0511862000, 0.0370293000, -0.0138691000, -0.0877754000, -0.2197188000, -0.3370137000, -0.6178600000, -0.7513236000, -0.8811251000", \
"0.0981556000, 0.0898451000, 0.0872507000, 0.0730937000, 0.0246367000, -0.0480488000, -0.1824336000, -0.2997285000, -0.5866784000, -0.7213626000, -0.8511642000", \
"0.1385462000, 0.1290150000, 0.1264206000, 0.1134844000, 0.0662480000, -0.0052168000, -0.1359395000, -0.2556758000, -0.5438464000, -0.6809720000, -0.8144356000", \
"0.1594056000, 0.1510950000, 0.1485007000, 0.1355645000, 0.0907695000, 0.0205254000, -0.1101973000, -0.2287129000, -0.5181042000, -0.6564505000, -0.7886935000", \
"0.1863457000, 0.1768145000, 0.1742201000, 0.1625046000, 0.1189303000, 0.0535690000, -0.0747122000, -0.1920072000, -0.4838399000, -0.6270690000, -0.7544291000", \
"0.1904147000, 0.1821042000, 0.1795098000, 0.1665736000, 0.1242201000, 0.0588587000, -0.0657604000, -0.1842760000, -0.4785502000, -0.6120137000, -0.7454773000", \
"0.1908217000, 0.1812904000, 0.1786960000, 0.1669806000, 0.1234063000, 0.0604864000, -0.0616913000, -0.1777655000, -0.4683775000, -0.6079446000, -0.7414082000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0020000000, -0.0014277000, -0.0028014000, -0.0096341000, -0.0239115000, -0.0245755000, 0.0021725000, 0.0447897000, 0.1972930000, 0.2859974000, 0.3795845000", \
"0.0054277000, 0.0007793000, -0.0005944000, -0.0074271000, -0.0217044000, -0.0223685000, 0.0043796000, 0.0469967000, 0.1995000000, 0.2894251000, 0.3830123000", \
"0.0055807000, 0.0021530000, 0.0007793000, -0.0072741000, -0.0203307000, -0.0209948000, 0.0057533000, 0.0483704000, 0.2008737000, 0.2895781000, 0.3831653000", \
"0.0124134000, 0.0089857000, 0.0076120000, -0.0004414000, -0.0134980000, -0.0141621000, 0.0125859000, 0.0539824000, 0.2077064000, 0.2964108000, 0.3899980000", \
"0.0352357000, 0.0318079000, 0.0304342000, 0.0223809000, 0.0081035000, 0.0049980000, 0.0268633000, 0.0694805000, 0.2158802000, 0.2997018000, 0.3920683000", \
"0.0542103000, 0.0495618000, 0.0481882000, 0.0413555000, 0.0246367000, 0.0190898000, 0.0360723000, 0.0725859000, 0.2092201000, 0.2918210000, 0.3780839000", \
"0.0726283000, 0.0692005000, 0.0678268000, 0.0597734000, 0.0418340000, 0.0314043000, 0.0386211000, 0.0653691000, 0.1861341000, 0.2626315000, 0.3427909000", \
"0.0825013000, 0.0778529000, 0.0764792000, 0.0696465000, 0.0504863000, 0.0351738000, 0.0362871000, 0.0581523000, 0.1642689000, 0.2334421000, 0.3074980000", \
"0.0850273000, 0.0803789000, 0.0790052000, 0.0721725000, 0.0505710000, 0.0291549000, 0.0143991000, 0.0252780000, 0.1045391000, 0.1615052000, 0.2257955000", \
"0.0793307000, 0.0746823000, 0.0733086000, 0.0664759000, 0.0448743000, 0.0210169000, 0.0013783000, 0.0061536000, 0.0756491000, 0.1277324000, 0.1871399000", \
"0.0711928000, 0.0665443000, 0.0651707000, 0.0583380000, 0.0367364000, 0.0104376000, -0.0153046000, -0.0141913000, 0.0455385000, 0.0939597000, 0.1497051000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("Q") {
direction : "output";
function : "IQ";
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083700000, 0.0167400000, 0.0334800000, 0.0669500000, 0.1339200000, 0.2678400000, 0.5356800000");
values("-0.1379181000, 0.0577921000, 0.1874596000, 0.3194977000, 0.2922776000, -0.2358683000, -1.8755175000, -5.6699319000", \
"-0.1383691000, 0.0575808000, 0.1876136000, 0.3195438000, 0.2932759000, -0.2341426000, -1.8743300000, -5.6684876000", \
"-0.1388591000, 0.0570374000, 0.1870778000, 0.3194461000, 0.2927713000, -0.2350288000, -1.8740965000, -5.6682573000", \
"-0.1435026000, 0.0522731000, 0.1821818000, 0.3137939000, 0.2873099000, -0.2407392000, -1.8806627000, -5.6750026000", \
"-0.1580680000, 0.0429175000, 0.1765768000, 0.3150730000, 0.2957639000, -0.2241087000, -1.8570511000, -5.6481729000", \
"-0.2020719000, 0.0090471000, 0.1503894000, 0.3003064000, 0.2963137000, -0.2085699000, -1.8307008000, -5.6130389000", \
"-0.2900934000, -0.0564780000, 0.1035378000, 0.2805379000, 0.3111826000, -0.1603124000, -1.7541846000, -5.5192348000", \
"-0.3877217000, -0.1306592000, 0.0479600000, 0.2528553000, 0.3183294000, -0.1173013000, -1.6837787000, -5.4288717000", \
"-0.6598403000, -0.3374232000, -0.1068789000, 0.1764743000, 0.3393447000, 0.0016888000, -1.4873357000, -5.1805055000", \
"-0.8010957000, -0.4444038000, -0.1857325000, 0.1395635000, 0.3534987000, 0.0623432000, -1.3847512000, -5.0511114000", \
"-0.9423542000, -0.5513982000, -0.2655627000, 0.0992821000, 0.3651330000, 0.1219733000, -1.2853615000, -4.9249056000");
}
related_pin : "CLK";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083700000, 0.0167400000, 0.0334800000, 0.0669500000, 0.1339200000, 0.2678400000, 0.5356800000");
values("-0.1379153000, -0.1391739000, -0.1162916000, -0.0195777000, 0.2858602000, 1.0973915000, 2.9451738000, 6.8502126000", \
"-0.1383665000, -0.1392744000, -0.1161611000, -0.0193542000, 0.2872130000, 1.0995038000, 2.9497589000, 6.8592354000", \
"-0.1388565000, -0.1405156000, -0.1180329000, -0.0217551000, 0.2832703000, 1.0946243000, 2.9435176000, 6.8453204000", \
"-0.1434994000, -0.1447109000, -0.1216823000, -0.0250402000, 0.2805029000, 1.0927219000, 2.9390733000, 6.8487168000", \
"-0.1580650000, -0.1541056000, -0.1271411000, -0.0242036000, 0.2891934000, 1.1087677000, 2.9624348000, 6.8757120000", \
"-0.2020689000, -0.1866343000, -0.1503349000, -0.0340227000, 0.2969538000, 1.1333067000, 3.0013187000, 6.9241861000", \
"-0.2900907000, -0.2488828000, -0.1920786000, -0.0452969000, 0.3235043000, 1.1986780000, 3.1001913000, 7.0414405000", \
"-0.3877189000, -0.3197582000, -0.2417854000, -0.0628327000, 0.3458662000, 1.2592226000, 3.1893177000, 7.1483741000", \
"-0.6598380000, -0.5170986000, -0.3795716000, -0.1122175000, 0.4072787000, 1.4321713000, 3.4503379000, 7.4736387000", \
"-0.8010957000, -0.6204477000, -0.4525338000, -0.1395848000, 0.4402533000, 1.5171147000, 3.5825299000, 7.6350065000", \
"-0.9423542000, -0.7239258000, -0.5261102000, -0.1680308000, 0.4680633000, 1.5993946000, 3.7106280000, 7.7909977000");
}
}
max_capacitance : 0.5350210000;
max_transition : 3.7599640000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083700000, 0.0167400000, 0.0334800000, 0.0669500000, 0.1339200000, 0.2678400000, 0.5356800000");
values("0.3748453000, 0.4340511000, 0.4824028000, 0.5729552000, 0.7502781000, 1.1050308000, 1.8157638000, 3.2388381000", \
"0.3749539000, 0.4341550000, 0.4824953000, 0.5731323000, 0.7502483000, 1.1052360000, 1.8158542000, 3.2378353000", \
"0.3748986000, 0.4340813000, 0.4824283000, 0.5729596000, 0.7501842000, 1.1049857000, 1.8157156000, 3.2390008000", \
"0.3866636000, 0.4458210000, 0.4941644000, 0.5847158000, 0.7618790000, 1.1168605000, 1.8274112000, 3.2504808000", \
"0.4191234000, 0.4783330000, 0.5266638000, 0.6172982000, 0.7945822000, 1.1493463000, 1.8600325000, 3.2829335000", \
"0.4582817000, 0.5174670000, 0.5657868000, 0.6563418000, 0.8336494000, 1.1884834000, 1.8991468000, 3.3228547000", \
"0.5053712000, 0.5645592000, 0.6127778000, 0.7033211000, 0.8804280000, 1.2354530000, 1.9460389000, 3.3689811000", \
"0.5334896000, 0.5926037000, 0.6409446000, 0.7314838000, 0.9088286000, 1.2634612000, 1.9740996000, 3.3976215000", \
"0.5668612000, 0.6260017000, 0.6742421000, 0.7647220000, 0.9420140000, 1.2967741000, 2.0073498000, 3.4305101000", \
"0.5714836000, 0.6306445000, 0.6788758000, 0.7693176000, 0.9466040000, 1.3011734000, 2.0120432000, 3.4344130000", \
"0.5697596000, 0.6290035000, 0.6771443000, 0.7676144000, 0.9447247000, 1.2996223000, 2.0103801000, 3.4322556000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083700000, 0.0167400000, 0.0334800000, 0.0669500000, 0.1339200000, 0.2678400000, 0.5356800000");
values("0.3376395000, 0.3855830000, 0.4236465000, 0.4964674000, 0.6398220000, 0.9264099000, 1.4992291000, 2.6479285000", \
"0.3378259000, 0.3855486000, 0.4236473000, 0.4964908000, 0.6399222000, 0.9264502000, 1.4992287000, 2.6469891000", \
"0.3413238000, 0.3892567000, 0.4273286000, 0.5001434000, 0.6431588000, 0.9300166000, 1.5033819000, 2.6513653000", \
"0.3493340000, 0.3971479000, 0.4351905000, 0.5079974000, 0.6513901000, 0.9376502000, 1.5111676000, 2.6579621000", \
"0.3820584000, 0.4300098000, 0.4680604000, 0.5408772000, 0.6839543000, 0.9708173000, 1.5442879000, 2.6922531000", \
"0.4217660000, 0.4696248000, 0.5076928000, 0.5805235000, 0.7238820000, 1.0103929000, 1.5832160000, 2.7329530000", \
"0.4733382000, 0.5215082000, 0.5594620000, 0.6321583000, 0.7750150000, 1.0619445000, 1.6346722000, 2.7828135000", \
"0.5056349000, 0.5540334000, 0.5919402000, 0.6644941000, 0.8077081000, 1.0937035000, 1.6674302000, 2.8149147000", \
"0.5505778000, 0.5997612000, 0.6378188000, 0.7102127000, 0.8528745000, 1.1394518000, 1.7117531000, 2.8609259000", \
"0.5604706000, 0.6099434000, 0.6479304000, 0.7203232000, 0.8627705000, 1.1493824000, 1.7214578000, 2.8685097000", \
"0.5642080000, 0.6142557000, 0.6522740000, 0.7246957000, 0.8672013000, 1.1536627000, 1.7255801000, 2.8741041000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083700000, 0.0167400000, 0.0334800000, 0.0669500000, 0.1339200000, 0.2678400000, 0.5356800000");
values("0.0393110000, 0.0944751000, 0.1472409000, 0.2577063000, 0.4861766000, 0.9494689000, 1.8831530000, 3.7569263000", \
"0.0392625000, 0.0944301000, 0.1474225000, 0.2578107000, 0.4853312000, 0.9522066000, 1.8862880000, 3.7599635000", \
"0.0390944000, 0.0944885000, 0.1472624000, 0.2574420000, 0.4853199000, 0.9497130000, 1.8854143000, 3.7539998000", \
"0.0393945000, 0.0945383000, 0.1474272000, 0.2578684000, 0.4852918000, 0.9525688000, 1.8869359000, 3.7598569000", \
"0.0390168000, 0.0944476000, 0.1474384000, 0.2573371000, 0.4861516000, 0.9521466000, 1.8862372000, 3.7558974000", \
"0.0394129000, 0.0945420000, 0.1476849000, 0.2578926000, 0.4862936000, 0.9497987000, 1.8871740000, 3.7489523000", \
"0.0395454000, 0.0943690000, 0.1474019000, 0.2575710000, 0.4865823000, 0.9495940000, 1.8869386000, 3.7482737000", \
"0.0394665000, 0.0944607000, 0.1472694000, 0.2573240000, 0.4866149000, 0.9523824000, 1.8867874000, 3.7446846000", \
"0.0394806000, 0.0945623000, 0.1473407000, 0.2575326000, 0.4860355000, 0.9498531000, 1.8818282000, 3.7446886000", \
"0.0394768000, 0.0946651000, 0.1474049000, 0.2573956000, 0.4855003000, 0.9496570000, 1.8838198000, 3.7546721000", \
"0.0396881000, 0.0948293000, 0.1476347000, 0.2577110000, 0.4862714000, 0.9498994000, 1.8858821000, 3.7547303000");
}
related_pin : "CLK";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083700000, 0.0167400000, 0.0334800000, 0.0669500000, 0.1339200000, 0.2678400000, 0.5356800000");
values("0.0371356000, 0.0838046000, 0.1326438000, 0.2351254000, 0.4460506000, 0.8763222000, 1.7313382000, 3.4474432000", \
"0.0372600000, 0.0837374000, 0.1328763000, 0.2352697000, 0.4457337000, 0.8762585000, 1.7322702000, 3.4492280000", \
"0.0371632000, 0.0837995000, 0.1325715000, 0.2351264000, 0.4470277000, 0.8746573000, 1.7364532000, 3.4468319000", \
"0.0371306000, 0.0837252000, 0.1326958000, 0.2350576000, 0.4468666000, 0.8772255000, 1.7315992000, 3.4488468000", \
"0.0371282000, 0.0838068000, 0.1326821000, 0.2351057000, 0.4467850000, 0.8755274000, 1.7322020000, 3.4511300000", \
"0.0375019000, 0.0840006000, 0.1330505000, 0.2353337000, 0.4459251000, 0.8762395000, 1.7318905000, 3.4560881000", \
"0.0379370000, 0.0844243000, 0.1335132000, 0.2351836000, 0.4468425000, 0.8761853000, 1.7359236000, 3.4476655000", \
"0.0388073000, 0.0851333000, 0.1336912000, 0.2354155000, 0.4466619000, 0.8749226000, 1.7323339000, 3.4491323000", \
"0.0408977000, 0.0873534000, 0.1351622000, 0.2362614000, 0.4462424000, 0.8762600000, 1.7370699000, 3.4505552000", \
"0.0423220000, 0.0883052000, 0.1359642000, 0.2368274000, 0.4466985000, 0.8762548000, 1.7336259000, 3.4664620000", \
"0.0432463000, 0.0892511000, 0.1371382000, 0.2370787000, 0.4469025000, 0.8761865000, 1.7324935000, 3.4479348000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
}
pin ("Q_N") {
direction : "output";
function : "IQ_N";
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170100000, 0.0340200000, 0.0680400000, 0.1360900000, 0.2721900000, 0.5443700000");
values("-0.1379161000, 0.0570549000, 0.1868242000, 0.3182717000, 0.2856437000, -0.2535473000, -1.9232375000, -5.7803126000", \
"-0.1383673000, 0.0562669000, 0.1857025000, 0.3159625000, 0.2835777000, -0.2568292000, -1.9270056000, -5.7851618000", \
"-0.1388570000, 0.0559031000, 0.1851969000, 0.3157170000, 0.2831215000, -0.2572133000, -1.9269134000, -5.7844120000", \
"-0.1435004000, 0.0515450000, 0.1812951000, 0.3125304000, 0.2809649000, -0.2571186000, -1.9260525000, -5.7833820000", \
"-0.1580656000, 0.0418383000, 0.1758261000, 0.3122706000, 0.2876218000, -0.2442897000, -1.9085787000, -5.7619428000", \
"-0.2020697000, 0.0094622000, 0.1524784000, 0.3030317000, 0.2957874000, -0.2191413000, -1.8688370000, -5.7130727000", \
"-0.2900914000, -0.0529511000, 0.1105197000, 0.2920282000, 0.3229239000, -0.1534446000, -1.7715704000, -5.5959268000", \
"-0.3877199000, -0.1235089000, 0.0612176000, 0.2747431000, 0.3468825000, -0.0895187000, -1.6754829000, -5.4779687000", \
"-0.6598386000, -0.3213472000, -0.0773967000, 0.2252832000, 0.4078043000, 0.0825668000, -1.4152418000, -5.1589997000", \
"-0.8010957000, -0.4240478000, -0.1488544000, 0.1996855000, 0.4395819000, 0.1659580000, -1.2865726000, -5.0000039000", \
"-0.9423542000, -0.5277506000, -0.2223424000, 0.1711869000, 0.4677672000, 0.2488905000, -1.1587449000, -4.8422745000");
}
related_pin : "CLK";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170100000, 0.0340200000, 0.0680400000, 0.1360900000, 0.2721900000, 0.5443700000");
values("-0.1379154000, -0.1401431000, -0.1173355000, -0.0195256000, 0.2921703000, 1.1152789000, 2.9926546000, 6.9617762000", \
"-0.1383666000, -0.1402575000, -0.1169857000, -0.0184708000, 0.2932542000, 1.1170651000, 2.9947885000, 6.9639619000", \
"-0.1388565000, -0.1414301000, -0.1188425000, -0.0211284000, 0.2898394000, 1.1119591000, 2.9904651000, 6.9574590000", \
"-0.1434995000, -0.1455998000, -0.1226065000, -0.0244142000, 0.2871587000, 1.1108640000, 2.9886692000, 6.9570847000", \
"-0.1580649000, -0.1551075000, -0.1281657000, -0.0240557000, 0.2952675000, 1.1265083000, 3.0153093000, 6.9839852000", \
"-0.2020691000, -0.1891606000, -0.1542460000, -0.0383277000, 0.2966979000, 1.1414676000, 3.0373423000, 7.0181826000", \
"-0.2900907000, -0.2545078000, -0.2013760000, -0.0582197000, 0.3101197000, 1.1902532000, 3.1120757000, 7.1126637000", \
"-0.3877188000, -0.3287389000, -0.2569898000, -0.0854165000, 0.3177230000, 1.2319608000, 3.1831132000, 7.2055855000", \
"-0.6598375000, -0.5353498000, -0.4112662000, -0.1612089000, 0.3406245000, 1.3540843000, 3.3806761000, 7.4517323000", \
"-0.8010957000, -0.6428567000, -0.4917574000, -0.2012221000, 0.3541715000, 1.4137305000, 3.4875045000, 7.5798274000", \
"-0.9423542000, -0.7505568000, -0.5726689000, -0.2420041000, 0.3651211000, 1.4729024000, 3.5872031000, 7.7116539000");
}
}
max_capacitance : 0.5430320000;
max_transition : 3.7633710000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170100000, 0.0340200000, 0.0680400000, 0.1360900000, 0.2721900000, 0.5443700000");
values("0.4185398000, 0.4724386000, 0.5179463000, 0.6069374000, 0.7848578000, 1.1412675000, 1.8551434000, 3.2830456000", \
"0.4207577000, 0.4746628000, 0.5202193000, 0.6091250000, 0.7870893000, 1.1435711000, 1.8574174000, 3.2858416000", \
"0.4222417000, 0.4761523000, 0.5216413000, 0.6105250000, 0.7885438000, 1.1450183000, 1.8588118000, 3.2869043000", \
"0.4301404000, 0.4840341000, 0.5295439000, 0.6184459000, 0.7963102000, 1.1529103000, 1.8666968000, 3.2956842000", \
"0.4630026000, 0.5168005000, 0.5623825000, 0.6512090000, 0.8292467000, 1.1857999000, 1.8992705000, 3.3274046000", \
"0.5034811000, 0.5572727000, 0.6029107000, 0.6917299000, 0.8697581000, 1.2262771000, 1.9398441000, 3.3690464000", \
"0.5549551000, 0.6088166000, 0.6543074000, 0.7431242000, 0.9211076000, 1.2775745000, 1.9914459000, 3.4194170000", \
"0.5872265000, 0.6410960000, 0.6866391000, 0.7756598000, 0.9534356000, 1.3097816000, 2.0236348000, 3.4511658000", \
"0.6333183000, 0.6872253000, 0.7325985000, 0.8214102000, 0.9991717000, 1.3556957000, 2.0692146000, 3.4980812000", \
"0.6438576000, 0.6977329000, 0.7431028000, 0.8318916000, 1.0096566000, 1.3661140000, 2.0799027000, 3.5083756000", \
"0.6482945000, 0.7021411000, 0.7475053000, 0.8363014000, 1.0140392000, 1.3704461000, 2.0842332000, 3.5114114000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170100000, 0.0340200000, 0.0680400000, 0.1360900000, 0.2721900000, 0.5443700000");
values("0.4376587000, 0.4828083000, 0.5206465000, 0.5953865000, 0.7456687000, 1.0451580000, 1.6463799000, 2.8513070000", \
"0.4377629000, 0.4829097000, 0.5207380000, 0.5955219000, 0.7456369000, 1.0453939000, 1.6464845000, 2.8512348000", \
"0.4413649000, 0.4865226000, 0.5243592000, 0.5991088000, 0.7497254000, 1.0492597000, 1.6504147000, 2.8527669000", \
"0.4493569000, 0.4945052000, 0.5323412000, 0.6070919000, 0.7568061000, 1.0570872000, 1.6582155000, 2.8624869000", \
"0.4823152000, 0.5274482000, 0.5653165000, 0.6400154000, 0.7904423000, 1.0897874000, 1.6910615000, 2.8963936000", \
"0.5209331000, 0.5660743000, 0.6039093000, 0.6786729000, 0.8289323000, 1.1287737000, 1.7299397000, 2.9325415000", \
"0.5686018000, 0.6135749000, 0.6513460000, 0.7260209000, 0.8760657000, 1.1762900000, 1.7769368000, 2.9820275000", \
"0.5964816000, 0.6416180000, 0.6793254000, 0.7542496000, 0.9037842000, 1.2042157000, 1.8051662000, 3.0109362000", \
"0.6293796000, 0.6744464000, 0.7122871000, 0.7867946000, 0.9374882000, 1.2371868000, 1.8377845000, 3.0428344000", \
"0.6337862000, 0.6788095000, 0.7164715000, 0.7912307000, 0.9412074000, 1.2413907000, 1.8428266000, 3.0447989000", \
"0.6326636000, 0.6774624000, 0.7152378000, 0.7900356000, 0.9402201000, 1.2401888000, 1.8415519000, 3.0441609000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170100000, 0.0340200000, 0.0680400000, 0.1360900000, 0.2721900000, 0.5443700000");
values("0.0290034000, 0.0830406000, 0.1371100000, 0.2519414000, 0.4852891000, 0.9499319000, 1.8890529000, 3.7554604000", \
"0.0291325000, 0.0829388000, 0.1370663000, 0.2513692000, 0.4853386000, 0.9503539000, 1.8891622000, 3.7615071000", \
"0.0292843000, 0.0829488000, 0.1369734000, 0.2518946000, 0.4852058000, 0.9493690000, 1.8895079000, 3.7560910000", \
"0.0298617000, 0.0830309000, 0.1370460000, 0.2518313000, 0.4825496000, 0.9503359000, 1.8867237000, 3.7590846000", \
"0.0290391000, 0.0827896000, 0.1370719000, 0.2516636000, 0.4840986000, 0.9503476000, 1.8876856000, 3.7633709000", \
"0.0293685000, 0.0829830000, 0.1370773000, 0.2515071000, 0.4854057000, 0.9503438000, 1.8885831000, 3.7626613000", \
"0.0299836000, 0.0830993000, 0.1369211000, 0.2515265000, 0.4849970000, 0.9500204000, 1.8896991000, 3.7599408000", \
"0.0293094000, 0.0830234000, 0.1369316000, 0.2519929000, 0.4828166000, 0.9504685000, 1.8897151000, 3.7631827000", \
"0.0294563000, 0.0831932000, 0.1371916000, 0.2518911000, 0.4844104000, 0.9504206000, 1.8854593000, 3.7603956000", \
"0.0295814000, 0.0832489000, 0.1372042000, 0.2518675000, 0.4849269000, 0.9503758000, 1.8846724000, 3.7573238000", \
"0.0297387000, 0.0833430000, 0.1371444000, 0.2510766000, 0.4846908000, 0.9504288000, 1.8857136000, 3.7602113000");
}
related_pin : "CLK";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170100000, 0.0340200000, 0.0680400000, 0.1360900000, 0.2721900000, 0.5443700000");
values("0.0302741000, 0.0791733000, 0.1315357000, 0.2412640000, 0.4661862000, 0.9184535000, 1.8183168000, 3.6199217000", \
"0.0301662000, 0.0791589000, 0.1314510000, 0.2421914000, 0.4658796000, 0.9183143000, 1.8182046000, 3.6209846000", \
"0.0302292000, 0.0791716000, 0.1314964000, 0.2412235000, 0.4659865000, 0.9181890000, 1.8145876000, 3.6183607000", \
"0.0302585000, 0.0791710000, 0.1314911000, 0.2412212000, 0.4659009000, 0.9184985000, 1.8174997000, 3.6217649000", \
"0.0303545000, 0.0791997000, 0.1316522000, 0.2413827000, 0.4662484000, 0.9184490000, 1.8173196000, 3.6197378000", \
"0.0302170000, 0.0791663000, 0.1314375000, 0.2411866000, 0.4662108000, 0.9172158000, 1.8153152000, 3.6196861000", \
"0.0301850000, 0.0790428000, 0.1314353000, 0.2418542000, 0.4665847000, 0.9183823000, 1.8186066000, 3.6210008000", \
"0.0305109000, 0.0790955000, 0.1313419000, 0.2417230000, 0.4675896000, 0.9176676000, 1.8156922000, 3.6171677000", \
"0.0302588000, 0.0791761000, 0.1316538000, 0.2417576000, 0.4662550000, 0.9185913000, 1.8167791000, 3.6220182000", \
"0.0302043000, 0.0791115000, 0.1312877000, 0.2412423000, 0.4665770000, 0.9186383000, 1.8162106000, 3.6179213000", \
"0.0301137000, 0.0791063000, 0.1317608000, 0.2419730000, 0.4664146000, 0.9164420000, 1.8210655000, 3.6164682000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
}
}
cell ("sky130_fd_sc_hvl__dfxtp_1") {
leakage_power () {
value : 15.959265900;
when : "D&CLK";
}
leakage_power () {
value : 12.424122200;
when : "!D&!CLK";
}
leakage_power () {
value : 16.531032500;
when : "!D&CLK";
}
leakage_power () {
value : 12.239073800;
when : "D&!CLK";
}
area : 48.840000000;
cell_footprint : "sky130_fd_sc_hvl__dfxtp";
cell_leakage_power : 14.288370000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
ff ("IQ","IQ_N") {
clocked_on : "CLK";
next_state : "D";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("CLK") {
capacitance : 0.0027210000;
clock : "true";
direction : "input";
fall_capacitance : 0.0026920000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3284589000, 0.3284862000, 0.3285183000, 0.3408094000, 0.3793494000, 0.4699812000, 0.6512746000, 0.8464896000, 1.3906141000, 1.6730565000, 1.9554988000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2391322000, 0.2436832000, 0.2486154000, 0.2581515000, 0.2880518000, 0.3760413000, 0.5520427000, 0.7478313000, 1.2935417000, 1.5768108000, 1.8600798000");
}
}
max_transition : 3.7500000000;
min_pulse_width_high : 0.1874010000;
min_pulse_width_low : 0.2390360000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0027500000;
}
pin ("D") {
capacitance : 0.0024130000;
clock : "false";
direction : "input";
fall_capacitance : 0.0023070000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0589269000, 0.0626557000, 0.0666976000, 0.0783440000, 0.1148569000, 0.1791513000, 0.3077658000, 0.4374368000, 0.7988811000, 0.9864955000, 1.1741098000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0014128000, -0.0016396000, -0.0018829000, 0.0087353000, 0.0420313000, 0.1056520000, 0.2329198000, 0.3627510000, 0.7246425000, 0.9124888000, 1.1003349000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0025180000;
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0728008000, 0.0786699000, 0.0812643000, 0.0942005000, 0.1463197000, 0.2263294000, 0.3655970000, 0.4889954000, 0.7905937000, 0.9350436000, 1.0746108000", \
"0.0693730000, 0.0752422000, 0.0778366000, 0.0907728000, 0.1441126000, 0.2229017000, 0.3633900000, 0.4867884000, 0.7883867000, 0.9328366000, 1.0724038000", \
"0.0679994000, 0.0738685000, 0.0764629000, 0.0906198000, 0.1427389000, 0.2215280000, 0.3620163000, 0.4854147000, 0.7870130000, 0.9314629000, 1.0710301000", \
"0.0611667000, 0.0658151000, 0.0696302000, 0.0825664000, 0.1359062000, 0.2134746000, 0.3539629000, 0.4773613000, 0.7801804000, 0.9246302000, 1.0641973000", \
"0.0322409000, 0.0381100000, 0.0407044000, 0.0536406000, 0.1069805000, 0.1882109000, 0.3286992000, 0.4533184000, 0.7536960000, 0.8969251000, 1.0352716000", \
"-0.0001615000, 0.0057077000, 0.0083021000, 0.0224590000, 0.0745781000, 0.1533672000, 0.2975176000, 0.4245781000, 0.7273971000, 0.8694056000, 1.0053107000", \
"-0.0344486000, -0.0285794000, -0.0259850000, -0.0130488000, 0.0366289000, 0.1141973000, 0.2571269000, 0.3866289000, 0.6955514000, 0.8387806000, 0.9759063000", \
"-0.0528665000, -0.0457767000, -0.0431823000, -0.0314668000, 0.0182109000, 0.0933379000, 0.2362676000, 0.3645488000, 0.6746921000, 0.8191419000, 0.9574884000", \
"-0.0639375000, -0.0568477000, -0.0542533000, -0.0425378000, 0.0046986000, 0.0761634000, 0.2178724000, 0.3461537000, 0.6575176000, 0.8031882000, 0.9415346000", \
"-0.0582409000, -0.0523717000, -0.0497773000, -0.0368411000, 0.0091745000, 0.0806393000, 0.2211276000, 0.3506295000, 0.6619935000, 0.8076640000, 0.9460105000", \
"-0.0488822000, -0.0417924000, -0.0404187000, -0.0274825000, 0.0185331000, 0.0887773000, 0.2292655000, 0.3587675000, 0.6701314000, 0.8158020000, 0.9553692000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0581523000, 0.0579180000, 0.0592917000, 0.0710072000, 0.0938294000, 0.1103626000, 0.1129115000, 0.0947083000, 0.0032402000, -0.0573880000, -0.1228990000", \
"0.0559453000, 0.0557109000, 0.0570846000, 0.0688001000, 0.0928431000, 0.1081556000, 0.1094837000, 0.0925013000, 0.0010332000, -0.0595951000, -0.1238853000", \
"0.0545716000, 0.0555579000, 0.0557109000, 0.0674264000, 0.0902487000, 0.1067819000, 0.1093307000, 0.0911276000, -0.0003405000, -0.0609687000, -0.1264797000", \
"0.0489596000, 0.0511667000, 0.0537611000, 0.0605937000, 0.0834160000, 0.0987285000, 0.1000566000, 0.0818535000, -0.0096146000, -0.0702428000, -0.1357538000", \
"0.0310202000, 0.0332272000, 0.0358216000, 0.0438750000, 0.0630352000, 0.0771270000, 0.0747930000, 0.0553691000, -0.0385404000, -0.1003893000, -0.1646796000", \
"0.0169284000, 0.0191354000, 0.0217298000, 0.0297832000, 0.0489434000, 0.0618145000, 0.0582598000, 0.0388359000, -0.0562943000, -0.1169225000, -0.1824335000", \
"0.0033932000, 0.0056003000, 0.0081947000, 0.0150273000, 0.0354082000, 0.0507207000, 0.0520488000, 0.0338457000, -0.0576224000, -0.1182507000, -0.1837616000", \
"-0.0015970000, 0.0006100000, 0.0019837000, 0.0100371000, 0.0316387000, 0.0493926000, 0.0556035000, 0.0410625000, -0.0430814000, -0.1012682000, -0.1643378000", \
"0.0056426000, 0.0078496000, 0.0104440000, 0.0172767000, 0.0400990000, 0.0639564000, 0.0823744000, 0.0775990000, 0.0142070000, -0.0354349000, -0.0936217000", \
"0.0174427000, 0.0196497000, 0.0222441000, 0.0302975000, 0.0518991000, 0.0781979000, 0.1014987000, 0.1003854000, 0.0467591000, 0.0007793000, -0.0525247000", \
"0.0316842000, 0.0351119000, 0.0364856000, 0.0445390000, 0.0673612000, 0.0936601000, 0.1218437000, 0.1243925000, 0.0780904000, 0.0369934000, -0.0126484000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0032207000, -0.0002070000, -0.0028014000, -0.0157376000, -0.0654154000, -0.1368802000, -0.2614994000, -0.3702493000, -0.6364472000, -0.7625866000, -0.8838432000", \
"0.0042070000, 0.0007793000, -0.0018151000, -0.0135306000, -0.0632083000, -0.1346732000, -0.2592923000, -0.3680423000, -0.6330195000, -0.7591589000, -0.8804155000", \
"0.0068014000, 0.0021530000, -0.0004414000, -0.0121569000, -0.0618346000, -0.1332995000, -0.2579186000, -0.3666686000, -0.6328665000, -0.7602266000, -0.8802624000", \
"0.0160755000, 0.0102064000, 0.0088327000, -0.0041035000, -0.0550020000, -0.1264668000, -0.2510859000, -0.3598360000, -0.6260338000, -0.7521732000, -0.8746505000", \
"0.0486634000, 0.0427943000, 0.0401999000, 0.0272637000, -0.0224141000, -0.0938789000, -0.2221602000, -0.3309101000, -0.5995495000, -0.7269095000, -0.8506075000", \
"0.0810658000, 0.0751966000, 0.0726022000, 0.0596660000, 0.0124297000, -0.0578145000, -0.1860957000, -0.2985078000, -0.5708092000, -0.6993900000, -0.8243087000", \
"0.1153529000, 0.1082630000, 0.1056686000, 0.0927324000, 0.0491582000, -0.0186445000, -0.1444844000, -0.2581172000, -0.5340807000, -0.6663236000, -0.7912422000", \
"0.1325501000, 0.1254603000, 0.1228659000, 0.1111504000, 0.0687969000, 0.0034355000, -0.1211836000, -0.2335957000, -0.5095592000, -0.6418021000, -0.7728243000", \
"0.1521660000, 0.1450762000, 0.1424818000, 0.1307663000, 0.0896335000, 0.0279342000, -0.0893607000, -0.2005521000, -0.4752949000, -0.6063171000, -0.7361186000", \
"0.1525729000, 0.1454831000, 0.1428887000, 0.1311732000, 0.0912611000, 0.0320033000, -0.0840710000, -0.1928210000, -0.4675638000, -0.5998067000, -0.7247253000", \
"0.1505385000, 0.1422279000, 0.1408542000, 0.1279181000, 0.0880059000, 0.0299688000, -0.0824433000, -0.1887519000, -0.4610533000, -0.5908547000, -0.7169941000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0114277000, -0.0124141000, -0.0125671000, -0.0193997000, -0.0373392000, -0.0416654000, -0.0210208000, 0.0179342000, 0.1606719000, 0.2457142000, 0.3331978000", \
"-0.0080000000, -0.0102070000, -0.0115807000, -0.0184134000, -0.0363529000, -0.0394583000, -0.0188138000, 0.0201413000, 0.1628789000, 0.2479212000, 0.3354049000", \
"-0.0066263000, -0.0100540000, -0.0114277000, -0.0182604000, -0.0349792000, -0.0380846000, -0.0174401000, 0.0202943000, 0.1642526000, 0.2492949000, 0.3367786000", \
"0.0002064000, -0.0020007000, -0.0033743000, -0.0114277000, -0.0281465000, -0.0324727000, -0.0106074000, 0.0271270000, 0.1710853000, 0.2561276000, 0.3423905000", \
"0.0205872000, 0.0183802000, 0.0170065000, 0.0077324000, -0.0089863000, -0.0157539000, 0.0048906000, 0.0401836000, 0.1780384000, 0.2594186000, 0.3444609000", \
"0.0371204000, 0.0336927000, 0.0323190000, 0.0242656000, 0.0063262000, -0.0028828000, 0.0104375000, 0.0408477000, 0.1689369000, 0.2466549000, 0.3280351000", \
"0.0530970000, 0.0496693000, 0.0482956000, 0.0402422000, 0.0198613000, 0.0057695000, 0.0093242000, 0.0336309000, 0.1458509000, 0.2162448000, 0.2927421000", \
"0.0605286000, 0.0571009000, 0.0557272000, 0.0476738000, 0.0260723000, 0.0083184000, 0.0045488000, 0.0239727000, 0.1215443000, 0.1870553000, 0.2586698000", \
"0.0593926000, 0.0571855000, 0.0545912000, 0.0477585000, 0.0249362000, -0.0001419000, -0.0197806000, -0.0125638000, 0.0605938000, 0.1138978000, 0.1745260000", \
"0.0536960000, 0.0502682000, 0.0488945000, 0.0408411000, 0.0180189000, -0.0095007000, -0.0352428000, -0.0329089000, 0.0292624000, 0.0789043000, 0.1346497000", \
"0.0443373000, 0.0409096000, 0.0395359000, 0.0327032000, 0.0086602000, -0.0200800000, -0.0507050000, -0.0532538000, -0.0008482000, 0.0451316000, 0.0959941000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("Q") {
direction : "output";
function : "IQ";
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0091000000, 0.0182000000, 0.0364100000, 0.0728200000, 0.1456300000, 0.2912600000, 0.5825200000");
values("0.4065315000, 0.2621048000, 0.1214743000, -0.1561883000, -0.7078248000, -1.8108436000, -4.0139186000, -8.420253800", \
"0.4049762000, 0.2608936000, 0.1201401000, -0.1574445000, -0.7098288000, -1.8114806000, -4.0148334000, -8.420221200", \
"0.3995740000, 0.2551792000, 0.1145549000, -0.1634178000, -0.7155327000, -1.8174377000, -4.0206720000, -8.425791900", \
"0.3946785000, 0.2500503000, 0.1095186000, -0.1680516000, -0.7195893000, -1.8225369000, -4.0253731000, -8.430687200", \
"0.4082021000, 0.2637035000, 0.1229028000, -0.1547916000, -0.7068013000, -1.8087723000, -4.0117924000, -8.417299200", \
"0.4130129000, 0.2684859000, 0.1279810000, -0.1496704000, -0.7016289000, -1.8041123000, -4.0069591000, -8.412190000", \
"0.4529069000, 0.2955327000, 0.1551380000, -0.1225639000, -0.6748333000, -1.7767990000, -3.9798334000, -8.384940500", \
"0.4712091000, 0.3131919000, 0.1712956000, -0.1075211000, -0.6595559000, -1.7615556000, -3.9644289000, -8.369747100", \
"0.5160782000, 0.3619192000, 0.2200645000, -0.0604786000, -0.6137078000, -1.7162193000, -3.9177092000, -8.322880900", \
"0.5395007000, 0.3807522000, 0.2388820000, -0.0405514000, -0.5947766000, -1.6973878000, -3.8979620000, -8.303313700", \
"0.5596497000, 0.4018014000, 0.2588049000, -0.0212261000, -0.5745272000, -1.6778851000, -3.8779733000, -8.283305400");
}
related_pin : "CLK";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0091000000, 0.0182000000, 0.0364100000, 0.0728200000, 0.1456300000, 0.2912600000, 0.5825200000");
values("0.3651939000, 0.5006557000, 0.6345977000, 0.9050028000, 1.4470854000, 2.5357388000, 4.7201379000, 9.074995800", \
"0.3634023000, 0.4988273000, 0.6328124000, 0.9030456000, 1.4456937000, 2.5379147000, 4.7167118000, 9.081279300", \
"0.3513963000, 0.4869134000, 0.6208790000, 0.8913507000, 1.4334860000, 2.5220510000, 4.7065744000, 9.061512800", \
"0.3460216000, 0.4810538000, 0.6152502000, 0.8855943000, 1.4288765000, 2.5211089000, 4.6986013000, 9.063682800", \
"0.3644009000, 0.5000816000, 0.6338481000, 0.9040894000, 1.4468162000, 2.5395365000, 4.7168087000, 9.081277800", \
"0.3778238000, 0.5134701000, 0.6471523000, 0.9174782000, 1.4596155000, 2.5496640000, 4.7320540000, 9.092854700", \
"0.4483393000, 0.5653999000, 0.6996434000, 0.9687216000, 1.5101356000, 2.6003022000, 4.7786354000, 9.141191800", \
"0.4957961000, 0.6125161000, 0.7430504000, 1.0073541000, 1.5522445000, 2.6367804000, 4.8140134000, 9.175204000", \
"0.6109587000, 0.7245638000, 0.8543233000, 1.1227412000, 1.6561425000, 2.7457249000, 4.9249104000, 9.282881400", \
"0.6652790000, 0.7777742000, 0.9075409000, 1.1740115000, 1.7156599000, 2.7962455000, 4.9766995000, 9.340087500", \
"0.7148880000, 0.8264092000, 0.9550678000, 1.2221083000, 1.7646479000, 2.8418088000, 5.0228116000, 9.388414100");
}
}
max_capacitance : 0.5350210000;
max_transition : 4.0799030000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0091000000, 0.0182000000, 0.0364100000, 0.0728200000, 0.1456300000, 0.2912600000, 0.5825200000");
values("0.3510143000, 0.4112625000, 0.4612322000, 0.5579099000, 0.7506782000, 1.1378991000, 1.9111095000, 3.4606740000", \
"0.3531721000, 0.4134754000, 0.4633889000, 0.5601105000, 0.7531272000, 1.1390334000, 1.9124651000, 3.4617245000", \
"0.3545426000, 0.4148131000, 0.4647638000, 0.5613845000, 0.7542565000, 1.1409648000, 1.9149040000, 3.4628886000", \
"0.3626009000, 0.4228571000, 0.4728460000, 0.5695395000, 0.7623430000, 1.1495540000, 1.9232294000, 3.4717176000", \
"0.3951488000, 0.4552760000, 0.5053150000, 0.6019781000, 0.7949699000, 1.1814011000, 1.9561783000, 3.5022141000", \
"0.4315857000, 0.4918315000, 0.5418193000, 0.6384970000, 0.8313527000, 1.2185197000, 1.9923621000, 3.5400856000", \
"0.4769165000, 0.5371346000, 0.5870430000, 0.6836123000, 0.8765917000, 1.2636302000, 2.0376201000, 3.5858130000", \
"0.5036049000, 0.5638212000, 0.6137094000, 0.7102731000, 0.9031624000, 1.2892112000, 2.0636362000, 3.6122896000", \
"0.5357662000, 0.5950591000, 0.6449271000, 0.7415409000, 0.9341677000, 1.3214414000, 2.0933850000, 3.6420986000", \
"0.5387960000, 0.5989660000, 0.6488715000, 0.7454182000, 0.9384578000, 1.3249210000, 2.0989053000, 3.6464682000", \
"0.5372024000, 0.5971973000, 0.6472271000, 0.7437607000, 0.9367470000, 1.3231747000, 2.0966868000, 3.6421790000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0091000000, 0.0182000000, 0.0364100000, 0.0728200000, 0.1456300000, 0.2912600000, 0.5825200000");
values("0.3008181000, 0.3500828000, 0.3899081000, 0.4677636000, 0.6237132000, 0.9359810000, 1.5603736000, 2.8088287000", \
"0.3007259000, 0.3499904000, 0.3898113000, 0.4676307000, 0.6232521000, 0.9346305000, 1.5598401000, 2.8129434000", \
"0.3042884000, 0.3535579000, 0.3933761000, 0.4712121000, 0.6271759000, 0.9395020000, 1.5639345000, 2.8119822000", \
"0.3121047000, 0.3610881000, 0.4008834000, 0.4790216000, 0.6343463000, 0.9471485000, 1.5751078000, 2.8219349000", \
"0.3448519000, 0.3939749000, 0.4337009000, 0.5118000000, 0.6670935000, 0.9799617000, 1.6078892000, 2.8542027000", \
"0.3826173000, 0.4317775000, 0.4715591000, 0.5492076000, 0.7052343000, 1.0173539000, 1.6418408000, 2.8900724000", \
"0.4297226000, 0.4790026000, 0.5188149000, 0.5963205000, 0.7517269000, 1.0640274000, 1.6890637000, 2.9394922000", \
"0.4588502000, 0.5083146000, 0.5480971000, 0.6254908000, 0.7813576000, 1.0921090000, 1.7167796000, 2.9692704000", \
"0.4979364000, 0.5483815000, 0.5882009000, 0.6654992000, 0.8206997000, 1.1323650000, 1.7562010000, 3.0073679000", \
"0.5058322000, 0.5565150000, 0.5963533000, 0.6734706000, 0.8285522000, 1.1405143000, 1.7654954000, 3.0102263000", \
"0.5082498000, 0.5593653000, 0.5993167000, 0.6764092000, 0.8317979000, 1.1432569000, 1.7684336000, 3.0143272000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0091000000, 0.0182000000, 0.0364100000, 0.0728200000, 0.1456300000, 0.2912600000, 0.5825200000");
values("0.0308264000, 0.0897291000, 0.1480805000, 0.2712234000, 0.5236580000, 1.0307701000, 2.0482800000, 4.0729504000", \
"0.0313288000, 0.0898227000, 0.1480666000, 0.2709404000, 0.5223371000, 1.0314877000, 2.0494857000, 4.0753338000", \
"0.0310096000, 0.0899826000, 0.1482144000, 0.2713045000, 0.5220825000, 1.0319361000, 2.0446058000, 4.0764596000", \
"0.0311625000, 0.0897383000, 0.1480907000, 0.2713748000, 0.5236069000, 1.0308991000, 2.0426225000, 4.0755288000", \
"0.0310429000, 0.0900647000, 0.1482157000, 0.2707271000, 0.5221150000, 1.0323515000, 2.0441524000, 4.0782062000", \
"0.0310337000, 0.0897072000, 0.1480759000, 0.2710720000, 0.5234318000, 1.0297972000, 2.0436255000, 4.0680397000", \
"0.0311325000, 0.0899973000, 0.1482022000, 0.2702143000, 0.5234884000, 1.0319086000, 2.0430706000, 4.0769974000", \
"0.0311663000, 0.0899748000, 0.1483112000, 0.2714937000, 0.5225056000, 1.0283723000, 2.0493993000, 4.0679855000", \
"0.0311693000, 0.0899365000, 0.1481537000, 0.2711800000, 0.5238638000, 1.0322968000, 2.0425857000, 4.0799031000", \
"0.0313026000, 0.0900095000, 0.1481069000, 0.2709171000, 0.5245859000, 1.0323723000, 2.0425424000, 4.0735331000", \
"0.0312951000, 0.0900860000, 0.1480270000, 0.2709026000, 0.5247036000, 1.0323160000, 2.0446886000, 4.0701496000");
}
related_pin : "CLK";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0091000000, 0.0182000000, 0.0364100000, 0.0728200000, 0.1456300000, 0.2912600000, 0.5825200000");
values("0.0307620000, 0.0812568000, 0.1352244000, 0.2484252000, 0.4811693000, 0.9469497000, 1.8819324000, 3.7503853000", \
"0.0308698000, 0.0812249000, 0.1352895000, 0.2482426000, 0.4813570000, 0.9470423000, 1.8848931000, 3.7577017000", \
"0.0307621000, 0.0812251000, 0.1352841000, 0.2481666000, 0.4810841000, 0.9466401000, 1.8822874000, 3.7498209000", \
"0.0308201000, 0.0812431000, 0.1350842000, 0.2489298000, 0.4814718000, 0.9481822000, 1.8816082000, 3.7522856000", \
"0.0308868000, 0.0813752000, 0.1350814000, 0.2489448000, 0.4814623000, 0.9476486000, 1.8820721000, 3.7477230000", \
"0.0309768000, 0.0815484000, 0.1354618000, 0.2489576000, 0.4808499000, 0.9479326000, 1.8818327000, 3.7499528000", \
"0.0316827000, 0.0819407000, 0.1359990000, 0.2485812000, 0.4813844000, 0.9474758000, 1.8796973000, 3.7487125000", \
"0.0323222000, 0.0826197000, 0.1360306000, 0.2491769000, 0.4810448000, 0.9479870000, 1.8832795000, 3.7439216000", \
"0.0343918000, 0.0842934000, 0.1373288000, 0.2496478000, 0.4803272000, 0.9482072000, 1.8845125000, 3.7453600000", \
"0.0353886000, 0.0851600000, 0.1378551000, 0.2504211000, 0.4812355000, 0.9474176000, 1.8828694000, 3.7463082000", \
"0.0361427000, 0.0860007000, 0.1385283000, 0.2501620000, 0.4812092000, 0.9469714000, 1.8824156000, 3.7451144000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
}
}
cell ("sky130_fd_sc_hvl__diode_2") {
leakage_power () {
value : 0.0034107000;
when : "DIODE";
}
leakage_power () {
value : 0.0021711000;
when : "!DIODE";
}
area : 3.9072000000;
cell_footprint : "sky130_fd_sc_hvl__diode";
cell_leakage_power : 0.0027909010;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("DIODE") {
capacitance : 0.0009090000;
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0083135000, 0.0071525000, 0.0058948000, 0.0058684000, 0.0057859000, 0.0059027000, 0.0061365000, 0.0061491000, 0.0061841000, 0.0062023000, 0.0062205000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0069811000, -0.0063577000, -0.0056824000, -0.0057076000, -0.0057865000, -0.0058956000, -0.0061137000, -0.0061300000, -0.0061756000, -0.0061992000, -0.0062229000");
}
}
max_transition : 10.000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
}
cell ("sky130_fd_sc_hvl__dlclkp_1") {
leakage_power () {
value : 11.542354600;
when : "!GATE&CLK";
}
leakage_power () {
value : 11.382841300;
when : "GATE&!CLK";
}
leakage_power () {
value : 10.443952400;
when : "GATE&CLK";
}
leakage_power () {
value : 8.555167200;
when : "!GATE&!CLK";
}
clock_gating_integrated_cell : "latch_posedge";
area : 41.025600000;
cell_footprint : "sky130_fd_sc_hvl__dlclkp";
cell_leakage_power : 10.481080000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("CLK") {
clock_gate_clock_pin : "true";
capacitance : 0.0056980000;
clock : "true";
direction : "input";
fall_capacitance : 0.0055450000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3199551000, 0.3132063000, 0.3058968000, 0.3229435000, 0.3763520000, 0.4717348000, 0.6625214000, 0.8578611000, 1.4023173000, 1.6849361000, 1.9675548000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0614544000, 0.0676251000, 0.0743115000, 0.0839874000, 0.1143162000, 0.2058719000, 0.3890016000, 0.5851747000, 1.1319488000, 1.4157722000, 1.6995955000");
}
}
max_transition : 3.7500000000;
min_pulse_width_low : 0.1834950000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0058510000;
}
pin ("GATE") {
clock_gate_enable_pin : "true";
capacitance : 0.0022820000;
clock : "false";
direction : "input";
fall_capacitance : 0.0022600000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3010981000, 0.3011184000, 0.3011422000, 0.3108512000, 0.3412873000, 0.4274150000, 0.5996902000, 0.7833549000, 1.2952706000, 1.5609979000, 1.8267253000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1402045000, 0.1416927000, 0.1433065000, 0.1518046000, 0.1784464000, 0.2587811000, 0.4194686000, 0.6004626000, 1.1049320000, 1.3667948000, 1.6286575000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0023050000;
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0496074000, 0.0518145000, 0.0495260000, 0.0588001000, 0.0852845000, 0.1286732000, 0.1934779000, 0.2448548000, 0.3584648000, 0.4101413000, 0.4581556000", \
"0.0474004000, 0.0496074000, 0.0473190000, 0.0553724000, 0.0830775000, 0.1264661000, 0.1912708000, 0.2426478000, 0.3562578000, 0.4079342000, 0.4559486000", \
"0.0460267000, 0.0482337000, 0.0459453000, 0.0539987000, 0.0817038000, 0.1250925000, 0.1898971000, 0.2412741000, 0.3548841000, 0.4065606000, 0.4545749000", \
"0.0367526000, 0.0414010000, 0.0391126000, 0.0459453000, 0.0736504000, 0.1182598000, 0.1818438000, 0.2332207000, 0.3480514000, 0.3985072000, 0.4477422000", \
"0.0078268000, 0.0136960000, 0.0138490000, 0.0170195000, 0.0447246000, 0.0905547000, 0.1590215000, 0.2103984000, 0.3215671000, 0.3720228000, 0.4188164000", \
"-0.0160306000, -0.0113822000, -0.0112292000, -0.0080586000, 0.0172051000, 0.0630352000, 0.1315020000, 0.1828789000, 0.2928268000, 0.3420618000, 0.3864141000", \
"-0.0381107000, -0.0334622000, -0.0320885000, -0.0313594000, -0.0085371000, 0.0360723000, 0.1045391000, 0.1510332000, 0.2536569000, 0.2980091000, 0.3386992000", \
"-0.0455423000, -0.0408939000, -0.0395202000, -0.0387910000, -0.0196309000, 0.0249785000, 0.0922246000, 0.1374980000, 0.2327975000, 0.2747083000, 0.3129570000", \
"-0.0370820000, -0.0312129000, -0.0310599000, -0.0303307000, -0.0160534000, 0.0248939000, 0.0921400000, 0.1374134000, 0.2229473000, 0.2563132000, 0.2896790000", \
"-0.0240612000, -0.0194128000, -0.0192598000, -0.0185306000, -0.0054740000, 0.0342526000, 0.1014987000, 0.1479928000, 0.2262025000, 0.2607891000, 0.2868307000", \
"-0.0085990000, -0.0039505000, -0.0037975000, -0.0030684000, 0.0087676000, 0.0460527000, 0.1157402000, 0.1585723000, 0.2380026000, 0.2664857000, 0.2925274000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2131816000, 0.2153887000, 0.2179831000, 0.2187122000, 0.2354310000, 0.2617298000, 0.2960169000, 0.3180970000, 0.3425957000, 0.3454440000, 0.3434095000", \
"0.2134160000, 0.2144023000, 0.2169967000, 0.2189466000, 0.2344447000, 0.2619642000, 0.2962513000, 0.3171107000, 0.3403887000, 0.3432370000, 0.3424232000", \
"0.2132630000, 0.2142494000, 0.2168438000, 0.2175729000, 0.2342917000, 0.2618112000, 0.2960983000, 0.3157370000, 0.3402357000, 0.3430840000, 0.3422702000", \
"0.2125339000, 0.2135202000, 0.2161146000, 0.2168438000, 0.2335625000, 0.2598613000, 0.2941484000, 0.3137871000, 0.3382858000, 0.3399134000, 0.3378789000", \
"0.2092428000, 0.2114499000, 0.2140443000, 0.2098906000, 0.2314922000, 0.2577910000, 0.2908574000, 0.3117168000, 0.3349948000, 0.3366224000, 0.3345879000", \
"0.2268893000, 0.2315378000, 0.2316908000, 0.2311992000, 0.2466973000, 0.2717754000, 0.3060625000, 0.3257012000, 0.3501999000, 0.3530482000, 0.3510137000", \
"0.2817135000, 0.2875827000, 0.2877357000, 0.2848027000, 0.2978594000, 0.3204961000, 0.3560039000, 0.3756426000, 0.4001413000, 0.4029896000, 0.4033965000", \
"0.3389792000, 0.3448483000, 0.3425599000, 0.3432891000, 0.3526836000, 0.3740996000, 0.4096074000, 0.4329082000, 0.4610690000, 0.4651380000, 0.4643242000", \
"0.4841582000, 0.4900273000, 0.4889596000, 0.4872474000, 0.4954212000, 0.5204994000, 0.5584486000, 0.5829700000, 0.6208965000, 0.6286276000, 0.6314759000", \
"0.5569935000, 0.5616419000, 0.5593535000, 0.5588620000, 0.5670358000, 0.5921140000, 0.6325045000, 0.6594675000, 0.7010560000, 0.7100078000, 0.7177389000", \
"0.6273874000, 0.6332565000, 0.6321888000, 0.6304765000, 0.6386504000, 0.6637285000, 0.7053398000, 0.7347441000, 0.7787741000, 0.7926087000, 0.8003398000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0334004000, -0.0368281000, -0.0394225000, -0.0425931000, -0.0678568000, -0.1027005000, -0.1491947000, -0.1871439000, -0.2641328000, -0.2987194000, -0.3320853000", \
"-0.0311934000, -0.0334004000, -0.0359948000, -0.0391654000, -0.0644290000, -0.0992728000, -0.1457669000, -0.1812747000, -0.2570430000, -0.2904088000, -0.3213333000", \
"-0.0298197000, -0.0332474000, -0.0321797000, -0.0390124000, -0.0642760000, -0.1003405000, -0.1468346000, -0.1835631000, -0.2629935000, -0.2963594000, -0.3285046000", \
"-0.0229870000, -0.0276354000, -0.0290091000, -0.0321797000, -0.0574434000, -0.0947285000, -0.1461055000, -0.1828340000, -0.2647057000, -0.3005130000, -0.3338789000", \
"0.0034974000, -0.0011510000, -0.0013040000, -0.0044746000, -0.0309590000, -0.0719062000, -0.1281660000, -0.1697773000, -0.2577526000, -0.2947806000, -0.3293672000", \
"0.0285755000, 0.0239271000, 0.0225534000, 0.0206035000, -0.0034395000, -0.0443867000, -0.0994258000, -0.1398164000, -0.2241296000, -0.2599369000, -0.2945234000", \
"0.0518763000, 0.0472279000, 0.0458542000, 0.0451250000, 0.0259648000, -0.0125410000, -0.0639180000, -0.0969844000, -0.1629870000, -0.1926908000, -0.2162910000", \
"0.0605286000, 0.0558802000, 0.0545065000, 0.0549980000, 0.0382793000, 0.0022148000, -0.0455000000, -0.0761250000, -0.1262585000, -0.1486380000, -0.1685762000", \
"0.0532891000, 0.0486406000, 0.0484876000, 0.0489792000, 0.0371432000, 0.0035202000, -0.0380911000, -0.0613919000, -0.0919941000, -0.0972839000, -0.1037943000", \
"0.0427096000, 0.0380612000, 0.0366875000, 0.0371790000, 0.0265638000, -0.0046178000, -0.0450085000, -0.0658678000, -0.0891458000, -0.0907734000, -0.0948425000", \
"0.0272474000, 0.0225990000, 0.0224460000, 0.0229375000, 0.0135430000, -0.0164180000, -0.0555879000, -0.0752266000, -0.0924010000, -0.0915872000, -0.0883320000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1005391000, -0.1015254000, -0.1041198000, -0.1048490000, -0.1215677000, -0.1478665000, -0.1845950000, -0.2042337000, -0.2214082000, -0.2181530000, -0.2112357000", \
"-0.0983320000, -0.1005391000, -0.1031335000, -0.1038626000, -0.1205814000, -0.1468802000, -0.1823880000, -0.2020267000, -0.2192012000, -0.2159460000, -0.2090287000", \
"-0.0969583000, -0.0991654000, -0.1017598000, -0.1024889000, -0.1192077000, -0.1455065000, -0.1810143000, -0.2006530000, -0.2178275000, -0.2145723000, -0.2076549000", \
"-0.0913464000, -0.0935534000, -0.0961478000, -0.0968770000, -0.1123750000, -0.1386738000, -0.1741816000, -0.1938203000, -0.2109948000, -0.2077396000, -0.2008223000", \
"-0.0904967000, -0.0951452000, -0.0940775000, -0.0948066000, -0.1054219000, -0.1292793000, -0.1635664000, -0.1844258000, -0.2028210000, -0.2020072000, -0.1963105000", \
"-0.0983776000, -0.1030260000, -0.1031790000, -0.1014668000, -0.1096406000, -0.1322773000, -0.1677852000, -0.1886445000, -0.2119225000, -0.2123294000, -0.2090742000", \
"-0.1178014000, -0.1224499000, -0.1213822000, -0.1184492000, -0.1241816000, -0.1455977000, -0.1859883000, -0.2129512000, -0.2435534000, -0.2488431000, -0.2504707000", \
"-0.1360046000, -0.1406530000, -0.1395853000, -0.1366523000, -0.1411641000, -0.1638008000, -0.2102949000, -0.2409199000, -0.2812878000, -0.2890189000, -0.2930879000", \
"-0.1908516000, -0.1955000000, -0.1944323000, -0.1902787000, -0.1947904000, -0.2186478000, -0.2761282000, -0.3189603000, -0.3861836000, -0.4049010000, -0.4150736000", \
"-0.2185208000, -0.2243900000, -0.2221016000, -0.2191686000, -0.2224596000, -0.2475378000, -0.3099010000, -0.3588366000, -0.4382669000, -0.4630879000, -0.4769225000", \
"-0.2474108000, -0.2520592000, -0.2509915000, -0.2468379000, -0.2513496000, -0.2776484000, -0.3436738000, -0.3987129000, -0.4891296000, -0.5163919000, -0.5363301000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("GCLK") {
clock_gate_out_pin : "true";
direction : "output";
internal_power () {
fall_power ("pwr_template11x12") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0094100000, 0.0141200000, 0.0211800000, 0.0317700000, 0.0476500000, 0.0714700000, 0.1072000000, 0.1608000000, 0.2412000000, 0.3618000000, 0.5427000000");
values("0.1940103000, 0.1757156000, 0.1715962000, 0.0789558000, -0.0794422000, -0.3205510000, -0.6816363000, -1.2225462000, -2.0336872000, -3.2500690000, -5.0743713000, -7.8105350000", \
"0.2003171000, 0.1817675000, 0.1803197000, 0.0885612000, -0.0695940000, -0.3106675000, -0.6717054000, -1.2126272000, -2.0237049000, -3.2401099000, -5.0643140000, -7.8004774000", \
"0.2063617000, 0.1874717000, 0.1858861000, 0.0940156000, -0.0640954000, -0.3051798000, -0.6661229000, -1.2071881000, -2.0182294000, -3.2346055000, -5.0588768000, -7.7949939000", \
"0.2012995000, 0.1810746000, 0.1799962000, 0.0884917000, -0.0697794000, -0.3109020000, -0.6719689000, -1.2129267000, -2.0241376000, -3.2404765000, -5.0646917000, -7.8008657000", \
"0.2653488000, 0.2343311000, 0.2277997000, 0.1333532000, -0.0272445000, -0.2704335000, -0.6332016000, -1.1754359000, -1.9874809000, -3.2046336000, -5.0292362000, -7.7658855000", \
"0.3774402000, 0.3369212000, 0.3180660000, 0.2173203000, 0.0521010000, -0.1951798000, -0.5615650000, -1.1068434000, -1.9213607000, -3.1402905000, -4.9662909000, -7.7037647000", \
"0.8035700000, 0.6044511000, 0.5180618000, 0.4099623000, 0.2370768000, -0.0180437000, -0.3922173000, -0.9446246000, -1.7652844000, -2.9890572000, -4.8192179000, -7.5598541000", \
"1.0109863000, 0.8028662000, 0.7118603000, 0.5840099000, 0.4035731000, 0.1476177000, -0.2329195000, -0.7921117000, -1.6189935000, -2.8480603000, -4.6821528000, -7.4257179000", \
"1.4752367000, 1.2505564000, 1.1531944000, 1.0170622000, 0.8253152000, 0.5502058000, 0.1535250000, -0.4159240000, -1.2583858000, -2.5037512000, -4.3522024000, -7.1074166000", \
"1.6860474000, 1.4580805000, 1.3588619000, 1.2203067000, 1.0244950000, 0.7450642000, 0.3422673000, -0.2357689000, -1.0866013000, -2.3394889000, -4.1952195000, -6.9567648000", \
"1.8904355000, 1.6567802000, 1.5562059000, 1.4149773000, 1.2169695000, 0.9340038000, 0.5266421000, -0.0635459000, -0.9161936000, -2.1768378000, -4.0400454000, -6.8078896000");
}
related_pin : "CLK";
rise_power ("pwr_template11x12") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0094100000, 0.0141200000, 0.0211800000, 0.0317700000, 0.0476500000, 0.0714700000, 0.1072000000, 0.1608000000, 0.2412000000, 0.3618000000, 0.5427000000");
values("0.2021395000, 0.3246937000, 0.3922318000, 0.4945647000, 0.6499335000, 0.8856502000, 1.2425243000, 1.7750054000, 2.5765888000, 3.7775374000, 5.5828454000, 8.291824600", \
"0.1912644000, 0.3136863000, 0.3809588000, 0.4837409000, 0.6390654000, 0.8742310000, 1.2316297000, 1.7631347000, 2.5651434000, 3.7673581000, 5.5711072000, 8.280889400", \
"0.1834778000, 0.3060477000, 0.3734843000, 0.4760436000, 0.6318742000, 0.8689248000, 1.2221669000, 1.7579334000, 2.5596349000, 3.7623678000, 5.5651194000, 8.269936700", \
"0.1841151000, 0.3065315000, 0.3739231000, 0.4762347000, 0.6320638000, 0.8690883000, 1.2224116000, 1.7588072000, 2.5580285000, 3.7607033000, 5.5691708000, 8.275047000", \
"0.2482745000, 0.3648426000, 0.4300423000, 0.5303472000, 0.6839529000, 0.9187989000, 1.2705099000, 1.8057189000, 2.6040425000, 3.8079145000, 5.6139065000, 8.315521400", \
"0.3539213000, 0.4552659000, 0.5177607000, 0.6138903000, 0.7622280000, 0.9913562000, 1.3428586000, 1.8726279000, 2.6689369000, 3.8695155000, 5.6708228000, 8.380520700", \
"0.5887384000, 0.6735768000, 0.7281821000, 0.8119952000, 0.9528773000, 1.1761353000, 1.5176510000, 2.0391289000, 2.8278837000, 4.0272633000, 5.8264902000, 8.525333200", \
"0.8013933000, 0.8795890000, 0.9299422000, 1.0125192000, 1.1440801000, 1.3529296000, 1.6887144000, 2.2045148000, 2.9874712000, 4.1752011000, 5.9709489000, 8.670484200", \
"1.3972713000, 1.4644762000, 1.5071075000, 1.5821319000, 1.7027043000, 1.8985025000, 2.2082170000, 2.6935785000, 3.4599246000, 4.6337220000, 6.4106151000, 9.098529900", \
"1.7012105000, 1.7637998000, 1.8063348000, 1.8787527000, 1.9940772000, 2.1847131000, 2.4916475000, 2.9639102000, 3.7105170000, 4.8758125000, 6.6509129000, 9.333020700", \
"2.0072100000, 2.0709979000, 2.1112834000, 2.1774857000, 2.2903776000, 2.4764709000, 2.7738060000, 3.2450835000, 3.9750232000, 5.1257271000, 6.8893106000, 9.558378200");
}
}
max_capacitance : 0.5441760000;
max_transition : 3.7488480000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
state_function : "(CLK*M0)";
timing () {
cell_fall ("delay_template11x12") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0094100000, 0.0141200000, 0.0211800000, 0.0317700000, 0.0476500000, 0.0714700000, 0.1072000000, 0.1608000000, 0.2412000000, 0.3618000000, 0.5427000000");
values("0.1104134000, 0.1723137000, 0.1980590000, 0.2355474000, 0.2914770000, 0.3763625000, 0.5016479000, 0.6883710000, 0.9688795000, 1.3906859000, 2.0222162000, 2.9709477000", \
"0.1114095000, 0.1733026000, 0.1990540000, 0.2365169000, 0.2923985000, 0.3772682000, 0.5026672000, 0.6894205000, 0.9698099000, 1.3911905000, 2.0244861000, 2.9722445000", \
"0.1134060000, 0.1753310000, 0.2010581000, 0.2385198000, 0.2944084000, 0.3792801000, 0.5046571000, 0.6914107000, 0.9718769000, 1.3937160000, 2.0250994000, 2.9739222000", \
"0.1250327000, 0.1864991000, 0.2121504000, 0.2495287000, 0.3053502000, 0.3901774000, 0.5155806000, 0.7023285000, 0.9828859000, 1.4037705000, 2.0367357000, 2.9855758000", \
"0.1677084000, 0.2284223000, 0.2533964000, 0.2899558000, 0.3448925000, 0.4288478000, 0.5535766000, 0.7397003000, 1.0199510000, 1.4410841000, 2.0738624000, 3.0200220000", \
"0.2161854000, 0.2803745000, 0.3053655000, 0.3416917000, 0.3958383000, 0.4782211000, 0.6013200000, 0.7861547000, 1.0653318000, 1.4856132000, 2.1178814000, 3.0638454000", \
"0.2884687000, 0.3598124000, 0.3865523000, 0.4241789000, 0.4789488000, 0.5597626000, 0.6798350000, 0.8618543000, 1.1382593000, 1.5561387000, 2.1864124000, 3.1337650000", \
"0.3470589000, 0.4242730000, 0.4527249000, 0.4920754000, 0.5481873000, 0.6285671000, 0.7457777000, 0.9250064000, 1.1990331000, 1.6153757000, 2.2437479000, 3.1882232000", \
"0.4819735000, 0.5713704000, 0.6038264000, 0.6479107000, 0.7056285000, 0.7814752000, 0.8920504000, 1.0645215000, 1.3317050000, 1.7409111000, 2.3626021000, 3.3043150000", \
"0.5437423000, 0.6383709000, 0.6728537000, 0.7188143000, 0.7757100000, 0.8487626000, 0.9568469000, 1.1263631000, 1.3903084000, 1.7963430000, 2.4155047000, 3.3535110000", \
"0.6023618000, 0.7016649000, 0.7380718000, 0.7852187000, 0.8401311000, 0.9106915000, 1.0166368000, 1.1836985000, 1.4448027000, 1.8477280000, 2.4640023000, 3.3986463000");
}
cell_rise ("delay_template11x12") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0094100000, 0.0141200000, 0.0211800000, 0.0317700000, 0.0476500000, 0.0714700000, 0.1072000000, 0.1608000000, 0.2412000000, 0.3618000000, 0.5427000000");
values("0.1258974000, 0.1818709000, 0.2045304000, 0.2370246000, 0.2845658000, 0.3550711000, 0.4601305000, 0.6198286000, 0.8564869000, 1.2158982000, 1.7505066000, 2.5493960000", \
"0.1263863000, 0.1824492000, 0.2050027000, 0.2375341000, 0.2849580000, 0.3553399000, 0.4612370000, 0.6204934000, 0.8571958000, 1.2162050000, 1.7510390000, 2.5533895000", \
"0.1264378000, 0.1824059000, 0.2051475000, 0.2376948000, 0.2852298000, 0.3557933000, 0.4617028000, 0.6189388000, 0.8574856000, 1.2140507000, 1.7539203000, 2.5516895000", \
"0.1286874000, 0.1844137000, 0.2070776000, 0.2395528000, 0.2870605000, 0.3575487000, 0.4635563000, 0.6212116000, 0.8614217000, 1.2152244000, 1.7558085000, 2.5547406000", \
"0.1445371000, 0.2011677000, 0.2235905000, 0.2557333000, 0.3025629000, 0.3726657000, 0.4780418000, 0.6351227000, 0.8756136000, 1.2288099000, 1.7694394000, 2.5679678000", \
"0.1612111000, 0.2225223000, 0.2454217000, 0.2778512000, 0.3247415000, 0.3939965000, 0.4980503000, 0.6549346000, 0.8943618000, 1.2476659000, 1.7857685000, 2.5868909000", \
"0.1749073000, 0.2445980000, 0.2696243000, 0.3040387000, 0.3528037000, 0.4238576000, 0.5289078000, 0.6843333000, 0.9195215000, 1.2743799000, 1.8110865000, 2.6082652000", \
"0.1768110000, 0.2529958000, 0.2797680000, 0.3161276000, 0.3667754000, 0.4401324000, 0.5483846000, 0.7076342000, 0.9437062000, 1.2946050000, 1.8259479000, 2.6268037000", \
"0.1533150000, 0.2429960000, 0.2738661000, 0.3146800000, 0.3701595000, 0.4483701000, 0.5618177000, 0.7304083000, 0.9787793000, 1.3396357000, 1.8698343000, 2.6669393000", \
"0.1339290000, 0.2290680000, 0.2616508000, 0.3044959000, 0.3622383000, 0.4424026000, 0.5583185000, 0.7298466000, 0.9831630000, 1.3536268000, 1.8906616000, 2.6835347000", \
"0.1104929000, 0.2108219000, 0.2450090000, 0.2897561000, 0.3494011000, 0.4317958000, 0.5501197000, 0.7237878000, 0.9813171000, 1.3570292000, 1.9033439000, 2.7005957000");
}
fall_transition ("delay_template11x12") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0094100000, 0.0141200000, 0.0211800000, 0.0317700000, 0.0476500000, 0.0714700000, 0.1072000000, 0.1608000000, 0.2412000000, 0.3618000000, 0.5427000000");
values("0.0298557000, 0.0892715000, 0.1190823000, 0.1650725000, 0.2374591000, 0.3460029000, 0.5073075000, 0.7506871000, 1.1177924000, 1.6690724000, 2.4960034000, 3.7439380000", \
"0.0298865000, 0.0893499000, 0.1190636000, 0.1649278000, 0.2370957000, 0.3449122000, 0.5075203000, 0.7515321000, 1.1181657000, 1.6744123000, 2.4975195000, 3.7488483000", \
"0.0303985000, 0.0893410000, 0.1190001000, 0.1650003000, 0.2373855000, 0.3457519000, 0.5076379000, 0.7509493000, 1.1178086000, 1.6690430000, 2.4959199000, 3.7428092000", \
"0.0299541000, 0.0895268000, 0.1191884000, 0.1650260000, 0.2371664000, 0.3448866000, 0.5073316000, 0.7513666000, 1.1182154000, 1.6683424000, 2.5037208000, 3.7458165000", \
"0.0357846000, 0.0932850000, 0.1218489000, 0.1668372000, 0.2382422000, 0.3460073000, 0.5077956000, 0.7516608000, 1.1196467000, 1.6711027000, 2.5028803000, 3.7460048000", \
"0.0476396000, 0.1049214000, 0.1316964000, 0.1744676000, 0.2429651000, 0.3481233000, 0.5084621000, 0.7517239000, 1.1196295000, 1.6713037000, 2.5028406000, 3.7457868000", \
"0.0683584000, 0.1291139000, 0.1544002000, 0.1951199000, 0.2586577000, 0.3576230000, 0.5132543000, 0.7531187000, 1.1183134000, 1.6690097000, 2.5011775000, 3.7369552000", \
"0.0854540000, 0.1495450000, 0.1757879000, 0.2149647000, 0.2748285000, 0.3686972000, 0.5197086000, 0.7534472000, 1.1216423000, 1.6762084000, 2.5026894000, 3.7465275000", \
"0.1299358000, 0.2005651000, 0.2267525000, 0.2610061000, 0.3093220000, 0.3927143000, 0.5308812000, 0.7566968000, 1.1184300000, 1.6704845000, 2.4996505000, 3.7401224000", \
"0.1461666000, 0.2237618000, 0.2477718000, 0.2782305000, 0.3243531000, 0.4024158000, 0.5361288000, 0.7561867000, 1.1204968000, 1.6672499000, 2.4994922000, 3.7382146000", \
"0.1623030000, 0.2446983000, 0.2661229000, 0.2942485000, 0.3357076000, 0.4103322000, 0.5385451000, 0.7568026000, 1.1188517000, 1.6720617000, 2.5030575000, 3.7427053000");
}
related_pin : "CLK";
rise_transition ("delay_template11x12") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0094100000, 0.0141200000, 0.0211800000, 0.0317700000, 0.0476500000, 0.0714700000, 0.1072000000, 0.1608000000, 0.2412000000, 0.3618000000, 0.5427000000");
values("0.0341863000, 0.0916565000, 0.1204689000, 0.1645608000, 0.2319168000, 0.3360163000, 0.4927259000, 0.7294114000, 1.0882254000, 1.6212875000, 2.4262738000, 3.6255368000", \
"0.0342289000, 0.0916235000, 0.1202984000, 0.1643432000, 0.2320957000, 0.3355237000, 0.4928644000, 0.7312778000, 1.0862879000, 1.6215924000, 2.4219609000, 3.6240502000", \
"0.0340979000, 0.0916471000, 0.1203394000, 0.1644806000, 0.2319362000, 0.3355454000, 0.4935402000, 0.7295971000, 1.0858638000, 1.6240086000, 2.4241589000, 3.6293784000", \
"0.0342232000, 0.0917261000, 0.1204205000, 0.1645551000, 0.2320744000, 0.3356041000, 0.4931968000, 0.7298896000, 1.0875453000, 1.6238053000, 2.4250890000, 3.6269006000", \
"0.0393183000, 0.0953645000, 0.1234441000, 0.1665107000, 0.2334234000, 0.3358573000, 0.4938170000, 0.7293788000, 1.0868538000, 1.6239416000, 2.4251559000, 3.6276919000", \
"0.0499435000, 0.1061619000, 0.1327626000, 0.1744654000, 0.2394832000, 0.3394954000, 0.4948617000, 0.7302829000, 1.0874180000, 1.6241474000, 2.4279505000, 3.6279608000", \
"0.0684392000, 0.1280165000, 0.1545864000, 0.1949617000, 0.2582982000, 0.3558132000, 0.5071469000, 0.7366033000, 1.0901318000, 1.6241475000, 2.4230706000, 3.6253300000", \
"0.0836698000, 0.1475840000, 0.1734643000, 0.2134666000, 0.2768179000, 0.3751859000, 0.5244002000, 0.7526608000, 1.0996103000, 1.6273526000, 2.4258786000, 3.6296532000", \
"0.1239891000, 0.1920820000, 0.2194929000, 0.2608747000, 0.3230387000, 0.4215699000, 0.5746739000, 0.8074387000, 1.1533939000, 1.6694750000, 2.4474109000, 3.6328178000", \
"0.1400394000, 0.2126463000, 0.2400806000, 0.2816745000, 0.3447293000, 0.4433388000, 0.5974737000, 0.8338087000, 1.1842590000, 1.6981720000, 2.4739872000, 3.6476004000", \
"0.1580154000, 0.2317355000, 0.2601425000, 0.3035041000, 0.3669559000, 0.4657260000, 0.6184052000, 0.8569336000, 1.2121384000, 1.7323472000, 2.5019737000, 3.6738703000");
}
timing_sense : "positive_unate";
}
}
pin ("M0") {
direction : "internal";
internal_node : "M0";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
statetable ("CLK GATE","M0") {
table : "L L : - : L,L H : - : H,H - : - : N";
}
}
cell ("sky130_fd_sc_hvl__dlrtp_1") {
leakage_power () {
value : 10.358189900;
when : "RESET_B&D&GATE";
}
leakage_power () {
value : 11.554917000;
when : "RESET_B&!D&!GATE";
}
leakage_power () {
value : 9.020682000;
when : "!RESET_B&!D&GATE";
}
leakage_power () {
value : 11.804805100;
when : "RESET_B&!D&GATE";
}
leakage_power () {
value : 9.876004000;
when : "RESET_B&D&!GATE";
}
leakage_power () {
value : 14.514896000;
when : "!RESET_B&D&GATE";
}
leakage_power () {
value : 7.0312960000;
when : "!RESET_B&D&!GATE";
}
leakage_power () {
value : 8.770829400;
when : "!RESET_B&!D&!GATE";
}
area : 39.072000000;
cell_footprint : "sky130_fd_sc_hvl__dlrtp";
cell_leakage_power : 10.366450000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
latch ("IQ","IQ_N") {
clear : "!RESET_B";
data_in : "D";
enable : "GATE";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("D") {
capacitance : 0.0026790000;
clock : "false";
direction : "input";
fall_capacitance : 0.0025820000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1058381000, 0.1048349000, 0.1037498000, 0.1179940000, 0.1626253000, 0.2434801000, 0.4052088000, 0.5735125000, 1.0426154000, 1.2861189000, 1.5296224000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0416818000, 0.0436462000, 0.0457758000, 0.0569817000, 0.0920991000, 0.1711891000, 0.3293870000, 0.4971217000, 0.9646365000, 1.2073162000, 1.4499960000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0027760000;
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0642559000, 0.0689043000, 0.0690573000, 0.0832142000, 0.1182435000, 0.1567494000, 0.2154505000, 0.2619446000, 0.3645684000, 0.4089206000, 0.4483901000", \
"0.0632695000, 0.0666973000, 0.0680710000, 0.0822279000, 0.1160365000, 0.1545423000, 0.2132435000, 0.2609583000, 0.3623613000, 0.4067135000, 0.4474037000", \
"0.0606751000, 0.0653236000, 0.0654766000, 0.0796335000, 0.1134421000, 0.1531686000, 0.2118698000, 0.2583639000, 0.3597669000, 0.4041191000, 0.4460300000", \
"0.0477389000, 0.0523874000, 0.0549818000, 0.0679180000, 0.1017266000, 0.1402324000, 0.1977129000, 0.2454277000, 0.3468307000, 0.3911829000, 0.4318731000", \
"0.0078268000, 0.0124753000, 0.0150697000, 0.0267852000, 0.0581523000, 0.0954375000, 0.1529180000, 0.1981914000, 0.2983737000, 0.3427259000, 0.3821954000", \
"-0.0380033000, -0.0333548000, -0.0307604000, -0.0202656000, 0.0098809000, 0.0447246000, 0.0985430000, 0.1438164000, 0.2415573000, 0.2834681000, 0.3229376000", \
"-0.1064701000, -0.1018216000, -0.0992272000, -0.0887324000, -0.0598066000, -0.0274043000, 0.0239727000, 0.0668047000, 0.1633249000, 0.2052357000, 0.2434845000", \
"-0.1578470000, -0.1544193000, -0.1518249000, -0.1413301000, -0.1136250000, -0.0836641000, -0.0335078000, 0.0081035000, 0.1034030000, 0.1453138000, 0.1847833000", \
"-0.2726777000, -0.2692500000, -0.2654349000, -0.2561608000, -0.2308971000, -0.2033776000, -0.1581042000, -0.1177135000, -0.0248555000, 0.0170553000, 0.0553041000", \
"-0.3231335000, -0.3184850000, -0.3158906000, -0.3053958000, -0.2813529000, -0.2562747000, -0.2122220000, -0.1730521000, -0.0814147000, -0.0382832000, -0.0000344234", \
"-0.3687065000, -0.3652787000, -0.3614636000, -0.3521895000, -0.3293673000, -0.3042892000, -0.2614571000, -0.2235079000, -0.1330912000, -0.0911804000, -0.0529316000");
}
related_pin : "GATE";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2327129000, 0.2336992000, 0.2338522000, 0.2455677000, 0.2647279000, 0.2812611000, 0.3008997000, 0.3119935000, 0.3230645000, 0.3234713000, 0.3202162000", \
"0.2305059000, 0.2314922000, 0.2316452000, 0.2433607000, 0.2625208000, 0.2802747000, 0.2999134000, 0.3097865000, 0.3208574000, 0.3200436000, 0.3180092000", \
"0.2279115000, 0.2301185000, 0.2302715000, 0.2419870000, 0.2599264000, 0.2776803000, 0.2960983000, 0.3071921000, 0.3182630000, 0.3174492000, 0.3154148000", \
"0.2186374000, 0.2196237000, 0.2197767000, 0.2314922000, 0.2494316000, 0.2671855000, 0.2856035000, 0.2966973000, 0.3065475000, 0.3069544000, 0.3036993000", \
"0.1933737000, 0.1943600000, 0.1957337000, 0.2050078000, 0.2241680000, 0.2407012000, 0.2591191000, 0.2689922000, 0.2800632000, 0.2792494000, 0.2759942000", \
"0.1768405000, 0.1802682000, 0.1816419000, 0.1896953000, 0.2064141000, 0.2217266000, 0.2389238000, 0.2487969000, 0.2574264000, 0.2566126000, 0.2545782000", \
"0.1608639000, 0.1642917000, 0.1644447000, 0.1724980000, 0.1879961000, 0.2008672000, 0.2168438000, 0.2267168000, 0.2353463000, 0.2357533000, 0.2337188000", \
"0.1534323000, 0.1580807000, 0.1570130000, 0.1650664000, 0.1781230000, 0.1897734000, 0.2033086000, 0.2119609000, 0.2218112000, 0.2222181000, 0.2201837000", \
"0.1557891000, 0.1616582000, 0.1593698000, 0.1649818000, 0.1743763000, 0.1811439000, 0.1897962000, 0.1960072000, 0.2082988000, 0.2123678000, 0.2103334000", \
"0.1627064000, 0.1697962000, 0.1650664000, 0.1706784000, 0.1776315000, 0.1831784000, 0.1893893000, 0.1968210000, 0.2103333000, 0.2119609000, 0.2135886000", \
"0.1696236000, 0.1779342000, 0.1719836000, 0.1788163000, 0.1821073000, 0.1864335000, 0.1902030000, 0.1976347000, 0.2111470000, 0.2164368000, 0.2192851000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_falling";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0492695000, -0.0539180000, -0.0565124000, -0.0682279000, -0.1032572000, -0.1417630000, -0.2004642000, -0.2469583000, -0.3495820000, -0.3939343000, -0.4346244000", \
"-0.0482832000, -0.0529316000, -0.0555260000, -0.0672415000, -0.1010501000, -0.1395560000, -0.1982572000, -0.2447513000, -0.3473750000, -0.3917272000, -0.4336381000", \
"-0.0456888000, -0.0503372000, -0.0529316000, -0.0646471000, -0.0984557000, -0.1381823000, -0.1956628000, -0.2433776000, -0.3447806000, -0.3891328000, -0.4310437000", \
"-0.0327526000, -0.0374010000, -0.0399954000, -0.0529316000, -0.0867402000, -0.1252461000, -0.1827266000, -0.2292207000, -0.3318444000, -0.3761966000, -0.4181075000", \
"0.0071595000, 0.0025111000, -0.0000833300, -0.0117988000, -0.0431660000, -0.0804512000, -0.1367109000, -0.1832051000, -0.2846081000, -0.3277396000, -0.3684298000", \
"0.0542103000, 0.0495618000, 0.0469674000, 0.0352520000, 0.0051055000, -0.0297383000, -0.0847773000, -0.1288301000, -0.2277917000, -0.2709232000, -0.3116134000", \
"0.1226771000, 0.1192493000, 0.1166550000, 0.1049395000, 0.0760137000, 0.0423906000, -0.0102070000, -0.0530391000, -0.1507799000, -0.1926908000, -0.2309395000", \
"0.1764954000, 0.1718470000, 0.1704733000, 0.1587578000, 0.1310527000, 0.0998711000, 0.0484941000, 0.0056621000, -0.0908581000, -0.1327689000, -0.1734591000", \
"0.2949883000, 0.2915605000, 0.2889661000, 0.2772507000, 0.2519870000, 0.2220260000, 0.1743112000, 0.1339206000, 0.0374004000, -0.0045104000, -0.0439799000", \
"0.3478854000, 0.3444577000, 0.3418633000, 0.3301478000, 0.3048841000, 0.2749232000, 0.2296497000, 0.1892591000, 0.0939596000, 0.0520488000, 0.0113586000", \
"0.3971205000, 0.3924721000, 0.3898777000, 0.3793829000, 0.3541192000, 0.3265997000, 0.2801055000, 0.2397149000, 0.1456362000, 0.1037253000, 0.0642559000");
}
related_pin : "GATE";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.2201680000, -0.2211543000, -0.2225280000, -0.2330228000, -0.2521830000, -0.2699369000, -0.2907962000, -0.3006693000, -0.3117402000, -0.3121471000, -0.3088920000", \
"-0.2191816000, -0.2201680000, -0.2203210000, -0.2320365000, -0.2511966000, -0.2689505000, -0.2885892000, -0.2996830000, -0.3095332000, -0.3099401000, -0.3066850000", \
"-0.2165872000, -0.2175736000, -0.2177266000, -0.2294421000, -0.2486022000, -0.2663561000, -0.2859948000, -0.2970885000, -0.3069388000, -0.3073457000, -0.3053113000", \
"-0.2060924000, -0.2070788000, -0.2072318000, -0.2189473000, -0.2381074000, -0.2558613000, -0.2755000000, -0.2853730000, -0.2964440000, -0.2968509000, -0.2935958000", \
"-0.1808288000, -0.1830358000, -0.1844095000, -0.1924629000, -0.2116230000, -0.2293770000, -0.2477949000, -0.2576680000, -0.2687389000, -0.2679251000, -0.2658907000", \
"-0.1606335000, -0.1628405000, -0.1654349000, -0.1734883000, -0.1914277000, -0.2067402000, -0.2251582000, -0.2350313000, -0.2448815000, -0.2440677000, -0.2408126000", \
"-0.1312292000, -0.1334362000, -0.1360306000, -0.1440840000, -0.1608027000, -0.1761152000, -0.1933125000, -0.2031855000, -0.2130358000, -0.2122220000, -0.2101876000", \
"-0.1067077000, -0.1089147000, -0.1102884000, -0.1195625000, -0.1362812000, -0.1503730000, -0.1663496000, -0.1762227000, -0.1872936000, -0.1877005000, -0.1856661000", \
"-0.0443672000, -0.0465742000, -0.0491686000, -0.0572220000, -0.0739408000, -0.0880326000, -0.1040091000, -0.1138822000, -0.1261738000, -0.1278014000, -0.1269877000", \
"-0.0146634000, -0.0168704000, -0.0182441000, -0.0275182000, -0.0454577000, -0.0595495000, -0.0743053000, -0.0841784000, -0.0976908000, -0.1005391000, -0.0997253000", \
"0.0150404000, 0.0116127000, 0.0102390000, 0.0021856000, -0.0157538000, -0.0310663000, -0.0470429000, -0.0569159000, -0.0704283000, -0.0732766000, -0.0724629000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_falling";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("GATE") {
capacitance : 0.0026510000;
clock : "true";
direction : "input";
fall_capacitance : 0.0026540000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2338151000, 0.2352713000, 0.2368508000, 0.2512158000, 0.2962292000, 0.3935878000, 0.5883261000, 0.7865636000, 1.3390963000, 1.6259076000, 1.9127189000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1624882000, 0.1601439000, 0.1576056000, 0.1706033000, 0.2113277000, 0.3075592000, 0.5000393000, 0.6998527000, 1.2567704000, 1.5458599000, 1.8349493000");
}
}
max_transition : 3.7500000000;
min_pulse_width_high : 0.2346420000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0026480000;
}
pin ("Q") {
direction : "output";
function : "IQ";
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087400000, 0.0174800000, 0.0349600000, 0.0699200000, 0.1398400000, 0.2796900000, 0.5593700000");
values("0.2167378000, 0.0657141000, -0.0722814000, -0.3423220000, -0.8752214000, -1.9357206000, -4.0519749000, -8.282504700", \
"0.2184888000, 0.0673925000, -0.0704649000, -0.3398259000, -0.8730853000, -1.9326794000, -4.0501294000, -8.280762300", \
"0.2107094000, 0.0595566000, -0.0786821000, -0.3477642000, -0.8807112000, -1.9405890000, -4.0580266000, -8.288935800", \
"0.2221902000, 0.0706577000, -0.0675370000, -0.3369949000, -0.8706858000, -1.9309551000, -4.0470156000, -8.278115000", \
"0.2711679000, 0.1144654000, -0.0272909000, -0.3000292000, -0.8356323000, -1.8983538000, -4.0157184000, -8.247336400", \
"0.3749453000, 0.2097859000, 0.0617237000, -0.2184933000, -0.7615953000, -1.8290819000, -3.9503539000, -8.183887000", \
"0.5993267000, 0.4135441000, 0.2583137000, -0.0356355000, -0.5913398000, -1.6712350000, -3.8022123000, -8.042000700", \
"0.8194880000, 0.6246646000, 0.4577287000, 0.1558404000, -0.4143745000, -1.5063517000, -3.6472324000, -7.8939068000", \
"1.4228904000, 1.2151340000, 1.0356022000, 0.7078894000, 0.1072902000, -1.0188667000, -3.1894943000, -7.4589440000", \
"1.7309802000, 1.5182501000, 1.3339005000, 0.9984060000, 0.3830830000, -0.7597346000, -2.9463483000, -7.2289797000", \
"2.0369323000, 1.8210807000, 1.6327426000, 1.2904205000, 0.6653074000, -0.4960657000, -2.6994067000, -6.9955248000");
}
related_pin : "RESET_B";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087400000, 0.0174800000, 0.0349600000, 0.0699200000, 0.1398400000, 0.2796900000, 0.5593700000");
values("0.2754622000, 0.3821046000, 0.5051134000, 0.7569116000, 1.2727196000, 2.3146798000, 4.4042349000, 8.591542700", \
"0.2736433000, 0.3804892000, 0.5037197000, 0.7554544000, 1.2716820000, 2.3132963000, 4.4032845000, 8.593976800", \
"0.2661449000, 0.3728481000, 0.4953680000, 0.7476023000, 1.2629891000, 2.3067878000, 4.4007675000, 8.580473400", \
"0.2681282000, 0.3746882000, 0.4973851000, 0.7497716000, 1.2669374000, 2.3083929000, 4.4062984000, 8.581156800", \
"0.3105566000, 0.4134972000, 0.5339003000, 0.7844128000, 1.2996220000, 2.3415966000, 4.4296141000, 8.613242000", \
"0.4017211000, 0.5009035000, 0.6153320000, 0.8569828000, 1.3644923000, 2.3992345000, 4.4838283000, 8.672027900", \
"0.6260807000, 0.7046207000, 0.8046542000, 1.0349557000, 1.5294466000, 2.5488607000, 4.6266678000, 8.800719400", \
"0.8403527000, 0.9136686000, 1.0080328000, 1.2239320000, 1.7046766000, 2.7125977000, 4.7829637000, 8.948577900", \
"1.4277620000, 1.4919605000, 1.5764359000, 1.7736231000, 2.2185586000, 3.1884674000, 5.2256348000, 9.372377500", \
"1.7330661000, 1.7919514000, 1.8733811000, 2.0636688000, 2.5019482000, 3.4507206000, 5.4656278000, 9.597706100", \
"2.0329597000, 2.0888824000, 2.1668047000, 2.3516961000, 2.7784970000, 3.7076361000, 5.7071755000, 9.823227900");
}
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087400000, 0.0174800000, 0.0349600000, 0.0699200000, 0.1398400000, 0.2796900000, 0.5593700000");
values("0.3664564000, 0.2197087000, 0.0825745000, -0.1862474000, -0.7181200000, -1.7807892000, -3.8932984000, -8.119025700", \
"0.3710207000, 0.2238817000, 0.0871673000, -0.1815973000, -0.7132098000, -1.7725961000, -3.8886653000, -8.119384400", \
"0.3663256000, 0.2195653000, 0.0828264000, -0.1860027000, -0.7175285000, -1.7774611000, -3.8937851000, -8.124014400", \
"0.3662451000, 0.2196511000, 0.0829662000, -0.1859371000, -0.7176704000, -1.7767374000, -3.8936218000, -8.123806900", \
"0.3711748000, 0.2236244000, 0.0872583000, -0.1809714000, -0.7127659000, -1.7719153000, -3.8890565000, -8.119598600", \
"0.3794451000, 0.2321115000, 0.0949134000, -0.1728107000, -0.7051324000, -1.7651092000, -3.8812746000, -8.111552300", \
"0.4149082000, 0.2574531000, 0.1227093000, -0.1464477000, -0.6784990000, -1.7383666000, -3.8544208000, -8.084981100", \
"0.4430461000, 0.2851145000, 0.1451212000, -0.1223273000, -0.6539574000, -1.7139178000, -3.8305280000, -8.061124900", \
"0.5201083000, 0.3604680000, 0.2205039000, -0.0513105000, -0.5838582000, -1.6420994000, -3.7580610000, -7.9892793000", \
"0.5600160000, 0.3998228000, 0.2578788000, -0.0132815000, -0.5468958000, -1.6052399000, -3.7200937000, -7.9510584000", \
"0.6019532000, 0.4398773000, 0.2977402000, 0.0266453000, -0.5071176000, -1.5664746000, -3.6824732000, -7.9140620000");
}
related_pin : "D";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087400000, 0.0174800000, 0.0349600000, 0.0699200000, 0.1398400000, 0.2796900000, 0.5593700000");
values("0.3670449000, 0.4707348000, 0.5923277000, 0.8423164000, 1.3559190000, 2.3967145000, 4.4856579000, 8.675397200", \
"0.3691204000, 0.4728564000, 0.5942841000, 0.8443766000, 1.3578666000, 2.4007973000, 4.4947381000, 8.681240900", \
"0.3667104000, 0.4712505000, 0.5914816000, 0.8419744000, 1.3582117000, 2.3979812000, 4.4944790000, 8.674995400", \
"0.3624026000, 0.4671753000, 0.5877970000, 0.8383465000, 1.3546780000, 2.3951737000, 4.4860597000, 8.666350900", \
"0.3711470000, 0.4749901000, 0.5960961000, 0.8452736000, 1.3599249000, 2.4007500000, 4.4893935000, 8.676416600", \
"0.3788125000, 0.4833497000, 0.6040491000, 0.8532332000, 1.3670820000, 2.4087834000, 4.4987858000, 8.686450100", \
"0.4172147000, 0.5130659000, 0.6338726000, 0.8837241000, 1.3968551000, 2.4375846000, 4.5261670000, 8.715488400", \
"0.4476131000, 0.5443484000, 0.6636244000, 0.9090641000, 1.4250539000, 2.4638906000, 4.5521746000, 8.735318000", \
"0.5278290000, 0.6234425000, 0.7417630000, 0.9911169000, 1.4976996000, 2.5409814000, 4.6265984000, 8.810108100", \
"0.5720024000, 0.6662762000, 0.7844386000, 1.0346920000, 1.5476818000, 2.5771984000, 4.6779473000, 8.850944800", \
"0.6160531000, 0.7116940000, 0.8290693000, 1.0770513000, 1.5917821000, 2.6200931000, 4.7119876000, 8.899539600");
}
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087400000, 0.0174800000, 0.0349600000, 0.0699200000, 0.1398400000, 0.2796900000, 0.5593700000");
values("0.3439567000, 0.1967341000, 0.0599855000, -0.2085678000, -0.7403148000, -1.7998902000, -3.9163104000, -8.146976200", \
"0.3480226000, 0.2008763000, 0.0640937000, -0.2049933000, -0.7362459000, -1.7957652000, -3.9121568000, -8.142646600", \
"0.3441240000, 0.2039760000, 0.0672413000, -0.2016051000, -0.7330107000, -1.7928183000, -3.9159973000, -8.139675800", \
"0.3381940000, 0.1907903000, 0.0540328000, -0.2150534000, -0.7465951000, -1.8058607000, -3.9220516000, -8.152875200", \
"0.3487070000, 0.2020413000, 0.0648471000, -0.2043552000, -0.7358958000, -1.7953758000, -3.9111760000, -8.141885400", \
"0.3427627000, 0.1954818000, 0.0588459000, -0.2101728000, -0.7416582000, -1.8008102000, -3.9175630000, -8.148175500", \
"0.3579664000, 0.2012076000, 0.0644218000, -0.2046162000, -0.7360645000, -1.7953140000, -3.9120090000, -8.142631500", \
"0.3600704000, 0.2032199000, 0.0642824000, -0.2064138000, -0.7377230000, -1.7970488000, -3.9134036000, -8.144095100", \
"0.3558983000, 0.1992343000, 0.0594996000, -0.2104347000, -0.7434653000, -1.8037088000, -3.9188660000, -8.149593900", \
"0.3536700000, 0.1957449000, 0.0581705000, -0.2131789000, -0.7463552000, -1.8072448000, -3.9217894000, -8.151139400", \
"0.3491710000, 0.1925428000, 0.0538748000, -0.2152788000, -0.7506082000, -1.8120134000, -3.9252517000, -8.155992700");
}
related_pin : "GATE";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087400000, 0.0174800000, 0.0349600000, 0.0699200000, 0.1398400000, 0.2796900000, 0.5593700000");
values("0.3502260000, 0.4549439000, 0.5749863000, 0.8255305000, 1.3408527000, 2.3816267000, 4.4691834000, 8.652889100", \
"0.3541245000, 0.4588734000, 0.5789485000, 0.8295148000, 1.3447862000, 2.3856861000, 4.4733170000, 8.657250800", \
"0.3572326000, 0.4617911000, 0.5818652000, 0.8323261000, 1.3454975000, 2.3884476000, 4.4782776000, 8.659680600", \
"0.3441889000, 0.4483795000, 0.5688050000, 0.8192604000, 1.3324508000, 2.3753126000, 4.4687195000, 8.646551400", \
"0.3604478000, 0.4650064000, 0.5850607000, 0.8356334000, 1.3511052000, 2.3905620000, 4.4802330000, 8.665508300", \
"0.3690725000, 0.4732126000, 0.5942423000, 0.8432252000, 1.3579310000, 2.3988938000, 4.4911068000, 8.681730500", \
"0.4116090000, 0.5131156000, 0.6346223000, 0.8829518000, 1.3966052000, 2.4368265000, 4.5268719000, 8.714294900", \
"0.4537629000, 0.5500578000, 0.6661467000, 0.9144357000, 1.4288235000, 2.4697447000, 4.5539940000, 8.746237100", \
"0.5443453000, 0.6395638000, 0.7570922000, 1.0063225000, 1.5125615000, 2.5552578000, 4.6428187000, 8.828639200", \
"0.5891125000, 0.6828303000, 0.8002381000, 1.0482164000, 1.5597319000, 2.5902508000, 4.6835357000, 8.868136500", \
"0.6274820000, 0.7214986000, 0.8392245000, 1.0848397000, 1.5994768000, 2.6248875000, 4.7193751000, 8.907270900");
}
}
max_capacitance : 0.5424600000;
max_transition : 3.8588120000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087400000, 0.0174800000, 0.0349600000, 0.0699200000, 0.1398400000, 0.2796900000, 0.5593700000");
values("0.1233810000, 0.1852674000, 0.2345251000, 0.3266905000, 0.5085507000, 0.8737137000, 1.6057130000, 3.0675771000", \
"0.1268819000, 0.1889730000, 0.2380913000, 0.3302413000, 0.5120806000, 0.8772036000, 1.6091207000, 3.0714742000", \
"0.1263086000, 0.1883245000, 0.2373787000, 0.3294238000, 0.5112034000, 0.8761738000, 1.6074612000, 3.0706564000", \
"0.1405833000, 0.2026174000, 0.2514860000, 0.3436053000, 0.5254195000, 0.8905107000, 1.6211622000, 3.0835632000", \
"0.1856936000, 0.2462250000, 0.2942414000, 0.3852704000, 0.5659634000, 0.9302502000, 1.6620735000, 3.1227705000", \
"0.2407726000, 0.3041878000, 0.3522686000, 0.4418642000, 0.6198932000, 0.9818654000, 1.7119630000, 3.1706153000", \
"0.3239853000, 0.3943021000, 0.4452058000, 0.5358119000, 0.7113768000, 1.0680717000, 1.7937100000, 3.2527792000", \
"0.3908844000, 0.4668976000, 0.5208941000, 0.6146889000, 0.7918921000, 1.1457881000, 1.8668009000, 3.3224683000", \
"0.5441185000, 0.6318213000, 0.6925848000, 0.7941197000, 0.9795029000, 1.3420821000, 2.0566628000, 3.5028731000", \
"0.6137021000, 0.7061177000, 0.7693433000, 0.8756176000, 1.0640263000, 1.4318042000, 2.1518480000, 3.5928041000", \
"0.6797580000, 0.7759703000, 0.8429073000, 0.9516402000, 1.1438098000, 1.5158438000, 2.2445596000, 3.6820433000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087400000, 0.0174800000, 0.0349600000, 0.0699200000, 0.1398400000, 0.2796900000, 0.5593700000");
values("0.1522294000, 0.2100288000, 0.2541457000, 0.3348147000, 0.4900558000, 0.8012745000, 1.4228873000, 2.6752349000", \
"0.1498611000, 0.2077490000, 0.2517765000, 0.3324401000, 0.4876385000, 0.7994036000, 1.4222372000, 2.6711215000", \
"0.1523291000, 0.2102696000, 0.2541004000, 0.3347297000, 0.4906084000, 0.8018557000, 1.4239636000, 2.6744180000", \
"0.1527326000, 0.2105756000, 0.2543413000, 0.3351145000, 0.4909316000, 0.8019670000, 1.4244668000, 2.6720806000", \
"0.1676986000, 0.2253479000, 0.2689823000, 0.3491756000, 0.5043330000, 0.8133114000, 1.4369121000, 2.6816853000", \
"0.1880344000, 0.2491043000, 0.2932103000, 0.3730755000, 0.5267975000, 0.8366551000, 1.4560488000, 2.7071441000", \
"0.2084858000, 0.2777185000, 0.3255400000, 0.4086126000, 0.5629691000, 0.8683492000, 1.4868885000, 2.7339091000", \
"0.2143089000, 0.2898191000, 0.3411028000, 0.4278882000, 0.5869986000, 0.8937669000, 1.5095706000, 2.7523361000", \
"0.2001520000, 0.2891554000, 0.3473085000, 0.4427161000, 0.6115229000, 0.9347304000, 1.5595578000, 2.7947036000", \
"0.1832589000, 0.2765457000, 0.3392855000, 0.4381025000, 0.6107589000, 0.9416637000, 1.5797266000, 2.8115144000", \
"0.1622217000, 0.2610189000, 0.3254480000, 0.4284409000, 0.6057779000, 0.9408396000, 1.5909460000, 2.8304847000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087400000, 0.0174800000, 0.0349600000, 0.0699200000, 0.1398400000, 0.2796900000, 0.5593700000");
values("0.0393475000, 0.0968424000, 0.1498803000, 0.2628827000, 0.4976631000, 0.9748727000, 1.9359692000, 3.8459560000", \
"0.0390431000, 0.0965443000, 0.1498583000, 0.2628977000, 0.4981643000, 0.9772635000, 1.9364950000, 3.8425125000", \
"0.0390283000, 0.0964548000, 0.1496637000, 0.2625100000, 0.4987084000, 0.9773362000, 1.9373838000, 3.8449204000", \
"0.0399056000, 0.0966664000, 0.1498948000, 0.2622399000, 0.4978406000, 0.9745758000, 1.9397652000, 3.8528389000", \
"0.0429374000, 0.0991571000, 0.1517860000, 0.2637973000, 0.4981723000, 0.9739521000, 1.9312767000, 3.8474752000", \
"0.0546449000, 0.1117000000, 0.1605557000, 0.2678614000, 0.4996543000, 0.9775197000, 1.9314017000, 3.8518690000", \
"0.0777209000, 0.1377870000, 0.1876914000, 0.2857308000, 0.5061721000, 0.9770289000, 1.9310487000, 3.8484247000", \
"0.0977702000, 0.1607312000, 0.2092213000, 0.3081716000, 0.5207188000, 0.9808214000, 1.9354143000, 3.8488581000", \
"0.1391596000, 0.2151049000, 0.2658377000, 0.3653951000, 0.5741937000, 1.0235817000, 1.9458049000, 3.8511985000", \
"0.1631188000, 0.2374938000, 0.2931978000, 0.3903785000, 0.6000350000, 1.0537380000, 1.9643862000, 3.8502317000", \
"0.1778904000, 0.2628170000, 0.3156773000, 0.4180525000, 0.6260760000, 1.0772740000, 1.9867137000, 3.8588119000");
}
related_pin : "RESET_B";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087400000, 0.0174800000, 0.0349600000, 0.0699200000, 0.1398400000, 0.2796900000, 0.5593700000");
values("0.0454975000, 0.1006904000, 0.1533303000, 0.2623630000, 0.4888041000, 0.9533393000, 1.8870243000, 3.7509071000", \
"0.0465368000, 0.1009128000, 0.1532460000, 0.2622864000, 0.4889084000, 0.9528980000, 1.8875990000, 3.7487213000", \
"0.0453574000, 0.1008170000, 0.1531989000, 0.2624426000, 0.4882091000, 0.9525183000, 1.8854984000, 3.7499679000", \
"0.0451774000, 0.1011122000, 0.1533228000, 0.2618567000, 0.4887047000, 0.9529453000, 1.8868540000, 3.7534584000", \
"0.0483910000, 0.1031464000, 0.1546550000, 0.2628672000, 0.4888986000, 0.9520857000, 1.8856583000, 3.7492280000", \
"0.0570812000, 0.1130919000, 0.1631425000, 0.2676156000, 0.4914339000, 0.9508363000, 1.8869479000, 3.7503232000", \
"0.0769235000, 0.1364782000, 0.1853084000, 0.2871752000, 0.5025137000, 0.9542658000, 1.8835518000, 3.7519130000", \
"0.0932049000, 0.1603645000, 0.2089654000, 0.3074849000, 0.5198218000, 0.9652005000, 1.8863440000, 3.7509946000", \
"0.1329867000, 0.2027972000, 0.2574674000, 0.3581223000, 0.5720329000, 1.0191951000, 1.9270801000, 3.7545389000", \
"0.1493981000, 0.2246266000, 0.2779333000, 0.3831271000, 0.5967866000, 1.0476306000, 1.9455515000, 3.7651509000", \
"0.1684304000, 0.2421939000, 0.2983801000, 0.4044854000, 0.6197308000, 1.0747134000, 1.9755602000, 3.7860968000");
}
timing_sense : "positive_unate";
timing_type : "clear";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087400000, 0.0174800000, 0.0349600000, 0.0699200000, 0.1398400000, 0.2796900000, 0.5593700000");
values("0.2919408000, 0.3528835000, 0.4017884000, 0.4940371000, 0.6758767000, 1.0407136000, 1.7723262000, 3.2341514000", \
"0.2963446000, 0.3574577000, 0.4062144000, 0.4985531000, 0.6804567000, 1.0454905000, 1.7763188000, 3.2407586000", \
"0.2970538000, 0.3579539000, 0.4069144000, 0.4991454000, 0.6810213000, 1.0460264000, 1.7764432000, 3.2395915000", \
"0.3110574000, 0.3719588000, 0.4209187000, 0.5131568000, 0.6951703000, 1.0607132000, 1.7921792000, 3.2543255000", \
"0.3449581000, 0.4058652000, 0.4548646000, 0.5470508000, 0.7291114000, 1.0942791000, 1.8243872000, 3.2856025000", \
"0.3841327000, 0.4449297000, 0.4938662000, 0.5861144000, 0.7679102000, 1.1331353000, 1.8652786000, 3.3268732000", \
"0.4441232000, 0.5048847000, 0.5536718000, 0.6459323000, 0.8275770000, 1.1933590000, 1.9241453000, 3.3860552000", \
"0.4930550000, 0.5541583000, 0.6026366000, 0.6947254000, 0.8762905000, 1.2406368000, 1.9725130000, 3.4347403000", \
"0.6023305000, 0.6633535000, 0.7119713000, 0.8038710000, 0.9855945000, 1.3504738000, 2.0798097000, 3.5431267000", \
"0.6512977000, 0.7123359000, 0.7610762000, 0.8529075000, 1.0344515000, 1.3985535000, 2.1291757000, 3.5914338000", \
"0.6971346000, 0.7584925000, 0.8070096000, 0.8988316000, 1.0802690000, 1.4447085000, 2.1749533000, 3.6358814000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087400000, 0.0174800000, 0.0349600000, 0.0699200000, 0.1398400000, 0.2796900000, 0.5593700000");
values("0.3504352000, 0.4086668000, 0.4522972000, 0.5327818000, 0.6880713000, 0.9975319000, 1.6210494000, 2.8650811000", \
"0.3532556000, 0.4114698000, 0.4552756000, 0.5357045000, 0.6907614000, 1.0011567000, 1.6242978000, 2.8684326000", \
"0.3550431000, 0.4133481000, 0.4567788000, 0.5371419000, 0.6925964000, 1.0030845000, 1.6252870000, 2.8703582000", \
"0.3633893000, 0.4218937000, 0.4652875000, 0.5458008000, 0.7011663000, 1.0113942000, 1.6347678000, 2.8831777000", \
"0.3826151000, 0.4409338000, 0.4847351000, 0.5651515000, 0.7200900000, 1.0311607000, 1.6543016000, 2.8999445000", \
"0.3996395000, 0.4578556000, 0.5015933000, 0.5819844000, 0.7369883000, 1.0477267000, 1.6704015000, 2.9201004000", \
"0.4193633000, 0.4771341000, 0.5208860000, 0.6011987000, 0.7560809000, 1.0677693000, 1.6889418000, 2.9397729000", \
"0.4296955000, 0.4880174000, 0.5317582000, 0.6121334000, 0.7672145000, 1.0763318000, 1.7000231000, 2.9433560000", \
"0.4387343000, 0.4974756000, 0.5410387000, 0.6213219000, 0.7761654000, 1.0852634000, 1.7094968000, 2.9542726000", \
"0.4368519000, 0.4953339000, 0.5388706000, 0.6190716000, 0.7738517000, 1.0840224000, 1.7058285000, 2.9478469000", \
"0.4325178000, 0.4912476000, 0.5347338000, 0.6145486000, 0.7697941000, 1.0786599000, 1.7027724000, 2.9432679000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087400000, 0.0174800000, 0.0349600000, 0.0699200000, 0.1398400000, 0.2796900000, 0.5593700000");
values("0.0392214000, 0.0956751000, 0.1493566000, 0.2622468000, 0.4985199000, 0.9764632000, 1.9394462000, 3.8516395000", \
"0.0392664000, 0.0956776000, 0.1492466000, 0.2613466000, 0.4965667000, 0.9759106000, 1.9365487000, 3.8463348000", \
"0.0391933000, 0.0956623000, 0.1493772000, 0.2622462000, 0.4985906000, 0.9754807000, 1.9367286000, 3.8490553000", \
"0.0391879000, 0.0956622000, 0.1493509000, 0.2622437000, 0.4973724000, 0.9769743000, 1.9305628000, 3.8526355000", \
"0.0388513000, 0.0953848000, 0.1494392000, 0.2621271000, 0.4985474000, 0.9742520000, 1.9362028000, 3.8453023000", \
"0.0388833000, 0.0955068000, 0.1493330000, 0.2622890000, 0.4971571000, 0.9768248000, 1.9379640000, 3.8472336000", \
"0.0393596000, 0.0954565000, 0.1492042000, 0.2614245000, 0.4969385000, 0.9741165000, 1.9298514000, 3.8459200000", \
"0.0402313000, 0.0965643000, 0.1493711000, 0.2620374000, 0.4977548000, 0.9764154000, 1.9313233000, 3.8539461000", \
"0.0414822000, 0.0971309000, 0.1510624000, 0.2624643000, 0.4971695000, 0.9753913000, 1.9277833000, 3.8494785000", \
"0.0422649000, 0.0985307000, 0.1517615000, 0.2634243000, 0.4975872000, 0.9770287000, 1.9287067000, 3.8525245000", \
"0.0428328000, 0.0991818000, 0.1520949000, 0.2635220000, 0.4980934000, 0.9736592000, 1.9278144000, 3.8440646000");
}
related_pin : "D";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087400000, 0.0174800000, 0.0349600000, 0.0699200000, 0.1398400000, 0.2796900000, 0.5593700000");
values("0.0487708000, 0.1040809000, 0.1553856000, 0.2633396000, 0.4893174000, 0.9532636000, 1.8849968000, 3.7509689000", \
"0.0487379000, 0.1040136000, 0.1554994000, 0.2634700000, 0.4887177000, 0.9527035000, 1.8846449000, 3.7563730000", \
"0.0480718000, 0.1038452000, 0.1554648000, 0.2631520000, 0.4886143000, 0.9530000000, 1.8893229000, 3.7474290000", \
"0.0482925000, 0.1036847000, 0.1554114000, 0.2634282000, 0.4892531000, 0.9541739000, 1.8850865000, 3.7481631000", \
"0.0483167000, 0.1039606000, 0.1552106000, 0.2636052000, 0.4892133000, 0.9510639000, 1.8863335000, 3.7503232000", \
"0.0482032000, 0.1033706000, 0.1553940000, 0.2634576000, 0.4884134000, 0.9533853000, 1.8874133000, 3.7538816000", \
"0.0485710000, 0.1039965000, 0.1554125000, 0.2634220000, 0.4897469000, 0.9517424000, 1.8870221000, 3.7494021000", \
"0.0495202000, 0.1046488000, 0.1558748000, 0.2644175000, 0.4894317000, 0.9618362000, 1.8849258000, 3.7478665000", \
"0.0498953000, 0.1057968000, 0.1564847000, 0.2640749000, 0.4897860000, 0.9545781000, 1.8854003000, 3.7434296000", \
"0.0506221000, 0.1057856000, 0.1565845000, 0.2650943000, 0.4894573000, 0.9517520000, 1.8871089000, 3.7450070000", \
"0.0509431000, 0.1065132000, 0.1571787000, 0.2655001000, 0.4900385000, 0.9514808000, 1.8854369000, 3.7473806000");
}
timing_sense : "positive_unate";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087400000, 0.0174800000, 0.0349600000, 0.0699200000, 0.1398400000, 0.2796900000, 0.5593700000");
values("0.3670393000, 0.4277812000, 0.4768028000, 0.5689211000, 0.7510837000, 1.1166403000, 1.8476796000, 3.3102656000", \
"0.3661011000, 0.4271172000, 0.4758787000, 0.5681705000, 0.7499854000, 1.1145490000, 1.8455838000, 3.3083061000", \
"0.3697055000, 0.4274955000, 0.4763571000, 0.5685121000, 0.7506346000, 1.1162362000, 1.8507454000, 3.3079832000", \
"0.3789515000, 0.4398762000, 0.4885400000, 0.5808701000, 0.7628081000, 1.1285086000, 1.8592128000, 3.3198320000", \
"0.4111006000, 0.4718911000, 0.5208236000, 0.6131403000, 0.7950293000, 1.1606531000, 1.8905217000, 3.3551877000", \
"0.4428196000, 0.5037684000, 0.5526529000, 0.6448382000, 0.8268609000, 1.1924299000, 1.9238191000, 3.3839231000", \
"0.4783458000, 0.5389088000, 0.5880429000, 0.6801234000, 0.8620834000, 1.2268840000, 1.9590289000, 3.4211900000", \
"0.4979668000, 0.5591620000, 0.6077994000, 0.7001211000, 0.8818913000, 1.2465771000, 1.9781736000, 3.4397021000", \
"0.5159488000, 0.5766213000, 0.6253649000, 0.7181754000, 0.8997055000, 1.2641846000, 1.9949324000, 3.4582373000", \
"0.5140948000, 0.5746222000, 0.6236682000, 0.7158639000, 0.8978620000, 1.2637436000, 1.9931410000, 3.4558012000", \
"0.5081613000, 0.5690221000, 0.6177793000, 0.7101347000, 0.8921996000, 1.2577525000, 1.9883197000, 3.4483745000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087400000, 0.0174800000, 0.0349600000, 0.0699200000, 0.1398400000, 0.2796900000, 0.5593700000");
values("0.3521829000, 0.4104333000, 0.4538109000, 0.5342172000, 0.6897362000, 1.0004299000, 1.6256916000, 2.8691571000", \
"0.3512825000, 0.4095301000, 0.4529082000, 0.5333667000, 0.6888593000, 0.9994956000, 1.6250207000, 2.8675844000", \
"0.3515855000, 0.4097557000, 0.4531578000, 0.5335091000, 0.6890541000, 0.9998002000, 1.6246701000, 2.8694627000", \
"0.3635294000, 0.4214657000, 0.4651022000, 0.5454316000, 0.7009932000, 1.0117595000, 1.6368920000, 2.8813076000", \
"0.3950621000, 0.4533231000, 0.4967275000, 0.5772385000, 0.7326668000, 1.0430262000, 1.6692908000, 2.9094593000", \
"0.4307729000, 0.4886452000, 0.5324388000, 0.6128686000, 0.7679220000, 1.0785346000, 1.7018785000, 2.9508846000", \
"0.4763621000, 0.5342199000, 0.5780363000, 0.6583807000, 0.8131600000, 1.1237656000, 1.7465953000, 2.9963321000", \
"0.5070388000, 0.5652137000, 0.6088040000, 0.6891081000, 0.8438427000, 1.1532190000, 1.7751879000, 3.0275566000", \
"0.5577179000, 0.6161231000, 0.6595975000, 0.7397849000, 0.8945952000, 1.2036400000, 1.8262499000, 3.0712068000", \
"0.5726100000, 0.6313240000, 0.6747055000, 0.7546375000, 0.9094754000, 1.2193677000, 1.8444526000, 3.0825262000", \
"0.5826817000, 0.6415719000, 0.6850280000, 0.7651003000, 0.9195193000, 1.2292128000, 1.8549847000, 3.0931342000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087400000, 0.0174800000, 0.0349600000, 0.0699200000, 0.1398400000, 0.2796900000, 0.5593700000");
values("0.0392219000, 0.0955270000, 0.1492441000, 0.2622444000, 0.4989429000, 0.9770916000, 1.9316235000, 3.8552637000", \
"0.0388676000, 0.0957690000, 0.1490534000, 0.2620462000, 0.4966640000, 0.9745786000, 1.9371598000, 3.8412926000", \
"0.0389587000, 0.0957553000, 0.1493842000, 0.2617453000, 0.4981694000, 0.9764801000, 1.9299482000, 3.8429349000", \
"0.0390364000, 0.0956459000, 0.1493508000, 0.2611198000, 0.4977695000, 0.9775362000, 1.9303368000, 3.8532337000", \
"0.0390128000, 0.0955863000, 0.1491967000, 0.2615125000, 0.4968255000, 0.9741999000, 1.9372912000, 3.8515391000", \
"0.0391266000, 0.0957322000, 0.1492766000, 0.2618487000, 0.4977088000, 0.9779875000, 1.9305160000, 3.8407109000", \
"0.0388684000, 0.0953654000, 0.1494848000, 0.2616113000, 0.4964447000, 0.9761261000, 1.9312327000, 3.8446690000", \
"0.0390016000, 0.0956511000, 0.1493124000, 0.2613522000, 0.4978832000, 0.9751722000, 1.9298287000, 3.8536534000", \
"0.0393884000, 0.0954426000, 0.1495189000, 0.2622643000, 0.4972617000, 0.9746198000, 1.9302016000, 3.8424002000", \
"0.0389112000, 0.0951320000, 0.1494233000, 0.2619546000, 0.4970768000, 0.9740087000, 1.9343771000, 3.8500176000", \
"0.0392229000, 0.0951305000, 0.1490471000, 0.2615663000, 0.4981899000, 0.9777278000, 1.9378679000, 3.8505137000");
}
related_pin : "GATE";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087400000, 0.0174800000, 0.0349600000, 0.0699200000, 0.1398400000, 0.2796900000, 0.5593700000");
values("0.0483257000, 0.1030289000, 0.1552613000, 0.2630178000, 0.4881245000, 0.9529771000, 1.8865578000, 3.7535615000", \
"0.0483278000, 0.1030742000, 0.1552255000, 0.2630541000, 0.4885553000, 0.9530191000, 1.8862705000, 3.7532253000", \
"0.0484230000, 0.1029774000, 0.1552804000, 0.2631772000, 0.4883078000, 0.9529075000, 1.8867645000, 3.7533874000", \
"0.0484315000, 0.1029365000, 0.1552871000, 0.2632330000, 0.4883074000, 0.9528464000, 1.8866966000, 3.7533758000", \
"0.0484462000, 0.1031469000, 0.1551353000, 0.2631916000, 0.4891332000, 0.9527558000, 1.8851039000, 3.7504013000", \
"0.0487796000, 0.1033150000, 0.1552803000, 0.2635208000, 0.4886238000, 0.9536233000, 1.8873749000, 3.7581964000", \
"0.0491722000, 0.1035628000, 0.1556582000, 0.2645734000, 0.4884876000, 0.9534465000, 1.8869448000, 3.7490229000", \
"0.0494989000, 0.1040667000, 0.1555935000, 0.2641820000, 0.4889429000, 0.9507158000, 1.8866253000, 3.7503045000", \
"0.0508657000, 0.1052520000, 0.1565086000, 0.2644864000, 0.4893162000, 0.9547386000, 1.8835451000, 3.7493342000", \
"0.0515836000, 0.1060318000, 0.1573218000, 0.2651291000, 0.4892306000, 0.9527783000, 1.8889366000, 3.7491015000", \
"0.0522099000, 0.1068053000, 0.1583430000, 0.2660659000, 0.4906806000, 0.9520146000, 1.8876496000, 3.7735624000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
}
pin ("RESET_B") {
capacitance : 0.0026570000;
clock : "false";
direction : "input";
fall_capacitance : 0.0025990000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0438734000, 0.0438527000, 0.0438321000, 0.0438042000, 0.0437597000, 0.0438656000, 0.0440975000, 0.0441742000, 0.0444245000, 0.0445446000, 0.0446648000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0430799000, -0.0423577000, -0.0415741000, -0.0419967000, -0.0432877000, -0.0431931000, -0.0429889000, -0.0430422000, -0.0431639000, -0.0432343000, -0.0433047000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0027140000;
timing () {
fall_constraint ("pulse_width_template3") {
index_1("0.0100000000, 1.5000000000, 3.7499999000");
values("0.1456329000, 2.5000000000, 6.2499998000");
}
related_output_pin : "Q";
related_pin : "RESET_B";
sim_opt : "runlvl=5 accurate=1";
timing_type : "min_pulse_width";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "GATE";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0215312000, 0.0188555000, 0.0214499000, 0.0185169000, 0.0352357000, 0.0554310000, 0.0860560000, 0.1056947000, 0.1314141000, 0.1354831000, 0.1334486000", \
"0.0193242000, 0.0190898000, 0.0168014000, 0.0199720000, 0.0330286000, 0.0532240000, 0.0838490000, 0.1034876000, 0.1292070000, 0.1332760000, 0.1312416000", \
"0.0167298000, 0.0189369000, 0.0166484000, 0.0173776000, 0.0304342000, 0.0506296000, 0.0812546000, 0.1008932000, 0.1266126000, 0.1306816000, 0.1298679000", \
"0.0050143000, 0.0072214000, 0.0061536000, 0.0068828000, 0.0187187000, 0.0389141000, 0.0695391000, 0.0903984000, 0.1161178000, 0.1201868000, 0.1181524000", \
"-0.0275736000, -0.0253665000, -0.0276549000, -0.0269258000, -0.0163105000, 0.0026641000, 0.0345098000, 0.0541484000, 0.0798678000, 0.0839368000, 0.0819024000", \
"-0.0636380000, -0.0602103000, -0.0637194000, -0.0642109000, -0.0560371000, -0.0382832000, -0.0088789000, 0.0107598000, 0.0364792000, 0.0393275000, 0.0372931000", \
"-0.1150150000, -0.1103665000, -0.1138756000, -0.1168086000, -0.1135176000, -0.0982051000, -0.0712422000, -0.0528242000, -0.0307669000, -0.0291393000, -0.0323944000", \
"-0.1517435000, -0.1483158000, -0.1530456000, -0.1559785000, -0.1563496000, -0.1446992000, -0.1177363000, -0.1005391000, -0.0809232000, -0.0805163000, -0.0837714000", \
"-0.2299531000, -0.2228633000, -0.2300345000, -0.2366296000, -0.2443249000, -0.2387780000, -0.2142565000, -0.1982799000, -0.1798848000, -0.1806986000, -0.1839537000", \
"-0.2608776000, -0.2525671000, -0.2621797000, -0.2699955000, -0.2801322000, -0.2770267000, -0.2537259000, -0.2389700000, -0.2193542000, -0.2189473000, -0.2234231000", \
"-0.2893608000, -0.2798295000, -0.2906628000, -0.2984786000, -0.3134981000, -0.3128341000, -0.2895333000, -0.2735567000, -0.2539409000, -0.2523132000, -0.2567891000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "recovery_falling";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "GATE";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0016621000, -0.0014277000, -0.0003600000, -0.0023099000, -0.0153665000, -0.0343411000, -0.0637454000, -0.0821634000, -0.1066621000, -0.1107311000, -0.1099174000", \
"0.0005449000, -0.0004414000, 0.0006263000, -0.0001029000, -0.0131595000, -0.0321341000, -0.0615384000, -0.0799564000, -0.1056758000, -0.1085241000, -0.1077104000", \
"0.0019186000, 0.0021530000, 0.0020000000, 0.0024915000, -0.0105651000, -0.0295397000, -0.0589440000, -0.0773620000, -0.1030814000, -0.1059297000, -0.1051160000", \
"0.0136341000, 0.0126478000, 0.0137155000, 0.0129863000, 0.0011504000, -0.0190449000, -0.0472285000, -0.0668672000, -0.0913659000, -0.0954349000, -0.0946212000", \
"0.0486634000, 0.0476771000, 0.0487448000, 0.0492363000, 0.0386211000, 0.0196465000, -0.0109785000, -0.0306172000, -0.0551159000, -0.0591849000, -0.0583712000", \
"0.0883900000, 0.0886243000, 0.0884714000, 0.0901836000, 0.0820098000, 0.0630352000, 0.0336309000, 0.0139922000, -0.0105065000, -0.0145755000, -0.0125411000", \
"0.1495326000, 0.1485462000, 0.1496139000, 0.1525469000, 0.1468145000, 0.1290605000, 0.0996563000, 0.0812383000, 0.0591810000, 0.0575534000, 0.0595878000", \
"0.1984681000, 0.1974818000, 0.1985495000, 0.2014824000, 0.1981914000, 0.1816582000, 0.1522539000, 0.1338359000, 0.1129993000, 0.1113717000, 0.1146269000", \
"0.3084160000, 0.3086504000, 0.3097181000, 0.3126510000, 0.3105807000, 0.2989303000, 0.2695260000, 0.2498874000, 0.2290508000, 0.2286439000, 0.2331197000", \
"0.3588718000, 0.3578854000, 0.3601738000, 0.3631068000, 0.3622572000, 0.3493861000, 0.3212025000, 0.3015638000, 0.2795065000, 0.2790996000, 0.2835754000", \
"0.4044447000, 0.4046791000, 0.4057468000, 0.4099005000, 0.4090509000, 0.3974005000, 0.3679962000, 0.3483575000, 0.3263002000, 0.3258933000, 0.3291484000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "removal_falling";
violation_delay_degrade_pct : 10.000000000;
}
}
}
cell ("sky130_fd_sc_hvl__dlxtp_1") {
leakage_power () {
value : 9.383519700;
when : "D&GATE";
}
leakage_power () {
value : 11.389327700;
when : "!D&!GATE";
}
leakage_power () {
value : 9.391433400;
when : "!D&GATE";
}
leakage_power () {
value : 9.870736200;
when : "D&!GATE";
}
area : 33.211200000;
cell_footprint : "sky130_fd_sc_hvl__dlxtp";
cell_leakage_power : 10.008750000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
latch ("IQ","IQ_N") {
data_in : "D";
enable : "GATE";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("D") {
capacitance : 0.0026870000;
clock : "false";
direction : "input";
fall_capacitance : 0.0025880000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0701248000, 0.0741534000, 0.0785190000, 0.0942191000, 0.1434054000, 0.2268654000, 0.3938035000, 0.5622961000, 1.0319233000, 1.2756994000, 1.5194756000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0058669000, -0.0058179000, -0.0057631000, 0.0081221000, 0.0516332000, 0.1343187000, 0.2997105000, 0.4682870000, 0.9381532000, 1.1820521000, 1.4259511000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0027850000;
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1411602000, 0.1470293000, 0.1447409000, 0.1601185000, 0.2012513000, 0.2544056000, 0.3350794000, 0.3864564000, 0.5110527000, 0.5712741000, 0.6266126000", \
"0.1377324000, 0.1436016000, 0.1413131000, 0.1579115000, 0.1990443000, 0.2521986000, 0.3316517000, 0.3830287000, 0.5076250000, 0.5678464000, 0.6231849000", \
"0.1363587000, 0.1422279000, 0.1399395000, 0.1553171000, 0.1964499000, 0.2508249000, 0.3290573000, 0.3816549000, 0.5050306000, 0.5652519000, 0.6205905000", \
"0.1283053000, 0.1329538000, 0.1318861000, 0.1472637000, 0.1871758000, 0.2427715000, 0.3197832000, 0.3711601000, 0.4933151000, 0.5535365000, 0.6088750000", \
"0.1128073000, 0.1186764000, 0.1212708000, 0.1329863000, 0.1728984000, 0.2260527000, 0.3018438000, 0.3520000000, 0.4729342000, 0.5319349000, 0.5872734000", \
"0.1048190000, 0.1106881000, 0.1132826000, 0.1249980000, 0.1612480000, 0.2095195000, 0.2877519000, 0.3427910000, 0.4588425000, 0.5154017000, 0.5719609000", \
"0.0973874000, 0.1032565000, 0.1046302000, 0.1151250000, 0.1477129000, 0.1923223000, 0.2681133000, 0.3304766000, 0.4477487000, 0.5043079000, 0.5596465000", \
"0.0972800000, 0.1019284000, 0.1045228000, 0.1137969000, 0.1415020000, 0.1812285000, 0.2570195000, 0.3206035000, 0.4476413000, 0.5017591000, 0.5558769000", \
"0.1118438000, 0.1164922000, 0.1178659000, 0.1259193000, 0.1463001000, 0.1750404000, 0.2410658000, 0.3046497000, 0.4499981000, 0.5138815000, 0.5692200000", \
"0.1248646000, 0.1282923000, 0.1296660000, 0.1352780000, 0.1532174000, 0.1770749000, 0.2406588000, 0.3030221000, 0.4532533000, 0.5183574000, 0.5785788000", \
"0.1378854000, 0.1425338000, 0.1439076000, 0.1470781000, 0.1613555000, 0.1815508000, 0.2402519000, 0.3050566000, 0.4552878000, 0.5240540000, 0.5867168000");
}
related_pin : "GATE";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0764629000, 0.0786699000, 0.0776022000, 0.0917591000, 0.1243470000, 0.1677357000, 0.2227747000, 0.2570618000, 0.3023125000, 0.3112643000, 0.3165540000", \
"0.0693730000, 0.0728008000, 0.0705124000, 0.0846693000, 0.1196986000, 0.1630872000, 0.2181263000, 0.2524134000, 0.2976640000, 0.3078366000, 0.3119056000", \
"0.0679994000, 0.0702064000, 0.0691387000, 0.0832956000, 0.1171042000, 0.1604928000, 0.2167526000, 0.2498190000, 0.2962904000, 0.3064629000, 0.3105319000", \
"0.0562839000, 0.0609323000, 0.0623060000, 0.0703594000, 0.1066094000, 0.1487773000, 0.2050371000, 0.2381035000, 0.2857956000, 0.2959681000, 0.3012578000", \
"0.0127096000, 0.0185788000, 0.0187318000, 0.0292266000, 0.0654766000, 0.1064238000, 0.1626836000, 0.1969707000, 0.2458835000, 0.2572767000, 0.2625664000", \
"-0.0380033000, -0.0321341000, -0.0319811000, -0.0227070000, 0.0111016000, 0.0532695000, 0.1095293000, 0.1438164000, 0.1939499000, 0.2053431000, 0.2118535000", \
"-0.1113529000, -0.1054837000, -0.1053307000, -0.0960566000, -0.0683516000, -0.0298457000, 0.0264141000, 0.0607012000, 0.1132760000, 0.1246693000, 0.1324004000", \
"-0.1688333000, -0.1617435000, -0.1615905000, -0.1535371000, -0.1294941000, -0.0934297000, -0.0383906000, -0.0041035000, 0.0472507000, 0.0610853000, 0.0675957000", \
"-0.2934297000, -0.2863399000, -0.2874076000, -0.2805749000, -0.2626354000, -0.2338952000, -0.1800768000, -0.1457897000, -0.0919941000, -0.0806009000, -0.0728698000", \
"-0.3487682000, -0.3416784000, -0.3427461000, -0.3359134000, -0.3204154000, -0.2941165000, -0.2427396000, -0.2060111000, -0.1522155000, -0.1396016000, -0.1330911000", \
"-0.3992240000, -0.3921341000, -0.3932018000, -0.3875898000, -0.3745332000, -0.3506758000, -0.3005195000, -0.2625703000, -0.2075540000, -0.1949401000, -0.1872090000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_falling";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0810078000, -0.0880977000, -0.0906921000, -0.1036283000, -0.1459818000, -0.2003568000, -0.2859134000, -0.3507181000, -0.4960664000, -0.5587292000, -0.6177298000", \
"-0.0788008000, -0.0846699000, -0.0884850000, -0.1002005000, -0.1425540000, -0.1981497000, -0.2824857000, -0.3485111000, -0.4926387000, -0.5565221000, -0.6143021000", \
"-0.0774271000, -0.0832962000, -0.0858906000, -0.0988268000, -0.1411803000, -0.1955553000, -0.2798913000, -0.3459167000, -0.4900443000, -0.5539277000, -0.6117077000", \
"-0.0681530000, -0.0740221000, -0.0766165000, -0.0895527000, -0.1319062000, -0.1850605000, -0.2693965000, -0.3342012000, -0.4783288000, -0.5422122000, -0.6012129000", \
"-0.0465514000, -0.0536413000, -0.0562357000, -0.0679512000, -0.1078633000, -0.1622383000, -0.2453535000, -0.3125996000, -0.4530651000, -0.5193900000, -0.5759492000", \
"-0.0251354000, -0.0310046000, -0.0335990000, -0.0465352000, -0.0852266000, -0.1383809000, -0.2239375000, -0.2911836000, -0.4377526000, -0.5016361000, -0.5606367000", \
"0.0115931000, 0.0045033000, 0.0019089000, -0.0098066000, -0.0484980000, -0.1016523000, -0.1908711000, -0.2630000000, -0.4168932000, -0.4832181000, -0.5434395000", \
"0.0434388000, 0.0375697000, 0.0337546000, 0.0220391000, -0.0178730000, -0.0722480000, -0.1639082000, -0.2384785000, -0.3996960000, -0.4696830000, -0.5323457000", \
"0.1228691000, 0.1170000000, 0.1131849000, 0.1014694000, 0.0591159000, 0.0010788000, -0.0979056000, -0.1810208000, -0.3605488000, -0.4366393000, -0.5054056000", \
"0.1611178000, 0.1552487000, 0.1526543000, 0.1397181000, 0.0961439000, 0.0368861000, -0.0669811000, -0.1537585000, -0.3406107000, -0.4203633000, -0.4915710000", \
"0.1981458000, 0.1910560000, 0.1884616000, 0.1755254000, 0.1331719000, 0.0702520000, -0.0348359000, -0.1264961000, -0.3206725000, -0.4028665000, -0.4777363000");
}
related_pin : "GATE";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0480488000, -0.0514766000, -0.0552917000, -0.0645658000, -0.1008158000, -0.1442044000, -0.2016849000, -0.2384134000, -0.2885469000, -0.2999401000, -0.3052298000", \
"-0.0421797000, -0.0456074000, -0.0482018000, -0.0586966000, -0.0949466000, -0.1395560000, -0.1982572000, -0.2337650000, -0.2838984000, -0.2952917000, -0.3005814000", \
"-0.0395853000, -0.0430130000, -0.0468281000, -0.0561022000, -0.0935729000, -0.1369616000, -0.1956628000, -0.2311706000, -0.2825247000, -0.2939180000, -0.2992077000", \
"-0.0278698000, -0.0325182000, -0.0351126000, -0.0443867000, -0.0818574000, -0.1252461000, -0.1839473000, -0.2194551000, -0.2720299000, -0.2834232000, -0.2899336000", \
"0.0157044000, 0.0110560000, 0.0096823000, -0.0008125000, -0.0382832000, -0.0804512000, -0.1391523000, -0.1758809000, -0.2296764000, -0.2422904000, -0.2500215000", \
"0.0664173000, 0.0617689000, 0.0603952000, 0.0511211000, 0.0160918000, -0.0260762000, -0.0847773000, -0.1227266000, -0.1765221000, -0.1903568000, -0.1980879000", \
"0.1434290000, 0.1375599000, 0.1361862000, 0.1269121000, 0.0979863000, 0.0558184000, -0.0004414000, -0.0396113000, -0.0946276000, -0.1084622000, -0.1161934000", \
"0.2033509000, 0.1974818000, 0.1961081000, 0.1880547000, 0.1603496000, 0.1218437000, 0.0643633000, 0.0264141000, -0.0298229000, -0.0448783000, -0.0526094000", \
"0.3389336000, 0.3330645000, 0.3316907000, 0.3236374000, 0.2983737000, 0.2659713000, 0.2084909000, 0.1693210000, 0.1106426000, 0.0955872000, 0.0878561000", \
"0.3991549000, 0.3945065000, 0.3919121000, 0.3838587000, 0.3610365000, 0.3286341000, 0.2723744000, 0.2319837000, 0.1720846000, 0.1570293000, 0.1480775000", \
"0.4557142000, 0.4498450000, 0.4484714000, 0.4404180000, 0.4175957000, 0.3864141000, 0.3301543000, 0.2897637000, 0.2286439000, 0.2123678000, 0.2034160000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_falling";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("GATE") {
capacitance : 0.0025840000;
clock : "true";
direction : "input";
fall_capacitance : 0.0025190000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2223133000, 0.2198270000, 0.2171348000, 0.2322443000, 0.2795799000, 0.3741312000, 0.5632510000, 0.7584599000, 1.3025447000, 1.5849726000, 1.8674004000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1654695000, 0.1635012000, 0.1613706000, 0.1739392000, 0.2133259000, 0.3069286000, 0.4941534000, 0.6901446000, 1.2364137000, 1.5199744000, 1.8035349000");
}
}
max_transition : 3.7500000000;
min_pulse_width_high : 0.2137680000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0026490000;
}
pin ("Q") {
direction : "output";
function : "IQ";
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087700000, 0.0175500000, 0.0350900000, 0.0701800000, 0.1403500000, 0.2807000000, 0.5614100000");
values("0.3891723000, 0.2245663000, 0.0823177000, -0.1956219000, -0.7394155000, -1.8063331000, -3.9340365000, -8.187196200", \
"0.3913754000, 0.2275358000, 0.0841358000, -0.1928617000, -0.7345152000, -1.8042636000, -3.9328814000, -8.182093500", \
"0.3804024000, 0.2162874000, 0.0728259000, -0.2042432000, -0.7458802000, -1.8156456000, -3.9440749000, -8.193418900", \
"0.3805311000, 0.2163478000, 0.0733272000, -0.2037620000, -0.7453755000, -1.8154519000, -3.9440220000, -8.193302300", \
"0.3864050000, 0.2199033000, 0.0748895000, -0.2043420000, -0.7478799000, -1.8188369000, -3.9483551000, -8.197992700", \
"0.4184929000, 0.2468032000, 0.0976564000, -0.1874586000, -0.7364125000, -1.8115093000, -3.9438955000, -8.195437700", \
"0.5071643000, 0.3210621000, 0.1668108000, -0.1294315000, -0.6905143000, -1.7766682000, -3.9168255000, -8.174338400", \
"0.6040329000, 0.4088545000, 0.2359695000, -0.0667701000, -0.6386334000, -1.7356431000, -3.8844739000, -8.146783800", \
"0.8313989000, 0.6259529000, 0.4442243000, 0.1144444000, -0.4817448000, -1.6052788000, -3.7786687000, -8.059771000", \
"0.9361288000, 0.7268413000, 0.5418702000, 0.2045233000, -0.4060805000, -1.5360209000, -3.7213836000, -8.012730600", \
"1.0403674000, 0.8264599000, 0.6394615000, 0.2964733000, -0.3243107000, -1.4708975000, -3.6667697000, -7.9676016000");
}
related_pin : "D";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087700000, 0.0175500000, 0.0350900000, 0.0701800000, 0.1403500000, 0.2807000000, 0.5614100000");
values("0.3252771000, 0.4293591000, 0.5510982000, 0.8029705000, 1.3210710000, 2.3678224000, 4.4646666000, 8.667705500", \
"0.3401016000, 0.4363792000, 0.5580420000, 0.8104904000, 1.3279393000, 2.3750035000, 4.4718970000, 8.674351500", \
"0.3409197000, 0.4372777000, 0.5588162000, 0.8115543000, 1.3284946000, 2.3758022000, 4.4725435000, 8.673870200", \
"0.3271502000, 0.4306222000, 0.5503746000, 0.8049683000, 1.3225999000, 2.3696733000, 4.4682315000, 8.669373500", \
"0.3388836000, 0.4374662000, 0.5564839000, 0.8057318000, 1.3211233000, 2.3653484000, 4.4612155000, 8.661834800", \
"0.3705220000, 0.4650274000, 0.5774302000, 0.8228210000, 1.3302717000, 2.3703307000, 4.4677835000, 8.659797100", \
"0.4690186000, 0.5475203000, 0.6470969000, 0.8824729000, 1.3749375000, 2.4049910000, 4.4862432000, 8.681299800", \
"0.5593272000, 0.6328916000, 0.7277498000, 0.9456750000, 1.4309746000, 2.4407187000, 4.5159832000, 8.705640900", \
"0.7916096000, 0.8610311000, 0.9437545000, 1.1434676000, 1.5966582000, 2.5829440000, 4.6254246000, 8.789688900", \
"0.9085446000, 0.9730271000, 1.0524534000, 1.2441292000, 1.6951099000, 2.6537736000, 4.6881955000, 8.832452600", \
"1.0192008000, 1.0816673000, 1.1561862000, 1.3452559000, 1.7856365000, 2.7331705000, 4.7540217000, 8.891663100");
}
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087700000, 0.0175500000, 0.0350900000, 0.0701800000, 0.1403500000, 0.2807000000, 0.5614100000");
values("0.3639338000, 0.2008841000, 0.0586307000, -0.2177473000, -0.7587823000, -1.8280361000, -3.9564527000, -8.205523200", \
"0.3677991000, 0.2054883000, 0.0631113000, -0.2130785000, -0.7541369000, -1.8235147000, -3.9518018000, -8.200795800", \
"0.3641947000, 0.2013540000, 0.0589987000, -0.2173705000, -0.7583987000, -1.8276949000, -3.9560643000, -8.205107500", \
"0.3569483000, 0.1945106000, 0.0522675000, -0.2243252000, -0.7653327000, -1.8346348000, -3.9630770000, -8.211907700", \
"0.3722248000, 0.2086756000, 0.0662939000, -0.2104010000, -0.7514302000, -1.8207578000, -3.9490609000, -8.198123100", \
"0.3800072000, 0.2164095000, 0.0732391000, -0.2040220000, -0.7456875000, -1.8152348000, -3.9435041000, -8.193066300", \
"0.4366506000, 0.2596854000, 0.1122188000, -0.1665405000, -0.7096836000, -1.7802200000, -3.9095183000, -8.158891400", \
"0.4835590000, 0.3065463000, 0.1519362000, -0.1326047000, -0.6774073000, -1.7495376000, -3.8795197000, -8.129748900", \
"0.5947973000, 0.4117024000, 0.2516280000, -0.0373231000, -0.5887261000, -1.6657242000, -3.7979072000, -8.048350800", \
"0.6447759000, 0.4606066000, 0.3003522000, 0.0081301000, -0.5461316000, -1.6261091000, -3.7594460000, -8.010601800", \
"0.6930592000, 0.5075756000, 0.3452695000, 0.0518618000, -0.5056600000, -1.5878531000, -3.7223361000, -7.9740571000");
}
related_pin : "GATE";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087700000, 0.0175500000, 0.0350900000, 0.0701800000, 0.1403500000, 0.2807000000, 0.5614100000");
values("0.3307246000, 0.4406890000, 0.5616725000, 0.8133622000, 1.3302063000, 2.3754542000, 4.4782241000, 8.673527500", \
"0.3340380000, 0.4452502000, 0.5660975000, 0.8177587000, 1.3369111000, 2.3813466000, 4.4826146000, 8.685583100", \
"0.3359399000, 0.4409941000, 0.5623256000, 0.8136390000, 1.3320066000, 2.3769766000, 4.4783708000, 8.681035700", \
"0.3296319000, 0.4348991000, 0.5558040000, 0.8073483000, 1.3251502000, 2.3729609000, 4.4727868000, 8.667284300", \
"0.3425838000, 0.4486167000, 0.5693010000, 0.8207998000, 1.3392495000, 2.3866948000, 4.4843024000, 8.679521100", \
"0.3458340000, 0.4486472000, 0.5690638000, 0.8199760000, 1.3383191000, 2.3814291000, 4.4840509000, 8.679513900", \
"0.3788364000, 0.4725186000, 0.5888936000, 0.8399646000, 1.3542743000, 2.3982384000, 4.4958479000, 8.695085800", \
"0.3968171000, 0.4901882000, 0.6078660000, 0.8514348000, 1.3682294000, 2.4089992000, 4.5063983000, 8.705804000", \
"0.4363119000, 0.5288390000, 0.6442940000, 0.8913336000, 1.3967446000, 2.4397303000, 4.5372601000, 8.741051500", \
"0.4528053000, 0.5469369000, 0.6616736000, 0.9080859000, 1.4208608000, 2.4537917000, 4.5515612000, 8.748120500", \
"0.4718887000, 0.5626518000, 0.6754079000, 0.9224861000, 1.4352084000, 2.4648752000, 4.5623525000, 8.760564500");
}
}
max_capacitance : 0.5401710000;
max_transition : 3.8867520000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087700000, 0.0175500000, 0.0350900000, 0.0701800000, 0.1403500000, 0.2807000000, 0.5614100000");
values("0.1620613000, 0.2412043000, 0.2998332000, 0.4002103000, 0.5865444000, 0.9524868000, 1.6860187000, 3.1560217000", \
"0.1682803000, 0.2473104000, 0.3059971000, 0.4063647000, 0.5927059000, 0.9586441000, 1.6927291000, 3.1631411000", \
"0.1685990000, 0.2475939000, 0.3062665000, 0.4066347000, 0.5929814000, 0.9589013000, 1.6930752000, 3.1634912000", \
"0.1835937000, 0.2625546000, 0.3211778000, 0.4214948000, 0.6077479000, 0.9736187000, 1.7077569000, 3.1785750000", \
"0.2283993000, 0.3060918000, 0.3638733000, 0.4634982000, 0.6491698000, 1.0145694000, 1.7483741000, 3.2195326000", \
"0.2888182000, 0.3674022000, 0.4245522000, 0.5228293000, 0.7069071000, 1.0707234000, 1.8038476000, 3.2750598000", \
"0.3833943000, 0.4677412000, 0.5264966000, 0.6255648000, 0.8079806000, 1.1681659000, 1.8970669000, 3.3677821000", \
"0.4605545000, 0.5499222000, 0.6116762000, 0.7139651000, 0.8990044000, 1.2574584000, 1.9843871000, 3.4486793000", \
"0.6325808000, 0.7334110000, 0.8005296000, 0.9111734000, 1.1061666000, 1.4754342000, 2.1974997000, 3.6546359000", \
"0.7096473000, 0.8147590000, 0.8843892000, 0.9985822000, 1.1978317000, 1.5732116000, 2.3025781000, 3.7571044000", \
"0.7815670000, 0.8901632000, 0.9614892000, 1.0792860000, 1.2825768000, 1.6642195000, 2.4041558000, 3.8561105000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087700000, 0.0175500000, 0.0350900000, 0.0701800000, 0.1403500000, 0.2807000000, 0.5614100000");
values("0.1406658000, 0.2021398000, 0.2489350000, 0.3336769000, 0.4912108000, 0.8025231000, 1.4266209000, 2.6698908000", \
"0.1433344000, 0.2068346000, 0.2535286000, 0.3381756000, 0.4956884000, 0.8076637000, 1.4311485000, 2.6771216000", \
"0.1439328000, 0.2088667000, 0.2554565000, 0.3402627000, 0.4978676000, 0.8095036000, 1.4328801000, 2.6796589000", \
"0.1567044000, 0.2182904000, 0.2650159000, 0.3496903000, 0.5075410000, 0.8191273000, 1.4399347000, 2.6850411000", \
"0.1904590000, 0.2514782000, 0.2970444000, 0.3811825000, 0.5387825000, 0.8487290000, 1.4708262000, 2.7210483000", \
"0.2255186000, 0.2890201000, 0.3354818000, 0.4178288000, 0.5735048000, 0.8833227000, 1.5059324000, 2.7469784000", \
"0.2629024000, 0.3350085000, 0.3837142000, 0.4688268000, 0.6243181000, 0.9298952000, 1.5496434000, 2.7945779000", \
"0.2805447000, 0.3602686000, 0.4131116000, 0.5010731000, 0.6590882000, 0.9642339000, 1.5799266000, 2.8192992000", \
"0.2913939000, 0.3862190000, 0.4476527000, 0.5468955000, 0.7116447000, 1.0240956000, 1.6431638000, 2.8754927000", \
"0.2850694000, 0.3863894000, 0.4522866000, 0.5569325000, 0.7258418000, 1.0405514000, 1.6632161000, 2.8955365000", \
"0.2750553000, 0.3820279000, 0.4508126000, 0.5609063000, 0.7344846000, 1.0516060000, 1.6818417000, 2.9167935000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087700000, 0.0175500000, 0.0350900000, 0.0701800000, 0.1403500000, 0.2807000000, 0.5614100000");
values("0.0569567000, 0.1277856000, 0.1811301000, 0.2884389000, 0.5135321000, 0.9865873000, 1.9480746000, 3.8735828000", \
"0.0572767000, 0.1273033000, 0.1812795000, 0.2885205000, 0.5133188000, 0.9867296000, 1.9457453000, 3.8776782000", \
"0.0572885000, 0.1273227000, 0.1813113000, 0.2885301000, 0.5133590000, 0.9866892000, 1.9523461000, 3.8783114000", \
"0.0566825000, 0.1276254000, 0.1813240000, 0.2883021000, 0.5136137000, 0.9880325000, 1.9476161000, 3.8830139000", \
"0.0580969000, 0.1288222000, 0.1825286000, 0.2894042000, 0.5140198000, 0.9880959000, 1.9509250000, 3.8811279000", \
"0.0682133000, 0.1366573000, 0.1883221000, 0.2930501000, 0.5151596000, 0.9885668000, 1.9531254000, 3.8837147000", \
"0.0899972000, 0.1591605000, 0.2095216000, 0.3076933000, 0.5225961000, 0.9886576000, 1.9518778000, 3.8745909000", \
"0.1088047000, 0.1812017000, 0.2331930000, 0.3291781000, 0.5376535000, 0.9934439000, 1.9487161000, 3.8799803000", \
"0.1507793000, 0.2322643000, 0.2876179000, 0.3839014000, 0.5932603000, 1.0347631000, 1.9668147000, 3.8835282000", \
"0.1731079000, 0.2551387000, 0.3115233000, 0.4097911000, 0.6184611000, 1.0632419000, 1.9859649000, 3.8779238000", \
"0.1909358000, 0.2755819000, 0.3340786000, 0.4337641000, 0.6421107000, 1.0908261000, 2.0075970000, 3.8867523000");
}
related_pin : "D";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087700000, 0.0175500000, 0.0350900000, 0.0701800000, 0.1403500000, 0.2807000000, 0.5614100000");
values("0.0450231000, 0.1040884000, 0.1585425000, 0.2677051000, 0.4913538000, 0.9531624000, 1.8843413000, 3.7513961000", \
"0.0449680000, 0.1044915000, 0.1587747000, 0.2678250000, 0.4904658000, 0.9517684000, 1.8858806000, 3.7547947000", \
"0.0447799000, 0.1043127000, 0.1585133000, 0.2675433000, 0.4911577000, 0.9523653000, 1.8854041000, 3.7542445000", \
"0.0446829000, 0.1044591000, 0.1585442000, 0.2679026000, 0.4922626000, 0.9521179000, 1.8858946000, 3.7528611000", \
"0.0470806000, 0.1058102000, 0.1598662000, 0.2685411000, 0.4914032000, 0.9535561000, 1.8845066000, 3.7505821000", \
"0.0566065000, 0.1159585000, 0.1672081000, 0.2727595000, 0.4930255000, 0.9529829000, 1.8832873000, 3.7503769000", \
"0.0765172000, 0.1398282000, 0.1877540000, 0.2883151000, 0.5020415000, 0.9545372000, 1.8835298000, 3.7524996000", \
"0.0937511000, 0.1607887000, 0.2089683000, 0.3072375000, 0.5158146000, 0.9603820000, 1.8882742000, 3.7510230000", \
"0.1360194000, 0.2122288000, 0.2632059000, 0.3567965000, 0.5591898000, 0.9954223000, 1.9056794000, 3.7540153000", \
"0.1556255000, 0.2344221000, 0.2882363000, 0.3809255000, 0.5797062000, 1.0136801000, 1.9205491000, 3.7634780000", \
"0.1699378000, 0.2577301000, 0.3166499000, 0.4060179000, 0.6012039000, 1.0340100000, 1.9422917000, 3.7746060000");
}
timing_sense : "positive_unate";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087700000, 0.0175500000, 0.0350900000, 0.0701800000, 0.1403500000, 0.2807000000, 0.5614100000");
values("0.2401694000, 0.3193987000, 0.3783810000, 0.4789509000, 0.6654419000, 1.0313504000, 1.7652312000, 3.2376899000", \
"0.2402084000, 0.3193854000, 0.3783975000, 0.4789367000, 0.6654078000, 1.0313096000, 1.7676099000, 3.2366299000", \
"0.2436831000, 0.3229123000, 0.3818969000, 0.4824712000, 0.6689612000, 1.0348723000, 1.7689423000, 3.2401195000", \
"0.2521151000, 0.3312677000, 0.3903059000, 0.4909020000, 0.6773953000, 1.0434703000, 1.7788162000, 3.2487597000", \
"0.2827976000, 0.3616366000, 0.4205605000, 0.5211108000, 0.7076084000, 1.0737419000, 1.8077230000, 3.2793677000", \
"0.3144611000, 0.3935831000, 0.4523703000, 0.5527725000, 0.7391509000, 1.1052408000, 1.8409868000, 3.3093885000", \
"0.3530497000, 0.4324184000, 0.4913703000, 0.5918026000, 0.7779066000, 1.1435163000, 1.8775264000, 3.3503049000", \
"0.3768688000, 0.4566097000, 0.5156778000, 0.6161265000, 0.8023048000, 1.1674379000, 1.9018198000, 3.3715706000", \
"0.4072283000, 0.4901697000, 0.5501128000, 0.6501837000, 0.8354490000, 1.1997703000, 1.9319271000, 3.4018526000", \
"0.4122115000, 0.4967344000, 0.5564437000, 0.6562740000, 0.8410000000, 1.2046463000, 1.9363753000, 3.4054919000", \
"0.4123211000, 0.4984325000, 0.5582202000, 0.6578187000, 0.8418758000, 1.2047328000, 1.9365289000, 3.4045691000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087700000, 0.0175500000, 0.0350900000, 0.0701800000, 0.1403500000, 0.2807000000, 0.5614100000");
values("0.2195920000, 0.2808061000, 0.3270721000, 0.4115297000, 0.5692450000, 0.8804135000, 1.5049175000, 2.7487774000", \
"0.2218803000, 0.2808555000, 0.3271373000, 0.4115865000, 0.5692800000, 0.8795278000, 1.5026452000, 2.7531823000", \
"0.2232690000, 0.2843863000, 0.3306261000, 0.4151164000, 0.5728202000, 0.8830127000, 1.5062496000, 2.7564211000", \
"0.2321156000, 0.2931290000, 0.3393725000, 0.4238628000, 0.5812415000, 0.8925514000, 1.5149871000, 2.7661871000", \
"0.2615014000, 0.3224414000, 0.3686521000, 0.4530553000, 0.6107418000, 0.9205228000, 1.5441124000, 2.7938287000", \
"0.2894297000, 0.3503249000, 0.3964058000, 0.4806348000, 0.6382209000, 0.9492240000, 1.5736921000, 2.8175915000", \
"0.3203413000, 0.3809779000, 0.4266745000, 0.5105303000, 0.6674467000, 0.9776777000, 1.6045105000, 2.8483920000", \
"0.3357264000, 0.3959190000, 0.4413004000, 0.5249143000, 0.6816357000, 0.9912650000, 1.6151008000, 2.8601594000", \
"0.3440539000, 0.4038501000, 0.4488513000, 0.5319502000, 0.6880763000, 0.9983316000, 1.6206184000, 2.8661835000", \
"0.3379040000, 0.3980608000, 0.4430057000, 0.5258756000, 0.6818405000, 0.9913603000, 1.6112883000, 2.8532392000", \
"0.3275682000, 0.3876711000, 0.4325517000, 0.5153399000, 0.6707364000, 0.9802047000, 1.6027375000, 2.8434251000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087700000, 0.0175500000, 0.0350900000, 0.0701800000, 0.1403500000, 0.2807000000, 0.5614100000");
values("0.0554615000, 0.1261340000, 0.1806831000, 0.2881679000, 0.5134387000, 0.9870983000, 1.9495183000, 3.8764774000", \
"0.0550758000, 0.1261419000, 0.1806457000, 0.2881379000, 0.5135161000, 0.9864377000, 1.9462962000, 3.8841064000", \
"0.0555339000, 0.1261569000, 0.1806746000, 0.2881599000, 0.5134375000, 0.9870219000, 1.9457578000, 3.8762633000", \
"0.0551190000, 0.1261331000, 0.1805670000, 0.2881167000, 0.5132450000, 0.9866634000, 1.9513107000, 3.8793262000", \
"0.0553424000, 0.1262812000, 0.1804732000, 0.2882302000, 0.5139376000, 0.9870749000, 1.9525570000, 3.8725307000", \
"0.0563819000, 0.1271308000, 0.1813195000, 0.2888903000, 0.5137822000, 0.9872779000, 1.9552042000, 3.8858095000", \
"0.0577421000, 0.1298007000, 0.1841367000, 0.2897113000, 0.5146739000, 0.9877262000, 1.9520905000, 3.8781724000", \
"0.0603460000, 0.1333393000, 0.1872162000, 0.2919182000, 0.5160861000, 0.9860287000, 1.9530271000, 3.8800767000", \
"0.0697203000, 0.1430636000, 0.1955121000, 0.2980568000, 0.5182125000, 0.9898034000, 1.9487931000, 3.8807628000", \
"0.0743832000, 0.1481014000, 0.1996081000, 0.3007241000, 0.5193831000, 0.9900415000, 1.9471398000, 3.8832551000", \
"0.0792829000, 0.1529150000, 0.2034684000, 0.3019509000, 0.5209638000, 0.9888644000, 1.9507391000, 3.8783363000");
}
related_pin : "GATE";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087700000, 0.0175500000, 0.0350900000, 0.0701800000, 0.1403500000, 0.2807000000, 0.5614100000");
values("0.0449893000, 0.1041799000, 0.1586946000, 0.2681135000, 0.4925943000, 0.9536675000, 1.8845856000, 3.7548844000", \
"0.0453004000, 0.1042045000, 0.1588654000, 0.2680495000, 0.4916032000, 0.9531211000, 1.8880424000, 3.7591019000", \
"0.0449899000, 0.1041888000, 0.1587610000, 0.2681547000, 0.4925692000, 0.9535833000, 1.8880690000, 3.7581129000", \
"0.0449528000, 0.1042334000, 0.1588756000, 0.2681418000, 0.4906580000, 0.9533676000, 1.8863890000, 3.7537764000", \
"0.0456086000, 0.1043217000, 0.1588653000, 0.2680939000, 0.4912309000, 0.9522449000, 1.8851714000, 3.7548773000", \
"0.0454798000, 0.1041392000, 0.1589035000, 0.2682134000, 0.4913412000, 0.9538337000, 1.8846271000, 3.7547556000", \
"0.0457356000, 0.1043895000, 0.1588798000, 0.2681655000, 0.4917629000, 0.9540753000, 1.8853652000, 3.7550167000", \
"0.0465611000, 0.1050720000, 0.1592885000, 0.2684122000, 0.4912651000, 0.9528957000, 1.8865676000, 3.7557348000", \
"0.0485654000, 0.1059438000, 0.1602012000, 0.2686689000, 0.4918472000, 0.9513376000, 1.8926678000, 3.7490326000", \
"0.0493394000, 0.1065825000, 0.1605115000, 0.2693787000, 0.4931234000, 0.9530593000, 1.8850519000, 3.7482688000", \
"0.0501603000, 0.1071320000, 0.1611203000, 0.2695546000, 0.4919827000, 0.9528841000, 1.8850825000, 3.7478984000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
}
}
cell ("sky130_fd_sc_hvl__einvn_1") {
leakage_power () {
value : 0.9117498000;
when : "A&TE_B";
}
leakage_power () {
value : 4.2317194000;
when : "A&!TE_B";
}
leakage_power () {
value : 0.8819570000;
when : "!A&TE_B";
}
leakage_power () {
value : 5.0581885000;
when : "!A&!TE_B";
}
area : 13.675200000;
cell_footprint : "sky130_fd_sc_hvl__einvn";
cell_leakage_power : 2.7709040000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0049500000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0250698000, 0.0262656000, 0.0275610000, 0.0281268000, 0.0299012000, 0.0299981000, 0.0301935000, 0.0301828000, 0.0301559000, 0.0301413000, 0.0301265000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0290729000, -0.0287096000, -0.0283158000, -0.0283356000, -0.0283944000, -0.0284561000, -0.0285778000, -0.0285538000, -0.0284840000, -0.0284486000, -0.0284132000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("TE_B") {
capacitance : 0.0058100000;
clock : "false";
direction : "input";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("Z") {
capacitance : 0.0040600000;
direction : "output";
function : "(!A)";
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0084700000, 0.0169300000, 0.0338600000, 0.0677100000, 0.1354200000, 0.2708500000");
values("-0.0220576000, -0.1216301000, -0.2489419000, -0.5075320000, -1.0174927000, -2.0407222000, -4.0891142000", \
"-0.0079547000, -0.1197864000, -0.2458650000, -0.5008785000, -1.0122915000, -2.0358774000, -4.0840028000", \
"-0.0021145000, -0.1204094000, -0.2459223000, -0.5004056000, -1.0115847000, -2.0348981000, -4.0828364000", \
"0.0097632000, -0.1178674000, -0.2445540000, -0.4991873000, -1.0101750000, -2.0333973000, -4.0823463000", \
"0.0661513000, -0.0839193000, -0.2192903000, -0.4821135000, -1.0005281000, -2.0288492000, -4.0779969000", \
"0.1611273000, -0.0083036000, -0.1554736000, -0.4341638000, -0.9653598000, -2.0065712000, -4.0666137000", \
"0.3598941000, 0.1700975000, 0.0032568000, -0.2999278000, -0.8621769000, -1.9342763000, -4.0217442000", \
"0.5584371000, 0.3608285000, 0.1829741000, -0.1416226000, -0.7314802000, -1.8350618000, -3.9549736000", \
"1.1159842000, 0.9039717000, 0.7085654000, 0.3498699000, -0.3020472000, -1.4857716000, -3.6956372000", \
"1.4056201000, 1.1863487000, 0.9884589000, 0.6181709000, -0.0576864000, -1.2782406000, -3.5318274000", \
"1.6925768000, 1.4717304000, 1.2688838000, 0.8911314000, 0.1969336000, -1.0582984000, -3.3545581000");
}
related_pin : "A";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0084700000, 0.0169300000, 0.0338600000, 0.0677100000, 0.1354200000, 0.2708500000");
values("0.0713031000, 0.2251932000, 0.3530497000, 0.6076476000, 1.1134262000, 2.1258490000, 4.1545764000", \
"0.0818163000, 0.2257263000, 0.3539133000, 0.6084403000, 1.1161917000, 2.1296457000, 4.1587230000", \
"0.0859983000, 0.2223780000, 0.3509857000, 0.6070779000, 1.1133820000, 2.1282430000, 4.1563443000", \
"0.1001183000, 0.2246425000, 0.3523332000, 0.6067687000, 1.1143714000, 2.1289279000, 4.1574236000", \
"0.1588231000, 0.2608518000, 0.3776278000, 0.6234498000, 1.1230420000, 2.1317012000, 4.1575960000", \
"0.2560631000, 0.3430965000, 0.4478130000, 0.6765359000, 1.1620268000, 2.1573978000, 4.1735538000", \
"0.4545206000, 0.5249407000, 0.6162079000, 0.8213277000, 1.2742095000, 2.2366985000, 4.2213608000", \
"0.6537367000, 0.7158523000, 0.7968694000, 0.9886864000, 1.4132955000, 2.3439863000, 4.2958906000", \
"1.2058902000, 1.2582480000, 1.3280016000, 1.4899527000, 1.8672696000, 2.7213843000, 4.5848590000", \
"1.4941667000, 1.5445440000, 1.6063373000, 1.7602834000, 2.1166205000, 2.9435964000, 4.7625571000", \
"1.7841899000, 1.8315161000, 1.8914749000, 2.0331281000, 2.3767937000, 3.1760812000, 4.9549962000");
}
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0084700000, 0.0169300000, 0.0338600000, 0.0677100000, 0.1354200000, 0.2708500000");
values("-0.0806760000, -0.0717063000, -0.0914716000, -0.0904345000, -0.0895577000, -0.0725084000, -0.0931029000", \
"-0.0750048000, -0.0670531000, -0.0655333000, -0.0644513000, -0.0637731000, -0.0633965000, -0.0631942000", \
"-0.0761207000, -0.0692964000, -0.0676376000, -0.0664444000, -0.0657033000, -0.0652934000, -0.0650715000", \
"-0.0715350000, -0.0651979000, -0.0628731000, -0.0610157000, -0.0599176000, -0.0592011000, -0.0588145000", \
"-0.0311241000, -0.0264921000, -0.0238627000, -0.0213142000, -0.0189715000, -0.0174834000, -0.0166235000", \
"0.0457602000, 0.0488160000, 0.0508139000, 0.0534660000, 0.0561856000, 0.0583183000, 0.0596953000", \
"0.2106057000, 0.2128038000, 0.2139109000, 0.2155191000, 0.2176563000, 0.2198955000, 0.2216678000", \
"0.3775661000, 0.3791925000, 0.3800444000, 0.3810502000, 0.3823344000, 0.3839655000, 0.3855523000", \
"0.8490484000, 0.8502892000, 0.8509162000, 0.8514700000, 0.8515002000, 0.8511182000, 0.8509228000", \
"1.0943842000, 1.0954617000, 1.0960462000, 1.0965115000, 1.0963383000, 1.0952317000, 1.0939705000", \
"1.3393369000, 1.3403853000, 1.3409189000, 1.3413641000, 1.3410963000, 1.3394901000, 1.3371757000");
}
related_pin : "TE_B";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0084700000, 0.0169300000, 0.0338600000, 0.0677100000, 0.1354200000, 0.2708500000");
values("0.2648255000, 0.3914295000, 0.5177194000, 0.7716077000, 1.2794894000, 2.2929321000, 4.3219331000", \
"0.2765969000, 0.4031402000, 0.5296335000, 0.7833589000, 1.2900303000, 2.3031685000, 4.3326337000", \
"0.2769739000, 0.4033560000, 0.5298227000, 0.7838156000, 1.2902448000, 2.3051370000, 4.3338125000", \
"0.2877777000, 0.4142444000, 0.5404277000, 0.7942299000, 1.3011169000, 2.3163187000, 4.3453887000", \
"0.3357299000, 0.4626265000, 0.5886503000, 0.8422392000, 1.3496489000, 2.3629758000, 4.3943537000", \
"0.4152603000, 0.5424362000, 0.6683267000, 0.9210435000, 1.4282000000, 2.4420799000, 4.4714516000", \
"0.5751364000, 0.7006718000, 0.8325203000, 1.0861647000, 1.5926062000, 2.6067587000, 4.6387377000", \
"0.7431603000, 0.8683263000, 0.9937254000, 1.2531895000, 1.7592477000, 2.7724336000, 4.8009084000", \
"1.2157019000, 1.3398014000, 1.4646668000, 1.7160172000, 2.2246822000, 3.2406105000, 5.2672541000", \
"1.4620880000, 1.5847584000, 1.7096666000, 1.9618154000, 2.4678103000, 3.4826264000, 5.5111470000", \
"1.7068123000, 1.8310939000, 1.9547729000, 2.2051152000, 2.7078212000, 3.7250082000, 5.7509907000");
}
when : "!A";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0084700000, 0.0169300000, 0.0338600000, 0.0677100000, 0.1354200000, 0.2708500000");
values("0.2081731000, 0.0813195000, -0.0465833000, -0.3025421000, -0.8140265000, -1.8381232000, -3.8867029000", \
"0.2200537000, 0.0931409000, -0.0346639000, -0.2906779000, -0.8030385000, -1.8264158000, -3.8758665000", \
"0.2202644000, 0.0934038000, -0.0344423000, -0.2902411000, -0.8007682000, -1.8247049000, -3.8715155000", \
"0.2310197000, 0.1041872000, -0.0237284000, -0.2796858000, -0.7916532000, -1.8157738000, -3.8641429000", \
"0.2758046000, 0.1525781000, 0.0247611000, -0.2314065000, -0.7434126000, -1.7673196000, -3.8161636000", \
"0.3526565000, 0.2321257000, 0.1042584000, -0.1519072000, -0.6637131000, -1.6880190000, -3.7359381000", \
"0.5198903000, 0.3907168000, 0.2691665000, 0.0125295000, -0.4989106000, -1.5224207000, -3.5702752000", \
"0.6887808000, 0.5592415000, 0.4298270000, 0.1794803000, -0.3328730000, -1.3569225000, -3.4059603000", \
"1.1588694000, 1.0303593000, 0.9020350000, 0.6434630000, 0.1346776000, -0.8909185000, -2.9397725000", \
"1.4037153000, 1.2758019000, 1.1468301000, 0.8893423000, 0.3775727000, -0.6477210000, -2.6957902000", \
"1.6495247000, 1.5212377000, 1.3921549000, 1.1344439000, 0.6177467000, -0.4041373000, -2.4527273000");
}
related_pin : "TE_B";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0084700000, 0.0169300000, 0.0338600000, 0.0677100000, 0.1354200000, 0.2708500000");
values("-0.0829300000, -0.0829075000, -0.0829552000, -0.0830042000, -0.0830391000, -0.0830605000, -0.0830722000", \
"-0.0774081000, -0.0773486000, -0.0773069000, -0.0773791000, -0.0774226000, -0.0774471000, -0.0774599000", \
"-0.0785192000, -0.0783963000, -0.0784630000, -0.0785255000, -0.0785667000, -0.0785914000, -0.0786056000", \
"-0.0752827000, -0.0756079000, -0.0757457000, -0.0758516000, -0.0759200000, -0.0759598000, -0.0759812000", \
"-0.0330476000, -0.0334391000, -0.0335818000, -0.0336878000, -0.0337559000, -0.0337971000, -0.0338214000", \
"0.0441870000, 0.0438035000, 0.0436944000, 0.0436133000, 0.0435643000, 0.0435349000, 0.0435191000", \
"0.2098248000, 0.2095817000, 0.2094908000, 0.2094137000, 0.2093564000, 0.2093185000, 0.2092953000", \
"0.3766517000, 0.3763482000, 0.3762817000, 0.3762350000, 0.3762119000, 0.3762065000, 0.3762151000", \
"0.8472578000, 0.8470554000, 0.8470014000, 0.8469508000, 0.8469111000, 0.8468867000, 0.8468736000", \
"1.0926795000, 1.0917861000, 1.0917375000, 1.0917020000, 1.0916745000, 1.0916489000, 1.0916418000", \
"1.3371364000, 1.3369780000, 1.3369708000, 1.3369424000, 1.3369151000, 1.3368899000, 1.3368776000");
}
when : "A";
}
max_capacitance : 0.2708500000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
three_state : "(TE_B)";
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0040600000, 0.0125300000, 0.0209900000, 0.0379200000, 0.0717700000, 0.1394800000, 0.2749100000");
values("0.0230900000, 0.0991900000, 0.1622700000, 0.2880600000, 0.5394000000, 1.0420700000, 2.0472700000", \
"0.0300300000, 0.1010900000, 0.1649200000, 0.2912100000, 0.5428100000, 1.0455700000, 2.0509700000", \
"0.0342900000, 0.1021200000, 0.1662200000, 0.2927600000, 0.5445300000, 1.0474300000, 2.0527300000", \
"0.0407500000, 0.1083100000, 0.1716900000, 0.2979200000, 0.5495900000, 1.0525000000, 2.0579000000", \
"0.0447000000, 0.1352200000, 0.1991200000, 0.3210000000, 0.5692900000, 1.0698000000, 2.0739000000", \
"0.0424400000, 0.1596800000, 0.2387400000, 0.3674800000, 0.6081300000, 1.1022000000, 2.1016000000", \
"0.0305400000, 0.1822800000, 0.2848000000, 0.4459000000, 0.7040000000, 1.1825000000, 2.1681000000", \
"0.0149000000, 0.1912000000, 0.3103000000, 0.4980000000, 0.7905000000, 1.2760000000, 2.2456000000", \
"-0.0358300000, 0.1878700000, 0.3398700000, 0.5796700000, 0.9535700000, 1.5335700000, 2.4992700000", \
"-0.0642700000, 0.1778300000, 0.3430300000, 0.6034300000, 1.0099300000, 1.6396300000, 2.6454300000", \
"-0.0935000000, 0.1646000000, 0.3414000000, 0.6201000000, 1.0551000000, 1.7296000000, 2.7878000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0040600000, 0.0125300000, 0.0209900000, 0.0379200000, 0.0717700000, 0.1394800000, 0.2749100000");
values("0.0216500000, 0.1077900000, 0.1794500000, 0.3219100000, 0.6065300000, 1.1756700000, 2.3135700000", \
"0.0286400000, 0.1094600000, 0.1817900000, 0.3248000000, 0.6096900000, 1.1789700000, 2.3172700000", \
"0.0334000000, 0.1103700000, 0.1829700000, 0.3262200000, 0.6113200000, 1.1806300000, 2.3187300000", \
"0.0428800000, 0.1183200000, 0.1899300000, 0.3327900000, 0.6176700000, 1.1871000000, 2.3254000000", \
"0.0636700000, 0.1558600000, 0.2252600000, 0.3631200000, 0.6441000000, 1.2108000000, 2.3473000000", \
"0.0865200000, 0.2041900000, 0.2835600000, 0.4215500000, 0.6943000000, 1.2538000000, 2.3851000000", \
"0.1226000000, 0.2781900000, 0.3797000000, 0.5399000000, 0.8139000000, 1.3568000000, 2.4730000000", \
"0.1536000000, 0.3373000000, 0.4567000000, 0.6420000000, 0.9382000000, 1.4738000000, 2.5731000000", \
"0.2296700000, 0.4698700000, 0.6262700000, 0.8669700000, 1.2361700000, 1.8230700000, 2.8913700000", \
"0.2663300000, 0.5289300000, 0.7004300000, 0.9642300000, 1.3672300000, 1.9924300000, 3.0709300000", \
"0.3019000000, 0.5840000000, 0.7691000000, 1.0535000000, 1.4869000000, 2.1528000000, 3.2557000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0040600000, 0.0125300000, 0.0209900000, 0.0379200000, 0.0717700000, 0.1394800000, 0.2749100000");
values("0.0202900000, 0.1079000000, 0.1952100000, 0.3695300000, 0.7188500000, 1.4157700000, 2.8138900000", \
"0.0203200000, 0.1078900000, 0.1952400000, 0.3699000000, 0.7184100000, 1.4158000000, 2.8112900000", \
"0.0203400000, 0.1078800000, 0.1950700000, 0.3698200000, 0.7190100000, 1.4156400000, 2.8135900000", \
"0.0312000000, 0.1078100000, 0.1951400000, 0.3694900000, 0.7187400000, 1.4155400000, 2.8138200000", \
"0.0613400000, 0.1381000000, 0.2087300000, 0.3707800000, 0.7181500000, 1.4174000000, 2.8112000000", \
"0.1077100000, 0.2016300000, 0.2680800000, 0.4042000000, 0.7232700000, 1.4159500000, 2.8112000000", \
"0.1941400000, 0.3240100000, 0.3999700000, 0.5280900000, 0.7965000000, 1.4286000000, 2.8140000000", \
"0.2764800000, 0.4349800000, 0.5266000000, 0.6637000000, 0.9153000000, 1.4889000000, 2.8130000000", \
"0.4987000000, 0.7131000000, 0.8420000000, 1.0256000000, 1.2959000000, 1.7952000000, 2.9440000000", \
"0.6135000000, 0.8474000000, 0.9923000000, 1.1986000000, 1.4943000000, 1.9896000000, 3.0732000000", \
"0.7286000000, 0.9774000000, 1.1371000000, 1.3641000000, 1.6856000000, 2.1867000000, 3.2291000000");
}
related_pin : "A";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0040600000, 0.0125300000, 0.0209900000, 0.0379200000, 0.0717700000, 0.1394800000, 0.2749100000");
values("0.0335600000, 0.1420300000, 0.2501800000, 0.4665400000, 0.8996600000, 1.7645600000, 3.4980400000", \
"0.0333200000, 0.1419900000, 0.2501900000, 0.4666200000, 0.8993800000, 1.7658600000, 3.4972100000", \
"0.0332100000, 0.1420000000, 0.2501800000, 0.4666600000, 0.8997900000, 1.7656700000, 3.4983700000", \
"0.0451800000, 0.1419800000, 0.2502000000, 0.4666900000, 0.8994600000, 1.7650000000, 3.4955400000", \
"0.0763800000, 0.1655300000, 0.2595400000, 0.4669600000, 0.8994100000, 1.7650500000, 3.4983000000", \
"0.1195700000, 0.2199700000, 0.3038000000, 0.4885500000, 0.9007500000, 1.7651300000, 3.4977000000", \
"0.1988000000, 0.3266100000, 0.4136900000, 0.5802700000, 0.9470000000, 1.7688000000, 3.4976000000", \
"0.2757000000, 0.4236000000, 0.5243000000, 0.6909000000, 1.0311000000, 1.8029000000, 3.4976000000", \
"0.4961000000, 0.6616000000, 0.7981000000, 1.0027000000, 1.3321000000, 2.0147000000, 3.5640000000", \
"0.6144000000, 0.7762000000, 0.9271000000, 1.1538000000, 1.4965000000, 2.1573000000, 3.6443000000", \
"0.7331000000, 0.8868000000, 1.0501000000, 1.2971000000, 1.6621000000, 2.3132000000, 3.7491000000");
}
timing_sense : "negative_unate";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0040600000, 0.0125300000, 0.0209900000, 0.0379200000, 0.0717700000, 0.1394800000, 0.2749100000");
values("0.0593900000, 0.1253300000, 0.1882500000, 0.3139000000, 0.5650900000, 1.0674700000, 2.0724700000", \
"0.0653800000, 0.1313200000, 0.1942300000, 0.3198900000, 0.5710900000, 1.0734700000, 2.0784700000", \
"0.0681400000, 0.1340700000, 0.1969800000, 0.3226300000, 0.5738300000, 1.0762300000, 2.0812300000", \
"0.0800000000, 0.1464800000, 0.2093900000, 0.3350700000, 0.5862700000, 1.0887000000, 2.0936000000", \
"0.1028100000, 0.1744200000, 0.2378400000, 0.3635300000, 0.6147400000, 1.1172000000, 2.1222000000", \
"0.1219400000, 0.2030000000, 0.2679900000, 0.3944000000, 0.6457000000, 1.1481000000, 2.1531000000", \
"0.1416600000, 0.2423300000, 0.3113000000, 0.4411000000, 0.6940000000, 1.1966000000, 2.2016000000", \
"0.1511000000, 0.2711000000, 0.3448000000, 0.4781000000, 0.7348000000, 1.2384000000, 2.2435000000", \
"0.1547700000, 0.3253700000, 0.4160700000, 0.5600700000, 0.8265700000, 1.3410700000, 2.3480700000", \
"0.1491300000, 0.3450300000, 0.4452300000, 0.5962300000, 0.8674300000, 1.3877300000, 2.3992300000", \
"0.1397000000, 0.3608000000, 0.4707000000, 0.6296000000, 0.9055000000, 1.4312000000, 2.4492000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0040600000, 0.0125300000, 0.0209900000, 0.0379200000, 0.0717700000, 0.1394800000, 0.2749100000");
values("0.0364200000, 0.1093200000, 0.1805600000, 0.3229000000, 0.6072800000, 1.1762700000, 2.3140700000", \
"0.0389300000, 0.1119000000, 0.1831500000, 0.3254700000, 0.6099300000, 1.1788700000, 2.3167700000", \
"0.0410500000, 0.1140400000, 0.1853000000, 0.3276400000, 0.6120300000, 1.1810300000, 2.3186300000", \
"0.0400400000, 0.1173700000, 0.1886200000, 0.3309200000, 0.6153900000, 1.1843000000, 2.3221000000", \
"0.0095200000, 0.1146000000, 0.1927100000, 0.3351800000, 0.6196900000, 1.1887000000, 2.3266000000", \
"-0.0616200000, 0.0824800000, 0.1791500000, 0.3387100000, 0.6245300000, 1.1940000000, 2.3322000000", \
"-0.2357300000, -0.0268400000, 0.1047800000, 0.3063000000, 0.6296000000, 1.2031000000, 2.3421000000", \
"-0.4290100000, -0.1667800000, -0.0039000000, 0.2376000000, 0.6065000000, 1.2105000000, 2.3516000000", \
"-1.0126300000, -0.6300300000, -0.3953300000, -0.0556300000, 0.4334700000, 1.1698700000, 2.3728700000", \
"-1.3300700000, -0.8944700000, -0.6277700000, -0.2437700000, 0.3033300000, 1.1063300000, 2.3791300000", \
"-1.6539000000, -1.1691000000, -0.8729000000, -0.4477000000, 0.1540000000, 1.0222000000, 2.3659000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0040600000, 0.0125300000, 0.0209900000, 0.0379200000, 0.0717700000, 0.1394800000, 0.2749100000");
values("0.0265700000, 0.1091600000, 0.1954400000, 0.3695200000, 0.7180300000, 1.4167400000, 2.8127500000", \
"0.0265600000, 0.1091500000, 0.1954200000, 0.3694600000, 0.7181800000, 1.4161100000, 2.8113900000", \
"0.0265600000, 0.1091700000, 0.1954200000, 0.3693700000, 0.7183300000, 1.4151400000, 2.8102400000", \
"0.0282000000, 0.1093500000, 0.1954500000, 0.3698600000, 0.7184800000, 1.4159900000, 2.8128000000", \
"0.0374600000, 0.1122300000, 0.1964500000, 0.3696900000, 0.7188900000, 1.4157400000, 2.8102000000", \
"0.0506500000, 0.1188000000, 0.2001500000, 0.3709800000, 0.7182700000, 1.4156100000, 2.8109000000", \
"0.0740700000, 0.1347400000, 0.2109700000, 0.3777700000, 0.7213000000, 1.4154000000, 2.8099000000", \
"0.0965000000, 0.1519000000, 0.2236000000, 0.3869000000, 0.7271000000, 1.4190000000, 2.8105000000", \
"0.1601000000, 0.2013000000, 0.2627000000, 0.4138000000, 0.7506000000, 1.4340000000, 2.8178000000", \
"0.1941000000, 0.2268000000, 0.2848000000, 0.4296000000, 0.7617000000, 1.4464000000, 2.8221000000", \
"0.2285000000, 0.2525000000, 0.3076000000, 0.4459000000, 0.7733000000, 1.4590000000, 2.8309000000");
}
related_pin : "TE_B";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0040600000, 0.0125300000, 0.0209900000, 0.0379200000, 0.0717700000, 0.1394800000, 0.2749100000");
values("0.0361200000, 0.1425500000, 0.2503100000, 0.4667300000, 0.8994400000, 1.7665900000, 3.4964700000", \
"0.0362200000, 0.1425600000, 0.2503700000, 0.4667000000, 0.8992900000, 1.7647600000, 3.4960800000", \
"0.0368900000, 0.1425700000, 0.2504100000, 0.4669600000, 0.8996700000, 1.7657200000, 3.4994300000", \
"0.0487800000, 0.1441600000, 0.2505300000, 0.4665900000, 0.8994000000, 1.7649300000, 3.4961800000", \
"0.0803600000, 0.1711700000, 0.2647100000, 0.4697800000, 0.8996500000, 1.7650400000, 3.4975000000", \
"0.1196500000, 0.2204700000, 0.3123100000, 0.4972700000, 0.9059200000, 1.7650900000, 3.4960000000", \
"0.1849700000, 0.3025400000, 0.4058400000, 0.5931000000, 0.9623100000, 1.7790000000, 3.4968000000", \
"0.2403900000, 0.3735100000, 0.4873700000, 0.6879300000, 1.0529000000, 1.8257000000, 3.4993000000", \
"0.3690600000, 0.5409000000, 0.6805000000, 0.9172000000, 1.3226000000, 2.0540000000, 3.6052000000", \
"0.4273000000, 0.6168000000, 0.7690000000, 1.0221000000, 1.4491000000, 2.2005000000, 3.6991000000", \
"0.4818000000, 0.6878000000, 0.8521000000, 1.1208000000, 1.5687000000, 2.3427000000, 3.8149000000");
}
timing_sense : "negative_unate";
timing_type : "three_state_enable";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0040600000, 0.0125300000, 0.0209900000, 0.0379200000, 0.0717700000, 0.1394800000, 0.2749100000");
values("0.0572300000, 0.0572300000, 0.0572300000, 0.0572300000, 0.0572300000, 0.0572300000, 0.0572300000", \
"0.0629700000, 0.0629700000, 0.0629700000, 0.0629700000, 0.0629700000, 0.0629700000, 0.0629700000", \
"0.0686500000, 0.0686500000, 0.0686500000, 0.0686500000, 0.0686500000, 0.0686500000, 0.0686500000", \
"0.0877200000, 0.0877200000, 0.0877200000, 0.0877200000, 0.0877200000, 0.0877200000, 0.0877200000", \
"0.1876100000, 0.1876100000, 0.1876100000, 0.1876100000, 0.1876100000, 0.1876100000, 0.1876100000", \
"0.3193700000, 0.3193700000, 0.3193700000, 0.3193700000, 0.3193700000, 0.3193700000, 0.3193700000", \
"0.5570000000, 0.5570000000, 0.5570000000, 0.5570000000, 0.5570000000, 0.5570000000, 0.5570000000", \
"0.8084000000, 0.8084000000, 0.8084000000, 0.8084000000, 0.8084000000, 0.8084000000, 0.8084000000", \
"1.5210700000, 1.5210700000, 1.5210700000, 1.5210700000, 1.5210700000, 1.5210700000, 1.5210700000", \
"1.8915300000, 1.8915300000, 1.8915300000, 1.8915300000, 1.8915300000, 1.8915300000, 1.8915300000", \
"2.2651000000, 2.2651000000, 2.2651000000, 2.2651000000, 2.2651000000, 2.2651000000, 2.2651000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0040600000, 0.0125300000, 0.0209900000, 0.0379200000, 0.0717700000, 0.1394800000, 0.2749100000");
values("0.0750800000, 0.0750800000, 0.0750800000, 0.0750800000, 0.0750800000, 0.0750800000, 0.0750800000", \
"0.0792900000, 0.0792900000, 0.0792900000, 0.0792900000, 0.0792900000, 0.0792900000, 0.0792900000", \
"0.0805800000, 0.0805800000, 0.0805800000, 0.0805800000, 0.0805800000, 0.0805800000, 0.0805800000", \
"0.0936600000, 0.0936600000, 0.0936600000, 0.0936600000, 0.0936600000, 0.0936600000, 0.0936600000", \
"0.1287600000, 0.1287600000, 0.1287600000, 0.1287600000, 0.1287600000, 0.1287600000, 0.1287600000", \
"0.1519800000, 0.1519800000, 0.1519800000, 0.1519800000, 0.1519800000, 0.1519800000, 0.1519800000", \
"0.1797100000, 0.1797100000, 0.1797100000, 0.1797100000, 0.1797100000, 0.1797100000, 0.1797100000", \
"0.2005000000, 0.2005000000, 0.2005000000, 0.2005000000, 0.2005000000, 0.2005000000, 0.2005000000", \
"0.2535700000, 0.2535700000, 0.2535700000, 0.2535700000, 0.2535700000, 0.2535700000, 0.2535700000", \
"0.2835300000, 0.2835300000, 0.2835300000, 0.2835300000, 0.2835300000, 0.2835300000, 0.2835300000", \
"0.3186000000, 0.3186000000, 0.3186000000, 0.3186000000, 0.3186000000, 0.3186000000, 0.3186000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0040600000, 0.0125300000, 0.0209900000, 0.0379200000, 0.0717700000, 0.1394800000, 0.2749100000");
values("0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000");
}
related_pin : "TE_B";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0040600000, 0.0125300000, 0.0209900000, 0.0379200000, 0.0717700000, 0.1394800000, 0.2749100000");
values("0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000");
}
timing_sense : "positive_unate";
timing_type : "three_state_disable";
}
}
}
cell ("sky130_fd_sc_hvl__einvp_1") {
leakage_power () {
value : 2.7416476000;
when : "!A&TE";
}
leakage_power () {
value : 3.2193426000;
when : "!A&!TE";
}
leakage_power () {
value : 1.9334097000;
when : "A&TE";
}
leakage_power () {
value : 3.2493412000;
when : "A&!TE";
}
area : 13.675200000;
cell_footprint : "sky130_fd_sc_hvl__einvp";
cell_leakage_power : 2.7859350000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0042800000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0340157000, 0.0359413000, 0.0380280000, 0.0386127000, 0.0404558000, 0.0406541000, 0.0410567000, 0.0410970000, 0.0412200000, 0.0412811000, 0.0413421000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0318055000, -0.0329352000, -0.0341585000, -0.0340776000, -0.0338124000, -0.0340347000, -0.0344734000, -0.0343829000, -0.0341206000, -0.0339873000, -0.0338539000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("TE") {
capacitance : 0.0046900000;
clock : "false";
direction : "input";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("Z") {
capacitance : 0.0040600000;
direction : "output";
function : "(!A)";
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085000000, 0.0169900000, 0.0339700000, 0.0679500000, 0.1358900000, 0.2717700000");
values("-0.0171645000, -0.1168756000, -0.2452177000, -0.5020201000, -1.0159438000, -2.0436240000, -4.0985477000", \
"-0.0008010000, -0.1135108000, -0.2400944000, -0.4958478000, -1.0092590000, -2.0364733000, -4.0914510000", \
"0.0064463000, -0.1127272000, -0.2388124000, -0.4940710000, -1.0071055000, -2.0341267000, -4.0894150000", \
"0.0181519000, -0.1098440000, -0.2370666000, -0.4928589000, -1.0055717000, -2.0329277000, -4.0877244000", \
"0.0742455000, -0.0765139000, -0.2127305000, -0.4760565000, -0.9967941000, -2.0291813000, -4.0861824000", \
"0.1691063000, -0.0010496000, -0.1490710000, -0.4286157000, -0.9617078000, -2.0067825000, -4.0726238000", \
"0.3678840000, 0.1770817000, 0.0100828000, -0.2941299000, -0.8584064000, -1.9347259000, -4.0278349000", \
"0.5668957000, 0.3674951000, 0.1890596000, -0.1364892000, -0.7281705000, -1.8347968000, -3.9615314000", \
"1.1219325000, 0.9079323000, 0.7136787000, 0.3543909000, -0.3008442000, -1.4867845000, -3.7034908000", \
"1.4106686000, 1.1917600000, 0.9930048000, 0.6218872000, -0.0559958000, -1.2800335000, -3.5401411000", \
"1.7008255000, 1.4765528000, 1.2730316000, 0.8942273000, 0.1979428000, -1.0607271000, -3.3637015000");
}
related_pin : "A";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085000000, 0.0169900000, 0.0339700000, 0.0679500000, 0.1358900000, 0.2717700000");
values("0.0602798000, 0.2140285000, 0.3415060000, 0.5962269000, 1.1058872000, 2.1219678000, 4.1561669000", \
"0.0688067000, 0.2125128000, 0.3412804000, 0.5962564000, 1.1053681000, 2.1226720000, 4.1578079000", \
"0.0723858000, 0.2087898000, 0.3381787000, 0.5949276000, 1.1031768000, 2.1212108000, 4.1558786000", \
"0.0865259000, 0.2107825000, 0.3388129000, 0.5941206000, 1.1047546000, 2.1210575000, 4.1556550000", \
"0.1449592000, 0.2477147000, 0.3644719000, 0.6109859000, 1.1125278000, 2.1256274000, 4.1563222000", \
"0.2422898000, 0.3289455000, 0.4345302000, 0.6639971000, 1.1516069000, 2.1482795000, 4.1715092000", \
"0.4389409000, 0.5108794000, 0.6024644000, 0.8078735000, 1.2632139000, 2.2285709000, 4.2206716000", \
"0.6392939000, 0.7015232000, 0.7827365000, 0.9750144000, 1.4015172000, 2.3364134000, 4.2948029000", \
"1.1911443000, 1.2434069000, 1.3129370000, 1.4742785000, 1.8523888000, 2.7112762000, 4.5808637000", \
"1.4787237000, 1.5281862000, 1.5911789000, 1.7451029000, 2.1030290000, 2.9331165000, 4.7596595000", \
"1.7679634000, 1.8156386000, 1.8758821000, 2.0177832000, 2.3626899000, 3.1627979000, 4.9496448000");
}
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085000000, 0.0169900000, 0.0339700000, 0.0679500000, 0.1358900000, 0.2717700000");
values("0.0834369000, 0.0890054000, 0.0905686000, 0.0916952000, 0.0923765000, 0.0927384000, 0.0929254000", \
"0.0870393000, 0.0922432000, 0.0938729000, 0.0948929000, 0.0955194000, 0.0958661000, 0.0960484000", \
"0.0857534000, 0.0912727000, 0.0929385000, 0.0941551000, 0.0949080000, 0.0953272000, 0.0955476000", \
"0.0924093000, 0.0983990000, 0.1003119000, 0.1017547000, 0.1026617000, 0.1031802000, 0.1034519000", \
"0.1364780000, 0.1419173000, 0.1441648000, 0.1458582000, 0.1469839000, 0.1476419000, 0.1479986000", \
"0.2129183000, 0.2188100000, 0.2198555000, 0.2215671000, 0.2231322000, 0.2238433000, 0.2242330000", \
"0.3779819000, 0.3820298000, 0.3840561000, 0.3856302000, 0.3866493000, 0.3871894000, 0.3874427000", \
"0.5452191000, 0.5489116000, 0.5505452000, 0.5520043000, 0.5527946000, 0.5530290000, 0.5530149000", \
"1.0143585000, 1.0175111000, 1.0180049000, 1.0193051000, 1.0196835000, 1.0189850000, 1.0178570000", \
"1.2593383000, 1.2613333000, 1.2624044000, 1.2636037000, 1.2638633000, 1.2627708000, 1.2610030000", \
"1.5045197000, 1.5068318000, 1.5070731000, 1.5081752000, 1.5083575000, 1.5069637000, 1.5046207000");
}
related_pin : "TE";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085000000, 0.0169900000, 0.0339700000, 0.0679500000, 0.1358900000, 0.2717700000");
values("0.1392111000, 0.2663153000, 0.3929165000, 0.6472106000, 1.1556313000, 2.1749094000, 4.2077869000", \
"0.1390008000, 0.2656760000, 0.3928475000, 0.6473079000, 1.1564975000, 2.1736309000, 4.2102439000", \
"0.1384581000, 0.2651979000, 0.3923309000, 0.6466948000, 1.1566252000, 2.1735536000, 4.2093549000", \
"0.1458359000, 0.2727487000, 0.4000396000, 0.6538684000, 1.1628574000, 2.1817542000, 4.2171982000", \
"0.1885991000, 0.3153295000, 0.4423475000, 0.6966854000, 1.2062111000, 2.2242696000, 4.2601752000", \
"0.2656965000, 0.3904277000, 0.5166084000, 0.7702053000, 1.2806329000, 2.2974174000, 4.3333299000", \
"0.4288611000, 0.5525061000, 0.6752358000, 0.9288316000, 1.4373110000, 2.4556516000, 4.4907161000", \
"0.5961195000, 0.7202202000, 0.8421834000, 1.0903596000, 1.5980812000, 2.6158379000, 4.6511157000", \
"1.0642176000, 1.1899430000, 1.3140488000, 1.5607910000, 2.0579527000, 3.0706704000, 5.1044830000", \
"1.3078765000, 1.4341400000, 1.5577909000, 1.8058796000, 2.2985471000, 3.3078361000, 5.3417729000", \
"1.5523947000, 1.6811315000, 1.8060778000, 2.0517184000, 2.5424023000, 3.5454455000, 5.5774480000");
}
when : "!A";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085000000, 0.0169900000, 0.0339700000, 0.0679500000, 0.1358900000, 0.2717700000");
values("0.0737627000, -0.0495248000, -0.1778375000, -0.4345624000, -0.9483481000, -1.9762627000, -4.0304670000", \
"0.0734073000, -0.0496015000, -0.1779740000, -0.4347399000, -0.9488203000, -1.9762916000, -4.0315455000", \
"0.0724307000, -0.0500896000, -0.1784637000, -0.4352428000, -0.9491905000, -1.9767353000, -4.0320144000", \
"0.0783673000, -0.0425600000, -0.1709293000, -0.4277230000, -0.9416757000, -1.9692882000, -4.0244255000", \
"0.1278966000, 0.0005345000, -0.1279282000, -0.3849244000, -0.8988559000, -1.9266562000, -3.9817040000", \
"0.2063804000, 0.0768020000, -0.0516422000, -0.3084138000, -0.8224128000, -1.8502178000, -3.9054184000", \
"0.3697873000, 0.2407285000, 0.1115464000, -0.1467146000, -0.6599469000, -1.6873456000, -3.7426032000", \
"0.5364032000, 0.4069087000, 0.2772451000, 0.0192077000, -0.4947273000, -1.5213021000, -3.5763157000", \
"1.0039090000, 0.8745540000, 0.7459584000, 0.4874950000, -0.0286005000, -1.0557865000, -3.1095467000", \
"1.2495550000, 1.1198904000, 0.9900424000, 0.7326717000, 0.2157822000, -0.8132049000, -2.8668585000", \
"1.4961389000, 1.3648703000, 1.2340197000, 0.9773822000, 0.4594669000, -0.5692135000, -2.6236284000");
}
related_pin : "TE";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085000000, 0.0169900000, 0.0339700000, 0.0679500000, 0.1358900000, 0.2717700000");
values("0.0769523000, 0.0763901000, 0.0761562000, 0.0760045000, 0.0760226000, 0.0759070000, 0.0758502000", \
"0.0812135000, 0.0805648000, 0.0802548000, 0.0800413000, 0.0799256000, 0.0798763000, 0.0798636000", \
"0.0805370000, 0.0800460000, 0.0798802000, 0.0797511000, 0.0796699000, 0.0796255000, 0.0796009000", \
"0.0888269000, 0.0885680000, 0.0884293000, 0.0883306000, 0.0882632000, 0.0882226000, 0.0881500000", \
"0.1331762000, 0.1331743000, 0.1331200000, 0.1330664000, 0.1330196000, 0.1329945000, 0.1329740000", \
"0.2100806000, 0.2103484000, 0.2103042000, 0.2102666000, 0.2102366000, 0.2102138000, 0.2101979000", \
"0.3755943000, 0.3753827000, 0.3753380000, 0.3753103000, 0.3752956000, 0.3752836000, 0.3752767000", \
"0.5432347000, 0.5430606000, 0.5430113000, 0.5429740000, 0.5429499000, 0.5429354000, 0.5429260000", \
"1.0130940000, 1.0129301000, 1.0128926000, 1.0128625000, 1.0128356000, 1.0128251000, 1.0128238000", \
"1.2582453000, 1.2584314000, 1.2582308000, 1.2582029000, 1.2581811000, 1.2581692000, 1.2581671000", \
"1.5035787000, 1.5034649000, 1.5035066000, 1.5035699000, 1.5035538000, 1.5034880000, 1.5034238000");
}
when : "A";
}
max_capacitance : 0.2717700000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
three_state : "(TE')";
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0040600000, 0.0125600000, 0.0210500000, 0.0380300000, 0.0720100000, 0.1399500000, 0.2758300000");
values("0.0230800000, 0.1000000000, 0.1637300000, 0.2907400000, 0.5447400000, 1.0524700000, 2.0677700000", \
"0.0300600000, 0.1020300000, 0.1665100000, 0.2940300000, 0.5482900000, 1.0561700000, 2.0715700000", \
"0.0342000000, 0.1029300000, 0.1676900000, 0.2954400000, 0.5498800000, 1.0578300000, 2.0733300000", \
"0.0405100000, 0.1089400000, 0.1729800000, 0.3004400000, 0.5547800000, 1.0627000000, 2.0783000000", \
"0.0446300000, 0.1358700000, 0.2003100000, 0.3234200000, 0.5743600000, 1.0800000000, 2.0941000000", \
"0.0427200000, 0.1608300000, 0.2403100000, 0.3698500000, 0.6131900000, 1.1123000000, 2.1217000000", \
"0.0316200000, 0.1844800000, 0.2874000000, 0.4493000000, 0.7091000000, 1.1926000000, 2.1882000000", \
"0.0169000000, 0.1944000000, 0.3141000000, 0.5025000000, 0.7966000000, 1.2862000000, 2.2658000000", \
"-0.0314300000, 0.1939700000, 0.3467700000, 0.5873700000, 0.9630700000, 1.5456700000, 2.5194700000", \
"-0.0585700000, 0.1854300000, 0.3514300000, 0.6128300000, 1.0211300000, 1.6534300000, 2.6657300000", \
"-0.0864000000, 0.1736000000, 0.3513000000, 0.6311000000, 1.0680000000, 1.7452000000, 2.8095000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0040600000, 0.0125600000, 0.0210500000, 0.0380300000, 0.0720100000, 0.1399500000, 0.2758300000");
values("0.0211700000, 0.1064200000, 0.1780600000, 0.3204600000, 0.6051100000, 1.1740700000, 2.3119700000", \
"0.0279000000, 0.1081000000, 0.1804300000, 0.3233500000, 0.6082300000, 1.1774700000, 2.3153700000", \
"0.0327400000, 0.1091900000, 0.1817500000, 0.3249500000, 0.6100300000, 1.1792300000, 2.3170300000", \
"0.0421300000, 0.1173900000, 0.1889800000, 0.3317400000, 0.6167200000, 1.1859000000, 2.3237000000", \
"0.0624200000, 0.1549900000, 0.2243800000, 0.3621300000, 0.6431800000, 1.2097000000, 2.3458000000", \
"0.0846000000, 0.2029800000, 0.2825000000, 0.4204900000, 0.6933000000, 1.2527000000, 2.3835000000", \
"0.1194700000, 0.2760000000, 0.3778000000, 0.5383000000, 0.8126000000, 1.3554000000, 2.4716000000", \
"0.1493000000, 0.3342000000, 0.4539000000, 0.6397000000, 0.9364000000, 1.4720000000, 2.5710000000", \
"0.2223700000, 0.4639700000, 0.6208700000, 0.8620700000, 1.2323700000, 1.8201700000, 2.8886700000", \
"0.2574300000, 0.5215300000, 0.6936300000, 0.9580300000, 1.3622300000, 1.9886300000, 3.0676300000", \
"0.2916000000, 0.5753000000, 0.7609000000, 1.0460000000, 1.4806000000, 2.1479000000, 3.2518000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0040600000, 0.0125600000, 0.0210500000, 0.0380300000, 0.0720100000, 0.1399500000, 0.2758300000");
values("0.0205800000, 0.1088000000, 0.1970900000, 0.3735900000, 0.7261900000, 1.4329400000, 2.8454700000", \
"0.0205400000, 0.1087900000, 0.1969800000, 0.3733300000, 0.7260800000, 1.4317700000, 2.8452200000", \
"0.0205700000, 0.1088900000, 0.1971500000, 0.3733100000, 0.7260400000, 1.4321700000, 2.8438900000", \
"0.0312800000, 0.1088700000, 0.1970500000, 0.3733200000, 0.7262500000, 1.4316900000, 2.8422500000", \
"0.0611400000, 0.1388300000, 0.2103800000, 0.3745700000, 0.7260500000, 1.4331400000, 2.8431000000", \
"0.1072600000, 0.2021400000, 0.2693300000, 0.4075700000, 0.7308800000, 1.4314200000, 2.8436000000", \
"0.1933600000, 0.3244400000, 0.4011100000, 0.5305800000, 0.8036000000, 1.4436000000, 2.8431000000", \
"0.2755600000, 0.4353200000, 0.5276000000, 0.6657000000, 0.9207000000, 1.5017000000, 2.8441000000", \
"0.4975000000, 0.7132000000, 0.8428000000, 1.0276000000, 1.3002000000, 1.8067000000, 2.9719000000", \
"0.6119000000, 0.8473000000, 0.9931000000, 1.2005000000, 1.4983000000, 1.9995000000, 3.0995000000", \
"0.7270000000, 0.9772000000, 1.1379000000, 1.3659000000, 1.6897000000, 2.1959000000, 3.2542000000");
}
related_pin : "A";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0040600000, 0.0125600000, 0.0210500000, 0.0380300000, 0.0720100000, 0.1399500000, 0.2758300000");
values("0.0317700000, 0.1415100000, 0.2497900000, 0.4662400000, 0.8990000000, 1.7645100000, 3.4953300000", \
"0.0317900000, 0.1414600000, 0.2497600000, 0.4660600000, 0.8991100000, 1.7645400000, 3.4953600000", \
"0.0318300000, 0.1413700000, 0.2497900000, 0.4662300000, 0.8992900000, 1.7652100000, 3.4973900000", \
"0.0444100000, 0.1412600000, 0.2496900000, 0.4662700000, 0.8991800000, 1.7652800000, 3.4973400000", \
"0.0757000000, 0.1650900000, 0.2589900000, 0.4661800000, 0.8988100000, 1.7645200000, 3.4971000000", \
"0.1189900000, 0.2197500000, 0.3035700000, 0.4888200000, 0.9003700000, 1.7651800000, 3.4964000000", \
"0.1983600000, 0.3268400000, 0.4140200000, 0.5802300000, 0.9475000000, 1.7683000000, 3.4954000000", \
"0.2762000000, 0.4241000000, 0.5251000000, 0.6917000000, 1.0311000000, 1.8029000000, 3.4969000000", \
"0.4966000000, 0.6631000000, 0.8000000000, 1.0048000000, 1.3338000000, 2.0139000000, 3.5622000000", \
"0.6141000000, 0.7778000000, 0.9292000000, 1.1564000000, 1.4994000000, 2.1589000000, 3.6445000000", \
"0.7342000000, 0.8889000000, 1.0528000000, 1.3003000000, 1.6658000000, 2.3155000000, 3.7516000000");
}
timing_sense : "negative_unate";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0040600000, 0.0125600000, 0.0210500000, 0.0380300000, 0.0720100000, 0.1399500000, 0.2758300000");
values("0.0258600000, 0.0899400000, 0.1534200000, 0.2802700000, 0.5341100000, 1.0416700000, 2.0566700000", \
"0.0277400000, 0.0918500000, 0.1553200000, 0.2821700000, 0.5360100000, 1.0435700000, 2.0585700000", \
"0.0283800000, 0.0926100000, 0.1560800000, 0.2829400000, 0.5367900000, 1.0443300000, 2.0593300000", \
"0.0225000000, 0.0929000000, 0.1564100000, 0.2832800000, 0.5371500000, 1.0447000000, 2.0597000000", \
"-0.0204400000, 0.0832500000, 0.1543000000, 0.2822800000, 0.5363300000, 1.0440000000, 2.0591000000", \
"-0.1071400000, 0.0393800000, 0.1319200000, 0.2772600000, 0.5343300000, 1.0424000000, 2.0577000000", \
"-0.3074800000, -0.0957600000, 0.0348800000, 0.2279700000, 0.5230000000, 1.0381000000, 2.0544000000", \
"-0.5239100000, -0.2596600000, -0.0980200000, 0.1384000000, 0.4838000000, 1.0324000000, 2.0504000000", \
"-1.1650300000, -0.7831300000, -0.5526300000, -0.2192300000, 0.2572700000, 0.9443700000, 2.0355700000", \
"-1.5102700000, -1.0762700000, -0.8156700000, -0.4398700000, 0.0950300000, 0.8572300000, 2.0145300000", \
"-1.8610000000, -1.3788000000, -1.0903000000, -0.6755000000, -0.0869000000, 0.7469000000, 1.9765000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0040600000, 0.0125600000, 0.0210500000, 0.0380300000, 0.0720100000, 0.1399500000, 0.2758300000");
values("0.0791700000, 0.1535800000, 0.2248300000, 0.3670900000, 0.6516000000, 1.2203700000, 2.3579700000", \
"0.0820800000, 0.1565100000, 0.2277600000, 0.3699700000, 0.6544500000, 1.2232700000, 2.3605700000", \
"0.0838500000, 0.1582600000, 0.2295100000, 0.3717500000, 0.6562900000, 1.2250300000, 2.3624300000", \
"0.0921500000, 0.1668100000, 0.2380300000, 0.3803000000, 0.6648100000, 1.2336000000, 2.3711000000", \
"0.1010100000, 0.1802500000, 0.2517500000, 0.3939900000, 0.6784700000, 1.2473000000, 2.3846000000", \
"0.0955200000, 0.1842700000, 0.2569400000, 0.3995900000, 0.6842000000, 1.2529000000, 2.3905000000", \
"0.0610300000, 0.1716400000, 0.2487100000, 0.3943000000, 0.6801000000, 1.2489000000, 2.3865000000", \
"0.0126000000, 0.1468000000, 0.2297000000, 0.3786000000, 0.6679000000, 1.2375000000, 2.3749000000", \
"-0.1570300000, 0.0476700000, 0.1514700000, 0.3129700000, 0.6110700000, 1.1906700000, 2.3301700000", \
"-0.2571700000, -0.0148700000, 0.1017300000, 0.2723300000, 0.5747300000, 1.1599300000, 2.3035300000", \
"-0.3628000000, -0.0829000000, 0.0477000000, 0.2286000000, 0.5356000000, 1.1257000000, 2.2751000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0040600000, 0.0125600000, 0.0210500000, 0.0380300000, 0.0720100000, 0.1399500000, 0.2758300000");
values("0.0213700000, 0.1091400000, 0.1970900000, 0.3736000000, 0.7259000000, 1.4324700000, 2.8448800000", \
"0.0214800000, 0.1090800000, 0.1970300000, 0.3734400000, 0.7260600000, 1.4322400000, 2.8439400000", \
"0.0232400000, 0.1091600000, 0.1972200000, 0.3732300000, 0.7267000000, 1.4325900000, 2.8443800000", \
"0.0350400000, 0.1109800000, 0.1972800000, 0.3735900000, 0.7266600000, 1.4319500000, 2.8446600000", \
"0.0633500000, 0.1402300000, 0.2129300000, 0.3770500000, 0.7258700000, 1.4325600000, 2.8415200000", \
"0.0955000000, 0.1882500000, 0.2626100000, 0.4071100000, 0.7342100000, 1.4328500000, 2.8422000000", \
"0.1463000000, 0.2667200000, 0.3551500000, 0.5071400000, 0.7967400000, 1.4483000000, 2.8446000000", \
"0.1889600000, 0.3323500000, 0.4340900000, 0.6017500000, 0.8958000000, 1.4990000000, 2.8518000000", \
"0.2892100000, 0.4827000000, 0.6165000000, 0.8262000000, 1.1639000000, 1.7530000000, 2.9652000000", \
"0.3355000000, 0.5499000000, 0.6983000000, 0.9276000000, 1.2899000000, 1.8999000000, 3.0667000000", \
"0.3795000000, 0.6129000000, 0.7743000000, 1.0222000000, 1.4074000000, 2.0414000000, 3.1965000000");
}
related_pin : "TE";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0040600000, 0.0125600000, 0.0210500000, 0.0380300000, 0.0720100000, 0.1399500000, 0.2758300000");
values("0.0398800000, 0.1426900000, 0.2499900000, 0.4662800000, 0.8992900000, 1.7642600000, 3.4943600000", \
"0.0399300000, 0.1427000000, 0.2499100000, 0.4661200000, 0.8990800000, 1.7651700000, 3.4957600000", \
"0.0399000000, 0.1426500000, 0.2499700000, 0.4660600000, 0.8994200000, 1.7652100000, 3.4973000000", \
"0.0409300000, 0.1427500000, 0.2499300000, 0.4661400000, 0.8992100000, 1.7649000000, 3.4952000000", \
"0.0519900000, 0.1454400000, 0.2509200000, 0.4663500000, 0.8990600000, 1.7639100000, 3.4968000000", \
"0.0700100000, 0.1525600000, 0.2543600000, 0.4675100000, 0.8990900000, 1.7644300000, 3.4946000000", \
"0.1054100000, 0.1721300000, 0.2667300000, 0.4739200000, 0.9016000000, 1.7648000000, 3.4952000000", \
"0.1415000000, 0.1953000000, 0.2814000000, 0.4832000000, 0.9073000000, 1.7669000000, 3.4973000000", \
"0.2431000000, 0.2697000000, 0.3354000000, 0.5150000000, 0.9303000000, 1.7817000000, 3.4995000000", \
"0.2946000000, 0.3111000000, 0.3686000000, 0.5347000000, 0.9422000000, 1.7932000000, 3.5107000000", \
"0.3444000000, 0.3539000000, 0.4044000000, 0.5570000000, 0.9556000000, 1.8054000000, 3.5142000000");
}
timing_sense : "positive_unate";
timing_type : "three_state_enable";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0040600000, 0.0125600000, 0.0210500000, 0.0380300000, 0.0720100000, 0.1399500000, 0.2758300000");
values("0.1262200000, 0.1262200000, 0.1262200000, 0.1262200000, 0.1262200000, 0.1262200000, 0.1262200000", \
"0.1345900000, 0.1345900000, 0.1345900000, 0.1345900000, 0.1345900000, 0.1345900000, 0.1345900000", \
"0.1351000000, 0.1351000000, 0.1351000000, 0.1351000000, 0.1351000000, 0.1351000000, 0.1351000000", \
"0.1519600000, 0.1519600000, 0.1519600000, 0.1519600000, 0.1519600000, 0.1519600000, 0.1519600000", \
"0.2052400000, 0.2052400000, 0.2052400000, 0.2052400000, 0.2052400000, 0.2052400000, 0.2052400000", \
"0.2698400000, 0.2698400000, 0.2698400000, 0.2698400000, 0.2698400000, 0.2698400000, 0.2698400000", \
"0.3625000000, 0.3625000000, 0.3625000000, 0.3625000000, 0.3625000000, 0.3625000000, 0.3625000000", \
"0.4490000000, 0.4490000000, 0.4490000000, 0.4490000000, 0.4490000000, 0.4490000000, 0.4490000000", \
"0.7099700000, 0.7099700000, 0.7099700000, 0.7099700000, 0.7099700000, 0.7099700000, 0.7099700000", \
"0.8574300000, 0.8574300000, 0.8574300000, 0.8574300000, 0.8574300000, 0.8574300000, 0.8574300000", \
"1.0082000000, 1.0082000000, 1.0082000000, 1.0082000000, 1.0082000000, 1.0082000000, 1.0082000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0040600000, 0.0125600000, 0.0210500000, 0.0380300000, 0.0720100000, 0.1399500000, 0.2758300000");
values("0.1138200000, 0.1138200000, 0.1138200000, 0.1138200000, 0.1138200000, 0.1138200000, 0.1138200000", \
"0.0673200000, 0.0673200000, 0.0673200000, 0.0673200000, 0.0673200000, 0.0673200000, 0.0673200000", \
"0.1208500000, 0.1208500000, 0.1208500000, 0.1208500000, 0.1208500000, 0.1208500000, 0.1208500000", \
"0.0886200000, 0.0886200000, 0.0886200000, 0.0886200000, 0.0886200000, 0.0886200000, 0.0886200000", \
"0.1825300000, 0.1825300000, 0.1825300000, 0.1825300000, 0.1825300000, 0.1825300000, 0.1825300000", \
"0.2984300000, 0.2984300000, 0.2984300000, 0.2984300000, 0.2984300000, 0.2984300000, 0.2984300000", \
"0.5552000000, 0.5552000000, 0.5552000000, 0.5552000000, 0.5552000000, 0.5552000000, 0.5552000000", \
"0.8196000000, 0.8196000000, 0.8196000000, 0.8196000000, 0.8196000000, 0.8196000000, 0.8196000000", \
"1.5618700000, 1.5618700000, 1.5618700000, 1.5618700000, 1.5618700000, 1.5618700000, 1.5618700000", \
"1.9471300000, 1.9471300000, 1.9471300000, 1.9471300000, 1.9471300000, 1.9471300000, 1.9471300000", \
"2.3345000000, 2.3345000000, 2.3345000000, 2.3345000000, 2.3345000000, 2.3345000000, 2.3345000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0040600000, 0.0125600000, 0.0210500000, 0.0380300000, 0.0720100000, 0.1399500000, 0.2758300000");
values("0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000");
}
related_pin : "TE";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0040600000, 0.0125600000, 0.0210500000, 0.0380300000, 0.0720100000, 0.1399500000, 0.2758300000");
values("0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000", \
"0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000, 0.0000000000");
}
timing_sense : "negative_unate";
timing_type : "three_state_disable";
}
}
}
cell ("sky130_fd_sc_hvl__inv_1") {
leakage_power () {
value : 2.0290363000;
when : "A";
}
leakage_power () {
value : 1.6721764000;
when : "!A";
}
area : 5.8608000000;
cell_footprint : "sky130_fd_sc_hvl__inv";
cell_leakage_power : 1.8506060000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0043700000;
clock : "false";
direction : "input";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("Y") {
direction : "output";
function : "(!A)";
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078800000, 0.0157700000, 0.0315400000, 0.0630800000, 0.1261600000, 0.2523300000, 0.5046600000");
values("-0.0441196000, -0.1394119000, -0.2583208000, -0.4965717000, -0.9734692000, -1.9275011000, -3.8356976000, -7.6521770000", \
"-0.0326304000, -0.1428022000, -0.2602969000, -0.4976425000, -0.9740104000, -1.9277765000, -3.8360451000, -7.6522091000", \
"-0.0303910000, -0.1444545000, -0.2616559000, -0.4985729000, -0.9744834000, -1.9279983000, -3.8359995000, -7.6523306000", \
"-0.0093279000, -0.1369580000, -0.2559414000, -0.4961043000, -0.9735704000, -1.9276066000, -3.8357333000, -7.6523099000", \
"0.0789036000, -0.0733854000, -0.2072130000, -0.4596981000, -0.9479869000, -1.9111410000, -3.8272403000, -7.6477555000", \
"0.2208735000, 0.0492778000, -0.1003947000, -0.3731021000, -0.8848151000, -1.8741801000, -3.8036836000, -7.6344844000", \
"0.5068455000, 0.3195020000, 0.1546226000, -0.1500973000, -0.7053102000, -1.7335395000, -3.7046776000, -7.5692084000", \
"0.7925598000, 0.5965079000, 0.4204193000, 0.0964275000, -0.4889482000, -1.5602247000, -3.5823233000, -7.4898802000", \
"1.5938158000, 1.3854746000, 1.1930533000, 0.8382321000, 0.1907107000, -0.9817170000, -3.1262752000, -7.1685309000", \
"2.0098243000, 1.7962776000, 1.5983042000, 1.2346732000, 0.5669041000, -0.6457320000, -2.8502112000, -6.9571044000", \
"2.4210754000, 2.2049464000, 2.0090874000, 1.6357751000, 0.9493064000, -0.2954444000, -2.5501393000, -6.7242401000");
}
related_pin : "A";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078800000, 0.0157700000, 0.0315400000, 0.0630800000, 0.1261600000, 0.2523300000, 0.5046600000");
values("0.0954578000, 0.2376665000, 0.3569410000, 0.5924821000, 1.0649268000, 2.0114129000, 3.9043634000, 7.6723559000", \
"0.1075825000, 0.2340260000, 0.3538786000, 0.5918040000, 1.0646065000, 2.0147153000, 3.9023858000, 7.6738338000", \
"0.1120217000, 0.2334774000, 0.3532406000, 0.5890367000, 1.0652787000, 2.0133423000, 3.9009074000, 7.6773015000", \
"0.1372359000, 0.2447728000, 0.3592653000, 0.5944024000, 1.0676734000, 2.0119184000, 3.8959677000, 7.6787525000", \
"0.2289798000, 0.3153825000, 0.4167757000, 0.6343852000, 1.0937475000, 2.0229033000, 3.9040473000, 7.6754476000", \
"0.3715591000, 0.4415576000, 0.5334440000, 0.7327592000, 1.1690710000, 2.0761247000, 3.9409565000, 7.7023371000", \
"0.6516773000, 0.7134515000, 0.7925205000, 0.9702999000, 1.3700808000, 2.2357414000, 4.0479094000, 7.7672703000", \
"0.9406805000, 0.9954735000, 1.0648287000, 1.2265449000, 1.5986410000, 2.4143286000, 4.1950256000, 7.8663256000", \
"1.7411579000, 1.7897305000, 1.8463814000, 1.9806127000, 2.3011943000, 3.0455253000, 4.6982644000, 8.247348800", \
"2.1550172000, 2.2015573000, 2.2579319000, 2.3864966000, 2.6839087000, 3.4002655000, 4.9998758000, 8.483474500", \
"2.5717528000, 2.6130122000, 2.6698434000, 2.7921800000, 3.0777029000, 3.7619321000, 5.3246853000, 8.733563800");
}
}
max_capacitance : 0.5046600000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078800000, 0.0157700000, 0.0315400000, 0.0630800000, 0.1261600000, 0.2523300000, 0.5046600000");
values("0.0169900000, 0.0670600000, 0.1092700000, 0.1931000000, 0.3604000000, 0.6953200000, 1.3642700000, 2.7024700000", \
"0.0220900000, 0.0674700000, 0.1101300000, 0.1943100000, 0.3618800000, 0.6965500000, 1.3658700000, 2.7041700000", \
"0.0250400000, 0.0688700000, 0.1114900000, 0.1956300000, 0.3633700000, 0.6978000000, 1.3668300000, 2.7046300000", \
"0.0314400000, 0.0781200000, 0.1193800000, 0.2026900000, 0.3698000000, 0.7041500000, 1.3727000000, 2.7116000000", \
"0.0422300000, 0.1088500000, 0.1550500000, 0.2347900000, 0.3970000000, 0.7276200000, 1.3943000000, 2.7315000000", \
"0.0526400000, 0.1386100000, 0.1970800000, 0.2904500000, 0.4494300000, 0.7719000000, 1.4325000000, 2.7648000000", \
"0.0675700000, 0.1787100000, 0.2543000000, 0.3734000000, 0.5613000000, 0.8787000000, 1.5219000000, 2.8411000000", \
"0.0795000000, 0.2084000000, 0.2965000000, 0.4349000000, 0.6515000000, 0.9973000000, 1.6253000000, 2.9265000000", \
"0.1068700000, 0.2693700000, 0.3823700000, 0.5598700000, 0.8360700000, 1.2655700000, 1.9506700000, 3.2057700000", \
"0.1196300000, 0.2948300000, 0.4175300000, 0.6108300000, 0.9112300000, 1.3773300000, 2.1090300000, 3.3663300000", \
"0.1319000000, 0.3178000000, 0.4491000000, 0.6562000000, 0.9781000000, 1.4769000000, 2.2539000000, 3.5347000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078800000, 0.0157700000, 0.0315400000, 0.0630800000, 0.1261600000, 0.2523300000, 0.5046600000");
values("0.0125000000, 0.0530100000, 0.0872600000, 0.1553400000, 0.2916200000, 0.5635900000, 1.1073700000, 2.1951700000", \
"0.0178900000, 0.0543400000, 0.0889000000, 0.1572700000, 0.2935600000, 0.5658600000, 1.1100700000, 2.1982700000", \
"0.0215500000, 0.0567000000, 0.0911600000, 0.1595400000, 0.2957400000, 0.5680600000, 1.1118300000, 2.2001300000", \
"0.0270400000, 0.0685700000, 0.1018600000, 0.1693800000, 0.3050700000, 0.5769200000, 1.1209000000, 2.2087000000", \
"0.0352000000, 0.0983400000, 0.1399500000, 0.2079200000, 0.3398400000, 0.6086100000, 1.1509000000, 2.2375000000", \
"0.0399400000, 0.1244200000, 0.1793400000, 0.2640800000, 0.4004000000, 0.6631000000, 1.2000000000, 2.2836000000", \
"0.0417300000, 0.1548600000, 0.2284500000, 0.3410000000, 0.5124000000, 0.7852000000, 1.3096000000, 2.3825000000", \
"0.0396000000, 0.1735000000, 0.2609000000, 0.3946000000, 0.5968000000, 0.9063000000, 1.4294000000, 2.4886000000", \
"0.0265700000, 0.2004700000, 0.3159700000, 0.4927700000, 0.7597700000, 1.1608700000, 1.7734700000, 2.8159700000", \
"0.0179300000, 0.2071300000, 0.3340300000, 0.5284300000, 0.8220300000, 1.2626300000, 1.9270300000, 2.9967300000", \
"0.0087000000, 0.2108000000, 0.3477000000, 0.5578000000, 0.8751000000, 1.3510000000, 2.0655000000, 3.1821000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078800000, 0.0157700000, 0.0315400000, 0.0630800000, 0.1261600000, 0.2523300000, 0.5046600000");
values("0.0124600000, 0.0671900000, 0.1220000000, 0.2314300000, 0.4503400000, 0.8888400000, 1.7667900000, 3.5211000000", \
"0.0124900000, 0.0672700000, 0.1219300000, 0.2315300000, 0.4507800000, 0.8900300000, 1.7684100000, 3.5231000000", \
"0.0134900000, 0.0671900000, 0.1219700000, 0.2315300000, 0.4507400000, 0.8895400000, 1.7665800000, 3.5210000000", \
"0.0254800000, 0.0698300000, 0.1220300000, 0.2316200000, 0.4508300000, 0.8897500000, 1.7670500000, 3.5235000000", \
"0.0561700000, 0.1083500000, 0.1479100000, 0.2401500000, 0.4511000000, 0.8893400000, 1.7669300000, 3.5233000000", \
"0.0970600000, 0.1672000000, 0.2109900000, 0.2894900000, 0.4704900000, 0.8894100000, 1.7666300000, 3.5219000000", \
"0.1717900000, 0.2698500000, 0.3285800000, 0.4169100000, 0.5727700000, 0.9315000000, 1.7671000000, 3.5209000000", \
"0.2432800000, 0.3616000000, 0.4335000000, 0.5386000000, 0.7025000000, 1.0228000000, 1.7942000000, 3.5205000000", \
"0.4381000000, 0.5921000000, 0.6927000000, 0.8382000000, 1.0467000000, 1.3725000000, 2.0145000000, 3.5689000000", \
"0.5393000000, 0.7043000000, 0.8169000000, 0.9799000000, 1.2122000000, 1.5570000000, 2.1786000000, 3.6445000000", \
"0.6413000000, 0.8134000000, 0.9361000000, 1.1157000000, 1.3702000000, 1.7390000000, 2.3614000000, 3.7522000000");
}
related_pin : "A";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078800000, 0.0157700000, 0.0315400000, 0.0630800000, 0.1261600000, 0.2523300000, 0.5046600000");
values("0.0127600000, 0.0635500000, 0.1144300000, 0.2160700000, 0.4196900000, 0.8265200000, 1.6404500000, 3.2719000000", \
"0.0127700000, 0.0635400000, 0.1144400000, 0.2161700000, 0.4195700000, 0.8264300000, 1.6414100000, 3.2688200000", \
"0.0158100000, 0.0635500000, 0.1144400000, 0.2162100000, 0.4196900000, 0.8265800000, 1.6409100000, 3.2694900000", \
"0.0280500000, 0.0685300000, 0.1151600000, 0.2161600000, 0.4198800000, 0.8265400000, 1.6409100000, 3.2698600000", \
"0.0559800000, 0.1071700000, 0.1453900000, 0.2292300000, 0.4203700000, 0.8265400000, 1.6410700000, 3.2712000000", \
"0.0916500000, 0.1611700000, 0.2049000000, 0.2815800000, 0.4482100000, 0.8284300000, 1.6419200000, 3.2693000000", \
"0.1576800000, 0.2517300000, 0.3116900000, 0.4007200000, 0.5537400000, 0.8846000000, 1.6455000000, 3.2719000000", \
"0.2241000000, 0.3309000000, 0.4044000000, 0.5115000000, 0.6748000000, 0.9824000000, 1.6872000000, 3.2669000000", \
"0.4194000000, 0.5275000000, 0.6280000000, 0.7769000000, 0.9908000000, 1.3146000000, 1.9315000000, 3.3504000000", \
"0.5225000000, 0.6233000000, 0.7335000000, 0.8997000000, 1.1387000000, 1.4869000000, 2.0950000000, 3.4458000000", \
"0.6266000000, 0.7170000000, 0.8355000000, 1.0165000000, 1.2787000000, 1.6550000000, 2.2695000000, 3.5641000000");
}
timing_sense : "negative_unate";
}
}
}
cell ("sky130_fd_sc_hvl__inv_16") {
leakage_power () {
value : 11.122713400;
when : "A";
}
leakage_power () {
value : 39.778189600;
when : "!A";
}
area : 54.700800000;
cell_footprint : "sky130_fd_sc_hvl__inv";
cell_leakage_power : 25.450450000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0732100000;
clock : "false";
direction : "input";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("Y") {
direction : "output";
function : "(!A)";
internal_power () {
fall_power ("pwr_template11x21") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0099000000, 0.0198000000, 0.0396000000, 0.0792000000, 0.1584000000, 0.3168100000, 0.6336100000, 1.1336100000, 1.6336100000, 2.1336100000, 2.6336100000, 3.1336100000, 3.6336100000, 4.1336100000, 4.6336100000, 5.1336100000, 5.6336100000, 6.1336100000, 6.6336100000, 7.1336100000");
values("-0.8254296000, -0.9215834000, -1.0203741000, -1.2212045000, -1.7002427000, -2.8546322000, -5.2179254000, -10.004873300, -17.565807700, -25.127919400, -32.690081900, -40.252441300, -47.814690400, -55.377143800, -62.939580400, -70.50218640, -78.06451510, -85.62712800, -93.18967760, -100.75213250, -108.31460610", \
"-0.6358574000, -0.7535685000, -0.8786994000, -1.1443773000, -1.7027518000, -2.8670904000, -5.2384532000, -10.015810000, -17.571822500, -25.131597400, -32.693114600, -40.254638200, -47.816709400, -55.378670300, -62.940892200, -70.50326940, -78.06560490, -85.62800970, -93.19022630, -100.75273630, -108.31501690", \
"-0.5932402000, -0.7309917000, -0.8695872000, -1.1493863000, -1.7206515000, -2.8868372000, -5.2554472000, -10.027340600, -17.577280700, -25.136024800, -32.696095300, -40.257362400, -47.818843800, -55.380916300, -62.942633800, -70.50510130, -78.06697230, -85.62953600, -93.19147700, -100.75426380, -108.31614680", \
"-0.2718117000, -0.4474325000, -0.6148773000, -0.9411759000, -1.5715151000, -2.7831040000, -5.2054579000, -9.991045600, -17.559473900, -25.124324500, -32.688758600, -40.251001200, -47.813315500, -55.375679400, -62.938229600, -70.50059990, -78.06316410, -85.62573610, -93.18782890, -100.75026870, -108.31319380", \
"1.0294697000, 0.8138480000, 0.5970849000, 0.1880791000, -0.5714303000, -1.9781050000, -4.5958206000, -9.585709300, -17.251564200, -24.868675400, -32.471584400, -40.068281500, -47.654198200, -55.237031900, -62.812766500, -70.38655810, -77.95970380, -85.54107990, -93.11207530, -100.68257830, -108.24526740", \
"3.1144884000, 2.8770958000, 2.6333902000, 2.1822153000, 1.3283713000, -0.2791317000, -3.1692122000, -8.487140700, -16.490609200, -24.262193500, -31.989631300, -39.630161300, -47.267613900, -54.884367200, -62.488673200, -70.08386430, -77.67420400, -85.26315810, -92.84834350, -100.43338300, -108.03507620", \
"7.2813234000, 7.0302768000, 6.7694837000, 6.2712364000, 5.3200927000, 3.5601841000, 0.3096971000, -5.5571844000, -14.054119200, -22.248832900, -30.169747800, -38.079874300, -45.855237100, -53.600301200, -61.307059300, -68.98134660, -76.64889610, -84.30291530, -91.97612080, -99.55301420, -107.16858510", \
"11.478879400, 11.225799700, 10.960168500, 10.452608300, 9.411166200, 7.5909226000, 4.1352875000, -2.1441678000, -11.155252200, -19.638415600, -27.868832100, -35.950443200, -43.881229400, -51.786756300, -59.647321900, -67.48302190, -75.22281130, -82.98899800, -90.65045620, -98.33960000, -106.01960460", \
"23.162966700, 22.934293700, 22.655135100, 22.048018300, 20.960981600, 18.990432900, 15.229443100, 8.321753400, -1.6574021000, -10.976232800, -19.851875800, -28.470610400, -36.893687200, -45.206564600, -53.401719400, -61.504896300, -69.54335010, -77.57175030, -85.49121010, -93.45151880, -101.34477680", \
"29.279583200, 28.915097000, 28.681252800, 28.161128900, 27.030333800, 24.938614600, 21.085124200, 14.013943700, 3.6696632000, -5.9823436000, -15.146086300, -24.024428800, -32.706622700, -41.180260500, -49.559594400, -57.826688500, -66.04613250, -74.17416860, -82.23613900, -90.25483830, -98.23414580", \
"35.397864900, 35.084948600, 34.698684600, 34.117840200, 33.099953100, 30.975177000, 27.076249000, 19.744221600, 9.131964900, -0.7893342000, -10.248959400, -19.343114100, -28.202335600, -36.906966400, -45.461306200, -53.889775300, -62.232926400, -70.48150320, -78.65436480, -86.78627230, -94.84436360");
}
related_pin : "A";
rise_power ("pwr_template11x21") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0099000000, 0.0198000000, 0.0396000000, 0.0792000000, 0.1584000000, 0.3168100000, 0.6336100000, 1.1336100000, 1.6336100000, 2.1336100000, 2.6336100000, 3.1336100000, 3.6336100000, 4.1336100000, 4.6336100000, 5.1336100000, 5.6336100000, 6.1336100000, 6.6336100000, 7.1336100000");
values("1.4145890000, 1.6165077000, 1.8143040000, 2.2004575000, 2.9230333000, 4.1936598000, 6.5586602000, 11.323283900, 18.814244100, 26.360232200, 33.783941400, 41.290313700, 48.725142800, 56.248855100, 63.713032200, 71.24973770, 78.73376990, 86.20935810, 93.71036980, 101.11618720, 108.61504790", \
"1.5790271000, 1.7611671000, 1.9381697000, 2.2781193000, 2.9184610000, 4.1448562000, 6.5555410000, 11.326629200, 18.759171800, 26.257453200, 33.743078100, 41.269791700, 48.719254600, 56.225349700, 63.668511900, 71.24938350, 78.66924500, 86.14330640, 93.63143280, 101.10060260, 108.57579500", \
"1.6602661000, 1.8118505000, 1.9678573000, 2.2721596000, 2.8967034000, 4.1123864000, 6.5235075000, 11.313566600, 18.846351800, 26.282344700, 33.836125100, 41.285577800, 48.795554600, 56.260255600, 63.655550000, 71.18827940, 78.71755350, 86.19495270, 93.69881620, 101.08385210, 108.61520720", \
"2.0483662000, 2.1615510000, 2.2900413000, 2.5499090000, 3.0964644000, 4.2437795000, 6.5911577000, 11.330657400, 18.811072200, 26.350862200, 33.794463400, 41.335030800, 48.791970100, 56.236020700, 63.667179100, 71.24197200, 78.72780170, 86.20311920, 93.63089110, 101.12640040, 108.61985580", \
"3.3978031000, 3.4840632000, 3.5709384000, 3.7737300000, 4.2243418000, 5.1975897000, 7.3092833000, 11.824513300, 19.161854000, 26.590202200, 34.012589400, 41.478993600, 48.852541700, 56.315042800, 63.837693800, 71.23779350, 78.76636640, 86.28288010, 93.79273890, 101.20169970, 108.69120680", \
"5.4708539000, 5.5573846000, 5.6229302000, 5.7826367000, 6.1641303000, 7.0167574000, 8.928449700, 13.098049000, 20.090775000, 27.355838600, 34.697837200, 42.044868300, 49.511765000, 56.843273800, 64.32849270, 71.80059030, 79.18217020, 86.66874950, 94.16455010, 101.55084130, 109.02416710", \
" 9.603283900, 9.662895900, 9.716176200, 9.852886300, 10.163387000, 10.938727800, 12.592531900, 16.389001200, 22.937292800, 29.779573100, 36.767967500, 43.931211500, 51.130326100, 58.415698200, 65.76773900, 73.08791670, 80.40183510, 87.75511850, 95.24264070, 102.64196350, 109.99414980", \
"13.788247200, 13.846767200, 13.906810400, 14.035409700, 14.321517700, 14.955864400, 16.494458900, 20.001614400, 26.195101300, 32.683345600, 39.490544700, 46.453591100, 53.485075900, 60.624814500, 67.78754880, 75.01559820, 82.24153890, 89.49569970, 96.84202300, 104.18686790, 111.46245620", \
"25.537753600, 25.571690000, 25.596520800, 25.717517800, 25.952775800, 26.541891300, 27.767379800, 30.750568600, 36.159214000, 42.128691100, 48.399430400, 54.911660400, 61.561093200, 68.26525690, 75.11719080, 81.99052440, 89.04911950, 96.00834270, 102.97972300, 110.03731890, 117.13055330", \
"31.598554100, 31.632294500, 31.685758700, 31.766406000, 32.018346800, 32.551885300, 33.787431700, 36.491598400, 41.683925100, 47.337245500, 53.385357500, 59.640282900, 66.14402340, 72.70304610, 79.30816370, 86.11696550, 92.89026140, 99.83144320, 106.80878420, 113.89527350, 120.81057330", \
"37.667448900, 37.708544500, 37.801040000, 37.846475900, 38.072850300, 38.572749100, 39.751677600, 42.373295800, 47.270621100, 52.709887600, 58.576356500, 64.67651000, 70.99121420, 77.38160780, 83.90982200, 90.52615250, 97.24255620, 103.97742440, 110.79130580, 117.67046100, 124.56751840");
}
}
max_capacitance : 7.1336100000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x21") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0099000000, 0.0198000000, 0.0396000000, 0.0792000000, 0.1584000000, 0.3168100000, 0.6336100000, 1.1336100000, 1.6336100000, 2.1336100000, 2.6336100000, 3.1336100000, 3.6336100000, 4.1336100000, 4.6336100000, 5.1336100000, 5.6336100000, 6.1336100000, 6.6336100000, 7.1336100000");
values("0.0141300000, 0.0185000000, 0.0228600000, 0.0313500000, 0.0468400000, 0.0738100000, 0.1251000000, 0.2271900000, 0.3878600000, 0.5484600000, 0.7094200000, 0.8700400000, 1.0308700000, 1.1915700000, 1.3518700000, 1.5129700000, 1.6732700000, 1.8345700000, 1.9952700000, 2.1559700000, 2.3166700000", \
"0.0193200000, 0.0232900000, 0.0271200000, 0.0344100000, 0.0482000000, 0.0745600000, 0.1261300000, 0.2283100000, 0.3892100000, 0.5498300000, 0.7106400000, 0.8714400000, 1.0322700000, 1.1927700000, 1.3531700000, 1.5140700000, 1.6750700000, 1.8358700000, 1.9966700000, 2.1572700000, 2.3177700000", \
"0.0224100000, 0.0259500000, 0.0294000000, 0.0362400000, 0.0496700000, 0.0759000000, 0.1274700000, 0.2297100000, 0.3906400000, 0.5515200000, 0.7120800000, 0.8729900000, 1.0336300000, 1.1945300000, 1.3549300000, 1.5159300000, 1.6764300000, 1.8368300000, 1.9978300000, 2.1589300000, 2.3195300000", \
"0.0246500000, 0.0304000000, 0.0354100000, 0.0441400000, 0.0589500000, 0.0839800000, 0.1345000000, 0.2360900000, 0.3967300000, 0.5574400000, 0.7179000000, 0.8788400000, 1.0395000000, 1.1999000000, 1.3605000000, 1.5217000000, 1.6824000000, 1.8430000000, 2.0031000000, 2.1644000000, 2.3247000000", \
"0.0241800000, 0.0327400000, 0.0401900000, 0.0531600000, 0.0748100000, 0.1097800000, 0.1659600000, 0.2637600000, 0.4211200000, 0.5800100000, 0.7399000000, 0.8995000000, 1.0602000000, 1.2205000000, 1.3810000000, 1.5413000000, 1.7020000000, 1.8626000000, 2.0230000000, 2.1837000000, 2.3432000000", \
"0.0188500000, 0.0297800000, 0.0393500000, 0.0560500000, 0.0839500000, 0.1290500000, 0.2004800000, 0.3134400000, 0.4670500000, 0.6222900000, 0.7795000000, 0.9378000000, 1.0966000000, 1.2554000000, 1.4155000000, 1.5755000000, 1.7349000000, 1.8945000000, 2.0551000000, 2.2156000000, 2.3763000000", \
"0.0036900000, 0.0174600000, 0.0296500000, 0.0510500000, 0.0869900000, 0.1452000000, 0.2374900000, 0.3819000000, 0.5643000000, 0.7231000000, 0.8744000000, 1.0280000000, 1.1831000000, 1.3396000000, 1.4963000000, 1.6543000000, 1.8125000000, 1.9703000000, 2.1290000000, 2.2886000000, 2.4482000000", \
"-0.0138000000, 0.0019000000, 0.0158000000, 0.0405000000, 0.0821000000, 0.1496000000, 0.2569000000, 0.4247000000, 0.6356000000, 0.8147000000, 0.9780000000, 1.1304000000, 1.2818000000, 1.4347000000, 1.5890000000, 1.7439000000, 1.9002000000, 2.0564000000, 2.2138000000, 2.3713000000, 2.5289000000", \
"-0.0667300000, -0.0478300000, -0.0307300000, -0.0001300000, 0.0522700000, 0.1380700000, 0.2746700000, 0.4887700000, 0.7580700000, 0.9854700000, 1.1881700000, 1.3740700000, 1.5484700000, 1.7149700000, 1.8752700000, 2.0295700000, 2.1796700000, 2.3305700000, 2.4822700000, 2.6348700000, 2.7879700000", \
"-0.0953700000, -0.0753700000, -0.0570700000, -0.0242700000, 0.0321300000, 0.1250300000, 0.2732300000, 0.5058300000, 0.7984300000, 1.0456300000, 1.2658300000, 1.4676300000, 1.6556300000, 1.8335300000, 2.0041300000, 2.1689300000, 2.3289300000, 2.4841300000, 2.6351300000, 2.7850300000, 2.9362300000", \
"-0.1244000000, -0.1035000000, -0.0843000000, -0.0496000000, 0.0103000000, 0.1093000000, 0.2677000000, 0.5165000000, 0.8296000000, 1.0941000000, 1.3299000000, 1.5458000000, 1.7470000000, 1.9366000000, 2.1172000000, 2.2908000000, 2.4591000000, 2.6229000000, 2.7827000000, 2.9385000000, 3.0906000000");
}
cell_rise ("delay_template11x21") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0099000000, 0.0198000000, 0.0396000000, 0.0792000000, 0.1584000000, 0.3168100000, 0.6336100000, 1.1336100000, 1.6336100000, 2.1336100000, 2.6336100000, 3.1336100000, 3.6336100000, 4.1336100000, 4.6336100000, 5.1336100000, 5.6336100000, 6.1336100000, 6.6336100000, 7.1336100000");
values("0.0127100000, 0.0169500000, 0.0211600000, 0.0293500000, 0.0447400000, 0.0720000000, 0.1233700000, 0.2255300000, 0.3864800000, 0.5476400000, 0.7085000000, 0.8695800000, 1.0304700000, 1.1915700000, 1.3529700000, 1.5136700000, 1.6745700000, 1.8357700000, 1.9968700000, 2.1580700000, 2.3185700000", \
"0.0181800000, 0.0220700000, 0.0258800000, 0.0331600000, 0.0469100000, 0.0733200000, 0.1249900000, 0.2274300000, 0.3885700000, 0.5497800000, 0.7108300000, 0.8716600000, 1.0327700000, 1.1941700000, 1.3548700000, 1.5162700000, 1.6772700000, 1.8381700000, 1.9991700000, 2.1599700000, 2.3209700000", \
"0.0225000000, 0.0259400000, 0.0292700000, 0.0359800000, 0.0493300000, 0.0755900000, 0.1273100000, 0.2298200000, 0.3910800000, 0.5522800000, 0.7132300000, 0.8744800000, 1.0352300000, 1.1964300000, 1.3575300000, 1.5182300000, 1.6793300000, 1.8404300000, 2.0015300000, 2.1624300000, 2.3242300000", \
"0.0300100000, 0.0354700000, 0.0402100000, 0.0485200000, 0.0628000000, 0.0875300000, 0.1380600000, 0.2399600000, 0.4008200000, 0.5617500000, 0.7227300000, 0.8835800000, 1.0450000000, 1.2059000000, 1.3668000000, 1.5282000000, 1.6888000000, 1.8496000000, 2.0112000000, 2.1716000000, 2.3333000000", \
"0.0460400000, 0.0545700000, 0.0619100000, 0.0744900000, 0.0951200000, 0.1280000000, 0.1818100000, 0.2791900000, 0.4370400000, 0.5962600000, 0.7565100000, 0.9168000000, 1.0772000000, 1.2379000000, 1.3988000000, 1.5597000000, 1.7211000000, 1.8811000000, 2.0421000000, 2.2030000000, 2.3637000000", \
"0.0634800000, 0.0748800000, 0.0847000000, 0.1015500000, 0.1291200000, 0.1725800000, 0.2398900000, 0.3475000000, 0.5001000000, 0.6559000000, 0.8137000000, 0.9722000000, 1.1317000000, 1.2907000000, 1.4511000000, 1.6104000000, 1.7716000000, 1.9310000000, 2.0916000000, 2.2523000000, 2.4131000000", \
"0.0916400000, 0.1067200000, 0.1198300000, 0.1424000000, 0.1794000000, 0.2376800000, 0.3272000000, 0.4637000000, 0.6365000000, 0.7901000000, 0.9427000000, 1.0968000000, 1.2526000000, 1.4095000000, 1.5673000000, 1.7255000000, 1.8835000000, 2.0422000000, 2.2021000000, 2.3608000000, 2.5195000000", \
"0.1165000000, 0.1340000000, 0.1494000000, 0.1761000000, 0.2200000000, 0.2893000000, 0.3957000000, 0.5569000000, 0.7552000000, 0.9252000000, 1.0817000000, 1.2324000000, 1.3852000000, 1.5390000000, 1.6939000000, 1.8496000000, 2.0061000000, 2.1627000000, 2.3201000000, 2.4789000000, 2.6367000000", \
"0.1797700000, 0.2015700000, 0.2211700000, 0.2556700000, 0.3130700000, 0.4045700000, 0.5452700000, 0.7582700000, 1.0177700000, 1.2327700000, 1.4229700000, 1.5980700000, 1.7641700000, 1.9236700000, 2.0771700000, 2.2274700000, 2.3782700000, 2.5304700000, 2.6834700000, 2.8377700000, 2.9916700000", \
"0.2111300000, 0.2343300000, 0.2554300000, 0.2927300000, 0.3555300000, 0.4557300000, 0.6105300000, 0.8448300000, 1.1299300000, 1.3656300000, 1.5733300000, 1.7625300000, 1.9394300000, 2.1082300000, 2.2712300000, 2.4292300000, 2.5823300000, 2.7325300000, 2.8834300000, 3.0349300000, 3.1872300000", \
"0.2421000000, 0.2664000000, 0.2886000000, 0.3284000000, 0.3956000000, 0.5036000000, 0.6708000000, 0.9240000000, 1.2321000000, 1.4865000000, 1.7102000000, 1.9135000000, 2.1021000000, 2.2803000000, 2.4511000000, 2.6166000000, 2.7777000000, 2.9346000000, 3.0875000000, 3.2376000000, 3.3879000000");
}
fall_transition ("delay_template11x21") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0099000000, 0.0198000000, 0.0396000000, 0.0792000000, 0.1584000000, 0.3168100000, 0.6336100000, 1.1336100000, 1.6336100000, 2.1336100000, 2.6336100000, 3.1336100000, 3.6336100000, 4.1336100000, 4.6336100000, 5.1336100000, 5.6336100000, 6.1336100000, 6.6336100000, 7.1336100000");
values("0.0093900000, 0.0135800000, 0.0177600000, 0.0261400000, 0.0429600000, 0.0765500000, 0.1437700000, 0.2782200000, 0.4902300000, 0.7024500000, 0.9153100000, 1.1271200000, 1.3393100000, 1.5509400000, 1.7654800000, 1.9752300000, 2.1875500000, 2.4017500000, 2.6120000000, 2.8259200000, 3.0363700000", \
"0.0094200000, 0.0135800000, 0.0177600000, 0.0261500000, 0.0429600000, 0.0766000000, 0.1438500000, 0.2781100000, 0.4905200000, 0.7024900000, 0.9145200000, 1.1267100000, 1.3388100000, 1.5509300000, 1.7633300000, 1.9778300000, 2.1881200000, 2.3995600000, 2.6121700000, 2.8238500000, 3.0360900000", \
"0.0112400000, 0.0144500000, 0.0180300000, 0.0261600000, 0.0429500000, 0.0765100000, 0.1437400000, 0.2780800000, 0.4902600000, 0.7024200000, 0.9146900000, 1.1271500000, 1.3388000000, 1.5515800000, 1.7655000000, 1.9752200000, 2.1873700000, 2.4027400000, 2.6116500000, 2.8239300000, 3.0370600000", \
"0.0227100000, 0.0271400000, 0.0310100000, 0.0379300000, 0.0498800000, 0.0786900000, 0.1438500000, 0.2781700000, 0.4903600000, 0.7024400000, 0.9157500000, 1.1268200000, 1.3389500000, 1.5511400000, 1.7632600000, 1.9766800000, 2.1882900000, 2.3996200000, 2.6120500000, 2.8248400000, 3.0398600000", \
"0.0529200000, 0.0601000000, 0.0660800000, 0.0760700000, 0.0919800000, 0.1181400000, 0.1671800000, 0.2839400000, 0.4901900000, 0.7026500000, 0.9147400000, 1.1269600000, 1.3391400000, 1.5512600000, 1.7637100000, 1.9758200000, 2.1876800000, 2.4024400000, 2.6125000000, 2.8269000000, 3.0398000000", \
"0.0942700000, 0.1042000000, 0.1125900000, 0.1265300000, 0.1483000000, 0.1812000000, 0.2330700000, 0.3300800000, 0.5088000000, 0.7071300000, 0.9147400000, 1.1274200000, 1.3388500000, 1.5517400000, 1.7634000000, 1.9770000000, 2.1871000000, 2.4004000000, 2.6140000000, 2.8242000000, 3.0384000000", \
"0.1714200000, 0.1846500000, 0.1962300000, 0.2158600000, 0.2468900000, 0.2930600000, 0.3602800000, 0.4633600000, 0.6137000000, 0.7790000000, 0.9609000000, 1.1531000000, 1.3521000000, 1.5556000000, 1.7635000000, 1.9758000000, 2.1883000000, 2.3997000000, 2.6121000000, 2.8235000000, 3.0365000000", \
"0.2459200000, 0.2610300000, 0.2747100000, 0.2982700000, 0.3363000000, 0.3932100000, 0.4752000000, 0.5945000000, 0.7493000000, 0.8986000000, 1.0575000000, 1.2307000000, 1.4122000000, 1.6018000000, 1.7970000000, 1.9970000000, 2.1994000000, 2.4048000000, 2.6132000000, 2.8244000000, 3.0367000000", \
"0.4513000000, 0.4675000000, 0.4837000000, 0.5139000000, 0.5651000000, 0.6445000000, 0.7605000000, 0.9242000000, 1.1155000000, 1.2754000000, 1.4268000000, 1.5756000000, 1.7249000000, 1.8802000000, 2.0415000000, 2.2116000000, 2.3868000000, 2.5674000000, 2.7513000000, 2.9396000000, 3.1311000000", \
"0.5581000000, 0.5738000000, 0.5904000000, 0.6224000000, 0.6783000000, 0.7664000000, 0.8963000000, 1.0808000000, 1.2932000000, 1.4658000000, 1.6216000000, 1.7730000000, 1.9221000000, 2.0693000000, 2.2207000000, 2.3781000000, 2.5398000000, 2.7090000000, 2.8820000000, 3.0586000000, 3.2403000000", \
"0.6654000000, 0.6801000000, 0.6965000000, 0.7295000000, 0.7889000000, 0.8847000000, 1.0276000000, 1.2305000000, 1.4630000000, 1.6494000000, 1.8143000000, 1.9678000000, 2.1192000000, 2.2681000000, 2.4158000000, 2.5648000000, 2.7180000000, 2.8752000000, 3.0381000000, 3.2061000000, 3.3770000000");
}
related_pin : "A";
rise_transition ("delay_template11x21") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0099000000, 0.0198000000, 0.0396000000, 0.0792000000, 0.1584000000, 0.3168100000, 0.6336100000, 1.1336100000, 1.6336100000, 2.1336100000, 2.6336100000, 3.1336100000, 3.6336100000, 4.1336100000, 4.6336100000, 5.1336100000, 5.6336100000, 6.1336100000, 6.6336100000, 7.1336100000");
values("0.0122900000, 0.0171000000, 0.0219400000, 0.0316600000, 0.0511300000, 0.0899900000, 0.1678200000, 0.3233600000, 0.5688300000, 0.8149900000, 1.0601800000, 1.3062500000, 1.5507600000, 1.7968300000, 2.0418800000, 2.2889300000, 2.5328500000, 2.7787300000, 3.0247600000, 3.2725500000, 3.5149900000", \
"0.0123200000, 0.0170900000, 0.0219500000, 0.0316800000, 0.0511200000, 0.0899900000, 0.1678100000, 0.3232400000, 0.5688700000, 0.8148800000, 1.0612700000, 1.3054400000, 1.5514700000, 1.7966500000, 2.0423900000, 2.2870700000, 2.5321800000, 2.7778000000, 3.0235200000, 3.2705000000, 3.5145500000", \
"0.0150100000, 0.0186100000, 0.0225800000, 0.0317400000, 0.0511100000, 0.0900400000, 0.1678500000, 0.3234500000, 0.5690300000, 0.8142100000, 1.0599500000, 1.3055600000, 1.5506500000, 1.7963100000, 2.0438200000, 2.2881000000, 2.5335100000, 2.7786800000, 3.0237600000, 3.2686900000, 3.5162700000", \
"0.0269600000, 0.0317400000, 0.0363000000, 0.0425400000, 0.0574500000, 0.0919600000, 0.1678000000, 0.3232700000, 0.5689900000, 0.8144800000, 1.0602000000, 1.3058000000, 1.5509300000, 1.7966200000, 2.0433900000, 2.2880800000, 2.5335900000, 2.7789900000, 3.0267200000, 3.2696300000, 3.5146500000", \
"0.0535700000, 0.0603700000, 0.0663300000, 0.0766100000, 0.0938100000, 0.1245800000, 0.1858700000, 0.3271200000, 0.5691300000, 0.8142300000, 1.0599400000, 1.3057700000, 1.5509100000, 1.7962600000, 2.0423800000, 2.2866600000, 2.5333000000, 2.7792400000, 3.0265600000, 3.2689000000, 3.5156000000", \
"0.0890800000, 0.0976100000, 0.1055500000, 0.1196500000, 0.1425700000, 0.1782500000, 0.2396300000, 0.3615600000, 0.5812800000, 0.8162400000, 1.0595200000, 1.3049700000, 1.5516800000, 1.7961600000, 2.0424100000, 2.2872000000, 2.5329000000, 2.7785000000, 3.0236000000, 3.2693000000, 3.5148000000", \
"0.1583500000, 0.1663000000, 0.1755000000, 0.1937100000, 0.2249200000, 0.2739700000, 0.3475900000, 0.4687800000, 0.6587000000, 0.8680000000, 1.0895000000, 1.3206000000, 1.5564000000, 1.7970000000, 2.0421000000, 2.2874000000, 2.5326000000, 2.7777000000, 3.0230000000, 3.2713000000, 3.5148000000", \
"0.2304000000, 0.2353000000, 0.2434000000, 0.2623000000, 0.2985000000, 0.3576000000, 0.4465000000, 0.5791000000, 0.7657000000, 0.9569000000, 1.1622000000, 1.3761000000, 1.6004000000, 1.8290000000, 2.0609000000, 2.2975000000, 2.5381000000, 2.7795000000, 3.0235000000, 3.2678000000, 3.5164000000", \
"0.4379000000, 0.4379000000, 0.4396000000, 0.4510000000, 0.4881000000, 0.5642000000, 0.6852000000, 0.8629000000, 1.0756000000, 1.2637000000, 1.4483000000, 1.6348000000, 1.8299000000, 2.0306000000, 2.2382000000, 2.4506000000, 2.6674000000, 2.8889000000, 3.1143000000, 3.3425000000, 3.5720000000", \
"0.5474000000, 0.5463000000, 0.5464000000, 0.5524000000, 0.5849000000, 0.6636000000, 0.7966000000, 0.9949000000, 1.2292000000, 1.4243000000, 1.6111000000, 1.7955000000, 1.9818000000, 2.1741000000, 2.3700000000, 2.5735000000, 2.7809000000, 2.9934000000, 3.2077000000, 3.4281000000, 3.6498000000", \
"0.6559000000, 0.6543000000, 0.6543000000, 0.6562000000, 0.6832000000, 0.7617000000, 0.9036000000, 1.1203000000, 1.3753000000, 1.5828000000, 1.7727000000, 1.9585000000, 2.1429000000, 2.3278000000, 2.5188000000, 2.7133000000, 2.9154000000, 3.1205000000, 3.3239000000, 3.5355000000, 3.7499000000");
}
timing_sense : "negative_unate";
}
}
}
cell ("sky130_fd_sc_hvl__inv_2") {
leakage_power () {
value : 2.5946074000;
when : "A";
}
leakage_power () {
value : 3.9041015000;
when : "!A";
}
area : 9.768000000;
cell_footprint : "sky130_fd_sc_hvl__inv";
cell_leakage_power : 3.2493540000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0090100000;
clock : "false";
direction : "input";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("Y") {
direction : "output";
function : "(!A)";
internal_power () {
fall_power ("pwr_template11x9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077200000, 0.0154400000, 0.0308700000, 0.0617300000, 0.1234700000, 0.2469400000, 0.4938800000, 0.9877600000");
values("-0.1103561000, -0.1934518000, -0.3019885000, -0.5310813000, -0.9960575000, -1.9298414000, -3.7971541000, -7.5313350000, -15.001611000", \
"-0.0883166000, -0.1907067000, -0.3027127000, -0.5325379000, -0.9973087000, -1.9299182000, -3.7967669000, -7.5314495000, -15.001350800", \
"-0.0814605000, -0.1921206000, -0.3048926000, -0.5347647000, -0.9983368000, -1.9304844000, -3.7970367000, -7.5317626000, -15.001309300", \
"-0.0357352000, -0.1672449000, -0.2888374000, -0.5244682000, -0.9919456000, -1.9275795000, -3.7957185000, -7.5311575000, -15.001134300", \
"0.1406653000, -0.0223085000, -0.1644654000, -0.4283722000, -0.9242494000, -1.8800513000, -3.7647377000, -7.5149670000, -14.992251200", \
"0.4194921000, 0.2374458000, 0.0751611000, -0.2212651000, -0.7574215000, -1.7620183000, -3.6914565000, -7.4722537000, -14.967003300", \
"0.9766932000, 0.7787191000, 0.6013757000, 0.2724431000, -0.3289922000, -1.4127255000, -3.4324766000, -7.2966779000, -14.871598500", \
"1.5341274000, 1.3275780000, 1.1418272000, 0.7917791000, 0.1554186000, -0.9977775000, -3.0966032000, -7.0599625000, -14.703757200", \
"3.0879651000, 2.8793321000, 2.6760201000, 2.2954476000, 1.5930907000, 0.3163558000, -1.9884486000, -6.1869949000, -14.088092300", \
"3.9075178000, 3.6754521000, 3.4692999000, 3.0812618000, 2.3636859000, 1.0436108000, -1.3419892000, -5.6579187000, -13.688550000", \
"4.7131333000, 4.4740719000, 4.2687392000, 3.8846112000, 3.1463593000, 1.7875060000, -0.6655291000, -5.0875039000, -13.253307900");
}
related_pin : "A";
rise_power ("pwr_template11x9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077200000, 0.0154400000, 0.0308700000, 0.0617300000, 0.1234700000, 0.2469400000, 0.4938800000, 0.9877600000");
values("0.1765283000, 0.3256113000, 0.4569568000, 0.6888126000, 1.1508079000, 2.0781484000, 3.9237828000, 7.6222546000, 15.012160500", \
"0.1989270000, 0.3291589000, 0.4495583000, 0.6838291000, 1.1512447000, 2.0771433000, 3.9321870000, 7.6267675000, 15.019236400", \
"0.2100664000, 0.3293020000, 0.4478009000, 0.6844916000, 1.1479994000, 2.0765029000, 3.9280736000, 7.6170227000, 15.017722000", \
"0.2631591000, 0.3616304000, 0.4707210000, 0.6959084000, 1.1558174000, 2.0769773000, 3.9319987000, 7.6219179000, 15.007578900", \
"0.4438491000, 0.5185870000, 0.6115045000, 0.8113386000, 1.2322045000, 2.1329278000, 3.9563269000, 7.6285832000, 15.023647200", \
"0.7217972000, 0.7821368000, 0.8564569000, 1.0368485000, 1.4271213000, 2.2739313000, 4.0578645000, 7.6958491000, 15.066853500", \
"1.2691803000, 1.3204421000, 1.3875564000, 1.5435037000, 1.8909610000, 2.6643476000, 4.3614020000, 7.9148099000, 15.183718800", \
"1.8298736000, 1.8782923000, 1.9363139000, 2.0692804000, 2.3827444000, 3.1207730000, 4.7350237000, 8.199517600, 15.375971800", \
"3.3873927000, 3.4300081000, 3.4812447000, 3.5971240000, 3.8598544000, 4.4837958000, 5.9599769000, 9.170528900, 16.123382700", \
"4.1988528000, 4.2357717000, 4.2888646000, 4.3925781000, 4.6455628000, 5.2329453000, 6.6339885000, 9.762958600, 16.572937800", \
"5.0085637000, 5.0397809000, 5.0884624000, 5.1909720000, 5.4314133000, 5.9943090000, 7.3388472000, 10.395066400, 17.089108200");
}
}
max_capacitance : 0.9877600000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077200000, 0.0154400000, 0.0308700000, 0.0617300000, 0.1234700000, 0.2469400000, 0.4938800000, 0.9877600000");
values("0.0132500000, 0.0394300000, 0.0614300000, 0.1023900000, 0.1830400000, 0.3440600000, 0.6656900000, 1.3086700000, 2.5957700000", \
"0.0183500000, 0.0413300000, 0.0624300000, 0.1033600000, 0.1842000000, 0.3452900000, 0.6670100000, 1.3104700000, 2.5971700000", \
"0.0216000000, 0.0430400000, 0.0638700000, 0.1047500000, 0.1856600000, 0.3466800000, 0.6684200000, 1.3116300000, 2.5979300000", \
"0.0250000000, 0.0528700000, 0.0730600000, 0.1125700000, 0.1924600000, 0.3531200000, 0.6746100000, 1.3173000000, 2.6038000000", \
"0.0297000000, 0.0707200000, 0.0995900000, 0.1459300000, 0.2237100000, 0.3796500000, 0.6977300000, 1.3386000000, 2.6232000000", \
"0.0326100000, 0.0853000000, 0.1224300000, 0.1815100000, 0.2749000000, 0.4301700000, 0.7403000000, 1.3750000000, 2.6552000000", \
"0.0342800000, 0.1016000000, 0.1495600000, 0.2257300000, 0.3454000000, 0.5330000000, 0.8430000000, 1.4618000000, 2.7303000000", \
"0.0338000000, 0.1112000000, 0.1668000000, 0.2554000000, 0.3943000000, 0.6111000000, 0.9541000000, 1.5609000000, 2.8129000000", \
"0.0287700000, 0.1242700000, 0.1948700000, 0.3076700000, 0.4849700000, 0.7609700000, 1.1901700000, 1.8690700000, 3.0813700000", \
"0.0251300000, 0.1272300000, 0.2035300000, 0.3260300000, 0.5186300000, 0.8185300000, 1.2842300000, 2.0119300000, 3.2359300000", \
"0.0212000000, 0.1287000000, 0.2099000000, 0.3408000000, 0.5469000000, 0.8678000000, 1.3659000000, 2.1406000000, 3.3967000000");
}
cell_rise ("delay_template11x9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077200000, 0.0154400000, 0.0308700000, 0.0617300000, 0.1234700000, 0.2469400000, 0.4938800000, 0.9877600000");
values("0.0106900000, 0.0333400000, 0.0532900000, 0.0890000000, 0.1599500000, 0.3016400000, 0.5850700000, 1.1517700000, 2.2852700000", \
"0.0160700000, 0.0360700000, 0.0545700000, 0.0906300000, 0.1618800000, 0.3037300000, 0.5872200000, 1.1538700000, 2.2874700000", \
"0.0194500000, 0.0387000000, 0.0569500000, 0.0929100000, 0.1641600000, 0.3061100000, 0.5895700000, 1.1563300000, 2.2893300000", \
"0.0248500000, 0.0507600000, 0.0692200000, 0.1038800000, 0.1741900000, 0.3155700000, 0.5987900000, 1.1651000000, 2.2984000000", \
"0.0341300000, 0.0740800000, 0.1011200000, 0.1436800000, 0.2138100000, 0.3513000000, 0.6312300000, 1.1959000000, 2.3281000000", \
"0.0419500000, 0.0953900000, 0.1314900000, 0.1873100000, 0.2732800000, 0.4134500000, 0.6874000000, 1.2463000000, 2.3749000000", \
"0.0515300000, 0.1227600000, 0.1712000000, 0.2459400000, 0.3596000000, 0.5326000000, 0.8127000000, 1.3595000000, 2.4763000000", \
"0.0582000000, 0.1419000000, 0.1994000000, 0.2882000000, 0.4231000000, 0.6266000000, 0.9403000000, 1.4824000000, 2.5873000000", \
"0.0715700000, 0.1783700000, 0.2539700000, 0.3712700000, 0.5495700000, 0.8177700000, 1.2205700000, 1.8412700000, 2.9234700000", \
"0.0773300000, 0.1924300000, 0.2751300000, 0.4040300000, 0.6000300000, 0.8949300000, 1.3369300000, 2.0066300000, 3.1077300000", \
"0.0828000000, 0.2046000000, 0.2935000000, 0.4325000000, 0.6443000000, 0.9630000000, 1.4403000000, 2.1584000000, 3.2982000000");
}
fall_transition ("delay_template11x9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077200000, 0.0154400000, 0.0308700000, 0.0617300000, 0.1234700000, 0.2469400000, 0.4938800000, 0.9877600000");
values("0.0087900000, 0.0351100000, 0.0615700000, 0.1143400000, 0.2199100000, 0.4310600000, 0.8535200000, 1.6988900000, 3.3878000000", \
"0.0088100000, 0.0351300000, 0.0614800000, 0.1143400000, 0.2197600000, 0.4311100000, 0.8537100000, 1.7001300000, 3.3926000000", \
"0.0109600000, 0.0351000000, 0.0615000000, 0.1143700000, 0.2199000000, 0.4309400000, 0.8539800000, 1.7004900000, 3.3894000000", \
"0.0221300000, 0.0438500000, 0.0652100000, 0.1143500000, 0.2201200000, 0.4310900000, 0.8535700000, 1.6997100000, 3.3892000000", \
"0.0519300000, 0.0845600000, 0.1059300000, 0.1438700000, 0.2302500000, 0.4310500000, 0.8539400000, 1.6984700000, 3.3898000000", \
"0.0927400000, 0.1380100000, 0.1663700000, 0.2092600000, 0.2843900000, 0.4544500000, 0.8539000000, 1.6986100000, 3.3889000000", \
"0.1692300000, 0.2315800000, 0.2716400000, 0.3300100000, 0.4164700000, 0.5655300000, 0.9031000000, 1.6997000000, 3.3898000000", \
"0.2437400000, 0.3170600000, 0.3664000000, 0.4381000000, 0.5414000000, 0.6996000000, 1.0023000000, 1.7341000000, 3.3888000000", \
"0.4504000000, 0.5386000000, 0.6061000000, 0.7068000000, 0.8506000000, 1.0548000000, 1.3684000000, 1.9759000000, 3.4479000000", \
"0.5582000000, 0.6489000000, 0.7234000000, 0.8361000000, 0.9975000000, 1.2255000000, 1.5598000000, 2.1522000000, 3.5353000000", \
"0.6673000000, 0.7575000000, 0.8376000000, 0.9606000000, 1.1386000000, 1.3886000000, 1.7483000000, 2.3446000000, 3.6525000000");
}
related_pin : "A";
rise_transition ("delay_template11x9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0077200000, 0.0154400000, 0.0308700000, 0.0617300000, 0.1234700000, 0.2469400000, 0.4938800000, 0.9877600000");
values("0.0100300000, 0.0371100000, 0.0642500000, 0.1185500000, 0.2269600000, 0.4440000000, 0.8778800000, 1.7451800000, 3.4836000000", \
"0.0101000000, 0.0371100000, 0.0642500000, 0.1184800000, 0.2269800000, 0.4439500000, 0.8783100000, 1.7458700000, 3.4815900000", \
"0.0139200000, 0.0371200000, 0.0642500000, 0.1185000000, 0.2270900000, 0.4442300000, 0.8784100000, 1.7450600000, 3.4815600000", \
"0.0249900000, 0.0471100000, 0.0691200000, 0.1191000000, 0.2269900000, 0.4440300000, 0.8778000000, 1.7458400000, 3.4819900000", \
"0.0513200000, 0.0838300000, 0.1067500000, 0.1477700000, 0.2388100000, 0.4444300000, 0.8784100000, 1.7457200000, 3.4820000000", \
"0.0866400000, 0.1302000000, 0.1598500000, 0.2056900000, 0.2880300000, 0.4690100000, 0.8788100000, 1.7453800000, 3.4840000000", \
"0.1570100000, 0.2088300000, 0.2501800000, 0.3118200000, 0.4042200000, 0.5686800000, 0.9297000000, 1.7487000000, 3.4811000000", \
"0.2304000000, 0.2799000000, 0.3293000000, 0.4044000000, 0.5146000000, 0.6864000000, 1.0205000000, 1.7853000000, 3.4840000000", \
"0.4409000000, 0.4690000000, 0.5281000000, 0.6295000000, 0.7805000000, 0.9995000000, 1.3397000000, 2.0115000000, 3.5498000000", \
"0.5509000000, 0.5687000000, 0.6263000000, 0.7359000000, 0.9046000000, 1.1479000000, 1.5082000000, 2.1650000000, 3.6358000000", \
"0.6617000000, 0.6692000000, 0.7234000000, 0.8389000000, 1.0224000000, 1.2886000000, 1.6750000000, 2.3299000000, 3.7470000000");
}
timing_sense : "negative_unate";
}
}
}
cell ("sky130_fd_sc_hvl__inv_4") {
leakage_power () {
value : 3.7343910000;
when : "A";
}
leakage_power () {
value : 8.872670600;
when : "!A";
}
area : 15.628800000;
cell_footprint : "sky130_fd_sc_hvl__inv";
cell_leakage_power : 6.3035310000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0182300000;
clock : "false";
direction : "input";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("Y") {
direction : "output";
function : "(!A)";
internal_power () {
fall_power ("pwr_template11x11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0066100000, 0.0132200000, 0.0264400000, 0.0528700000, 0.1057300000, 0.2114600000, 0.4229200000, 0.8458400000, 1.3458400000, 1.8458400000");
values("-0.2248247000, -0.2850660000, -0.3602083000, -0.5374813000, -0.9248658000, -1.7216900000, -3.3188822000, -6.5171687000, -12.912628600, -20.475398100, -28.037928100", \
"-0.1687515000, -0.2502760000, -0.3404070000, -0.5311561000, -0.9232091000, -1.7172556000, -3.3140693000, -6.5107541000, -12.907028400, -20.469111600, -28.031237300", \
"-0.1572031000, -0.2493504000, -0.3442300000, -0.5354575000, -0.9265399000, -1.7195594000, -3.3151985000, -6.5114178000, -12.906864500, -20.469082200, -28.031445400", \
"-0.0734588000, -0.1891969000, -0.2966720000, -0.5045534000, -0.9093569000, -1.7107675000, -3.3097180000, -6.5096062000, -12.905202100, -20.469710600, -28.029668000", \
"0.2588737000, 0.1148327000, -0.0193252000, -0.2636213000, -0.7177274000, -1.5772101000, -3.2242992000, -6.4626837000, -12.879524200, -20.454042100, -28.019338900", \
"0.7918643000, 0.6327737000, 0.4834889000, 0.2025214000, -0.3114454000, -1.2419123000, -2.9785555000, -6.2934544000, -12.781281900, -20.378748100, -27.962332600", \
"1.8637022000, 1.6893512000, 1.5209810000, 1.2200655000, 0.6475384000, -0.4005824000, -2.2797039000, -5.7732726000, -12.422424500, -20.119442200, -27.752075700", \
"2.9337275000, 2.7587607000, 2.5909769000, 2.2595055000, 1.6532629000, 0.5419973000, -1.4725738000, -5.1166192000, -11.950308900, -19.740523600, -27.449578600", \
"5.9411270000, 5.7487951000, 5.5664206000, 5.2110057000, 4.5549788000, 3.3330223000, 1.1066960000, -2.9124440000, -10.198604500, -18.342585600, -26.279626200", \
"7.4861743000, 7.3034039000, 7.0943493000, 6.7323056000, 6.0682556000, 4.8260834000, 2.5205494000, -1.6428195000, -9.140209100, -17.456633400, -25.493573600", \
" 9.055734700, 8.855604800, 8.659640100, 8.286316300, 7.5977750000, 6.3145858000, 3.9664606000, -0.3131627000, -8.000966000, -16.500881600, -24.656570400");
}
related_pin : "A";
rise_power ("pwr_template11x11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0066100000, 0.0132200000, 0.0264400000, 0.0528700000, 0.1057300000, 0.2114600000, 0.4229200000, 0.8458400000, 1.3458400000, 1.8458400000");
values("0.3608897000, 0.4938935000, 0.6212570000, 0.8532985000, 1.2583814000, 2.0539553000, 3.6445042000, 6.8157933000, 13.125659300, 20.629271500, 28.124665500", \
"0.4076327000, 0.5274852000, 0.6383763000, 0.8467673000, 1.2504254000, 2.0444382000, 3.6383273000, 6.8042522000, 13.127768600, 20.604978500, 28.101539900", \
"0.4292837000, 0.5305145000, 0.6341617000, 0.8407767000, 1.2449401000, 2.0431316000, 3.6385675000, 6.8147242000, 13.125738900, 20.604683500, 28.102651800", \
"0.5269802000, 0.6075077000, 0.6958249000, 0.8811313000, 1.2710774000, 2.0583137000, 3.6371375000, 6.8045933000, 13.128980100, 20.629099400, 28.100845800", \
"0.8710490000, 0.9321018000, 1.0010033000, 1.1580434000, 1.4946113000, 2.2097269000, 3.7384005000, 6.8680008000, 13.163533500, 20.655338400, 28.136070500", \
"1.4038667000, 1.4518747000, 1.5067763000, 1.6348702000, 1.9293330000, 2.5923093000, 4.0302751000, 7.0642882000, 13.280106100, 20.711651800, 28.170058300", \
"2.4588822000, 2.5009171000, 2.5428653000, 2.6478851000, 2.9193967000, 3.5008105000, 4.7864491000, 7.6858092000, 13.745190600, 21.048680900, 28.428875400", \
"3.5342570000, 3.5685937000, 3.6123135000, 3.7126609000, 3.9353101000, 4.4654193000, 5.6820450000, 8.376967900, 14.291342200, 21.499211000, 28.820201200", \
"6.5321346000, 6.5609284000, 6.6015027000, 6.6858766000, 6.8774551000, 7.3086692000, 8.359411800, 10.805848100, 16.239684600, 23.148586200, 30.231331400", \
" 8.086009900, 8.121530900, 8.148825900, 8.238381000, 8.415443400, 8.828705700, 9.800297900, 12.131918200, 17.410819900, 24.154199200, 31.116700300", \
" 9.637310400, 9.674869800, 9.700188100, 9.776323300, 9.952220000, 10.348880100, 11.282261900, 13.520804400, 18.650773200, 25.228726000, 32.109445200");
}
}
max_capacitance : 1.8458400000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0066100000, 0.0132200000, 0.0264400000, 0.0528700000, 0.1057300000, 0.2114600000, 0.4229200000, 0.8458400000, 1.3458400000, 1.8458400000");
values("0.0135800000, 0.0256000000, 0.0367400000, 0.0563100000, 0.0921000000, 0.1619300000, 0.3009400000, 0.5783800000, 1.1337700000, 1.7900700000, 2.4460700000", \
"0.0194800000, 0.0300100000, 0.0397300000, 0.0581500000, 0.0936300000, 0.1635300000, 0.3025900000, 0.5801400000, 1.1350700000, 1.7913700000, 2.4478700000", \
"0.0226900000, 0.0321100000, 0.0413800000, 0.0595100000, 0.0949600000, 0.1649400000, 0.3040700000, 0.5818300000, 1.1369300000, 1.7927300000, 2.4491300000", \
"0.0258200000, 0.0395500000, 0.0504700000, 0.0685600000, 0.1026800000, 0.1717300000, 0.3103300000, 0.5877900000, 1.1427000000, 1.7987000000, 2.4553000000", \
"0.0285300000, 0.0488100000, 0.0647600000, 0.0910600000, 0.1334000000, 0.2027800000, 0.3368100000, 0.6109200000, 1.1636000000, 1.8188000000, 2.4747000000", \
"0.0280100000, 0.0539800000, 0.0744800000, 0.1082900000, 0.1625300000, 0.2483000000, 0.3872400000, 0.6536000000, 1.2005000000, 1.8527000000, 2.5066000000", \
"0.0224200000, 0.0553800000, 0.0817100000, 0.1252600000, 0.1951500000, 0.3055000000, 0.4782000000, 0.7562000000, 1.2870000000, 1.9306000000, 2.5797000000", \
"0.0145000000, 0.0522000000, 0.0825000000, 0.1330000000, 0.2142000000, 0.3422000000, 0.5424000000, 0.8563000000, 1.3865000000, 2.0189000000, 2.6612000000", \
"-0.0118300000, 0.0341700000, 0.0720700000, 0.1358700000, 0.2390700000, 0.4021700000, 0.6571700000, 1.0540700000, 1.6750700000, 2.3030700000, 2.9250700000", \
"-0.0265700000, 0.0223300000, 0.0630300000, 0.1319300000, 0.2437300000, 0.4208300000, 0.6977300000, 1.1285300000, 1.7986300000, 2.4618300000, 3.0755300000", \
"-0.0418000000, 0.0095000000, 0.0526000000, 0.1259000000, 0.2452000000, 0.4346000000, 0.7308000000, 1.1915000000, 1.9073000000, 2.6058000000, 3.2335000000");
}
cell_rise ("delay_template11x11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0066100000, 0.0132200000, 0.0264400000, 0.0528700000, 0.1057300000, 0.2114600000, 0.4229200000, 0.8458400000, 1.3458400000, 1.8458400000");
values("0.0116000000, 0.0224100000, 0.0326400000, 0.0513700000, 0.0847200000, 0.1497800000, 0.2792700000, 0.5381200000, 1.0560700000, 1.6677700000, 2.2807700000", \
"0.0174300000, 0.0271600000, 0.0361900000, 0.0533000000, 0.0864200000, 0.1516600000, 0.2813700000, 0.5402900000, 1.0583700000, 1.6703700000, 2.2825700000", \
"0.0216400000, 0.0302700000, 0.0387900000, 0.0556200000, 0.0887100000, 0.1539700000, 0.2837100000, 0.5427500000, 1.0609300000, 1.6729300000, 2.2845300000", \
"0.0280100000, 0.0408700000, 0.0511200000, 0.0681300000, 0.0998000000, 0.1641600000, 0.2933200000, 0.5522500000, 1.0697000000, 1.6820000000, 2.2935000000", \
"0.0407200000, 0.0606500000, 0.0759500000, 0.1007000000, 0.1400500000, 0.2049100000, 0.3301200000, 0.5857600000, 1.1011000000, 1.7119000000, 2.3231000000", \
"0.0532600000, 0.0798600000, 0.1003000000, 0.1332400000, 0.1847500000, 0.2645500000, 0.3942600000, 0.6434000000, 1.1529000000, 1.7619000000, 2.3713000000", \
"0.0717200000, 0.1070400000, 0.1343700000, 0.1784800000, 0.2472900000, 0.3527000000, 0.5137000000, 0.7728000000, 1.2699000000, 1.8695000000, 2.4749000000", \
"0.0869000000, 0.1283000000, 0.1606000000, 0.2129000000, 0.2946000000, 0.4194000000, 0.6088000000, 0.9004000000, 1.3967000000, 1.9877000000, 2.5880000000", \
"0.1233700000, 0.1755700000, 0.2174700000, 0.2860700000, 0.3937700000, 0.5586700000, 0.8077700000, 1.1830700000, 1.7599700000, 2.3470700000, 2.9307700000", \
"0.1409300000, 0.1968300000, 0.2423300000, 0.3173300000, 0.4355300000, 0.6167300000, 0.8904300000, 1.3020300000, 1.9259300000, 2.5428300000, 3.1193300000", \
"0.1580000000, 0.2168000000, 0.2654000000, 0.3460000000, 0.4734000000, 0.6691000000, 0.9647000000, 1.4089000000, 2.0786000000, 2.7274000000, 3.3137000000");
}
fall_transition ("delay_template11x11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0066100000, 0.0132200000, 0.0264400000, 0.0528700000, 0.1057300000, 0.2114600000, 0.4229200000, 0.8458400000, 1.3458400000, 1.8458400000");
values("0.0099300000, 0.0214200000, 0.0329400000, 0.0560200000, 0.1021200000, 0.1944800000, 0.3791000000, 0.7478600000, 1.4867100000, 2.3588200000, 3.2342300000", \
"0.0099500000, 0.0214300000, 0.0329500000, 0.0560000000, 0.1021700000, 0.1944500000, 0.3790000000, 0.7486100000, 1.4875200000, 2.3610900000, 3.2342800000", \
"0.0116200000, 0.0214500000, 0.0329500000, 0.0559700000, 0.1021400000, 0.1944300000, 0.3789800000, 0.7480000000, 1.4872800000, 2.3610800000, 3.2346100000", \
"0.0234300000, 0.0342400000, 0.0424700000, 0.0605500000, 0.1026100000, 0.1944400000, 0.3789500000, 0.7480200000, 1.4867100000, 2.3609400000, 3.2315000000", \
"0.0542700000, 0.0708100000, 0.0829300000, 0.1023100000, 0.1362200000, 0.2087800000, 0.3800400000, 0.7486500000, 1.4867400000, 2.3590200000, 3.2321000000", \
"0.0964300000, 0.1193900000, 0.1362200000, 0.1621000000, 0.2013700000, 0.2687100000, 0.4112700000, 0.7511200000, 1.4870500000, 2.3595000000, 3.2333000000", \
"0.1751600000, 0.2063600000, 0.2300700000, 0.2667300000, 0.3206800000, 0.4000900000, 0.5336500000, 0.8163000000, 1.4937000000, 2.3595000000, 3.2340000000", \
"0.2514500000, 0.2875400000, 0.3161200000, 0.3610800000, 0.4276000000, 0.5232000000, 0.6669000000, 0.9291000000, 1.5429000000, 2.3665000000, 3.2332000000", \
"0.4627000000, 0.5030000000, 0.5401000000, 0.6015000000, 0.6942000000, 0.8284000000, 1.0185000000, 1.3028000000, 1.8268000000, 2.5313000000, 3.3109000000", \
"0.5728000000, 0.6124000000, 0.6521000000, 0.7195000000, 0.8229000000, 0.9732000000, 1.1862000000, 1.4944000000, 2.0177000000, 2.6733000000, 3.4090000000", \
"0.6834000000, 0.7214000000, 0.7624000000, 0.8343000000, 0.9475000000, 1.1130000000, 1.3469000000, 1.6802000000, 2.2149000000, 2.8395000000, 3.5378000000");
}
related_pin : "A";
rise_transition ("delay_template11x11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0066100000, 0.0132200000, 0.0264400000, 0.0528700000, 0.1057300000, 0.2114600000, 0.4229200000, 0.8458400000, 1.3458400000, 1.8458400000");
values("0.0117700000, 0.0241900000, 0.0366200000, 0.0615400000, 0.1112900000, 0.2110000000, 0.4100100000, 0.8089300000, 1.6050100000, 2.5454800000, 3.4887200000", \
"0.0117600000, 0.0241800000, 0.0366300000, 0.0615400000, 0.1113300000, 0.2108900000, 0.4099800000, 0.8084300000, 1.6049200000, 2.5479800000, 3.4889900000", \
"0.0147600000, 0.0245700000, 0.0366000000, 0.0615300000, 0.1113200000, 0.2109300000, 0.4100200000, 0.8088100000, 1.6047500000, 2.5470700000, 3.4870100000", \
"0.0268900000, 0.0384000000, 0.0465500000, 0.0666300000, 0.1120800000, 0.2108900000, 0.4101000000, 0.8081300000, 1.6065500000, 2.5475600000, 3.4875100000", \
"0.0544000000, 0.0706100000, 0.0831200000, 0.1040800000, 0.1418500000, 0.2242000000, 0.4110600000, 0.8083500000, 1.6052100000, 2.5456700000, 3.4874000000", \
"0.0911200000, 0.1123400000, 0.1295300000, 0.1567400000, 0.1991500000, 0.2749300000, 0.4383800000, 0.8107000000, 1.6052800000, 2.5469000000, 3.4905000000", \
"0.1629900000, 0.1859600000, 0.2087500000, 0.2464800000, 0.3039100000, 0.3899700000, 0.5407700000, 0.8670000000, 1.6106000000, 2.5466000000, 3.4883000000", \
"0.2379000000, 0.2562000000, 0.2815000000, 0.3258000000, 0.3955000000, 0.4985000000, 0.6573000000, 0.9614000000, 1.6529000000, 2.5515000000, 3.4891000000", \
"0.4536000000, 0.4567000000, 0.4763000000, 0.5274000000, 0.6194000000, 0.7609000000, 0.9669000000, 1.2807000000, 1.8913000000, 2.6943000000, 3.5538000000", \
"0.5655000000, 0.5660000000, 0.5785000000, 0.6277000000, 0.7266000000, 0.8835000000, 1.1127000000, 1.4479000000, 2.0481000000, 2.8121000000, 3.6402000000", \
"0.6776000000, 0.6769000000, 0.6843000000, 0.7276000000, 0.8302000000, 1.0005000000, 1.2502000000, 1.6126000000, 2.2151000000, 2.9521000000, 3.7461000000");
}
timing_sense : "negative_unate";
}
}
}
cell ("sky130_fd_sc_hvl__inv_8") {
leakage_power () {
value : 6.2223493000;
when : "A";
}
leakage_power () {
value : 19.158015600;
when : "!A";
}
area : 29.304000000;
cell_footprint : "sky130_fd_sc_hvl__inv";
cell_leakage_power : 12.690180000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0362600000;
clock : "false";
direction : "input";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("Y") {
direction : "output";
function : "(!A)";
internal_power () {
fall_power ("pwr_template11x13") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0070500000, 0.0141100000, 0.0282200000, 0.0564400000, 0.1128800000, 0.2257600000, 0.4515300000, 0.9030600000, 1.4030600000, 1.9030600000, 2.4030600000, 2.9030600000");
values("-0.4477508000, -0.5122580000, -0.5962282000, -0.7915014000, -1.2084102000, -2.0551851000, -3.7626331000, -7.1756587000, -14.002783600, -21.563307600, -29.126810200, -36.689044000, -44.251636100", \
"-0.3450179000, -0.4334709000, -0.5279706000, -0.7254340000, -1.1402769000, -1.9859047000, -3.6886809000, -7.1010753000, -13.928580200, -21.490442600, -29.056156900, -36.616575100, -44.176225000", \
"-0.3217276000, -0.4242363000, -0.5263672000, -0.7303608000, -1.1484543000, -1.9928511000, -3.6939421000, -7.1056006000, -13.932845300, -21.494149600, -29.056935500, -36.619586900, -44.182026100", \
"-0.1628226000, -0.2922751000, -0.4151274000, -0.6481678000, -1.0928267000, -1.9578449000, -3.6763646000, -7.0977935000, -13.930645700, -21.493760000, -29.058374900, -36.620819200, -44.182765800", \
"0.4805312000, 0.3156456000, 0.1600494000, -0.1284460000, -0.6578208000, -1.6266432000, -3.4424645000, -6.9518811000, -13.840862600, -21.426067400, -29.007681700, -36.579778600, -44.151505300", \
"1.5053396000, 1.3296307000, 1.1468044000, 0.8201465000, 0.2103277000, -0.8862621000, -2.8651063000, -6.5363742000, -13.573606500, -21.239663300, -28.855330400, -36.462837900, -44.057879200", \
"3.5678503000, 3.3781030000, 3.1899815000, 2.8357410000, 2.1571213000, 0.9128835000, -1.3301578000, -5.3205316000, -12.702100500, -20.570092400, -28.322069400, -35.995150900, -43.646827400", \
"5.6460042000, 5.4430572000, 5.2477130000, 4.8736425000, 4.1609239000, 2.8353604000, 0.4310755000, -3.8408605000, -11.558403700, -19.639670400, -27.543084800, -35.334038900, -43.063052900", \
"11.417358000, 11.216374700, 10.996106500, 10.589493400, 9.839642600, 8.395494900, 5.7348337000, 0.9325221000, -7.6153855000, -16.303221900, -24.628220500, -32.740630800, -40.712318900", \
"14.405569400, 14.198920400, 13.983168500, 13.578206900, 12.792890600, 11.330356500, 8.584456700, 3.6023898000, -5.2899046000, -14.260544900, -22.793305200, -31.082008900, -39.205502100", \
"17.408424400, 17.203671500, 16.986572600, 16.559501000, 15.758629500, 14.279262500, 11.462149600, 6.3427877000, -2.8446784000, -12.078475300, -20.825460600, -29.266887200, -37.541824900");
}
related_pin : "A";
rise_power ("pwr_template11x13") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0070500000, 0.0141100000, 0.0282200000, 0.0564400000, 0.1128800000, 0.2257600000, 0.4515300000, 0.9030600000, 1.4030600000, 1.9030600000, 2.4030600000, 2.9030600000");
values("0.7283680000, 0.8666122000, 1.0034600000, 1.2663183000, 1.7307494000, 2.5823615000, 4.2708363000, 7.6483693000, 14.405763200, 21.899277900, 29.388196500, 36.877765800, 44.367407600", \
"0.7997460000, 0.9277741000, 1.0538939000, 1.2908693000, 1.7311605000, 2.5866067000, 4.2831614000, 7.6689803000, 14.425000300, 21.914862600, 29.414116500, 36.897246400, 44.392436000", \
"0.8373558000, 0.9481439000, 1.0588286000, 1.2818839000, 1.7210554000, 2.5783900000, 4.2779425000, 7.6606180000, 14.422317400, 21.912374900, 29.410948900, 36.899435500, 44.390566000", \
"1.0240156000, 1.1098391000, 1.1989496000, 1.3899438000, 1.7900659000, 2.6166937000, 4.2962032000, 7.6760402000, 14.427177800, 21.913578600, 29.409286900, 36.900039100, 44.394600500", \
"1.6908793000, 1.7505414000, 1.8160951000, 1.9645030000, 2.2945746000, 3.0167988000, 4.5851889000, 7.8558346000, 14.530349600, 21.992240300, 29.467419900, 36.932432500, 44.429648000", \
"2.7108858000, 2.7631014000, 2.8159820000, 2.9353546000, 3.2096279000, 3.8509038000, 5.2733843000, 8.371283700, 14.879970300, 22.248329200, 29.670627600, 37.116915200, 44.563990600", \
"4.7539065000, 4.8097398000, 4.8412364000, 4.9376580000, 5.1899285000, 5.7223314000, 6.9742120000, 9.796268400, 15.957511600, 23.093546000, 30.367903800, 37.719177100, 45.107375900", \
"6.8188764000, 6.8549808000, 6.8945685000, 6.9821724000, 7.1867714000, 7.6836901000, 8.804378500, 11.430416500, 17.294196300, 24.221994400, 31.354569800, 38.588846500, 45.869419800", \
"12.585808800, 12.620439100, 12.650106300, 12.723424400, 12.901664700, 13.299638000, 14.227859300, 16.483812400, 21.758195200, 28.147077300, 34.866616500, 41.798260400, 48.791233900", \
"15.581926800, 15.608915600, 15.651525600, 15.716036500, 15.885338600, 16.253596100, 17.137456800, 19.252435000, 24.287079200, 30.473701100, 37.000780000, 43.753070900, 50.643421500", \
"18.578358900, 18.598165900, 18.629711900, 18.706646900, 18.864864100, 19.224083700, 20.070847300, 22.063478300, 26.889690700, 32.885097700, 39.235016100, 45.849813000, 52.622295900");
}
}
max_capacitance : 2.9030600000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x13") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0070500000, 0.0141100000, 0.0282200000, 0.0564400000, 0.1128800000, 0.2257600000, 0.4515300000, 0.9030600000, 1.4030600000, 1.9030600000, 2.4030600000, 2.9030600000");
values("0.0130000000, 0.0198600000, 0.0259000000, 0.0365700000, 0.0561400000, 0.0940800000, 0.1693100000, 0.3194100000, 0.6193900000, 0.9516600000, 1.2837700000, 1.6159700000, 1.9481700000", \
"0.0189600000, 0.0249200000, 0.0304500000, 0.0407600000, 0.0602700000, 0.0982700000, 0.1735300000, 0.3237500000, 0.6237300000, 0.9558900000, 1.2881700000, 1.6202700000, 1.9521700000", \
"0.0220500000, 0.0273100000, 0.0323900000, 0.0423000000, 0.0616500000, 0.0996200000, 0.1749300000, 0.3251500000, 0.6251900000, 0.9573700000, 1.2895300000, 1.6217300000, 1.9539300000", \
"0.0237000000, 0.0319600000, 0.0389100000, 0.0508000000, 0.0702800000, 0.1071100000, 0.1816600000, 0.3313600000, 0.6310100000, 0.9631000000, 1.2953000000, 1.6275000000, 1.9596000000", \
"0.0229200000, 0.0347500000, 0.0447700000, 0.0619400000, 0.0903600000, 0.1360200000, 0.2107600000, 0.3564200000, 0.6532700000, 0.9840000000, 1.3153000000, 1.6470000000, 1.9787000000", \
"0.0178100000, 0.0325700000, 0.0451600000, 0.0668200000, 0.1028700000, 0.1612200000, 0.2535700000, 0.4032500000, 0.6932000000, 1.0205000000, 1.3499000000, 1.6802000000, 2.0112000000", \
"0.0039500000, 0.0221300000, 0.0378300000, 0.0650400000, 0.1104700000, 0.1845400000, 0.3029000000, 0.4888000000, 0.7883000000, 1.1064000000, 1.4301000000, 1.7563000000, 2.0844000000", \
"-0.0117000000, 0.0087000000, 0.0264000000, 0.0574000000, 0.1094000000, 0.1942000000, 0.3305000000, 0.5458000000, 0.8834000000, 1.2037000000, 1.5206000000, 1.8425000000, 2.1666000000", \
"-0.0588300000, -0.0346300000, -0.0131300000, 0.0247700000, 0.0889700000, 0.1945700000, 0.3646700000, 0.6358700000, 1.0624700000, 1.4500700000, 1.7952700000, 2.1154700000, 2.4284700000", \
"-0.0841700000, -0.0586700000, -0.0358700000, 0.0045300000, 0.0735300000, 0.1871300000, 0.3704300000, 0.6630300000, 1.1252300000, 1.5455300000, 1.9144300000, 2.2556300000, 2.5771300000", \
"-0.1098000000, -0.0833000000, -0.0594000000, -0.0168000000, 0.0561000000, 0.1766000000, 0.3714000000, 0.6827000000, 1.1755000000, 1.6250000000, 2.0182000000, 2.3772000000, 2.7159000000");
}
cell_rise ("delay_template11x13") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0070500000, 0.0141100000, 0.0282200000, 0.0564400000, 0.1128800000, 0.2257600000, 0.4515300000, 0.9030600000, 1.4030600000, 1.9030600000, 2.4030600000, 2.9030600000");
values("0.0128000000, 0.0194900000, 0.0259300000, 0.0380700000, 0.0597400000, 0.0995500000, 0.1785000000, 0.3356600000, 0.6496800000, 0.9981000000, 1.3454700000, 1.6934700000, 2.0434700000", \
"0.0181500000, 0.0243700000, 0.0303200000, 0.0414100000, 0.0621100000, 0.1021300000, 0.1811100000, 0.3384200000, 0.6528100000, 1.0005700000, 1.3484700000, 1.6969700000, 2.0452700000", \
"0.0224600000, 0.0279900000, 0.0333000000, 0.0437800000, 0.0642700000, 0.1043300000, 0.1833700000, 0.3407900000, 0.6553800000, 1.0029300000, 1.3512300000, 1.6986300000, 2.0482300000", \
"0.0290500000, 0.0371000000, 0.0438600000, 0.0559500000, 0.0759300000, 0.1147800000, 0.1930800000, 0.3499500000, 0.6646300000, 1.0119000000, 1.3594000000, 1.7079000000, 2.0570000000", \
"0.0434700000, 0.0555300000, 0.0654800000, 0.0821900000, 0.1093800000, 0.1539700000, 0.2312100000, 0.3845100000, 0.6958600000, 1.0421000000, 1.3894000000, 1.7363000000, 2.0856000000", \
"0.0589000000, 0.0747200000, 0.0878500000, 0.1098500000, 0.1453400000, 0.2013300000, 0.2904700000, 0.4445300000, 0.7504000000, 1.0935000000, 1.4391000000, 1.7846000000, 2.1309000000", \
"0.0835200000, 0.1041700000, 0.1214800000, 0.1506000000, 0.1975700000, 0.2711600000, 0.3851000000, 0.5638000000, 0.8709000000, 1.2073000000, 1.5475000000, 1.8891000000, 2.2342000000", \
"0.1051000000, 0.1290000000, 0.1493000000, 0.1835000000, 0.2389000000, 0.3257000000, 0.4594000000, 0.6653000000, 0.9960000000, 1.3295000000, 1.6652000000, 2.0038000000, 2.3443000000", \
"0.1600700000, 0.1894700000, 0.2150700000, 0.2590700000, 0.3311700000, 0.4446700000, 0.6191700000, 0.8854700000, 1.2933700000, 1.6679700000, 2.0139700000, 2.3459700000, 2.6797700000", \
"0.1874300000, 0.2185300000, 0.2460300000, 0.2937300000, 0.3723300000, 0.4965300000, 0.6877300000, 0.9791300000, 1.4235300000, 1.8250300000, 2.1860300000, 2.5293300000, 2.8611300000", \
"0.2145000000, 0.2468000000, 0.2758000000, 0.3266000000, 0.4109000000, 0.5444000000, 0.7505000000, 1.0643000000, 1.5416000000, 1.9703000000, 2.3486000000, 2.7034000000, 3.0447000000");
}
fall_transition ("delay_template11x13") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0070500000, 0.0141100000, 0.0282200000, 0.0564400000, 0.1128800000, 0.2257600000, 0.4515300000, 0.9030600000, 1.4030600000, 1.9030600000, 2.4030600000, 2.9030600000");
values("0.0107800000, 0.0168100000, 0.0231300000, 0.0359700000, 0.0617900000, 0.1136400000, 0.2173000000, 0.4249800000, 0.8396700000, 1.2999200000, 1.7594500000, 2.2191700000, 2.6791900000", \
"0.0107900000, 0.0168200000, 0.0231300000, 0.0359700000, 0.0618400000, 0.1136800000, 0.2173300000, 0.4251100000, 0.8402200000, 1.2997800000, 1.7593200000, 2.2193700000, 2.6796000000", \
"0.0128600000, 0.0175600000, 0.0232600000, 0.0359800000, 0.0618100000, 0.1136800000, 0.2173700000, 0.4251000000, 0.8405500000, 1.2993900000, 1.7587700000, 2.2191200000, 2.6792400000", \
"0.0269300000, 0.0325600000, 0.0377100000, 0.0463900000, 0.0666800000, 0.1141000000, 0.2173200000, 0.4251400000, 0.8399400000, 1.2995300000, 1.7593600000, 2.2186600000, 2.6789100000", \
"0.0647500000, 0.0738000000, 0.0810400000, 0.0928300000, 0.1122400000, 0.1487600000, 0.2313000000, 0.4254800000, 0.8398400000, 1.3004400000, 1.7592700000, 2.2188200000, 2.6788300000", \
"0.1171000000, 0.1295700000, 0.1398300000, 0.1563300000, 0.1815100000, 0.2206300000, 0.2928200000, 0.4555900000, 0.8423100000, 1.2995000000, 1.7587800000, 2.2207000000, 2.6777000000", \
"0.2168800000, 0.2332100000, 0.2472300000, 0.2706300000, 0.3064500000, 0.3587600000, 0.4378800000, 0.5809100000, 0.9048000000, 1.3190000000, 1.7615000000, 2.2183000000, 2.6793000000", \
"0.3150600000, 0.3326500000, 0.3491000000, 0.3773500000, 0.4215000000, 0.4860900000, 0.5792000000, 0.7260000000, 1.0188000000, 1.3912000000, 1.8021000000, 2.2355000000, 2.6843000000", \
"0.5903000000, 0.6056000000, 0.6239000000, 0.6596000000, 0.7193000000, 0.8105000000, 0.9407000000, 1.1258000000, 1.4170000000, 1.7338000000, 2.0734000000, 2.4413000000, 2.8330000000", \
"0.7347000000, 0.7479000000, 0.7652000000, 0.8023000000, 0.8675000000, 0.9690000000, 1.1155000000, 1.3221000000, 1.6295000000, 1.9431000000, 2.2640000000, 2.6077000000, 2.9742000000", \
"0.8801000000, 0.8907000000, 0.9068000000, 0.9442000000, 1.0131000000, 1.1237000000, 1.2850000000, 1.5115000000, 1.8406000000, 2.1540000000, 2.4697000000, 2.7954000000, 3.1420000000");
}
related_pin : "A";
rise_transition ("delay_template11x13") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0070500000, 0.0141100000, 0.0282200000, 0.0564400000, 0.1128800000, 0.2257600000, 0.4515300000, 0.9030600000, 1.4030600000, 1.9030600000, 2.4030600000, 2.9030600000");
values("0.0122700000, 0.0203300000, 0.0285700000, 0.0451300000, 0.0784000000, 0.1450300000, 0.2783000000, 0.5449600000, 1.0778900000, 1.6694800000, 2.2588000000, 2.8497400000, 3.4397500000", \
"0.0123200000, 0.0203200000, 0.0285700000, 0.0451900000, 0.0784100000, 0.1450300000, 0.2782800000, 0.5448300000, 1.0796200000, 1.6687200000, 2.2589500000, 2.8502600000, 3.4431900000", \
"0.0151400000, 0.0214100000, 0.0288100000, 0.0451700000, 0.0784200000, 0.1450000000, 0.2782800000, 0.5449100000, 1.0780300000, 1.6705500000, 2.2594800000, 2.8484800000, 3.4389500000", \
"0.0282100000, 0.0359100000, 0.0418000000, 0.0538700000, 0.0824200000, 0.1452200000, 0.2782900000, 0.5451500000, 1.0781100000, 1.6689400000, 2.2586900000, 2.8500300000, 3.4398900000", \
"0.0610700000, 0.0701600000, 0.0784000000, 0.0926800000, 0.1193300000, 0.1707300000, 0.2879500000, 0.5449000000, 1.0778900000, 1.6685200000, 2.2609900000, 2.8483900000, 3.4393500000", \
"0.1081900000, 0.1176800000, 0.1279300000, 0.1464500000, 0.1767900000, 0.2283300000, 0.3316900000, 0.5653700000, 1.0780000000, 1.6684000000, 2.2587600000, 2.8489000000, 3.4403000000", \
"0.2053100000, 0.2108300000, 0.2201400000, 0.2419700000, 0.2815700000, 0.3448700000, 0.4471000000, 0.6535700000, 1.1202000000, 1.6792000000, 2.2592000000, 2.8491000000, 3.4391000000", \
"0.3053000000, 0.3077000000, 0.3131000000, 0.3329000000, 0.3771000000, 0.4515000000, 0.5667000000, 0.7673000000, 1.1988000000, 1.7272000000, 2.2825000000, 2.8578000000, 3.4397000000", \
"0.5865000000, 0.5858000000, 0.5870000000, 0.5937000000, 0.6305000000, 0.7205000000, 0.8709000000, 1.0999000000, 1.4995000000, 1.9667000000, 2.4673000000, 2.9953000000, 3.5378000000", \
"0.7324000000, 0.7328000000, 0.7322000000, 0.7362000000, 0.7632000000, 0.8522000000, 1.0160000000, 1.2675000000, 1.6688000000, 2.1206000000, 2.6026000000, 3.1073000000, 3.6316000000", \
"0.8797000000, 0.8776000000, 0.8782000000, 0.8795000000, 0.8991000000, 0.9836000000, 1.1559000000, 1.4276000000, 1.8438000000, 2.2860000000, 2.7497000000, 3.2384000000, 3.7467000000");
}
timing_sense : "negative_unate";
}
}
}
cell ("sky130_fd_sc_hvl__mux2_1") {
leakage_power () {
value : 6.1118959000;
when : "!A0&!A1&S";
}
leakage_power () {
value : 8.612700800;
when : "!A0&!A1&!S";
}
leakage_power () {
value : 3.1948848000;
when : "!A0&A1&S";
}
leakage_power () {
value : 10.871815400;
when : "!A0&A1&!S";
}
leakage_power () {
value : 8.356133600;
when : "A0&!A1&S";
}
leakage_power () {
value : 5.7031122000;
when : "A0&!A1&!S";
}
leakage_power () {
value : 3.0527669000;
when : "A0&A1&S";
}
leakage_power () {
value : 5.5569078000;
when : "A0&A1&!S";
}
area : 21.489600000;
cell_footprint : "sky130_fd_sc_hvl__mux2";
cell_leakage_power : 6.4325270000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A0") {
capacitance : 0.0026800000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0320945000, 0.0318883000, 0.0316658000, 0.0316205000, 0.0315008000, 0.0315635000, 0.0316993000, 0.0317095000, 0.0317567000, 0.0317761000, 0.0317955000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0266727000, -0.0268077000, -0.0269533000, -0.0269930000, -0.0270989000, -0.0271506000, -0.0272454000, -0.0271947000, -0.0270380000, -0.0269608000, -0.0268836000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("A1") {
capacitance : 0.0026100000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0322924000, 0.0322539000, 0.0322134000, 0.0322070000, 0.0322190000, 0.0322828000, 0.0324254000, 0.0324330000, 0.0324809000, 0.0324985000, 0.0325161000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0281757000, -0.0281500000, -0.0281212000, -0.0281655000, -0.0282762000, -0.0282379000, -0.0281482000, -0.0280619000, -0.0277981000, -0.0276674000, -0.0275367000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("S") {
capacitance : 0.0055900000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1368557000, 0.1389661000, 0.1412532000, 0.1506826000, 0.1802187000, 0.2410844000, 0.3628242000, 0.4903232000, 0.8456822000, 1.0301460000, 1.2146100000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0314859000, -0.0297516000, -0.0278716000, -0.0191327000, 0.0082512000, 0.0684280000, 0.1887943000, 0.3161253000, 0.6710249000, 0.8552481000, 1.0394713000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("X") {
direction : "output";
function : "(A0&!S) | (A1&S)";
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.3166484000, 0.1399177000, -0.0071519000, -0.2845632000, -0.8173510000, -1.8644085000, -3.9393237000, -8.078104400", \
"0.3168363000, 0.1409279000, -0.0068469000, -0.2849382000, -0.8174750000, -1.8640944000, -3.9389089000, -8.077320000", \
"0.3155607000, 0.1387546000, -0.0093950000, -0.2854625000, -0.8185491000, -1.8650655000, -3.9398931000, -8.079015200", \
"0.3153686000, 0.1394986000, -0.0095067000, -0.2860141000, -0.8188917000, -1.8655985000, -3.9401288000, -8.079290000", \
"0.3339202000, 0.1577166000, 0.0093292000, -0.2688896000, -0.8027876000, -1.8492023000, -3.9238033000, -8.063504200", \
"0.3843293000, 0.2060554000, 0.0554530000, -0.2253947000, -0.7619703000, -1.8105367000, -3.8880619000, -8.028165000", \
"0.5094282000, 0.3267550000, 0.1708972000, -0.1180181000, -0.6635813000, -1.7208369000, -3.8038283000, -7.9475403000", \
"0.6463786000, 0.4535766000, 0.2943151000, -0.0017523000, -0.5566047000, -1.6225492000, -3.7126674000, -7.8611412000", \
"1.0419267000, 0.8372983000, 0.6590919000, 0.3379652000, -0.2395916000, -1.3300693000, -3.4425375000, -7.6075162000", \
"1.2367050000, 1.0310107000, 0.8491909000, 0.5202270000, -0.0700602000, -1.1738328000, -3.2973312000, -7.4715040000", \
"1.4316191000, 1.2235630000, 1.0386737000, 0.7021069000, 0.0990147000, -1.0156128000, -3.1502653000, -7.3336741000");
}
related_pin : "A1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.1819701000, 0.2866544000, 0.4074253000, 0.6566033000, 1.1655247000, 2.1844921000, 4.2305710000, 8.317911900", \
"0.1827947000, 0.2875163000, 0.4083366000, 0.6576477000, 1.1664901000, 2.1848642000, 4.2313601000, 8.318404800", \
"0.1830999000, 0.2874974000, 0.4089752000, 0.6573548000, 1.1665818000, 2.1858651000, 4.2312405000, 8.321793400", \
"0.1872062000, 0.2913576000, 0.4116915000, 0.6598698000, 1.1675548000, 2.1913170000, 4.2311398000, 8.324126300", \
"0.2312201000, 0.3278492000, 0.4438961000, 0.6875312000, 1.1920691000, 2.2067668000, 4.2487477000, 8.338243600", \
"0.3149258000, 0.4009471000, 0.5112234000, 0.7451893000, 1.2403771000, 2.2504296000, 4.2856518000, 8.372557300", \
"0.4842443000, 0.5559797000, 0.6558678000, 0.8760037000, 1.3582785000, 2.3526526000, 4.3800689000, 8.456941800", \
"0.6440702000, 0.7087795000, 0.8009556000, 1.0134731000, 1.4750046000, 2.4618031000, 4.4815804000, 8.546596400", \
"1.0772130000, 1.1324335000, 1.2100376000, 1.4060335000, 1.8470092000, 2.7883247000, 4.7789669000, 8.819463000", \
"1.2937962000, 1.3459902000, 1.4195232000, 1.6076212000, 2.0417281000, 2.9687926000, 4.9353106000, 8.965968800", \
"1.5103982000, 1.5596964000, 1.6274848000, 1.8080925000, 2.2300104000, 3.1514149000, 5.0930119000, 9.121785300");
}
when : "(A0&S)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.3281268000, 0.1512172000, 0.0029024000, -0.2750492000, -0.8086301000, -1.8553170000, -3.9309264000, -8.070148200", \
"0.3320060000, 0.1554874000, 0.0071355000, -0.2710136000, -0.8035614000, -1.8505812000, -3.9268917000, -8.065472300", \
"0.3276592000, 0.1509445000, 0.0026944000, -0.2751512000, -0.8084686000, -1.8559474000, -3.9309998000, -8.069979000", \
"0.3225486000, 0.1462479000, -0.0026042000, -0.2804490000, -0.8146914000, -1.8606112000, -3.9364400000, -8.076126400", \
"0.3487623000, 0.1720354000, 0.0227086000, -0.2560768000, -0.7905868000, -1.8377110000, -3.9140676000, -8.053385400", \
"0.4096859000, 0.2305741000, 0.0790228000, -0.2033051000, -0.7418142000, -1.7926846000, -3.8712741000, -8.012114000", \
"0.5675042000, 0.3832997000, 0.2260763000, -0.0654116000, -0.6152250000, -1.6763067000, -3.7633297000, -7.9096321000", \
"0.7364494000, 0.5394461000, 0.3811525000, 0.0812107000, -0.4794002000, -1.5523049000, -3.6493115000, -7.8026293000", \
"1.2266692000, 1.0187587000, 0.8363821000, 0.5075589000, -0.0763587000, -1.1804269000, -3.3074560000, -7.4851515000", \
"1.4741596000, 1.2638385000, 1.0773778000, 0.7390044000, 0.1390945000, -0.9791348000, -3.1215064000, -7.3128752000", \
"1.7201198000, 1.5076418000, 1.3180246000, 0.9722022000, 0.3539815000, -0.7755921000, -2.9330210000, -7.1376132000");
}
related_pin : "S";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.1841419000, 0.2887680000, 0.4094629000, 0.6580925000, 1.1651983000, 2.1867955000, 4.2360623000, 8.324583900", \
"0.1866991000, 0.2912991000, 0.4126531000, 0.6608185000, 1.1703442000, 2.1884948000, 4.2342202000, 8.319769600", \
"0.1837921000, 0.2882567000, 0.4095662000, 0.6581627000, 1.1668411000, 2.1865856000, 4.2268228000, 8.319343000", \
"0.1817007000, 0.2859929000, 0.4066733000, 0.6548818000, 1.1611965000, 2.1831293000, 4.2294580000, 8.314007500", \
"0.2258546000, 0.3229387000, 0.4400572000, 0.6844918000, 1.1902533000, 2.2075420000, 4.2494079000, 8.342861600", \
"0.3114443000, 0.3952912000, 0.5061688000, 0.7420443000, 1.2383865000, 2.2487521000, 4.2855650000, 8.378973900", \
"0.4994516000, 0.5728566000, 0.6692620000, 0.8867239000, 1.3685317000, 2.3626241000, 4.3890030000, 8.469585900", \
"0.6822743000, 0.7470985000, 0.8362266000, 1.0459796000, 1.5064436000, 2.4877546000, 4.5002074000, 8.577415600", \
"1.1892261000, 1.2433066000, 1.3204259000, 1.5064103000, 1.9413279000, 2.8684339000, 4.8485221000, 8.885399700", \
"1.4492173000, 1.5009778000, 1.5724070000, 1.7527206000, 2.1720022000, 3.0830031000, 5.0399781000, 9.058229700", \
"1.7080950000, 1.7583946000, 1.8281112000, 1.9992584000, 2.4047302000, 3.3102216000, 5.2341612000, 9.237388600");
}
when : "(!A0&A1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.3382253000, 0.1620782000, 0.0139075000, -0.2631801000, -0.7967592000, -1.8421532000, -3.9173988000, -8.056088800", \
"0.3402196000, 0.1643904000, 0.0162525000, -0.2614375000, -0.7948652000, -1.8394117000, -3.9129684000, -8.051658700", \
"0.3382879000, 0.1623173000, 0.0137535000, -0.2631996000, -0.7958003000, -1.8419282000, -3.9169253000, -8.055664900", \
"0.3346904000, 0.1584776000, 0.0099892000, -0.2669059000, -0.7995361000, -1.8456816000, -3.9205016000, -8.059694200", \
"0.3380856000, 0.1619914000, 0.0137266000, -0.2630272000, -0.7959162000, -1.8421056000, -3.9170323000, -8.056849300", \
"0.3363522000, 0.1607166000, 0.0131127000, -0.2648622000, -0.7975975000, -1.8430948000, -3.9176484000, -8.056535700", \
"0.3707331000, 0.1874565000, 0.0313566000, -0.2492482000, -0.7833778000, -1.8303736000, -3.9059220000, -8.045440300", \
"0.3900508000, 0.2073632000, 0.0508468000, -0.2324464000, -0.7703442000, -1.8182256000, -3.8946621000, -8.034151400", \
"0.4426328000, 0.2574889000, 0.0990187000, -0.1860184000, -0.7262697000, -1.7791339000, -3.8566636000, -7.9974222000", \
"0.4705618000, 0.2859571000, 0.1266690000, -0.1606637000, -0.7015091000, -1.7563662000, -3.8334983000, -7.9747730000", \
"0.4994528000, 0.3125084000, 0.1527047000, -0.1368432000, -0.6783883000, -1.7322683000, -3.8142478000, -7.9540447000");
}
related_pin : "S";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.1785141000, 0.2832290000, 0.4051071000, 0.6539038000, 1.1597991000, 2.1810151000, 4.2263041000, 8.317796700", \
"0.1825112000, 0.2866546000, 0.4074951000, 0.6564092000, 1.1638970000, 2.1866803000, 4.2346127000, 8.318579100", \
"0.1792444000, 0.2839357000, 0.4046422000, 0.6552061000, 1.1634569000, 2.1836452000, 4.2303788000, 8.317864300", \
"0.1758915000, 0.2803373000, 0.4010728000, 0.6499871000, 1.1582652000, 2.1801987000, 4.2223403000, 8.314987200", \
"0.1848268000, 0.2883690000, 0.4091559000, 0.6578006000, 1.1673472000, 2.1865941000, 4.2334810000, 8.321132100", \
"0.1914974000, 0.2936146000, 0.4138067000, 0.6624366000, 1.1671585000, 2.1898038000, 4.2311148000, 8.324814600", \
"0.2164708000, 0.3129257000, 0.4340904000, 0.6797576000, 1.1817728000, 2.2019540000, 4.2478218000, 8.337606300", \
"0.2424767000, 0.3361470000, 0.4502873000, 0.6959602000, 1.2000584000, 2.2125630000, 4.2553631000, 8.349698300", \
"0.3154109000, 0.4030986000, 0.5135159000, 0.7529886000, 1.2479266000, 2.2627985000, 4.2926892000, 8.382306000", \
"0.3537520000, 0.4388500000, 0.5465989000, 0.7829120000, 1.2707947000, 2.2848024000, 4.3211973000, 8.407256300", \
"0.3922262000, 0.4741777000, 0.5814587000, 0.8140097000, 1.3072597000, 2.3095101000, 4.3504617000, 8.425715100");
}
when : "(A0&!A1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.3072718000, 0.1307134000, -0.0170199000, -0.2938804000, -0.8268959000, -1.8741392000, -3.9496004000, -8.088887200", \
"0.3104305000, 0.1334985000, -0.0142411000, -0.2911044000, -0.8241495000, -1.8710456000, -3.9471227000, -8.087392000", \
"0.3091863000, 0.1324851000, -0.0151391000, -0.2922018000, -0.8252976000, -1.8723074000, -3.9480147000, -8.087787300", \
"0.3083065000, 0.1318922000, -0.0160988000, -0.2937070000, -0.8265810000, -1.8729307000, -3.9485148000, -8.088029400", \
"0.3290851000, 0.1520985000, 0.0038499000, -0.2743795000, -0.8084067000, -1.8559136000, -3.9322605000, -8.071233000", \
"0.3840194000, 0.2047774000, 0.0539145000, -0.2281920000, -0.7661459000, -1.8168661000, -3.8955408000, -8.036240000", \
"0.5166050000, 0.3332634000, 0.1769439000, -0.1138237000, -0.6628319000, -1.7232083000, -3.8092209000, -7.9551788000", \
"0.6573324000, 0.4658679000, 0.3065625000, 0.0084290000, -0.5501407000, -1.6206435000, -3.7155178000, -7.8676293000", \
"1.0690044000, 0.8632620000, 0.6851714000, 0.3621077000, -0.2174333000, -1.3157392000, -3.4356870000, -7.6079549000", \
"1.2689972000, 1.0607245000, 0.8784233000, 0.5492307000, -0.0441779000, -1.1538707000, -3.2859137000, -7.4684667000", \
"1.4678796000, 1.2568715000, 1.0725246000, 0.7361037000, 0.1304576000, -0.9891543000, -3.1338557000, -7.3269594000");
}
related_pin : "A0";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.1837611000, 0.2889519000, 0.4110641000, 0.6624633000, 1.1682516000, 2.1907475000, 4.2324267000, 8.325846300", \
"0.1856040000, 0.2905247000, 0.4125203000, 0.6622702000, 1.1700430000, 2.1923125000, 4.2397526000, 8.325696800", \
"0.1858745000, 0.2912338000, 0.4129464000, 0.6640519000, 1.1700870000, 2.1929458000, 4.2345788000, 8.326133900", \
"0.1887664000, 0.2939347000, 0.4157047000, 0.6650493000, 1.1739536000, 2.1949504000, 4.2402450000, 8.327852300", \
"0.2293258000, 0.3265051000, 0.4446796000, 0.6898956000, 1.1958341000, 2.2140651000, 4.2532237000, 8.348168100", \
"0.3085049000, 0.3951445000, 0.5061936000, 0.7425934000, 1.2398710000, 2.2523819000, 4.2896773000, 8.379401100", \
"0.4725996000, 0.5442543000, 0.6428045000, 0.8644603000, 1.3472143000, 2.3434957000, 4.3738324000, 8.458060500", \
"0.6308885000, 0.6956677000, 0.7889597000, 0.9977756000, 1.4636609000, 2.4540465000, 4.4727924000, 8.543861200", \
"1.0593939000, 1.1140079000, 1.1930835000, 1.3871033000, 1.8287167000, 2.7671144000, 4.7525216000, 8.799157800", \
"1.2739427000, 1.3262606000, 1.3983830000, 1.5859854000, 2.0138186000, 2.9401376000, 4.9059467000, 8.936407700", \
"1.4858208000, 1.5360370000, 1.6038557000, 1.7827242000, 2.2008196000, 3.1201157000, 5.0601907000, 9.080532100");
}
when : "(!A1&!S)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.3160756000, 0.1391384000, -0.0092332000, -0.2854131000, -0.8190382000, -1.8654383000, -3.9415847000, -8.080204300", \
"0.3186007000, 0.1419581000, -0.0064910000, -0.2828233000, -0.8169610000, -1.8640495000, -3.9392550000, -8.078304100", \
"0.3176229000, 0.1405088000, -0.0068605000, -0.2848225000, -0.8177651000, -1.8652573000, -3.9396444000, -8.079309100", \
"0.3167733000, 0.1397421000, -0.0086797000, -0.2849771000, -0.8191392000, -1.8654556000, -3.9421263000, -8.080873900", \
"0.3347006000, 0.1575694000, 0.0097760000, -0.2687793000, -0.8030179000, -1.8506593000, -3.9260355000, -8.065111400", \
"0.3870081000, 0.2084183000, 0.0569777000, -0.2243031000, -0.7622356000, -1.8127366000, -3.8898297000, -8.031142800", \
"0.5158392000, 0.3326934000, 0.1766222000, -0.1137037000, -0.6621168000, -1.7210303000, -3.8060945000, -7.9512585000", \
"0.6559022000, 0.4643967000, 0.3050868000, 0.0080040000, -0.5497532000, -1.6197423000, -3.7134859000, -7.8647575000", \
"1.0596219000, 0.8556715000, 0.6781720000, 0.3566321000, -0.2233269000, -1.3181690000, -3.4364382000, -7.6071090000", \
"1.2606306000, 1.0534650000, 0.8724158000, 0.5438672000, -0.0473219000, -1.1563933000, -3.2865942000, -7.4678550000", \
"1.4568857000, 1.2475914000, 1.0639265000, 0.7287984000, 0.1250254000, -0.9935478000, -3.1350533000, -7.3266780000");
}
related_pin : "A0";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.1769456000, 0.2826623000, 0.4045258000, 0.6534530000, 1.1628860000, 2.1833516000, 4.2253241000, 8.318534300", \
"0.1791079000, 0.2847630000, 0.4061743000, 0.6563926000, 1.1655034000, 2.1855627000, 4.2305371000, 8.316349000", \
"0.1794465000, 0.2850653000, 0.4064714000, 0.6558827000, 1.1640317000, 2.1857163000, 4.2271335000, 8.317247000", \
"0.1829640000, 0.2881836000, 0.4096961000, 0.6582694000, 1.1675716000, 2.1883456000, 4.2299987000, 8.323922400", \
"0.2266332000, 0.3241192000, 0.4405774000, 0.6845748000, 1.1898208000, 2.2082176000, 4.2560098000, 8.338401600", \
"0.3086791000, 0.3943075000, 0.5047013000, 0.7410929000, 1.2372869000, 2.2489326000, 4.2894643000, 8.372742300", \
"0.4760782000, 0.5492823000, 0.6468498000, 0.8664167000, 1.3471131000, 2.3423117000, 4.3709391000, 8.454756400", \
"0.6344165000, 0.7001799000, 0.7902355000, 1.0019398000, 1.4618520000, 2.4521853000, 4.4700970000, 8.537580100", \
"1.0618591000, 1.1161731000, 1.1929663000, 1.3876874000, 1.8256463000, 2.7630351000, 4.7512853000, 8.795804800", \
"1.2764791000, 1.3265252000, 1.3993611000, 1.5861849000, 2.0152254000, 2.9371290000, 4.9011438000, 8.934973500", \
"1.4868763000, 1.5359764000, 1.6065575000, 1.7842842000, 2.2021143000, 3.1195259000, 5.0593725000, 9.085714300");
}
when : "(A1&!S)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.3094543000, 0.1330560000, -0.0140926000, -0.2908195000, -0.8234048000, -1.8700608000, -3.9457784000, -8.085415100", \
"0.3104338000, 0.1338059000, -0.0135840000, -0.2907202000, -0.8231347000, -1.8692536000, -3.9449885000, -8.084521500", \
"0.3092290000, 0.1323284000, -0.0147108000, -0.2918340000, -0.8244251000, -1.8704395000, -3.9460183000, -8.084847800", \
"0.3094806000, 0.1324913000, -0.0150936000, -0.2922189000, -0.8247780000, -1.8707996000, -3.9458052000, -8.085548600", \
"0.3291463000, 0.1528091000, 0.0049813000, -0.2729196000, -0.8061601000, -1.8530682000, -3.9291995000, -8.068419400", \
"0.3834143000, 0.2042709000, 0.0538280000, -0.2273978000, -0.7644310000, -1.8134930000, -3.8913948000, -8.031956800", \
"0.5111174000, 0.3283609000, 0.1733890000, -0.1158377000, -0.6633275000, -1.7211817000, -3.8053020000, -7.9497294000", \
"0.6483717000, 0.4571884000, 0.2986215000, 0.0021781000, -0.5537411000, -1.6213654000, -3.7129332000, -7.8625585000", \
"1.0513050000, 0.8462103000, 0.6675767000, 0.3451101000, -0.2325041000, -1.3260561000, -3.4401541000, -7.6067078000", \
"1.2482537000, 1.0388712000, 0.8566870000, 0.5257647000, -0.0661045000, -1.1704012000, -3.2954768000, -7.4708738000", \
"1.4440058000, 1.2347061000, 1.0495929000, 0.7119186000, 0.1041761000, -1.0114197000, -3.1480485000, -7.3324784000");
}
related_pin : "A1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.1863320000, 0.2903202000, 0.4116522000, 0.6607240000, 1.1682761000, 2.1891982000, 4.2317384000, 8.324937000", \
"0.1872712000, 0.2914098000, 0.4126118000, 0.6615184000, 1.1686366000, 2.1906451000, 4.2320202000, 8.323667500", \
"0.1875040000, 0.2920866000, 0.4132842000, 0.6636920000, 1.1710911000, 2.1915978000, 4.2331206000, 8.323950900", \
"0.1909313000, 0.2951035000, 0.4158248000, 0.6658928000, 1.1733227000, 2.1932831000, 4.2354336000, 8.325207700", \
"0.2319071000, 0.3281062000, 0.4458114000, 0.6897275000, 1.1947259000, 2.2115403000, 4.2532190000, 8.340079200", \
"0.3133372000, 0.3995826000, 0.5086874000, 0.7443829000, 1.2382551000, 2.2507991000, 4.2913804000, 8.380312100", \
"0.4797549000, 0.5531958000, 0.6530990000, 0.8736627000, 1.3564981000, 2.3523888000, 4.3827857000, 8.457214500", \
"0.6385416000, 0.7041908000, 0.7981295000, 1.0091250000, 1.4763915000, 2.4633241000, 4.4734692000, 8.552998300", \
"1.0717225000, 1.1272175000, 1.2057213000, 1.4001217000, 1.8480071000, 2.7872899000, 4.7774927000, 8.822551000", \
"1.2895199000, 1.3435370000, 1.4162929000, 1.6064425000, 2.0379002000, 2.9639579000, 4.9382610000, 8.972150900", \
"1.5039110000, 1.5567723000, 1.6260678000, 1.8074018000, 2.2265515000, 3.1451138000, 5.1000710000, 9.120467600");
}
when : "(!A0&S)";
}
max_capacitance : 0.5460300000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.2336300000, 0.3087400000, 0.3640100000, 0.4608200000, 0.6415700000, 0.9952100000, 1.7044700000, 3.1288700000", \
"0.2397000000, 0.3141600000, 0.3693800000, 0.4661600000, 0.6469100000, 1.0005700000, 1.7096700000, 3.1332700000", \
"0.2394700000, 0.3137900000, 0.3690000000, 0.4657800000, 0.6465200000, 1.0001300000, 1.7091300000, 3.1333300000", \
"0.2461700000, 0.3203100000, 0.3754600000, 0.4722100000, 0.6529600000, 1.0066000000, 1.7157000000, 3.1400000000", \
"0.2936200000, 0.3675800000, 0.4224500000, 0.5188500000, 0.6993000000, 1.0527000000, 1.7618000000, 3.1851000000", \
"0.3806400000, 0.4535900000, 0.5076300000, 0.6028700000, 0.7820000000, 1.1341000000, 1.8425000000, 3.2656000000", \
"0.5466000000, 0.6220000000, 0.6765000000, 0.7713000000, 0.9480000000, 1.2967000000, 2.0021000000, 3.4222000000", \
"0.7019000000, 0.7811000000, 0.8372000000, 0.9325000000, 1.1086000000, 1.4545000000, 2.1566000000, 3.5749000000", \
"1.0938700000, 1.1829700000, 1.2457700000, 1.3500700000, 1.5326700000, 1.8788700000, 2.5725700000, 3.9829700000", \
"1.2841300000, 1.3772300000, 1.4429300000, 1.5516300000, 1.7397300000, 2.0920300000, 2.7842300000, 4.1898300000", \
"1.4691000000, 1.5657000000, 1.6339000000, 1.7465000000, 1.9401000000, 2.2993000000, 2.9940000000, 4.3957000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.1177300000, 0.1701400000, 0.2110200000, 0.2876100000, 0.4376300000, 0.7373200000, 1.3388700000, 2.5401700000", \
"0.1195000000, 0.1718500000, 0.2127300000, 0.2892700000, 0.4392500000, 0.7392000000, 1.3400700000, 2.5422700000", \
"0.1207400000, 0.1730600000, 0.2139500000, 0.2905300000, 0.4405700000, 0.7403100000, 1.3411300000, 2.5422300000", \
"0.1266100000, 0.1788200000, 0.2196200000, 0.2961600000, 0.4462200000, 0.7459600000, 1.3474000000, 2.5477000000", \
"0.1443200000, 0.1971300000, 0.2372100000, 0.3126600000, 0.4617500000, 0.7608500000, 1.3609000000, 2.5628000000", \
"0.1432800000, 0.2003100000, 0.2408800000, 0.3161400000, 0.4638400000, 0.7614000000, 1.3603000000, 2.5617000000", \
"0.1021800000, 0.1685500000, 0.2121200000, 0.2886000000, 0.4367000000, 0.7325000000, 1.3291000000, 2.5282000000", \
"0.0407000000, 0.1146000000, 0.1619000000, 0.2402000000, 0.3894000000, 0.6877000000, 1.2823000000, 2.4787000000", \
"-0.1700300000, -0.0816300000, -0.0251300000, 0.0614700000, 0.2129700000, 0.5161700000, 1.1222700000, 2.3159700000", \
"-0.2906700000, -0.1966700000, -0.1362700000, -0.0446700000, 0.1086300000, 0.4126300000, 1.0249300000, 2.2256300000", \
"-0.4157000000, -0.3168000000, -0.2530000000, -0.1566000000, -0.0008000000, 0.3035000000, 0.9199000000, 2.1316000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.0721000000, 0.1354400000, 0.1855100000, 0.2881600000, 0.5044400000, 0.9573100000, 1.8852400000, 3.7506000000", \
"0.0721000000, 0.1354800000, 0.1855200000, 0.2882300000, 0.5045300000, 0.9574100000, 1.8854400000, 3.7511000000", \
"0.0721000000, 0.1354900000, 0.1855000000, 0.2882000000, 0.5043700000, 0.9573800000, 1.8851100000, 3.7513000000", \
"0.0721100000, 0.1354300000, 0.1855200000, 0.2882300000, 0.5045800000, 0.9573800000, 1.8852000000, 3.7510000000", \
"0.0720900000, 0.1357000000, 0.1858700000, 0.2885800000, 0.5045400000, 0.9576400000, 1.8867000000, 3.7456000000", \
"0.0754700000, 0.1385200000, 0.1883900000, 0.2903000000, 0.5056200000, 0.9577000000, 1.8861000000, 3.7508000000", \
"0.0876000000, 0.1525000000, 0.2016000000, 0.3002000000, 0.5108000000, 0.9598000000, 1.8843000000, 3.7504000000", \
"0.1036000000, 0.1704000000, 0.2188000000, 0.3140000000, 0.5192000000, 0.9631000000, 1.8865000000, 3.7503000000", \
"0.1441000000, 0.2192000000, 0.2724000000, 0.3673000000, 0.5636000000, 0.9871000000, 1.8923000000, 3.7491000000", \
"0.1628000000, 0.2415000000, 0.2971000000, 0.3949000000, 0.5918000000, 1.0089000000, 1.9006000000, 3.7525000000", \
"0.1805000000, 0.2622000000, 0.3203000000, 0.4208000000, 0.6193000000, 1.0350000000, 1.9158000000, 3.7537000000");
}
related_pin : "A0";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.0372800000, 0.0885700000, 0.1398700000, 0.2468300000, 0.4678100000, 0.9167300000, 1.8165700000, 3.6146000000", \
"0.0372700000, 0.0885800000, 0.1398100000, 0.2466900000, 0.4680600000, 0.9161700000, 1.8160400000, 3.6143000000", \
"0.0373000000, 0.0885400000, 0.1399000000, 0.2467800000, 0.4677700000, 0.9165100000, 1.8156300000, 3.6151000000", \
"0.0372500000, 0.0886000000, 0.1399200000, 0.2468700000, 0.4681000000, 0.9166300000, 1.8163700000, 3.6146000000", \
"0.0428200000, 0.0929900000, 0.1427800000, 0.2479800000, 0.4680900000, 0.9166100000, 1.8158400000, 3.6162000000", \
"0.0558400000, 0.1044200000, 0.1517600000, 0.2540700000, 0.4706600000, 0.9168400000, 1.8161800000, 3.6155000000", \
"0.0786400000, 0.1292300000, 0.1730100000, 0.2701800000, 0.4815600000, 0.9217000000, 1.8165000000, 3.6179000000", \
"0.0981000000, 0.1519000000, 0.1941000000, 0.2871000000, 0.4956000000, 0.9328000000, 1.8209000000, 3.6157000000", \
"0.1445000000, 0.2053000000, 0.2484000000, 0.3334000000, 0.5324000000, 0.9713000000, 1.8548000000, 3.6275000000", \
"0.1661000000, 0.2290000000, 0.2743000000, 0.3569000000, 0.5506000000, 0.9869000000, 1.8768000000, 3.6442000000", \
"0.1865000000, 0.2512000000, 0.2987000000, 0.3805000000, 0.5692000000, 1.0030000000, 1.8986000000, 3.6651000000");
}
sdf_cond : "(!A1&!S)";
timing_sense : "positive_unate";
when : "(!A1&!S)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.2466800000, 0.3210800000, 0.3757500000, 0.4710600000, 0.6497300000, 1.0023700000, 1.7116700000, 3.1354700000", \
"0.2516700000, 0.3256100000, 0.3802400000, 0.4755400000, 0.6541900000, 1.0068700000, 1.7160700000, 3.1400700000", \
"0.2514100000, 0.3252700000, 0.3799100000, 0.4752100000, 0.6538900000, 1.0066300000, 1.7158300000, 3.1397300000", \
"0.2575300000, 0.3312600000, 0.3858500000, 0.4811300000, 0.6598300000, 1.0126000000, 1.7219000000, 3.1451000000", \
"0.3027500000, 0.3763500000, 0.4307300000, 0.5257500000, 0.7042100000, 1.0568000000, 1.7662000000, 3.1898000000", \
"0.3882000000, 0.4608900000, 0.5145400000, 0.6085200000, 0.7858000000, 1.1372000000, 1.8455000000, 3.2685000000", \
"0.5534000000, 0.6284000000, 0.6826000000, 0.7762000000, 0.9510000000, 1.2991000000, 2.0048000000, 3.4265000000", \
"0.7085000000, 0.7872000000, 0.8429000000, 0.9371000000, 1.1113000000, 1.4563000000, 2.1589000000, 3.5776000000", \
"1.1000700000, 1.1886700000, 1.2510700000, 1.3546700000, 1.5353700000, 1.8797700000, 2.5737700000, 3.9841700000", \
"1.2901300000, 1.3827300000, 1.4479300000, 1.5560300000, 1.7424300000, 2.0927300000, 2.7850300000, 4.1913300000", \
"1.4748000000, 1.5709000000, 1.6387000000, 1.7508000000, 1.9429000000, 2.3001000000, 2.9943000000, 4.3970000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.1137500000, 0.1668700000, 0.2083200000, 0.2854800000, 0.4357100000, 0.7356700000, 1.3363700000, 2.5373700000", \
"0.1157900000, 0.1688100000, 0.2102500000, 0.2874300000, 0.4376500000, 0.7376000000, 1.3380700000, 2.5402700000", \
"0.1170000000, 0.1700100000, 0.2114400000, 0.2886100000, 0.4388100000, 0.7386200000, 1.3392300000, 2.5407300000", \
"0.1231400000, 0.1759700000, 0.2173000000, 0.2944500000, 0.4445800000, 0.7444900000, 1.3448000000, 2.5461000000", \
"0.1408100000, 0.1943500000, 0.2349500000, 0.3110300000, 0.4600600000, 0.7593300000, 1.3597000000, 2.5604000000", \
"0.1396000000, 0.1975400000, 0.2387800000, 0.3147500000, 0.4627000000, 0.7600000000, 1.3590000000, 2.5590000000", \
"0.0989900000, 0.1662000000, 0.2106400000, 0.2881000000, 0.4367000000, 0.7325000000, 1.3287000000, 2.5272000000", \
"0.0382000000, 0.1128000000, 0.1608000000, 0.2405000000, 0.3906000000, 0.6889000000, 1.2831000000, 2.4792000000", \
"-0.1715300000, -0.0826300000, -0.0256300000, 0.0626700000, 0.2158700000, 0.5193700000, 1.1253700000, 2.3186700000", \
"-0.2918700000, -0.1974700000, -0.1366700000, -0.0436700000, 0.1119300000, 0.4163300000, 1.0289300000, 2.2289300000", \
"-0.4168000000, -0.3176000000, -0.2535000000, -0.1559000000, 0.0026000000, 0.3077000000, 0.9239000000, 2.1350000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.0706300000, 0.1339100000, 0.1832800000, 0.2847000000, 0.5008600000, 0.9554800000, 1.8849700000, 3.7517000000", \
"0.0706300000, 0.1339500000, 0.1832800000, 0.2846900000, 0.5008700000, 0.9554400000, 1.8850400000, 3.7512000000", \
"0.0706300000, 0.1339500000, 0.1832600000, 0.2846000000, 0.5011500000, 0.9560000000, 1.8854800000, 3.7469000000", \
"0.0706300000, 0.1339600000, 0.1832500000, 0.2847200000, 0.5007600000, 0.9560100000, 1.8858700000, 3.7504000000", \
"0.0706300000, 0.1341000000, 0.1835500000, 0.2848700000, 0.5011700000, 0.9561300000, 1.8862000000, 3.7472000000", \
"0.0733500000, 0.1363800000, 0.1856300000, 0.2865700000, 0.5016900000, 0.9564000000, 1.8855000000, 3.7455000000", \
"0.0853000000, 0.1498000000, 0.1984000000, 0.2959000000, 0.5062000000, 0.9577000000, 1.8867000000, 3.7509000000", \
"0.1010000000, 0.1672000000, 0.2149000000, 0.3092000000, 0.5140000000, 0.9598000000, 1.8862000000, 3.7497000000", \
"0.1411000000, 0.2159000000, 0.2686000000, 0.3622000000, 0.5571000000, 0.9819000000, 1.8916000000, 3.7502000000", \
"0.1597000000, 0.2381000000, 0.2932000000, 0.3896000000, 0.5855000000, 1.0033000000, 1.8986000000, 3.7500000000", \
"0.1774000000, 0.2588000000, 0.3163000000, 0.4161000000, 0.6129000000, 1.0298000000, 1.9129000000, 3.7512000000");
}
related_pin : "A0";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.0375400000, 0.0896800000, 0.1412000000, 0.2478900000, 0.4682800000, 0.9166100000, 1.8159100000, 3.6145000000", \
"0.0375500000, 0.0897200000, 0.1412400000, 0.2478900000, 0.4683500000, 0.9170700000, 1.8159200000, 3.6162000000", \
"0.0375400000, 0.0897200000, 0.1412500000, 0.2479400000, 0.4687200000, 0.9165300000, 1.8159000000, 3.6151000000", \
"0.0375200000, 0.0897800000, 0.1412800000, 0.2480900000, 0.4682100000, 0.9166200000, 1.8152900000, 3.6136000000", \
"0.0435800000, 0.0946800000, 0.1446800000, 0.2495700000, 0.4688800000, 0.9164400000, 1.8164300000, 3.6154000000", \
"0.0568100000, 0.1065500000, 0.1541500000, 0.2562200000, 0.4716700000, 0.9169700000, 1.8164000000, 3.6154000000", \
"0.0795000000, 0.1314100000, 0.1758800000, 0.2731800000, 0.4834300000, 0.9222000000, 1.8171000000, 3.6155000000", \
"0.0989000000, 0.1538000000, 0.1970000000, 0.2907000000, 0.4986000000, 0.9341000000, 1.8216000000, 3.6142000000", \
"0.1449000000, 0.2063000000, 0.2509000000, 0.3373000000, 0.5360000000, 0.9728000000, 1.8552000000, 3.6287000000", \
"0.1664000000, 0.2300000000, 0.2764000000, 0.3613000000, 0.5548000000, 0.9906000000, 1.8769000000, 3.6441000000", \
"0.1866000000, 0.2518000000, 0.3003000000, 0.3847000000, 0.5738000000, 1.0059000000, 1.8994000000, 3.6638000000");
}
sdf_cond : "(A1&!S)";
timing_sense : "positive_unate";
when : "(A1&!S)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.2361300000, 0.3116200000, 0.3673400000, 0.4647600000, 0.6461900000, 1.0000700000, 1.7093700000, 3.1334700000", \
"0.2389200000, 0.3139900000, 0.3696800000, 0.4670800000, 0.6484900000, 1.0025700000, 1.7121700000, 3.1352700000", \
"0.2390000000, 0.3139700000, 0.3696600000, 0.4670600000, 0.6484900000, 1.0025300000, 1.7117300000, 3.1359300000", \
"0.2466600000, 0.3214500000, 0.3770700000, 0.4744200000, 0.6558300000, 1.0098000000, 1.7190000000, 3.1436000000", \
"0.2947900000, 0.3694500000, 0.4248100000, 0.5218600000, 0.7030300000, 1.0569000000, 1.7661000000, 3.1895000000", \
"0.3818000000, 0.4555400000, 0.5101400000, 0.6061400000, 0.7862000000, 1.1390000000, 1.8477000000, 3.2707000000", \
"0.5481000000, 0.6239000000, 0.6789000000, 0.7744000000, 0.9522000000, 1.3021000000, 2.0082000000, 3.4290000000", \
"0.7035000000, 0.7830000000, 0.8393000000, 0.9351000000, 1.1122000000, 1.4597000000, 2.1631000000, 3.5818000000", \
"1.0954700000, 1.1846700000, 1.2475700000, 1.3520700000, 1.5347700000, 1.8813700000, 2.5774700000, 3.9901700000", \
"1.2854300000, 1.3786300000, 1.4444300000, 1.5532300000, 1.7413300000, 2.0932300000, 2.7873300000, 4.1962300000", \
"1.4699000000, 1.5666000000, 1.6349000000, 1.7477000000, 1.9412000000, 2.2999000000, 2.9952000000, 4.4008000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.1157900000, 0.1677700000, 0.2084300000, 0.2847300000, 0.4345300000, 0.7341200000, 1.3344700000, 2.5370700000", \
"0.1172800000, 0.1692600000, 0.2099100000, 0.2862300000, 0.4360300000, 0.7358000000, 1.3360700000, 2.5375700000", \
"0.1185200000, 0.1704900000, 0.2111600000, 0.2874400000, 0.4371100000, 0.7372400000, 1.3377300000, 2.5397300000", \
"0.1244000000, 0.1762500000, 0.2168600000, 0.2931300000, 0.4429100000, 0.7425800000, 1.3429000000, 2.5442000000", \
"0.1421700000, 0.1945900000, 0.2344800000, 0.3096700000, 0.4582300000, 0.7571200000, 1.3568000000, 2.5593000000", \
"0.1412200000, 0.1981600000, 0.2385900000, 0.3135500000, 0.4608000000, 0.7580000000, 1.3564000000, 2.5581000000", \
"0.1003400000, 0.1667500000, 0.2102700000, 0.2865000000, 0.4344000000, 0.7300000000, 1.3260000000, 2.5249000000", \
"0.0394000000, 0.1133000000, 0.1606000000, 0.2387000000, 0.3876000000, 0.6858000000, 1.2802000000, 2.4764000000", \
"-0.1690300000, -0.0804300000, -0.0238300000, 0.0628700000, 0.2135700000, 0.5159700000, 1.1228700000, 2.3170700000", \
"-0.2879700000, -0.1937700000, -0.1332700000, -0.0415700000, 0.1110300000, 0.4138300000, 1.0260300000, 2.2290300000", \
"-0.4111000000, -0.3121000000, -0.2482000000, -0.1516000000, 0.0038000000, 0.3066000000, 0.9223000000, 2.1359000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.0722600000, 0.1360300000, 0.1863000000, 0.2888900000, 0.5051400000, 0.9584800000, 1.8863600000, 3.7510000000", \
"0.0722400000, 0.1360000000, 0.1862800000, 0.2889100000, 0.5053300000, 0.9578800000, 1.8862500000, 3.7507000000", \
"0.0722500000, 0.1360300000, 0.1862600000, 0.2890500000, 0.5053900000, 0.9579600000, 1.8854200000, 3.7516000000", \
"0.0722400000, 0.1360300000, 0.1862900000, 0.2891100000, 0.5053600000, 0.9584500000, 1.8853200000, 3.7507000000", \
"0.0722300000, 0.1362900000, 0.1866300000, 0.2894300000, 0.5054000000, 0.9580100000, 1.8852000000, 3.7505000000", \
"0.0750700000, 0.1387600000, 0.1889100000, 0.2911100000, 0.5064400000, 0.9583000000, 1.8853000000, 3.7506000000", \
"0.0862000000, 0.1515000000, 0.2009000000, 0.2999000000, 0.5109000000, 0.9592000000, 1.8859000000, 3.7488000000", \
"0.1012000000, 0.1678000000, 0.2164000000, 0.3123000000, 0.5185000000, 0.9624000000, 1.8857000000, 3.7499000000", \
"0.1393000000, 0.2140000000, 0.2669000000, 0.3616000000, 0.5578000000, 0.9832000000, 1.8915000000, 3.7505000000", \
"0.1568000000, 0.2350000000, 0.2904000000, 0.3874000000, 0.5841000000, 1.0021000000, 1.8976000000, 3.7518000000", \
"0.1733000000, 0.2546000000, 0.3121000000, 0.4121000000, 0.6100000000, 1.0262000000, 1.9097000000, 3.7529000000");
}
related_pin : "A1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.0372700000, 0.0885300000, 0.1398000000, 0.2466300000, 0.4677500000, 0.9162900000, 1.8155700000, 3.6155000000", \
"0.0372700000, 0.0885700000, 0.1398200000, 0.2466600000, 0.4675700000, 0.9174000000, 1.8152100000, 3.6151000000", \
"0.0372700000, 0.0885700000, 0.1399100000, 0.2467200000, 0.4677600000, 0.9169100000, 1.8159000000, 3.6140000000", \
"0.0372700000, 0.0886200000, 0.1398600000, 0.2466600000, 0.4675600000, 0.9164400000, 1.8154900000, 3.6138000000", \
"0.0430000000, 0.0931400000, 0.1428900000, 0.2481800000, 0.4678800000, 0.9169800000, 1.8168500000, 3.6154000000", \
"0.0565200000, 0.1052700000, 0.1523200000, 0.2543900000, 0.4706700000, 0.9168300000, 1.8159500000, 3.6148000000", \
"0.0799900000, 0.1308200000, 0.1744100000, 0.2712700000, 0.4826100000, 0.9222000000, 1.8164000000, 3.6143000000", \
"0.1002000000, 0.1544000000, 0.1963000000, 0.2889000000, 0.4976000000, 0.9348000000, 1.8212000000, 3.6147000000", \
"0.1486000000, 0.2100000000, 0.2534000000, 0.3378000000, 0.5352000000, 0.9738000000, 1.8592000000, 3.6291000000", \
"0.1712000000, 0.2349000000, 0.2805000000, 0.3627000000, 0.5546000000, 0.9895000000, 1.8825000000, 3.6487000000", \
"0.1926000000, 0.2579000000, 0.3059000000, 0.3877000000, 0.5745000000, 1.0051000000, 1.9037000000, 3.6722000000");
}
sdf_cond : "(!A0&S)";
timing_sense : "positive_unate";
when : "(!A0&S)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.2490000000, 0.3237600000, 0.3789000000, 0.4748500000, 0.6542100000, 1.0073700000, 1.7170700000, 3.1408700000", \
"0.2509600000, 0.3255900000, 0.3807200000, 0.4766900000, 0.6560600000, 1.0091700000, 1.7189700000, 3.1425700000", \
"0.2511200000, 0.3256900000, 0.3808200000, 0.4767900000, 0.6561700000, 1.0093300000, 1.7187300000, 3.1427300000", \
"0.2582300000, 0.3326500000, 0.3877400000, 0.4836600000, 0.6630200000, 1.0162000000, 1.7260000000, 3.1493000000", \
"0.3042200000, 0.3785600000, 0.4334500000, 0.5291400000, 0.7083000000, 1.0612000000, 1.7711000000, 3.1941000000", \
"0.3897700000, 0.4632700000, 0.5174900000, 0.6122500000, 0.7904000000, 1.1424000000, 1.8511000000, 3.2749000000", \
"0.5554000000, 0.6309000000, 0.6856000000, 0.7799000000, 0.9558000000, 1.3050000000, 2.0116000000, 3.4336000000", \
"0.7108000000, 0.7897000000, 0.8457000000, 0.9403000000, 1.1155000000, 1.4620000000, 2.1659000000, 3.5851000000", \
"1.1025700000, 1.1912700000, 1.2538700000, 1.3574700000, 1.5380700000, 1.8828700000, 2.5793700000, 3.9918700000", \
"1.2925300000, 1.3852300000, 1.4505300000, 1.5587300000, 1.7449300000, 2.0947300000, 2.7888300000, 4.1985300000", \
"1.4768000000, 1.5730000000, 1.6409000000, 1.7531000000, 1.9449000000, 2.3015000000, 2.9964000000, 4.4028000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.1123400000, 0.1650300000, 0.2062600000, 0.2831500000, 0.4331700000, 0.7330600000, 1.3330700000, 2.5362700000", \
"0.1137800000, 0.1664600000, 0.2077000000, 0.2846100000, 0.4345600000, 0.7342700000, 1.3348700000, 2.5383700000", \
"0.1150100000, 0.1676700000, 0.2089100000, 0.2858200000, 0.4358200000, 0.7356400000, 1.3363300000, 2.5396300000", \
"0.1211400000, 0.1736400000, 0.2147800000, 0.2916200000, 0.4415700000, 0.7414600000, 1.3418000000, 2.5432000000", \
"0.1388900000, 0.1920500000, 0.2324700000, 0.3082000000, 0.4569000000, 0.7558700000, 1.3558000000, 2.5576000000", \
"0.1378000000, 0.1956500000, 0.2367600000, 0.3124800000, 0.4599800000, 0.7567000000, 1.3555000000, 2.5552000000", \
"0.0974900000, 0.1647300000, 0.2090900000, 0.2863000000, 0.4349000000, 0.7303000000, 1.3258000000, 2.5245000000", \
"0.0373000000, 0.1119000000, 0.1599000000, 0.2394000000, 0.3891000000, 0.6876000000, 1.2814000000, 2.4772000000", \
"-0.1700300000, -0.0809300000, -0.0238300000, 0.0643700000, 0.2169700000, 0.5196700000, 1.1264700000, 2.3200700000", \
"-0.2887700000, -0.1941700000, -0.1332700000, -0.0401700000, 0.1147300000, 0.4181300000, 1.0299300000, 2.2322300000", \
"-0.4118000000, -0.3125000000, -0.2482000000, -0.1504000000, 0.0075000000, 0.3113000000, 0.9267000000, 2.1412000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.0709000000, 0.1346800000, 0.1841700000, 0.2856500000, 0.5014900000, 0.9562400000, 1.8855000000, 3.7502000000", \
"0.0709000000, 0.1346700000, 0.1841700000, 0.2854900000, 0.5018100000, 0.9564900000, 1.8853500000, 3.7515000000", \
"0.0709200000, 0.1346900000, 0.1841700000, 0.2854800000, 0.5018000000, 0.9562300000, 1.8861900000, 3.7508000000", \
"0.0709200000, 0.1346700000, 0.1841800000, 0.2856800000, 0.5016400000, 0.9562200000, 1.8862700000, 3.7496000000", \
"0.0709000000, 0.1347900000, 0.1844200000, 0.2858200000, 0.5016600000, 0.9567900000, 1.8863000000, 3.7498000000", \
"0.0731600000, 0.1367200000, 0.1862900000, 0.2872900000, 0.5026200000, 0.9561000000, 1.8855000000, 3.7501000000", \
"0.0842000000, 0.1490000000, 0.1978000000, 0.2958000000, 0.5065000000, 0.9582000000, 1.8870000000, 3.7503000000", \
"0.0988000000, 0.1648000000, 0.2127000000, 0.3075000000, 0.5134000000, 0.9602000000, 1.8862000000, 3.7522000000", \
"0.1365000000, 0.2107000000, 0.2630000000, 0.3565000000, 0.5518000000, 0.9784000000, 1.8908000000, 3.7499000000", \
"0.1539000000, 0.2315000000, 0.2864000000, 0.3824000000, 0.5776000000, 0.9965000000, 1.8958000000, 3.7506000000", \
"0.1703000000, 0.2511000000, 0.3080000000, 0.4069000000, 0.6036000000, 1.0196000000, 1.9070000000, 3.7521000000");
}
related_pin : "A1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.0375900000, 0.0897200000, 0.1412200000, 0.2478300000, 0.4680500000, 0.9171600000, 1.8154900000, 3.6155000000", \
"0.0376200000, 0.0897200000, 0.1411800000, 0.2477600000, 0.4680500000, 0.9168200000, 1.8172500000, 3.6172000000", \
"0.0376000000, 0.0897200000, 0.1412600000, 0.2477600000, 0.4686800000, 0.9164600000, 1.8160700000, 3.6159000000", \
"0.0375900000, 0.0898400000, 0.1413300000, 0.2478600000, 0.4680700000, 0.9174800000, 1.8162700000, 3.6153000000", \
"0.0438200000, 0.0947400000, 0.1446800000, 0.2494600000, 0.4685900000, 0.9165600000, 1.8161500000, 3.6154000000", \
"0.0575100000, 0.1073500000, 0.1548200000, 0.2565500000, 0.4721600000, 0.9171000000, 1.8155900000, 3.6154000000", \
"0.0808900000, 0.1330500000, 0.1773700000, 0.2744900000, 0.4849200000, 0.9232000000, 1.8169000000, 3.6146000000", \
"0.1010000000, 0.1563000000, 0.1992000000, 0.2925000000, 0.5001000000, 0.9358000000, 1.8216000000, 3.6158000000", \
"0.1490000000, 0.2111000000, 0.2557000000, 0.3418000000, 0.5392000000, 0.9752000000, 1.8597000000, 3.6321000000", \
"0.1713000000, 0.2358000000, 0.2825000000, 0.3669000000, 0.5590000000, 0.9923000000, 1.8814000000, 3.6501000000", \
"0.1927000000, 0.2586000000, 0.3077000000, 0.3916000000, 0.5792000000, 1.0090000000, 1.9043000000, 3.6725000000");
}
sdf_cond : "(A0&S)";
timing_sense : "positive_unate";
when : "(A0&S)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.2563200000, 0.3302200000, 0.3848000000, 0.4800200000, 0.6585800000, 1.0111700000, 1.7205700000, 3.1444700000", \
"0.2595900000, 0.3334800000, 0.3880700000, 0.4833000000, 0.6618700000, 1.0144700000, 1.7234700000, 3.1470700000", \
"0.2592800000, 0.3331400000, 0.3877500000, 0.4829900000, 0.6615700000, 1.0142300000, 1.7234300000, 3.1470300000", \
"0.2606600000, 0.3343700000, 0.3889400000, 0.4841600000, 0.6627600000, 1.0154000000, 1.7245000000, 3.1476000000", \
"0.2948800000, 0.3683700000, 0.4226300000, 0.5174700000, 0.6957300000, 1.0481000000, 1.7570000000, 3.1809000000", \
"0.3699600000, 0.4430000000, 0.4965900000, 0.5903600000, 0.7673000000, 1.1182000000, 1.8264000000, 3.2489000000", \
"0.5229000000, 0.5987000000, 0.6531000000, 0.7465000000, 0.9210000000, 1.2683000000, 1.9733000000, 3.3941000000", \
"0.6680000000, 0.7490000000, 0.8057000000, 0.9005000000, 1.0740000000, 1.4180000000, 2.1190000000, 3.5361000000", \
"1.0368700000, 1.1302700000, 1.1957700000, 1.3026700000, 1.4848700000, 1.8270700000, 2.5179700000, 3.9261700000", \
"1.2170300000, 1.3155300000, 1.3847300000, 1.4976300000, 1.6873300000, 2.0355300000, 2.7229300000, 4.1253300000", \
"1.3927000000, 1.4956000000, 1.5682000000, 1.6865000000, 1.8840000000, 2.2399000000, 2.9274000000, 4.3250000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.1232400000, 0.1751700000, 0.2157900000, 0.2920100000, 0.4416600000, 0.7415200000, 1.3411700000, 2.5442700000", \
"0.1253900000, 0.1773100000, 0.2179400000, 0.2941600000, 0.4438700000, 0.7438100000, 1.3434700000, 2.5463700000", \
"0.1253600000, 0.1772700000, 0.2179000000, 0.2941100000, 0.4437700000, 0.7433900000, 1.3440300000, 2.5462300000", \
"0.1261500000, 0.1779300000, 0.2184700000, 0.2946100000, 0.4443400000, 0.7439000000, 1.3453000000, 2.5459000000", \
"0.1306800000, 0.1836500000, 0.2238600000, 0.2992700000, 0.4481000000, 0.7470200000, 1.3469000000, 2.5478000000", \
"0.1195800000, 0.1773600000, 0.2185700000, 0.2944300000, 0.4422300000, 0.7397000000, 1.3383000000, 2.5387000000", \
"0.0650500000, 0.1324200000, 0.1771400000, 0.2554300000, 0.4057000000, 0.7021000000, 1.2982000000, 2.4963000000", \
"-0.0070000000, 0.0684000000, 0.1170000000, 0.1979000000, 0.3507000000, 0.6520000000, 1.2461000000, 2.4429000000", \
"-0.2417300000, -0.1494300000, -0.0908300000, -0.0003300000, 0.1570700000, 0.4679700000, 1.0799700000, 2.2727700000", \
"-0.3727700000, -0.2737700000, -0.2106700000, -0.1147700000, 0.0456300000, 0.3584300000, 0.9800300000, 2.1809300000", \
"-0.5072000000, -0.4024000000, -0.3352000000, -0.2339000000, -0.0699000000, 0.2444000000, 0.8724000000, 2.0875000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.0705700000, 0.1339200000, 0.1832300000, 0.2847100000, 0.5010200000, 0.9559000000, 1.8865800000, 3.7507000000", \
"0.0705500000, 0.1339000000, 0.1832200000, 0.2847500000, 0.5006900000, 0.9560200000, 1.8862100000, 3.7493000000", \
"0.0705700000, 0.1338900000, 0.1832200000, 0.2847100000, 0.5011000000, 0.9565700000, 1.8874300000, 3.7491000000", \
"0.0705500000, 0.1339000000, 0.1832600000, 0.2847500000, 0.5010200000, 0.9566300000, 1.8863900000, 3.7508000000", \
"0.0706300000, 0.1341800000, 0.1836500000, 0.2851300000, 0.5009800000, 0.9558000000, 1.8849000000, 3.7514000000", \
"0.0750700000, 0.1377200000, 0.1866100000, 0.2870500000, 0.5022400000, 0.9561000000, 1.8864000000, 3.7495000000", \
"0.0886000000, 0.1527000000, 0.2009000000, 0.2977000000, 0.5071000000, 0.9576000000, 1.8859000000, 3.7492000000", \
"0.1072000000, 0.1743000000, 0.2216000000, 0.3141000000, 0.5167000000, 0.9608000000, 1.8866000000, 3.7488000000", \
"0.1539000000, 0.2324000000, 0.2862000000, 0.3786000000, 0.5683000000, 0.9857000000, 1.8915000000, 3.7494000000", \
"0.1759000000, 0.2594000000, 0.3169000000, 0.4131000000, 0.6025000000, 1.0107000000, 1.8992000000, 3.7523000000", \
"0.1968000000, 0.2848000000, 0.3454000000, 0.4462000000, 0.6370000000, 1.0391000000, 1.9119000000, 3.7514000000");
}
related_pin : "S";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.0372100000, 0.0885100000, 0.1398000000, 0.2466300000, 0.4676500000, 0.9165000000, 1.8159500000, 3.6156000000", \
"0.0372200000, 0.0884800000, 0.1398300000, 0.2466900000, 0.4678800000, 0.9164200000, 1.8165800000, 3.6150000000", \
"0.0372300000, 0.0885400000, 0.1397700000, 0.2466300000, 0.4676400000, 0.9162900000, 1.8162500000, 3.6146000000", \
"0.0372400000, 0.0886200000, 0.1398400000, 0.2465600000, 0.4677800000, 0.9163500000, 1.8161800000, 3.6171000000", \
"0.0430100000, 0.0935300000, 0.1431700000, 0.2483800000, 0.4680500000, 0.9164500000, 1.8156100000, 3.6142000000", \
"0.0560600000, 0.1061800000, 0.1538400000, 0.2557000000, 0.4712800000, 0.9169900000, 1.8165500000, 3.6149000000", \
"0.0804100000, 0.1330700000, 0.1781400000, 0.2762800000, 0.4867800000, 0.9239000000, 1.8168000000, 3.6156000000", \
"0.1027000000, 0.1583000000, 0.2022000000, 0.2970000000, 0.5065000000, 0.9400000000, 1.8224000000, 3.6151000000", \
"0.1586000000, 0.2212000000, 0.2664000000, 0.3546000000, 0.5554000000, 0.9943000000, 1.8693000000, 3.6314000000", \
"0.1857000000, 0.2503000000, 0.2977000000, 0.3844000000, 0.5800000000, 1.0177000000, 1.9009000000, 3.6537000000", \
"0.2116000000, 0.2781000000, 0.3278000000, 0.4138000000, 0.6054000000, 1.0395000000, 1.9326000000, 3.6802000000");
}
sdf_cond : "(!A0&A1)";
timing_sense : "positive_unate";
when : "(!A0&A1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.2792300000, 0.3537000000, 0.4087400000, 0.5046200000, 0.6839500000, 1.0370700000, 1.7468700000, 3.1700700000", \
"0.2826500000, 0.3571200000, 0.4121700000, 0.5080400000, 0.6873800000, 1.0404700000, 1.7498700000, 3.1741700000", \
"0.2847100000, 0.3591900000, 0.4142300000, 0.5101200000, 0.6894700000, 1.0427300000, 1.7520300000, 3.1761300000", \
"0.2918300000, 0.3662900000, 0.4213400000, 0.5172400000, 0.6966300000, 1.0498000000, 1.7593000000, 3.1828000000", \
"0.2918000000, 0.3662300000, 0.4213100000, 0.5172400000, 0.6966500000, 1.0499000000, 1.7594000000, 3.1831000000", \
"0.2821500000, 0.3559300000, 0.4105700000, 0.5062900000, 0.6857000000, 1.0389000000, 1.7485000000, 3.1726000000", \
"0.2492800000, 0.3239000000, 0.3789000000, 0.4745000000, 0.6530000000, 1.0051000000, 1.7146000000, 3.1386000000", \
"0.2060000000, 0.2812000000, 0.3365000000, 0.4325000000, 0.6117000000, 0.9634000000, 1.6720000000, 3.0953000000", \
"0.0657700000, 0.1417700000, 0.1974700000, 0.2937700000, 0.4734700000, 0.8272700000, 1.5358700000, 2.9573700000", \
"-0.0125700000, 0.0637300000, 0.1195300000, 0.2159300000, 0.3956300000, 0.7495300000, 1.4600300000, 2.8801300000", \
"-0.0931000000, -0.0165000000, 0.0394000000, 0.1359000000, 0.3156000000, 0.6697000000, 1.3809000000, 2.8020000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.1722500000, 0.2244800000, 0.2652200000, 0.3416600000, 0.4916500000, 0.7916100000, 1.3920700000, 2.5934700000", \
"0.1793200000, 0.2315500000, 0.2722800000, 0.3487400000, 0.4987100000, 0.7987400000, 1.3991700000, 2.6016700000", \
"0.1818200000, 0.2340500000, 0.2747900000, 0.3512500000, 0.5012700000, 0.8010200000, 1.4015300000, 2.6030300000", \
"0.1965200000, 0.2487500000, 0.2894900000, 0.3659600000, 0.5159400000, 0.8161700000, 1.4168000000, 2.6173000000", \
"0.2442300000, 0.2964200000, 0.3370800000, 0.4134500000, 0.5633900000, 0.8630000000, 1.4641000000, 2.6666000000", \
"0.3062500000, 0.3583700000, 0.3988400000, 0.4750000000, 0.6246900000, 0.9245000000, 1.5256000000, 2.7272000000", \
"0.4135000000, 0.4665000000, 0.5069000000, 0.5826000000, 0.7314000000, 1.0309000000, 1.6307000000, 2.8331000000", \
"0.5089000000, 0.5631000000, 0.6036000000, 0.6793000000, 0.8279000000, 1.1262000000, 1.7261000000, 2.9277000000", \
"0.7497700000, 0.8073700000, 0.8485700000, 0.9247700000, 1.0730700000, 1.3710700000, 1.9691700000, 3.1694700000", \
"0.8672300000, 0.9265300000, 0.9683300000, 1.0447300000, 1.1933300000, 1.4910300000, 2.0889300000, 3.2880300000", \
"0.9817000000, 1.0427000000, 1.0850000000, 1.1618000000, 1.3108000000, 1.6085000000, 2.2065000000, 3.4050000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.0707000000, 0.1344200000, 0.1839400000, 0.2853300000, 0.5017100000, 0.9560300000, 1.8877000000, 3.7541000000", \
"0.0707300000, 0.1344200000, 0.1839200000, 0.2854900000, 0.5015800000, 0.9556600000, 1.8849600000, 3.7508000000", \
"0.0707200000, 0.1344300000, 0.1839800000, 0.2854400000, 0.5014700000, 0.9557400000, 1.8855100000, 3.7513000000", \
"0.0708200000, 0.1345400000, 0.1840300000, 0.2854300000, 0.5016500000, 0.9563100000, 1.8850400000, 3.7508000000", \
"0.0708700000, 0.1346300000, 0.1840700000, 0.2856400000, 0.5015600000, 0.9560700000, 1.8856000000, 3.7493000000", \
"0.0700200000, 0.1333400000, 0.1830100000, 0.2849700000, 0.5010800000, 0.9563900000, 1.8859000000, 3.7526000000", \
"0.0724500000, 0.1364700000, 0.1857400000, 0.2863000000, 0.5004000000, 0.9555000000, 1.8861000000, 3.7499000000", \
"0.0738000000, 0.1385000000, 0.1879000000, 0.2888000000, 0.5030000000, 0.9552000000, 1.8845000000, 3.7493000000", \
"0.0766000000, 0.1421000000, 0.1921000000, 0.2928000000, 0.5074000000, 0.9604000000, 1.8839000000, 3.7478000000", \
"0.0780000000, 0.1439000000, 0.1939000000, 0.2945000000, 0.5089000000, 0.9622000000, 1.8870000000, 3.7474000000", \
"0.0797000000, 0.1459000000, 0.1960000000, 0.2962000000, 0.5101000000, 0.9638000000, 1.8911000000, 3.7501000000");
}
related_pin : "S";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085400000, 0.0170800000, 0.0341600000, 0.0683200000, 0.1366500000, 0.2733100000, 0.5466300000");
values("0.0378500000, 0.0891100000, 0.1402500000, 0.2470400000, 0.4676900000, 0.9168700000, 1.8162100000, 3.6153000000", \
"0.0378700000, 0.0890500000, 0.1402100000, 0.2471000000, 0.4677700000, 0.9167600000, 1.8156700000, 3.6149000000", \
"0.0378500000, 0.0891200000, 0.1401900000, 0.2470700000, 0.4680100000, 0.9163000000, 1.8158700000, 3.6154000000", \
"0.0378400000, 0.0890300000, 0.1401700000, 0.2470900000, 0.4677800000, 0.9171800000, 1.8160600000, 3.6139000000", \
"0.0381300000, 0.0893200000, 0.1404000000, 0.2471900000, 0.4677500000, 0.9163000000, 1.8170800000, 3.6164000000", \
"0.0388700000, 0.0898400000, 0.1408300000, 0.2471900000, 0.4678500000, 0.9164700000, 1.8155000000, 3.6173000000", \
"0.0422000000, 0.0928000000, 0.1430000000, 0.2481000000, 0.4678000000, 0.9171000000, 1.8157000000, 3.6193000000", \
"0.0456000000, 0.0961000000, 0.1456000000, 0.2500000000, 0.4686000000, 0.9155000000, 1.8162000000, 3.6150000000", \
"0.0547000000, 0.1053000000, 0.1533000000, 0.2560000000, 0.4723000000, 0.9181000000, 1.8147000000, 3.6144000000", \
"0.0593000000, 0.1099000000, 0.1575000000, 0.2594000000, 0.4755000000, 0.9189000000, 1.8159000000, 3.6144000000", \
"0.0637000000, 0.1146000000, 0.1615000000, 0.2628000000, 0.4777000000, 0.9215000000, 1.8168000000, 3.6124000000");
}
sdf_cond : "(A0&!A1)";
timing_sense : "negative_unate";
when : "(A0&!A1)";
}
}
}
cell ("sky130_fd_sc_hvl__mux4_1") {
leakage_power () {
value : 10.677173800;
when : "!A0&!A1&!A2&!A3&!S0&S1";
}
leakage_power () {
value : 12.997865900;
when : "!A0&!A1&!A2&!A3&!S0&!S1";
}
leakage_power () {
value : 8.676337000;
when : "!A0&!A1&!A2&!A3&S0&S1";
}
leakage_power () {
value : 10.997060200;
when : "!A0&!A1&!A2&!A3&S0&!S1";
}
leakage_power () {
value : 14.037566700;
when : "!A0&!A1&!A2&A3&!S0&S1";
}
leakage_power () {
value : 16.357995800;
when : "!A0&!A1&!A2&A3&!S0&!S1";
}
leakage_power () {
value : 10.153760500;
when : "!A0&!A1&!A2&A3&S0&S1";
}
leakage_power () {
value : 12.246478500;
when : "!A0&!A1&!A2&A3&S0&!S1";
}
leakage_power () {
value : 12.294909100;
when : "!A0&!A1&A2&!A3&!S0&S1";
}
leakage_power () {
value : 14.387584500;
when : "!A0&!A1&A2&!A3&!S0&!S1";
}
leakage_power () {
value : 11.994302400;
when : "!A0&!A1&A2&!A3&S0&S1";
}
leakage_power () {
value : 14.314770700;
when : "!A0&!A1&A2&!A3&S0&!S1";
}
leakage_power () {
value : 15.785204300;
when : "!A0&A1&A2&!A3&S0&!S1";
}
leakage_power () {
value : 15.352979300;
when : "!A0&A1&A2&A3&!S0&S1";
}
leakage_power () {
value : 17.445911400;
when : "!A0&A1&A2&A3&!S0&!S1";
}
leakage_power () {
value : 4.3668988000;
when : "!A0&A1&A2&A3&S0&S1";
}
leakage_power () {
value : 6.6876389000;
when : "!A0&A1&A2&A3&S0&!S1";
}
leakage_power () {
value : 12.557860500;
when : "A0&!A1&!A2&!A3&!S0&S1";
}
leakage_power () {
value : 14.935832100;
when : "A0&!A1&!A2&!A3&!S0&!S1";
}
leakage_power () {
value : 11.904292700;
when : "A0&!A1&!A2&!A3&S0&S1";
}
leakage_power () {
value : 14.225262700;
when : "A0&!A1&!A2&!A3&S0&!S1";
}
leakage_power () {
value : 15.918081100;
when : "A0&!A1&!A2&A3&!S0&S1";
}
leakage_power () {
value : 18.295811100;
when : "A0&!A1&!A2&A3&!S0&!S1";
}
leakage_power () {
value : 13.381535900;
when : "A0&!A1&!A2&A3&S0&S1";
}
leakage_power () {
value : 15.474530100;
when : "A0&!A1&!A2&A3&S0&!S1";
}
leakage_power () {
value : 7.2309660000;
when : "A0&!A1&A2&!A3&!S0&S1";
}
leakage_power () {
value : 9.551698100;
when : "A0&!A1&A2&!A3&!S0&!S1";
}
leakage_power () {
value : 15.222262600;
when : "A0&!A1&A2&!A3&S0&S1";
}
leakage_power () {
value : 17.542976800;
when : "A0&!A1&A2&!A3&S0&!S1";
}
leakage_power () {
value : 6.9795338000;
when : "A0&!A1&A2&A3&!S0&S1";
}
leakage_power () {
value : 9.300275200;
when : "A0&!A1&A2&A3&!S0&!S1";
}
leakage_power () {
value : 13.125925200;
when : "A0&!A1&A2&A3&S0&S1";
}
leakage_power () {
value : 15.218930100;
when : "A0&!A1&A2&A3&S0&!S1";
}
leakage_power () {
value : 12.294937500;
when : "A0&A1&!A2&!A3&!S0&S1";
}
leakage_power () {
value : 14.672900200;
when : "A0&A1&!A2&!A3&!S0&!S1";
}
leakage_power () {
value : 9.828167600;
when : "A0&A1&!A2&!A3&S0&S1";
}
leakage_power () {
value : 12.206115200;
when : "A0&A1&!A2&!A3&S0&!S1";
}
leakage_power () {
value : 15.655157200;
when : "A0&A1&!A2&A3&!S0&S1";
}
leakage_power () {
value : 18.032878300;
when : "A0&A1&!A2&A3&!S0&!S1";
}
leakage_power () {
value : 4.3609729000;
when : "A0&A1&!A2&A3&S0&S1";
}
leakage_power () {
value : 6.6816943000;
when : "A0&A1&!A2&A3&S0&!S1";
}
leakage_power () {
value : 6.9680262000;
when : "A0&A1&A2&!A3&!S0&S1";
}
leakage_power () {
value : 12.043496900;
when : "!A0&!A1&A2&A3&!S0&S1";
}
leakage_power () {
value : 14.136158000;
when : "!A0&!A1&A2&A3&!S0&!S1";
}
leakage_power () {
value : 9.898149900;
when : "!A0&!A1&A2&A3&S0&S1";
}
leakage_power () {
value : 11.990877600;
when : "!A0&!A1&A2&A3&S0&!S1";
}
leakage_power () {
value : 13.986821500;
when : "!A0&A1&!A2&!A3&!S0&S1";
}
leakage_power () {
value : 16.307764000;
when : "!A0&A1&!A2&!A3&!S0&!S1";
}
leakage_power () {
value : 10.089702400;
when : "!A0&A1&!A2&!A3&S0&S1";
}
leakage_power () {
value : 12.467659800;
when : "!A0&A1&!A2&!A3&S0&!S1";
}
leakage_power () {
value : 17.347201000;
when : "!A0&A1&!A2&A3&!S0&S1";
}
leakage_power () {
value : 19.667860200;
when : "!A0&A1&!A2&A3&!S0&!S1";
}
leakage_power () {
value : 4.6225304000;
when : "!A0&A1&!A2&A3&S0&S1";
}
leakage_power () {
value : 6.9432615000;
when : "!A0&A1&!A2&A3&S0&!S1";
}
leakage_power () {
value : 15.604392400;
when : "!A0&A1&A2&!A3&!S0&S1";
}
leakage_power () {
value : 17.697336900;
when : "!A0&A1&A2&!A3&!S0&!S1";
}
leakage_power () {
value : 13.407490200;
when : "!A0&A1&A2&!A3&S0&S1";
}
leakage_power () {
value : 9.288748400;
when : "A0&A1&A2&!A3&!S0&!S1";
}
leakage_power () {
value : 13.145955400;
when : "A0&A1&A2&!A3&S0&S1";
}
leakage_power () {
value : 15.523660600;
when : "A0&A1&A2&!A3&S0&!S1";
}
leakage_power () {
value : 6.7165935000;
when : "A0&A1&A2&A3&!S0&S1";
}
leakage_power () {
value : 9.037324700;
when : "A0&A1&A2&A3&!S0&!S1";
}
leakage_power () {
value : 4.1053414000;
when : "A0&A1&A2&A3&S0&S1";
}
leakage_power () {
value : 6.4260717000;
when : "A0&A1&A2&A3&S0&!S1";
}
area : 50.793600000;
cell_footprint : "sky130_fd_sc_hvl__mux4";
cell_leakage_power : 12.199930000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A0") {
capacitance : 0.0026800000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1076140000, 0.1074780000, 0.1073323000, 0.1128803000, 0.1302921000, 0.1824296000, 0.2867255000, 0.3981378000, 0.7086849000, 0.8698809000, 1.0310769000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0331763000, 0.0331379000, 0.0330980000, 0.0386138000, 0.0559254000, 0.1055760000, 0.2048983000, 0.3156954000, 0.6245286000, 0.7848347000, 0.9451408000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("A1") {
capacitance : 0.0025200000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0362292000, 0.0360617000, 0.0358821000, 0.0354501000, 0.0341449000, 0.0342412000, 0.0344558000, 0.0345298000, 0.0347752000, 0.0348921000, 0.0350089000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0333729000, -0.0332604000, -0.0331366000, -0.0332542000, -0.0335742000, -0.0335520000, -0.0334849000, -0.0334087000, -0.0331556000, -0.0330351000, -0.0329146000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("A2") {
capacitance : 0.0024300000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1342978000, 0.1348018000, 0.1353497000, 0.1405956000, 0.1570645000, 0.2059760000, 0.3038209000, 0.4108523000, 0.7091921000, 0.8640505000, 1.0189089000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0402574000, 0.0408602000, 0.0415149000, 0.0463285000, 0.0614451000, 0.1070548000, 0.1982966000, 0.3042330000, 0.5995221000, 0.7527966000, 0.9060712000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("A3") {
capacitance : 0.0025800000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0351478000, 0.0350192000, 0.0348818000, 0.0344529000, 0.0331602000, 0.0332765000, 0.0335325000, 0.0335876000, 0.0337835000, 0.0338738000, 0.0339642000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0322811000, -0.0322413000, -0.0321961000, -0.0323059000, -0.0325988000, -0.0325764000, -0.0325077000, -0.0324254000, -0.0321532000, -0.0320235000, -0.0318937000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("S0") {
capacitance : 0.0099100000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2189777000, 0.2239610000, 0.2293611000, 0.2361545000, 0.2574602000, 0.3150923000, 0.4303749000, 0.5570086000, 0.9099744000, 1.0931910000, 1.2764077000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0235870000, -0.0213248000, -0.0188722000, -0.0120872000, 0.0092011000, 0.0658756000, 0.1792471000, 0.3050946000, 0.6558765000, 0.8379575000, 1.0200386000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("S1") {
capacitance : 0.0056500000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1119086000, 0.1156325000, 0.1196682000, 0.1297912000, 0.1615168000, 0.2246059000, 0.3508012000, 0.4822907000, 0.8487870000, 1.0390281000, 1.2292693000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0230307000, -0.0204471000, -0.0176463000, -0.0079936000, 0.0222689000, 0.0849225000, 0.2102512000, 0.3416161000, 0.7077732000, 0.8978361000, 1.0878990000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("X") {
direction : "output";
function : "(A0&!S0&!S1) | (A1&S0&!S1) | (A2&!S0&S1) | (A3&S0&S1)";
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4072692000, 0.2232072000, 0.0639427000, -0.2262792000, -0.7691338000, -1.8158506000, -3.8687388000, -7.9441046000", \
"0.4097416000, 0.2251822000, 0.0660693000, -0.2242538000, -0.7673892000, -1.8138068000, -3.8667985000, -7.9421459000", \
"0.4090289000, 0.2242665000, 0.0647612000, -0.2251528000, -0.7687208000, -1.8149783000, -3.8679542000, -7.9437341000", \
"0.4033454000, 0.2185391000, 0.0599734000, -0.2310997000, -0.7744127000, -1.8212714000, -3.8739595000, -7.9499805000", \
"0.4023457000, 0.2189893000, 0.0580812000, -0.2316511000, -0.7757120000, -1.8227096000, -3.8754463000, -7.9510079000", \
"0.4020148000, 0.2165968000, 0.0567778000, -0.2351283000, -0.7822056000, -1.8311052000, -3.8857913000, -7.9620671000", \
"0.4418925000, 0.2556489000, 0.0926049000, -0.2058974000, -0.7612534000, -1.8188652000, -3.8818503000, -7.9641441000", \
"0.4974087000, 0.3092761000, 0.1420204000, -0.1631507000, -0.7290265000, -1.7986412000, -3.8720057000, -7.9618332000", \
"0.6956698000, 0.4944544000, 0.3142433000, -0.0110343000, -0.6017248000, -1.7046272000, -3.8108132000, -7.9280111000", \
"0.7984178000, 0.5937435000, 0.4117490000, 0.0738027000, -0.5294569000, -1.6476739000, -3.7709480000, -7.9030655000", \
"0.8973355000, 0.6902292000, 0.5056114000, 0.1639691000, -0.4551734000, -1.5870161000, -3.7265869000, -7.8741377000");
}
related_pin : "A0";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2606101000, 0.3561183000, 0.4702621000, 0.7080829000, 1.1966482000, 2.1954198000, 4.1958660000, 8.211500800", \
"0.2638851000, 0.3571383000, 0.4720330000, 0.7105728000, 1.1997754000, 2.1931650000, 4.1994007000, 8.211105600", \
"0.2595025000, 0.3564355000, 0.4699584000, 0.7093090000, 1.1979733000, 2.1953269000, 4.1976752000, 8.210605000", \
"0.2587423000, 0.3524945000, 0.4661991000, 0.7038882000, 1.1927994000, 2.1905831000, 4.1921079000, 8.206914500", \
"0.2670540000, 0.3611037000, 0.4743547000, 0.7077693000, 1.1964947000, 2.1916777000, 4.1905298000, 8.206958700", \
"0.2933072000, 0.3803103000, 0.4882880000, 0.7180188000, 1.1986567000, 2.1865973000, 4.1848863000, 8.195204800", \
"0.3820253000, 0.4551773000, 0.5482337000, 0.7674046000, 1.2341213000, 2.2078588000, 4.1918960000, 8.198466800", \
"0.4571388000, 0.5274919000, 0.6167715000, 0.8160629000, 1.2721453000, 2.2298445000, 4.2022246000, 8.200462500", \
"0.6666653000, 0.7251563000, 0.8050595000, 0.9918753000, 1.4159746000, 2.3335521000, 4.2702030000, 8.230612500", \
"0.7744000000, 0.8313264000, 0.9075618000, 1.0851024000, 1.4986258000, 2.3925333000, 4.3158364000, 8.256436700", \
"0.8817133000, 0.9365893000, 1.0100917000, 1.1848181000, 1.5841009000, 2.4609336000, 4.3646400000, 8.291925400");
}
when : "(A1&!A2&!A3&!S0&!S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4072723000, 0.2231988000, 0.0639420000, -0.2262641000, -0.7691177000, -1.8158421000, -3.8686680000, -7.9440055000", \
"0.4097583000, 0.2251657000, 0.0660751000, -0.2242352000, -0.7671685000, -1.8137799000, -3.8667395000, -7.9421128000", \
"0.4090408000, 0.2242645000, 0.0647703000, -0.2251358000, -0.7686835000, -1.8149615000, -3.8678861000, -7.9436212000", \
"0.4033460000, 0.2185490000, 0.0599772000, -0.2310916000, -0.7743971000, -1.8212506000, -3.8739266000, -7.9498930000", \
"0.4023150000, 0.2189975000, 0.0580879000, -0.2316406000, -0.7756994000, -1.8226887000, -3.8754079000, -7.9509390000", \
"0.4020237000, 0.2166038000, 0.0567881000, -0.2351177000, -0.7821903000, -1.8310842000, -3.8857515000, -7.9619920000", \
"0.4419034000, 0.2556617000, 0.0926178000, -0.2058836000, -0.7612349000, -1.8188377000, -3.8818131000, -7.9640794000", \
"0.4974251000, 0.3092924000, 0.1420386000, -0.1631339000, -0.7290052000, -1.7986126000, -3.8719572000, -7.9617519000", \
"0.6956955000, 0.4944806000, 0.3142675000, -0.0110080000, -0.6016938000, -1.7045897000, -3.8106766000, -7.9279190000", \
"0.7978789000, 0.5933056000, 0.4108636000, 0.0741475000, -0.5301181000, -1.6483775000, -3.7715707000, -7.9036396000", \
"0.8973814000, 0.6905314000, 0.5056114000, 0.1636169000, -0.4540983000, -1.5866924000, -3.7262299000, -7.8740636000");
}
related_pin : "A0";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2606048000, 0.3561151000, 0.4702714000, 0.7081017000, 1.1966561000, 2.1954825000, 4.1959276000, 8.211604900", \
"0.2638797000, 0.3571364000, 0.4720362000, 0.7105792000, 1.1997781000, 2.1932319000, 4.1994062000, 8.211177600", \
"0.2598676000, 0.3564348000, 0.4699575000, 0.7093268000, 1.1979873000, 2.1953631000, 4.1976877000, 8.210694300", \
"0.2587302000, 0.3525004000, 0.4662015000, 0.7038890000, 1.1928140000, 2.1906353000, 4.1921550000, 8.206965300", \
"0.2670199000, 0.3611110000, 0.4743620000, 0.7077828000, 1.1965118000, 2.1917033000, 4.1905547000, 8.207140200", \
"0.2933032000, 0.3803148000, 0.4882917000, 0.7180255000, 1.1986666000, 2.1866168000, 4.1849227000, 8.195281700", \
"0.3820358000, 0.4551855000, 0.5482427000, 0.7674172000, 1.2341375000, 2.2078836000, 4.1919374000, 8.198539900", \
"0.4571329000, 0.5275119000, 0.6167824000, 0.8160788000, 1.2721661000, 2.2298856000, 4.2022761000, 8.200449400", \
"0.6666916000, 0.7251808000, 0.8050845000, 0.9918998000, 1.4159992000, 2.3335749000, 4.2702653000, 8.230700500", \
"0.7744320000, 0.8313593000, 0.9075944000, 1.0851335000, 1.4986576000, 2.3925732000, 4.3158916000, 8.256492700", \
"0.8817605000, 0.9366149000, 1.0101203000, 1.1848588000, 1.5841319000, 2.4609695000, 4.3646963000, 8.292097100");
}
when : "(A1&!A2&A3&!S0&!S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4141685000, 0.2300302000, 0.0714361000, -0.2180845000, -0.7606195000, -1.8072879000, -3.8602450000, -7.9354421000", \
"0.4168668000, 0.2324208000, 0.0733421000, -0.2158873000, -0.7584714000, -1.8052582000, -3.8581057000, -7.9338850000", \
"0.4159720000, 0.2313365000, 0.0721912000, -0.2170431000, -0.7596115000, -1.8063934000, -3.8592950000, -7.9350716000", \
"0.4098986000, 0.2262639000, 0.0662833000, -0.2230357000, -0.7659072000, -1.8125024000, -3.8652571000, -7.9410952000", \
"0.4096560000, 0.2248558000, 0.0655485000, -0.2234662000, -0.7671971000, -1.8141325000, -3.8668325000, -7.9423450000", \
"0.4086312000, 0.2237264000, 0.0642922000, -0.2269877000, -0.7734739000, -1.8220125000, -3.8769049000, -7.9530100000", \
"0.4484461000, 0.2619597000, 0.0995617000, -0.1979891000, -0.7529574000, -1.8104684000, -3.8732457000, -7.9553305000", \
"0.5043852000, 0.3156588000, 0.1492171000, -0.1549699000, -0.7205233000, -1.7900944000, -3.8632971000, -7.9532420000", \
"0.7040032000, 0.5024030000, 0.3221513000, -0.0029118000, -0.5932425000, -1.6960726000, -3.8022284000, -7.9193858000", \
"0.8067753000, 0.6020933000, 0.4200791000, 0.0820806000, -0.5210048000, -1.6391402000, -3.7624204000, -7.8944730000", \
"0.9058493000, 0.6987338000, 0.5141215000, 0.1724626000, -0.4467014000, -1.5784971000, -3.7180382000, -7.8655491000");
}
related_pin : "A0";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2549457000, 0.3484448000, 0.4618871000, 0.6995197000, 1.1880667000, 2.1868149000, 4.1869680000, 8.203313300", \
"0.2566590000, 0.3492486000, 0.4622820000, 0.7020143000, 1.1911446000, 2.1846142000, 4.1906922000, 8.202330400", \
"0.2539756000, 0.3485441000, 0.4616007000, 0.7007508000, 1.1893812000, 2.1866486000, 4.1889726000, 8.201806300", \
"0.2515426000, 0.3445952000, 0.4578317000, 0.6953511000, 1.1842059000, 2.1819607000, 4.1834509000, 8.198205000", \
"0.2598633000, 0.3532227000, 0.4659774000, 0.6992492000, 1.1885745000, 2.1830386000, 4.1818499000, 8.198779800", \
"0.2861018000, 0.3726358000, 0.4800160000, 0.7095797000, 1.1893255000, 2.1779746000, 4.1762491000, 8.186415200", \
"0.3743030000, 0.4471603000, 0.5399899000, 0.7582070000, 1.2248454000, 2.1992909000, 4.1832628000, 8.189783300", \
"0.4489744000, 0.5189424000, 0.6079157000, 0.8066275000, 1.2635643000, 2.2215769000, 4.1937553000, 8.192864300", \
"0.6581318000, 0.7164355000, 0.7958621000, 0.9816295000, 1.4071064000, 2.3253161000, 4.2615220000, 8.221807600", \
"0.7655133000, 0.8224377000, 0.8986212000, 1.0761996000, 1.4886291000, 2.3834564000, 4.3069068000, 8.249124800", \
"0.8728715000, 0.9278450000, 1.0014469000, 1.1761138000, 1.5752204000, 2.4519611000, 4.3558394000, 8.283054400");
}
when : "(A1&A2&!A3&!S0&!S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4141872000, 0.2300358000, 0.0714422000, -0.2180818000, -0.7606102000, -1.8072861000, -3.8602419000, -7.9353917000", \
"0.4168684000, 0.2324194000, 0.0733438000, -0.2158833000, -0.7584705000, -1.8052591000, -3.8580814000, -7.9338659000", \
"0.4154352000, 0.2313423000, 0.0721962000, -0.2170435000, -0.7599087000, -1.8063925000, -3.8593638000, -7.9350716000", \
"0.4098979000, 0.2262666000, 0.0662851000, -0.2230339000, -0.7659058000, -1.8125004000, -3.8652536000, -7.9411073000", \
"0.4096457000, 0.2248611000, 0.0655503000, -0.2234644000, -0.7671963000, -1.8141249000, -3.8668307000, -7.9423415000", \
"0.4086317000, 0.2237278000, 0.0642939000, -0.2269868000, -0.7734735000, -1.8220109000, -3.8769029000, -7.9530090000", \
"0.4484472000, 0.2619597000, 0.0995635000, -0.1979882000, -0.7529560000, -1.8104682000, -3.8732433000, -7.9553276000", \
"0.5043871000, 0.3156605000, 0.1492186000, -0.1549689000, -0.7205216000, -1.7900925000, -3.8633006000, -7.9532407000", \
"0.7040029000, 0.5024041000, 0.3221540000, -0.0029105000, -0.5932405000, -1.6960731000, -3.8022301000, -7.9193854000", \
"0.8067758000, 0.6020915000, 0.4200803000, 0.0820818000, -0.5210054000, -1.6391380000, -3.7624207000, -7.8944665000", \
"0.9058514000, 0.6987357000, 0.5141230000, 0.1724625000, -0.4467007000, -1.5784959000, -3.7180388000, -7.8655502000");
}
related_pin : "A0";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2548884000, 0.3484435000, 0.4618907000, 0.6995219000, 1.1880641000, 2.1867817000, 4.1869676000, 8.203333600", \
"0.2566585000, 0.3492491000, 0.4622812000, 0.7020111000, 1.1911345000, 2.1846113000, 4.1906741000, 8.202346200", \
"0.2539936000, 0.3485445000, 0.4616004000, 0.7007509000, 1.1893780000, 2.1866478000, 4.1889736000, 8.201810000", \
"0.2515410000, 0.3445979000, 0.4578305000, 0.6953463000, 1.1842064000, 2.1819662000, 4.1834550000, 8.198192300", \
"0.2598635000, 0.3532208000, 0.4659778000, 0.6992481000, 1.1885724000, 2.1830398000, 4.1818510000, 8.198771400", \
"0.2861000000, 0.3726354000, 0.4800142000, 0.7095794000, 1.1893251000, 2.1779721000, 4.1762486000, 8.186418100", \
"0.3743025000, 0.4471605000, 0.5398261000, 0.7582097000, 1.2248464000, 2.1992899000, 4.1832687000, 8.189775100", \
"0.4483589000, 0.5189348000, 0.6079221000, 0.8066162000, 1.2635709000, 2.2215797000, 4.1937509000, 8.192870000", \
"0.6581301000, 0.7164991000, 0.7958653000, 0.9816317000, 1.4071067000, 2.3253186000, 4.2615200000, 8.221807500", \
"0.7655133000, 0.8224386000, 0.8986250000, 1.0762012000, 1.4886325000, 2.3834757000, 4.3069348000, 8.249106600", \
"0.8728717000, 0.9278376000, 1.0014436000, 1.1761166000, 1.5752208000, 2.4519624000, 4.3558403000, 8.283078700");
}
when : "(A1&A2&A3&!S0&!S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.5061480000, 0.3222159000, 0.1612457000, -0.1295884000, -0.6743782000, -1.7230204000, -3.7778764000, -7.8542007000", \
"0.5088436000, 0.3237176000, 0.1625029000, -0.1278906000, -0.6722317000, -1.7209340000, -3.7758459000, -7.8522855000", \
"0.5085270000, 0.3230498000, 0.1618753000, -0.1285550000, -0.6728478000, -1.7216256000, -3.7765143000, -7.8528772000", \
"0.5091610000, 0.3225676000, 0.1630175000, -0.1276629000, -0.6724127000, -1.7213209000, -3.7752517000, -7.8522658000", \
"0.5266803000, 0.3415159000, 0.1807641000, -0.1105038000, -0.6559253000, -1.7044084000, -3.7592875000, -7.8352794000", \
"0.5738212000, 0.3882434000, 0.2276675000, -0.0648369000, -0.6123866000, -1.6628369000, -3.7190086000, -7.7969432000", \
"0.7079495000, 0.5209019000, 0.3574129000, 0.0596513000, -0.4954531000, -1.5536294000, -3.6170556000, -7.6995451000", \
"0.8651363000, 0.6753697000, 0.5078868000, 0.2044519000, -0.3601971000, -1.4296996000, -3.5024568000, -7.5919936000", \
"1.3463262000, 1.1442187000, 0.9643213000, 0.6430131000, 0.0539850000, -1.0466642000, -3.1505817000, -7.2657885000", \
"1.5989607000, 1.3945385000, 1.2120748000, 0.8760647000, 0.2757051000, -0.8396861000, -2.9599722000, -7.0892274000", \
"1.8480670000, 1.6422955000, 1.4571597000, 1.1156370000, 0.5005815000, -0.6290604000, -2.7647231000, -6.9091136000");
}
related_pin : "A1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3030053000, 0.3983409000, 0.5121753000, 0.7501722000, 1.2418221000, 2.2380801000, 4.2390178000, 8.254548600", \
"0.3051580000, 0.4001003000, 0.5133071000, 0.7525246000, 1.2414526000, 2.2393301000, 4.2424543000, 8.255013000", \
"0.3041984000, 0.3994787000, 0.5126557000, 0.7519698000, 1.2412488000, 2.2386776000, 4.2414206000, 8.253999900", \
"0.3084439000, 0.4006857000, 0.5150172000, 0.7539270000, 1.2430528000, 2.2376297000, 4.2444003000, 8.255445700", \
"0.3349240000, 0.4280595000, 0.5398369000, 0.7748960000, 1.2628095000, 2.2566853000, 4.2596354000, 8.272646700", \
"0.4087787000, 0.4957615000, 0.6023861000, 0.8322236000, 1.3125298000, 2.3032484000, 4.2999118000, 8.313976600", \
"0.5900823000, 0.6635551000, 0.7542970000, 0.9731227000, 1.4404348000, 2.4125229000, 4.4005652000, 8.407481200", \
"0.7671952000, 0.8363480000, 0.9263972000, 1.1275487000, 1.5854622000, 2.5438344000, 4.5155455000, 8.514094600", \
"1.2632843000, 1.3236608000, 1.4031492000, 1.5894043000, 2.0111789000, 2.9319211000, 4.8724469000, 8.835152800", \
"1.5205129000, 1.5761703000, 1.6535159000, 1.8320431000, 2.2470718000, 3.1480530000, 5.0731574000, 9.013860500", \
"1.7751905000, 1.8301612000, 1.9030701000, 2.0774729000, 2.4797813000, 3.3603824000, 5.2659692000, 9.198778900");
}
when : "(!A0&!A2&!A3&S0&!S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.5152233000, 0.3292125000, 0.1700829000, -0.1203603000, -0.6648817000, -1.7138963000, -3.7684808000, -7.8448626000", \
"0.5178957000, 0.3316818000, 0.1720680000, -0.1181085000, -0.6627555000, -1.7119331000, -3.7660277000, -7.8430818000", \
"0.5169901000, 0.3319171000, 0.1709741000, -0.1191279000, -0.6636850000, -1.7122404000, -3.7669829000, -7.8437402000", \
"0.5167597000, 0.3317370000, 0.1720493000, -0.1183811000, -0.6627779000, -1.7117363000, -3.7663374000, -7.8429582000", \
"0.5354427000, 0.3495614000, 0.1893855000, -0.1004828000, -0.6460842000, -1.6948997000, -3.7493932000, -7.8264394000", \
"0.5824064000, 0.3968621000, 0.2365372000, -0.0548276000, -0.6029390000, -1.6533149000, -3.7094878000, -7.7873475000", \
"0.7164901000, 0.5299426000, 0.3668825000, 0.0688552000, -0.4860290000, -1.5440148000, -3.6073715000, -7.6905209000", \
"0.8718246000, 0.6831576000, 0.5174240000, 0.2138654000, -0.3512338000, -1.4202646000, -3.4929324000, -7.5823723000", \
"1.3541187000, 1.1517974000, 0.9723609000, 0.6516539000, 0.0645783000, -1.0373209000, -3.1413097000, -7.2565444000", \
"1.6085091000, 1.4037961000, 1.2213988000, 0.8853279000, 0.2851378000, -0.8302323000, -2.9505262000, -7.0797824000", \
"1.8575644000, 1.6517578000, 1.4666101000, 1.1250661000, 0.5100381000, -0.6196113000, -2.7552909000, -6.8996681000");
}
related_pin : "A1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2954595000, 0.3895843000, 0.5022792000, 0.7413418000, 1.2303057000, 2.2279301000, 4.2309068000, 8.243801800", \
"0.2970793000, 0.3913598000, 0.5039628000, 0.7433067000, 1.2321344000, 2.2300354000, 4.2328082000, 8.245515900", \
"0.2966326000, 0.3907528000, 0.5033655000, 0.7426567000, 1.2318246000, 2.2294126000, 4.2318334000, 8.244470000", \
"0.3004203000, 0.3919535000, 0.5057886000, 0.7444926000, 1.2335850000, 2.2281759000, 4.2348680000, 8.245835000", \
"0.3270307000, 0.4193513000, 0.5305672000, 0.7657291000, 1.2527105000, 2.2499242000, 4.2502599000, 8.262642100", \
"0.4007197000, 0.4872245000, 0.5933310000, 0.8231286000, 1.3038598000, 2.2946956000, 4.2987925000, 8.299926500", \
"0.5810695000, 0.6542851000, 0.7463975000, 0.9644793000, 1.4321564000, 2.4034367000, 4.3912598000, 8.393872100", \
"0.7578264000, 0.8270027000, 0.9185911000, 1.1182015000, 1.5762081000, 2.5345622000, 4.5060108000, 8.504908500", \
"1.2544751000, 1.3142524000, 1.3935093000, 1.5799424000, 2.0017903000, 2.9226491000, 4.8624775000, 8.826045400", \
"1.5110229000, 1.5666356000, 1.6440574000, 1.8225609000, 2.2375749000, 3.1386759000, 5.0637419000, 9.004339700", \
"1.7657091000, 1.8206765000, 1.8935878000, 2.0679941000, 2.4703307000, 3.3556587000, 5.2566431000, 9.189947700");
}
when : "(!A0&!A2&A3&S0&!S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.5059639000, 0.3220012000, 0.1612955000, -0.1295771000, -0.6743638000, -1.7229965000, -3.7778245000, -7.8543934000", \
"0.5088672000, 0.3237277000, 0.1625134000, -0.1278772000, -0.6722199000, -1.7209130000, -3.7758036000, -7.8522181000", \
"0.5085363000, 0.3230529000, 0.1618833000, -0.1285476000, -0.6728306000, -1.7216192000, -3.7764850000, -7.8528038000", \
"0.5087035000, 0.3230633000, 0.1630597000, -0.1277307000, -0.6724102000, -1.7212782000, -3.7757870000, -7.8525523000", \
"0.5266899000, 0.3415234000, 0.1807714000, -0.1104944000, -0.6559104000, -1.7043820000, -3.7592450000, -7.8352232000", \
"0.5738173000, 0.3882502000, 0.2276767000, -0.0648234000, -0.6123683000, -1.6628141000, -3.7189683000, -7.7968746000", \
"0.7077938000, 0.5209350000, 0.3574191000, 0.0596667000, -0.4954355000, -1.5536027000, -3.6170110000, -7.6994306000", \
"0.8639151000, 0.6745617000, 0.5086095000, 0.2045493000, -0.3607229000, -1.4297469000, -3.5023919000, -7.5918033000", \
"1.3451561000, 1.1428284000, 0.9634611000, 0.6424754000, 0.0551550000, -1.0467226000, -3.1506941000, -7.2659168000", \
"1.5990905000, 1.3945705000, 1.2121043000, 0.8760945000, 0.2757403000, -0.8396416000, -2.9599088000, -7.0891438000", \
"1.8481067000, 1.6423324000, 1.4571989000, 1.1156758000, 0.5006189000, -0.6290104000, -2.7646582000, -6.9090117000");
}
related_pin : "A1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3031261000, 0.3983742000, 0.5121960000, 0.7501558000, 1.2391027000, 2.2381297000, 4.2389869000, 8.254698200", \
"0.3051926000, 0.4001124000, 0.5133300000, 0.7525060000, 1.2414539000, 2.2393181000, 4.2424728000, 8.255061200", \
"0.3042020000, 0.3994868000, 0.5126578000, 0.7519797000, 1.2412516000, 2.2387463000, 4.2414607000, 8.254072500", \
"0.3084484000, 0.4006895000, 0.5150213000, 0.7539334000, 1.2430641000, 2.2376617000, 4.2444029000, 8.255512000", \
"0.3349284000, 0.4280633000, 0.5398500000, 0.7749099000, 1.2628227000, 2.2567234000, 4.2596535000, 8.273153900", \
"0.4087777000, 0.4957651000, 0.6023832000, 0.8322426000, 1.3141065000, 2.3032680000, 4.2999473000, 8.313968100", \
"0.5900902000, 0.6635629000, 0.7543043000, 0.9731293000, 1.4404436000, 2.4125403000, 4.4006155000, 8.407570500", \
"0.7672078000, 0.8363580000, 0.9264075000, 1.1275608000, 1.5854784000, 2.5438602000, 4.5155909000, 8.514128200", \
"1.2633070000, 1.3236848000, 1.4031710000, 1.5894282000, 2.0112075000, 2.9319588000, 4.8724894000, 8.835238900", \
"1.5205406000, 1.5761976000, 1.6535441000, 1.8320716000, 2.2471012000, 3.1480828000, 5.0732092000, 9.013960800", \
"1.7752266000, 1.8302002000, 1.9031074000, 2.0775048000, 2.4798164000, 3.3604217000, 5.2660201000, 9.198872600");
}
when : "(!A0&A2&!A3&S0&!S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.5152510000, 0.3292329000, 0.1700485000, -0.1203104000, -0.6649101000, -1.7139244000, -3.7685202000, -7.8450048000", \
"0.5178683000, 0.3316912000, 0.1726426000, -0.1180740000, -0.6627532000, -1.7119267000, -3.7660029000, -7.8430982000", \
"0.5169945000, 0.3319066000, 0.1709795000, -0.1191275000, -0.6636792000, -1.7122442000, -3.7669750000, -7.8437453000", \
"0.5167564000, 0.3317336000, 0.1720463000, -0.1183812000, -0.6627774000, -1.7117371000, -3.7663360000, -7.8429564000", \
"0.5354279000, 0.3495530000, 0.1893846000, -0.1004841000, -0.6460889000, -1.6948966000, -3.7493917000, -7.8264358000", \
"0.5824088000, 0.3968620000, 0.2365385000, -0.0548240000, -0.6029410000, -1.6533138000, -3.7094885000, -7.7873447000", \
"0.7164915000, 0.5294175000, 0.3668780000, 0.0688516000, -0.4860355000, -1.5440190000, -3.6073729000, -7.6899541000", \
"0.8718242000, 0.6831565000, 0.5174233000, 0.2138630000, -0.3512342000, -1.4202645000, -3.4929300000, -7.5823710000", \
"1.3541209000, 1.1517968000, 0.9723622000, 0.6516540000, 0.0645791000, -1.0373208000, -3.1413113000, -7.2565397000", \
"1.6085090000, 1.4037996000, 1.2213997000, 0.8853302000, 0.2851399000, -0.8302309000, -2.9505230000, -7.0797774000", \
"1.8575664000, 1.6517590000, 1.4666087000, 1.1250673000, 0.5100377000, -0.6196080000, -2.7552890000, -6.8996708000");
}
related_pin : "A1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2954958000, 0.3895945000, 0.5023043000, 0.7413040000, 1.2302795000, 2.2278791000, 4.2309134000, 8.243804700", \
"0.2970916000, 0.3913608000, 0.5039752000, 0.7432936000, 1.2321465000, 2.2300104000, 4.2328049000, 8.245496300", \
"0.2967074000, 0.3907545000, 0.5033652000, 0.7426542000, 1.2318169000, 2.2294153000, 4.2318448000, 8.244477200", \
"0.3004200000, 0.3919526000, 0.5057902000, 0.7444948000, 1.2335899000, 2.2281726000, 4.2348517000, 8.245834500", \
"0.3270300000, 0.4193499000, 0.5305656000, 0.7657320000, 1.2527123000, 2.2499091000, 4.2502545000, 8.262647600", \
"0.4007191000, 0.4872242000, 0.5933301000, 0.8231286000, 1.3038618000, 2.2946900000, 4.2987915000, 8.299915600", \
"0.5810689000, 0.6542858000, 0.7463973000, 0.9644780000, 1.4321551000, 2.4034373000, 4.3912641000, 8.393880400", \
"0.7578261000, 0.8270031000, 0.9185902000, 1.1182022000, 1.5762085000, 2.5345634000, 4.5060126000, 8.504905600", \
"1.2544758000, 1.3142535000, 1.3935088000, 1.5799413000, 2.0017896000, 2.9226231000, 4.8623932000, 8.826049700", \
"1.5110242000, 1.5666900000, 1.6440566000, 1.8225617000, 2.2375754000, 3.1386751000, 5.0637458000, 9.004340600", \
"1.7657114000, 1.8206777000, 1.8935882000, 2.0679937000, 2.4703300000, 3.3556583000, 5.2566383000, 9.189945300");
}
when : "(!A0&A2&A3&S0&!S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.5070212000, 0.3214681000, 0.1618743000, -0.1304489000, -0.6754454000, -1.7234026000, -3.7781450000, -7.8551399000", \
"0.5093227000, 0.3232247000, 0.1636601000, -0.1269858000, -0.6726449000, -1.7212351000, -3.7760294000, -7.8527779000", \
"0.5084873000, 0.3232058000, 0.1620036000, -0.1285400000, -0.6732707000, -1.7216514000, -3.7765579000, -7.8532413000", \
"0.5081845000, 0.3230405000, 0.1630998000, -0.1274662000, -0.6723035000, -1.7212859000, -3.7756169000, -7.8519364000", \
"0.5266917000, 0.3415108000, 0.1807584000, -0.1105057000, -0.6559258000, -1.7043932000, -3.7592591000, -7.8352514000", \
"0.5736722000, 0.3881743000, 0.2275885000, -0.0648983000, -0.6124281000, -1.6628996000, -3.7190426000, -7.7969472000", \
"0.7074371000, 0.5213813000, 0.3573171000, 0.0595450000, -0.4954838000, -1.5536914000, -3.6169270000, -7.6996792000", \
"0.8638945000, 0.6745396000, 0.5085875000, 0.2045259000, -0.3607452000, -1.4297709000, -3.5024147000, -7.5918315000", \
"1.3451279000, 1.1428025000, 0.9634318000, 0.6424440000, 0.0551256000, -1.0467600000, -3.1507376000, -7.2659498000", \
"1.5991635000, 1.3944701000, 1.2120783000, 0.8760631000, 0.2757127000, -0.8396843000, -2.9599572000, -7.0891920000", \
"1.8480700000, 1.6422956000, 1.4571625000, 1.1156395000, 0.5005818000, -0.6290558000, -2.7647097000, -6.9090715000");
}
related_pin : "A1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3028140000, 0.3983093000, 0.5121661000, 0.7502439000, 1.2418747000, 2.2380604000, 4.2390841000, 8.254479900", \
"0.3051485000, 0.4000997000, 0.5132989000, 0.7525327000, 1.2414558000, 2.2393325000, 4.2424277000, 8.255051800", \
"0.3042872000, 0.3994764000, 0.5126783000, 0.7519124000, 1.2412162000, 2.2386263000, 4.2414183000, 8.253972900", \
"0.3084443000, 0.4006884000, 0.5150155000, 0.7539204000, 1.2430396000, 2.2376173000, 4.2444073000, 8.255422800", \
"0.3349285000, 0.4280659000, 0.5398576000, 0.7749096000, 1.2628090000, 2.2566920000, 4.2595925000, 8.273111600", \
"0.4087805000, 0.4957483000, 0.6023685000, 0.8322002000, 1.3125330000, 2.3032286000, 4.2998945000, 8.313931000", \
"0.5900940000, 0.6635708000, 0.7543096000, 0.9731233000, 1.4403581000, 2.4125141000, 4.4005576000, 8.407451000", \
"0.7672041000, 0.8363543000, 0.9264185000, 1.1275609000, 1.5854720000, 2.5438212000, 4.5154618000, 8.514029600", \
"1.2632883000, 1.3236598000, 1.4031716000, 1.5894285000, 2.0111481000, 2.9317735000, 4.8725199000, 8.835379800", \
"1.5205161000, 1.5761781000, 1.6535837000, 1.8320545000, 2.2470833000, 3.1480461000, 5.0731583000, 9.013849200", \
"1.7752003000, 1.8301717000, 1.9030804000, 2.0774793000, 2.4797868000, 3.3603890000, 5.2659644000, 9.198764500");
}
when : "(A0&!A2&!A3&S0&!S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.5152927000, 0.3293000000, 0.1701681000, -0.1204018000, -0.6648685000, -1.7139152000, -3.7684226000, -7.8444591000", \
"0.5176259000, 0.3317885000, 0.1731454000, -0.1181263000, -0.6630132000, -1.7117460000, -3.7665848000, -7.8430297000", \
"0.5169901000, 0.3319048000, 0.1709609000, -0.1191299000, -0.6636950000, -1.7120838000, -3.7670119000, -7.8436975000", \
"0.5167905000, 0.3317333000, 0.1720441000, -0.1180508000, -0.6627710000, -1.7117283000, -3.7663274000, -7.8429103000", \
"0.5353534000, 0.3495062000, 0.1893779000, -0.1004865000, -0.6461050000, -1.6948884000, -3.7493708000, -7.8264062000", \
"0.5822979000, 0.3968603000, 0.2365345000, -0.0549132000, -0.6028963000, -1.6533254000, -3.7094932000, -7.7874383000", \
"0.7164876000, 0.5298994000, 0.3668780000, 0.0688528000, -0.4860298000, -1.5440138000, -3.6073538000, -7.6904884000", \
"0.8718089000, 0.6831509000, 0.5174174000, 0.2138592000, -0.3512341000, -1.4202583000, -3.4929138000, -7.5823310000", \
"1.3541310000, 1.1517912000, 0.9723686000, 0.6516611000, 0.0645829000, -1.0373195000, -3.1412958000, -7.2565037000", \
"1.6083955000, 1.4037677000, 1.2214027000, 0.8853290000, 0.2851476000, -0.8302296000, -2.9505098000, -7.0797406000", \
"1.8575198000, 1.6517607000, 1.4666138000, 1.1250710000, 0.5100416000, -0.6195979000, -2.7552779000, -6.8996351000");
}
related_pin : "A1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2954546000, 0.3895952000, 0.5022788000, 0.7413654000, 1.2303134000, 2.2279484000, 4.2308763000, 8.243806100", \
"0.2971234000, 0.3913622000, 0.5039790000, 0.7432802000, 1.2321211000, 2.2301192000, 4.2327959000, 8.245486200", \
"0.2972346000, 0.3907540000, 0.5033818000, 0.7426060000, 1.2317971000, 2.2292471000, 4.2318406000, 8.244409000", \
"0.3004208000, 0.3919563000, 0.5057869000, 0.7444860000, 1.2335710000, 2.2281696000, 4.2349873000, 8.245812000", \
"0.3270394000, 0.4193562000, 0.5305750000, 0.7657410000, 1.2527157000, 2.2498715000, 4.2502292000, 8.262604900", \
"0.4007415000, 0.4872079000, 0.5933199000, 0.8230976000, 1.3039097000, 2.2946987000, 4.2901468000, 8.300162800", \
"0.5810786000, 0.6542952000, 0.7464092000, 0.9644810000, 1.4315648000, 2.4034323000, 4.3912531000, 8.393853200", \
"0.7578362000, 0.8270115000, 0.9186050000, 1.1182170000, 1.5762190000, 2.5345509000, 4.5061310000, 8.504853800", \
"1.2544795000, 1.3142691000, 1.3935310000, 1.5799633000, 2.0018131000, 2.9226720000, 4.8626035000, 8.825851700", \
"1.5110399000, 1.5666448000, 1.6441634000, 1.8225743000, 2.2375867000, 3.1386709000, 5.0637459000, 9.004311100", \
"1.7657180000, 1.8206838000, 1.8935951000, 2.0680016000, 2.4703382000, 3.3556669000, 5.2566356000, 9.189912500");
}
when : "(A0&!A2&A3&S0&!S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.5061621000, 0.3214930000, 0.1615196000, -0.1301724000, -0.6746697000, -1.7234009000, -3.7781833000, -7.8543776000", \
"0.5088676000, 0.3237197000, 0.1625074000, -0.1278698000, -0.6722435000, -1.7208681000, -3.7757505000, -7.8521919000", \
"0.5085385000, 0.3230575000, 0.1618954000, -0.1283951000, -0.6728042000, -1.7217908000, -3.7765005000, -7.8527411000", \
"0.5086960000, 0.3230511000, 0.1630542000, -0.1277331000, -0.6724074000, -1.7212692000, -3.7757660000, -7.8524914000", \
"0.5267012000, 0.3415206000, 0.1807680000, -0.1104963000, -0.6559111000, -1.7043720000, -3.7592218000, -7.8351744000", \
"0.5738034000, 0.3882433000, 0.2276707000, -0.0648271000, -0.6123650000, -1.6628143000, -3.7189467000, -7.7968249000", \
"0.7077363000, 0.5209301000, 0.3574093000, 0.0596599000, -0.4954376000, -1.5535979000, -3.6169891000, -7.6993877000", \
"0.8649394000, 0.6749796000, 0.5077777000, 0.2046991000, -0.3602116000, -1.4298218000, -3.5026084000, -7.5919731000", \
"1.3463424000, 1.1442393000, 0.9643450000, 0.6430388000, 0.0540167000, -1.0466264000, -3.1505167000, -7.2656630000", \
"1.5990438000, 1.3947945000, 1.2125491000, 0.8757298000, 0.2772766000, -0.8392569000, -2.9589734000, -7.0887138000", \
"1.8481373000, 1.6415571000, 1.4566546000, 1.1150761000, 0.5009118000, -0.6272085000, -2.7633907000, -6.9081868000");
}
related_pin : "A1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3029601000, 0.3983518000, 0.5121928000, 0.7502106000, 1.2418537000, 2.2381170000, 4.2390440000, 8.254646900", \
"0.3051853000, 0.4001124000, 0.5133225000, 0.7525132000, 1.2414508000, 2.2393210000, 4.2424492000, 8.255143500", \
"0.3042912000, 0.3994844000, 0.5126810000, 0.7519232000, 1.2412189000, 2.2386903000, 4.2414514000, 8.254052400", \
"0.3084488000, 0.4006924000, 0.5150196000, 0.7539267000, 1.2430517000, 2.2376515000, 4.2443987000, 8.255498600", \
"0.3349332000, 0.4280696000, 0.5398703000, 0.7753478000, 1.2628227000, 2.2567199000, 4.2596111000, 8.273238100", \
"0.4087630000, 0.4957462000, 0.6023672000, 0.8321989000, 1.3125410000, 2.3033517000, 4.2999294000, 8.313930400", \
"0.5901022000, 0.6635788000, 0.7543162000, 0.9731297000, 1.4403678000, 2.4125307000, 4.4006075000, 8.407533400", \
"0.7672159000, 0.8363642000, 0.9264309000, 1.1275738000, 1.5854869000, 2.5438470000, 4.5155086000, 8.514064800", \
"1.2633129000, 1.3236843000, 1.4031928000, 1.5894513000, 2.0111742000, 2.9317839000, 4.8725702000, 8.835474600", \
"1.5205445000, 1.5762084000, 1.6536090000, 1.8320842000, 2.2471105000, 3.1480781000, 5.0732097000, 9.013942700", \
"1.7752329000, 1.8302059000, 1.9031144000, 2.0775149000, 2.4798217000, 3.3604274000, 5.2660160000, 9.198866000");
}
when : "(A0&A2&!A3&S0&!S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.5152956000, 0.3295168000, 0.1701335000, -0.1203665000, -0.6648959000, -1.7139364000, -3.7684546000, -7.8446177000", \
"0.5176237000, 0.3317884000, 0.1731424000, -0.1180157000, -0.6630148000, -1.7117447000, -3.7665981000, -7.8430513000", \
"0.5169948000, 0.3319019000, 0.1709653000, -0.1191281000, -0.6636869000, -1.7122351000, -3.7670126000, -7.8437035000", \
"0.5168010000, 0.3317270000, 0.1720385000, -0.1180481000, -0.6627750000, -1.7117282000, -3.7663306000, -7.8429137000", \
"0.5353397000, 0.3494994000, 0.1893762000, -0.1004883000, -0.6461078000, -1.6948851000, -3.7493663000, -7.8263931000", \
"0.5822972000, 0.3968610000, 0.2365355000, -0.0549143000, -0.6028945000, -1.6533225000, -3.7094909000, -7.7874346000", \
"0.7162399000, 0.5300310000, 0.3663132000, 0.0688827000, -0.4859605000, -1.5441694000, -3.6074158000, -7.6901671000", \
"0.8718089000, 0.6831490000, 0.5174162000, 0.2138572000, -0.3512355000, -1.4202595000, -3.4929155000, -7.5823271000", \
"1.3541318000, 1.1517984000, 0.9723586000, 0.6521168000, 0.0645808000, -1.0373178000, -3.1412967000, -7.2564994000", \
"1.6083974000, 1.4037689000, 1.2214027000, 0.8853306000, 0.2851459000, -0.8302278000, -2.9505091000, -7.0797451000", \
"1.8575204000, 1.6517604000, 1.4666119000, 1.1250704000, 0.5100447000, -0.6196006000, -2.7552758000, -6.8996253000");
}
related_pin : "A1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2954731000, 0.3896035000, 0.5022990000, 0.7413384000, 1.2302988000, 2.2279007000, 4.2308947000, 8.243802900", \
"0.2971377000, 0.3913633000, 0.5039903000, 0.7432666000, 1.2321306000, 2.2300953000, 4.2328000000, 8.245432800", \
"0.2972556000, 0.3907553000, 0.5033813000, 0.7426031000, 1.2317869000, 2.2292505000, 4.2318555000, 8.244420600", \
"0.3004202000, 0.3919556000, 0.5057880000, 0.7444895000, 1.2335773000, 2.2281663000, 4.2349706000, 8.245804600", \
"0.3270388000, 0.4193545000, 0.5305792000, 0.7657443000, 1.2527181000, 2.2498550000, 4.2502221000, 8.262600800", \
"0.4007409000, 0.4872079000, 0.5933199000, 0.8230971000, 1.3039106000, 2.2946954000, 4.2901401000, 8.300181400", \
"0.5810797000, 0.6542956000, 0.7464085000, 0.9644797000, 1.4315642000, 2.4034311000, 4.3912577000, 8.393861500", \
"0.7578360000, 0.8270109000, 0.9186052000, 1.1182161000, 1.5762186000, 2.5345511000, 4.5061375000, 8.504846600", \
"1.2544799000, 1.3142679000, 1.3935324000, 1.5799638000, 2.0018175000, 2.9226856000, 4.8625881000, 8.825852500", \
"1.5110407000, 1.5666975000, 1.6441654000, 1.8225737000, 2.2375863000, 3.1386727000, 5.0637450000, 9.004322400", \
"1.7657199000, 1.8206850000, 1.8936003000, 2.0680033000, 2.4703381000, 3.3556666000, 5.2566374000, 9.189915300");
}
when : "(A0&A2&A3&S0&!S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4757737000, 0.2902193000, 0.1287938000, -0.1639304000, -0.7118065000, -1.7647951000, -3.8228967000, -7.9022965000", \
"0.4779296000, 0.2918329000, 0.1307753000, -0.1616397000, -0.7093347000, -1.7626763000, -3.8205222000, -7.9005112000", \
"0.4774759000, 0.2917611000, 0.1289800000, -0.1625694000, -0.7106191000, -1.7640711000, -3.8221763000, -7.9015239000", \
"0.4715536000, 0.2864661000, 0.1238140000, -0.1679709000, -0.7160392000, -1.7689159000, -3.8266215000, -7.9074069000", \
"0.4725245000, 0.2861090000, 0.1233212000, -0.1686821000, -0.7169005000, -1.7705157000, -3.8281611000, -7.9085300000", \
"0.4683444000, 0.2830645000, 0.1194694000, -0.1739722000, -0.7240446000, -1.7787736000, -3.8385432000, -7.9186549000", \
"0.5022454000, 0.3158187000, 0.1510439000, -0.1480708000, -0.7049077000, -1.7666216000, -3.8335266000, -7.9186571000", \
"0.5538470000, 0.3613323000, 0.1950229000, -0.1092891000, -0.6770295000, -1.7494063000, -3.8253771000, -7.9176043000", \
"0.7367898000, 0.5341296000, 0.3536938000, 0.0350709000, -0.5554395000, -1.6593482000, -3.7669294000, -7.8854240000", \
"0.8410727000, 0.6361176000, 0.4531622000, 0.1151794000, -0.4826633000, -1.6029958000, -3.7271847000, -7.8605115000", \
"0.9401155000, 0.7332144000, 0.5481412000, 0.2052671000, -0.4111870000, -1.5445246000, -3.6843798000, -7.8327090000");
}
related_pin : "A2";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2301722000, 0.3209988000, 0.4335206000, 0.6695611000, 1.1575020000, 2.1521113000, 4.1550262000, 8.168443400", \
"0.2292656000, 0.3224022000, 0.4344092000, 0.6713505000, 1.1585894000, 2.1553803000, 4.1563034000, 8.170168600", \
"0.2301385000, 0.3210389000, 0.4323253000, 0.6707946000, 1.1586783000, 2.1516568000, 4.1591599000, 8.168146400", \
"0.2246709000, 0.3175833000, 0.4294166000, 0.6664900000, 1.1536138000, 2.1502961000, 4.1511998000, 8.166030400", \
"0.2358110000, 0.3268052000, 0.4369129000, 0.6718633000, 1.1561078000, 2.1529089000, 4.1514484000, 8.168342500", \
"0.2604475000, 0.3463645000, 0.4510448000, 0.6798255000, 1.1594696000, 2.1511879000, 4.1503491000, 8.157003200", \
"0.3481037000, 0.4214062000, 0.5146178000, 0.7329378000, 1.1973103000, 2.1701615000, 4.1545300000, 8.158753100", \
"0.4215335000, 0.4918883000, 0.5812324000, 0.7804927000, 1.2365146000, 2.1934437000, 4.1658382000, 8.164774500", \
"0.6271345000, 0.6876371000, 0.7653532000, 0.9524559000, 1.3751056000, 2.2925862000, 4.2297760000, 8.190538900", \
"0.7330143000, 0.7902076000, 0.8672909000, 1.0452633000, 1.4594328000, 2.3578076000, 4.2815533000, 8.219123200", \
"0.8381407000, 0.8943438000, 0.9681927000, 1.1432924000, 1.5410873000, 2.4219868000, 4.3266131000, 8.256394100");
}
when : "(!A0&!A1&!A3&!S0&S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4758269000, 0.2902083000, 0.1288768000, -0.1639679000, -0.7118374000, -1.7647609000, -3.8228814000, -7.9023285000", \
"0.4778593000, 0.2924722000, 0.1307920000, -0.1616532000, -0.7093400000, -1.7626662000, -3.8205359000, -7.9004668000", \
"0.4774855000, 0.2917640000, 0.1289831000, -0.1625741000, -0.7106117000, -1.7640788000, -3.8221667000, -7.9014995000", \
"0.4715208000, 0.2864647000, 0.1238135000, -0.1679564000, -0.7160369000, -1.7689134000, -3.8266066000, -7.9073669000", \
"0.4725245000, 0.2861082000, 0.1233319000, -0.1686805000, -0.7169019000, -1.7705099000, -3.8281410000, -7.9085176000", \
"0.4683498000, 0.2830673000, 0.1190405000, -0.1739752000, -0.7240405000, -1.7787649000, -3.8385306000, -7.9186209000", \
"0.5022416000, 0.3158189000, 0.1510437000, -0.1480700000, -0.7049062000, -1.7666112000, -3.8335224000, -7.9187782000", \
"0.5513884000, 0.3613438000, 0.1950445000, -0.1092730000, -0.6770199000, -1.7493853000, -3.8253532000, -7.9175779000", \
"0.7353806000, 0.5329441000, 0.3529660000, 0.0343419000, -0.5545279000, -1.6596543000, -3.7672145000, -7.8855524000", \
"0.8407645000, 0.6358221000, 0.4528727000, 0.1147330000, -0.4848752000, -1.6039894000, -3.7283127000, -7.8612281000", \
"0.9401172000, 0.7332173000, 0.5481418000, 0.2052672000, -0.4111856000, -1.5445177000, -3.6843747000, -7.8326939000");
}
related_pin : "A2";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2301664000, 0.3209952000, 0.4335217000, 0.6695657000, 1.1574926000, 2.1520888000, 4.1549880000, 8.168430400", \
"0.2292721000, 0.3224034000, 0.4344169000, 0.6713325000, 1.1585881000, 2.1553791000, 4.1562984000, 8.170066900", \
"0.2301294000, 0.3210369000, 0.4323243000, 0.6707890000, 1.1586775000, 2.1516141000, 4.1591145000, 8.168080400", \
"0.2246652000, 0.3175814000, 0.4294150000, 0.6664885000, 1.1536056000, 2.1502719000, 4.1511748000, 8.166013600", \
"0.2357876000, 0.3268053000, 0.4369114000, 0.6718547000, 1.1561037000, 2.1528882000, 4.1514268000, 8.168241200", \
"0.2604570000, 0.3463710000, 0.4510479000, 0.6798222000, 1.1594505000, 2.1511800000, 4.1502919000, 8.157656600", \
"0.3481065000, 0.4214138000, 0.5146219000, 0.7329380000, 1.1972592000, 2.1701538000, 4.1545514000, 8.158721100", \
"0.4215390000, 0.4918882000, 0.5812503000, 0.7804956000, 1.2365141000, 2.1934359000, 4.1658198000, 8.164733700", \
"0.6271393000, 0.6876466000, 0.7653509000, 0.9524747000, 1.3751077000, 2.2925875000, 4.2297791000, 8.190502900", \
"0.7330238000, 0.7902307000, 0.8672953000, 1.0452673000, 1.4594341000, 2.3578114000, 4.2814468000, 8.219091000", \
"0.8381431000, 0.8943521000, 0.9681954000, 1.1433014000, 1.5410889000, 2.4219888000, 4.3264352000, 8.256356900");
}
when : "(!A0&!A1&A3&!S0&S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4757583000, 0.2902332000, 0.1287972000, -0.1639229000, -0.7117982000, -1.7647783000, -3.8228604000, -7.9022240000", \
"0.4781827000, 0.2918301000, 0.1307897000, -0.1616345000, -0.7093262000, -1.7626566000, -3.8204687000, -7.9004101000", \
"0.4774843000, 0.2917731000, 0.1289868000, -0.1625636000, -0.7105948000, -1.7640599000, -3.8221293000, -7.9014488000", \
"0.4715511000, 0.2864689000, 0.1238204000, -0.1679560000, -0.7160258000, -1.7689074000, -3.8265851000, -7.9073086000", \
"0.4725303000, 0.2861152000, 0.1233330000, -0.1686713000, -0.7168850000, -1.7704943000, -3.8281296000, -7.9084529000", \
"0.4683542000, 0.2830723000, 0.1194781000, -0.1739623000, -0.7240274000, -1.7787515000, -3.8385124000, -7.9185743000", \
"0.5022568000, 0.3158298000, 0.1510562000, -0.1480561000, -0.7048893000, -1.7665959000, -3.8334853000, -7.9185798000", \
"0.5513995000, 0.3613615000, 0.1950400000, -0.1092575000, -0.6769995000, -1.7493678000, -3.8253273000, -7.9175254000", \
"0.7354056000, 0.5329672000, 0.3529918000, 0.0343685000, -0.5544970000, -1.6596178000, -3.7671704000, -7.8854829000", \
"0.8407966000, 0.6358529000, 0.4529058000, 0.1147632000, -0.4848406000, -1.6039445000, -3.7282349000, -7.8611494000", \
"0.9402820000, 0.7332570000, 0.5481786000, 0.2053041000, -0.4111444000, -1.5444719000, -3.6843156000, -7.8326170000");
}
related_pin : "A2";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2301729000, 0.3210060000, 0.4335215000, 0.6695515000, 1.1575133000, 2.1521684000, 4.1550807000, 8.168453400", \
"0.2292535000, 0.3224019000, 0.4344060000, 0.6713670000, 1.1585950000, 2.1554096000, 4.1563183000, 8.170296500", \
"0.2301423000, 0.3210391000, 0.4323290000, 0.6708017000, 1.1586942000, 2.1516922000, 4.1592128000, 8.168209300", \
"0.2246682000, 0.3175849000, 0.4294219000, 0.6664964000, 1.1536255000, 2.1503467000, 4.1512379000, 8.166088800", \
"0.2357927000, 0.3268081000, 0.4369164000, 0.6718676000, 1.1561205000, 2.1529632000, 4.1514874000, 8.168462100", \
"0.2604348000, 0.3463596000, 0.4510469000, 0.6798319000, 1.1594870000, 2.1512173000, 4.1504241000, 8.157061400", \
"0.3481122000, 0.4214161000, 0.5146272000, 0.7329488000, 1.1973254000, 2.1701852000, 4.1545794000, 8.158825000", \
"0.4215486000, 0.4918979000, 0.5812448000, 0.7805036000, 1.2365325000, 2.1934688000, 4.1658827000, 8.157884500", \
"0.6271706000, 0.6876672000, 0.7653899000, 0.9524835000, 1.3751369000, 2.2936281000, 4.2299537000, 8.191351800", \
"0.7330438000, 0.7902391000, 0.8673216000, 1.0452939000, 1.4594624000, 2.3578401000, 4.2816094000, 8.219210600", \
"0.8381764000, 0.8943805000, 0.9682306000, 1.1433299000, 1.5411265000, 2.4220286000, 4.3266711000, 8.256479000");
}
when : "(!A0&A1&!A3&!S0&S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4071293000, 0.2229504000, 0.0639355000, -0.2262838000, -0.7691470000, -1.8158564000, -3.8687484000, -7.9441273000", \
"0.4097386000, 0.2251770000, 0.0660768000, -0.2242497000, -0.7673720000, -1.8138076000, -3.8667935000, -7.9420063000", \
"0.4089852000, 0.2242620000, 0.0647614000, -0.2251463000, -0.7687062000, -1.8149878000, -3.8679465000, -7.9437325000", \
"0.4033442000, 0.2185397000, 0.0599724000, -0.2311001000, -0.7744099000, -1.8212764000, -3.8739675000, -7.9500032000", \
"0.4027744000, 0.2189967000, 0.0580808000, -0.2316443000, -0.7757080000, -1.8227112000, -3.8754435000, -7.9510133000", \
"0.4020146000, 0.2165982000, 0.0567772000, -0.2351280000, -0.7822059000, -1.8311178000, -3.8857937000, -7.9620718000", \
"0.4418927000, 0.2556501000, 0.0926086000, -0.2058973000, -0.7612502000, -1.8188768000, -3.8818606000, -7.9641662000", \
"0.4974097000, 0.3092768000, 0.1420232000, -0.1631492000, -0.7290262000, -1.7986481000, -3.8720125000, -7.9618545000", \
"0.6956673000, 0.4944535000, 0.3142430000, -0.0110319000, -0.6017221000, -1.7046270000, -3.8107375000, -7.9280250000", \
"0.7978522000, 0.5932740000, 0.4108320000, 0.0741190000, -0.5301477000, -1.6484192000, -3.7716336000, -7.9037460000", \
"0.8973388000, 0.6902325000, 0.5056140000, 0.1639728000, -0.4551711000, -1.5870161000, -3.7265949000, -7.8741507000");
}
related_pin : "A0";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2606389000, 0.3561071000, 0.4702928000, 0.7081325000, 1.1966224000, 2.1954359000, 4.1959598000, 8.211682300", \
"0.2638866000, 0.3571491000, 0.4706486000, 0.7105675000, 1.1997139000, 2.1932139000, 4.1994769000, 8.212657400", \
"0.2595195000, 0.3564372000, 0.4699585000, 0.7093116000, 1.1979748000, 2.1953402000, 4.1977218000, 8.210660800", \
"0.2587290000, 0.3524978000, 0.4662013000, 0.7038926000, 1.1928149000, 2.1905841000, 4.1921309000, 8.206978400", \
"0.2670621000, 0.3611014000, 0.4738092000, 0.7077711000, 1.1964993000, 2.1916912000, 4.1905709000, 8.207048100", \
"0.2932868000, 0.3803123000, 0.4882829000, 0.7180159000, 1.1986591000, 2.1866125000, 4.1849095000, 8.195267000", \
"0.3820276000, 0.4551844000, 0.5482269000, 0.7674039000, 1.2341236000, 2.2078535000, 4.1918965000, 8.198473700", \
"0.4568842000, 0.5275853000, 0.6167567000, 0.8160696000, 1.2721580000, 2.2298838000, 4.2022243000, 8.201895500", \
"0.6666615000, 0.7251501000, 0.8050489000, 0.9918583000, 1.4159687000, 2.3335655000, 4.2702170000, 8.230647900", \
"0.7743932000, 0.8313155000, 0.9075534000, 1.0850921000, 1.4986444000, 2.3922668000, 4.3158594000, 8.256524700", \
"0.8816941000, 0.9365534000, 1.0107267000, 1.1848216000, 1.5840726000, 2.4609220000, 4.3648124000, 8.292099000");
}
when : "(!A1&!A2&!A3&!S0&!S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4071267000, 0.2232129000, 0.0639353000, -0.2262667000, -0.7691256000, -1.8158486000, -3.8686784000, -7.9439977000", \
"0.4097542000, 0.2251651000, 0.0660809000, -0.2242317000, -0.7671608000, -1.8137814000, -3.8667384000, -7.9419922000", \
"0.4089924000, 0.2242622000, 0.0647693000, -0.2251327000, -0.7686831000, -1.8149672000, -3.8678987000, -7.9436413000", \
"0.4033457000, 0.2185494000, 0.0599772000, -0.2310928000, -0.7743991000, -1.8212556000, -3.8739338000, -7.9499114000", \
"0.4027685000, 0.2190061000, 0.0580884000, -0.2316340000, -0.7756933000, -1.8226910000, -3.8754129000, -7.9509505000", \
"0.4020236000, 0.2166064000, 0.0567868000, -0.2351169000, -0.7821906000, -1.8310923000, -3.8857548000, -7.9620027000", \
"0.4419046000, 0.2556627000, 0.0926213000, -0.2058838000, -0.7612328000, -1.8188486000, -3.8818215000, -7.9640859000", \
"0.4974257000, 0.3092935000, 0.1420401000, -0.1631316000, -0.7290041000, -1.7986133000, -3.8719677000, -7.9617733000", \
"0.6956937000, 0.4944790000, 0.3142694000, -0.0110054000, -0.6016917000, -1.7045881000, -3.8106838000, -7.9279377000", \
"0.7978858000, 0.5933071000, 0.4108644000, 0.0741516000, -0.5301145000, -1.6483742000, -3.7715748000, -7.9036518000", \
"0.8973875000, 0.6905352000, 0.5056145000, 0.1636177000, -0.4545194000, -1.5866923000, -3.7262295000, -7.8735416000");
}
related_pin : "A0";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2606372000, 0.3561064000, 0.4702991000, 0.7081466000, 1.1966322000, 2.1954948000, 4.1960119000, 8.211769100", \
"0.2638843000, 0.3571464000, 0.4706494000, 0.7105747000, 1.1997246000, 2.1932420000, 4.1994881000, 8.212711100", \
"0.2596481000, 0.3564361000, 0.4699609000, 0.7093236000, 1.1979825000, 2.1953675000, 4.1977499000, 8.210742300", \
"0.2587182000, 0.3525042000, 0.4662027000, 0.7038922000, 1.1928280000, 2.1906321000, 4.1921741000, 8.207033600", \
"0.2670541000, 0.3611102000, 0.4743596000, 0.7077841000, 1.1965175000, 2.1917167000, 4.1906015000, 8.207180200", \
"0.2932831000, 0.3803151000, 0.4882864000, 0.7180238000, 1.1986717000, 2.1866318000, 4.1849658000, 8.195329100", \
"0.3820359000, 0.4551925000, 0.5482352000, 0.7674142000, 1.2341385000, 2.2078796000, 4.1919335000, 8.198543400", \
"0.4568585000, 0.5276095000, 0.6167638000, 0.8160872000, 1.2721781000, 2.2299104000, 4.2022611000, 8.201970000", \
"0.6666779000, 0.7251755000, 0.8050729000, 0.9918819000, 1.4159959000, 2.3335825000, 4.2702815000, 8.230735800", \
"0.7744256000, 0.8313468000, 0.9075863000, 1.0851226000, 1.4986781000, 2.3923050000, 4.3159293000, 8.256554800", \
"0.8817137000, 0.9365856000, 1.0107567000, 1.1848609000, 1.5841043000, 2.4603341000, 4.3648734000, 8.292256000");
}
when : "(!A1&!A2&A3&!S0&!S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4140202000, 0.2300520000, 0.0714315000, -0.2180874000, -0.7606294000, -1.8072910000, -3.8602393000, -7.9355527000", \
"0.4168726000, 0.2324126000, 0.0733471000, -0.2158774000, -0.7584705000, -1.8052625000, -3.8580547000, -7.9336119000", \
"0.4159536000, 0.2313333000, 0.0721946000, -0.2170401000, -0.7596094000, -1.8063966000, -3.8592925000, -7.9350970000", \
"0.4098959000, 0.2262653000, 0.0662844000, -0.2230335000, -0.7659078000, -1.8125010000, -3.8652559000, -7.9411205000", \
"0.4095278000, 0.2260594000, 0.0654197000, -0.2234854000, -0.7672047000, -1.8141460000, -3.8668614000, -7.9423981000", \
"0.4086492000, 0.2237068000, 0.0642985000, -0.2269837000, -0.7734680000, -1.8220147000, -3.8769133000, -7.9530320000", \
"0.4484501000, 0.2619590000, 0.0995628000, -0.1979871000, -0.7529559000, -1.8104738000, -3.8732507000, -7.9553509000", \
"0.5043864000, 0.3156599000, 0.1492203000, -0.1549668000, -0.7205203000, -1.7900969000, -3.8633057000, -7.9532642000", \
"0.7040035000, 0.5024031000, 0.3221528000, -0.0029128000, -0.5932401000, -1.6960748000, -3.8022280000, -7.9194062000", \
"0.8067785000, 0.6020971000, 0.4200763000, 0.0820846000, -0.5209937000, -1.6391266000, -3.7624224000, -7.8944911000", \
"0.9058515000, 0.6987367000, 0.5141228000, 0.1724686000, -0.4466982000, -1.5784927000, -3.7180406000, -7.8655665000");
}
related_pin : "A0";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2549483000, 0.3484327000, 0.4619130000, 0.6995628000, 1.1880454000, 2.1868198000, 4.1870423000, 8.203518400", \
"0.2566193000, 0.3492503000, 0.4623022000, 0.7020065000, 1.1910724000, 2.1846859000, 4.1906607000, 8.203293300", \
"0.2539894000, 0.3485457000, 0.4616011000, 0.7007552000, 1.1893834000, 2.1866690000, 4.1890119000, 8.201856900", \
"0.2515299000, 0.3445982000, 0.4578337000, 0.6953549000, 1.1842197000, 2.1819593000, 4.1834734000, 8.198222900", \
"0.2598702000, 0.3532209000, 0.4659756000, 0.6992502000, 1.1885802000, 2.1830558000, 4.1818850000, 8.198739100", \
"0.2861103000, 0.3726377000, 0.4800139000, 0.7095819000, 1.1901428000, 2.1779876000, 4.1762758000, 8.186476500", \
"0.3742992000, 0.4471661000, 0.5398230000, 0.7582185000, 1.2248564000, 2.1992898000, 4.1832910000, 8.189729600", \
"0.4482922000, 0.5187000000, 0.6078706000, 0.8067145000, 1.2635387000, 2.2215836000, 4.1937676000, 8.191819600", \
"0.6581191000, 0.7164879000, 0.7958431000, 0.9816076000, 1.4071019000, 2.3253185000, 4.2615404000, 8.221844800", \
"0.7655529000, 0.8224294000, 0.8986115000, 1.0761885000, 1.4886474000, 2.3834227000, 4.3069567000, 8.249039400", \
"0.8722038000, 0.9278230000, 1.0014202000, 1.1761179000, 1.5752071000, 2.4511542000, 4.3560143000, 8.283112600");
}
when : "(!A1&A2&!A3&!S0&!S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4140185000, 0.2300458000, 0.0714375000, -0.2180847000, -0.7606226000, -1.8072900000, -3.8602340000, -7.9355153000", \
"0.4168737000, 0.2324130000, 0.0733483000, -0.2158757000, -0.7584706000, -1.8052644000, -3.8580429000, -7.9336214000", \
"0.4159792000, 0.2313401000, 0.0721952000, -0.2170395000, -0.7596092000, -1.8063959000, -3.8592894000, -7.9350918000", \
"0.4098972000, 0.2262679000, 0.0662858000, -0.2230329000, -0.7659060000, -1.8125020000, -3.8652502000, -7.9411292000", \
"0.4095095000, 0.2260613000, 0.0654220000, -0.2234802000, -0.7672022000, -1.8141451000, -3.8668617000, -7.9423972000", \
"0.4086504000, 0.2237089000, 0.0643001000, -0.2269826000, -0.7734678000, -1.8220144000, -3.8769131000, -7.9530309000", \
"0.4484499000, 0.2619627000, 0.0995627000, -0.1979863000, -0.7529547000, -1.8104740000, -3.8732482000, -7.9553497000", \
"0.5043875000, 0.3156611000, 0.1492221000, -0.1549671000, -0.7205195000, -1.7900974000, -3.8633033000, -7.9532586000", \
"0.7040037000, 0.5024038000, 0.3221544000, -0.0029116000, -0.5932390000, -1.6960749000, -3.8022292000, -7.9194015000", \
"0.8067777000, 0.6020974000, 0.4200747000, 0.0820860000, -0.5209922000, -1.6391267000, -3.7624225000, -7.8944923000", \
"0.9058532000, 0.6987374000, 0.5141262000, 0.1724675000, -0.4466983000, -1.5784935000, -3.7180411000, -7.8655684000");
}
related_pin : "A0";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2548864000, 0.3484306000, 0.4619177000, 0.6995694000, 1.1880427000, 2.1867948000, 4.1870502000, 8.203554200", \
"0.2566196000, 0.3492498000, 0.4623055000, 0.7020054000, 1.1910727000, 2.1846710000, 4.1906314000, 8.203282200", \
"0.2540070000, 0.3485462000, 0.4616015000, 0.7007544000, 1.1893825000, 2.1866672000, 4.1890150000, 8.201855400", \
"0.2515316000, 0.3446006000, 0.4578325000, 0.6953511000, 1.1842208000, 2.1819671000, 4.1834762000, 8.198210100", \
"0.2598711000, 0.3532197000, 0.4659754000, 0.6992493000, 1.1885785000, 2.1830631000, 4.1818857000, 8.198721200", \
"0.2861094000, 0.3726371000, 0.4800129000, 0.7095811000, 1.1901418000, 2.1779864000, 4.1762726000, 8.186469900", \
"0.3742981000, 0.4471654000, 0.5398228000, 0.7582215000, 1.2248573000, 2.1992890000, 4.1832908000, 8.189726600", \
"0.4482859000, 0.5186875000, 0.6078786000, 0.8066966000, 1.2635435000, 2.2215832000, 4.1937710000, 8.191898100", \
"0.6581179000, 0.7164801000, 0.7958466000, 0.9816104000, 1.4071019000, 2.3253253000, 4.2615410000, 8.221844600", \
"0.7655520000, 0.8224312000, 0.8986148000, 1.0761926000, 1.4886502000, 2.3834416000, 4.3068862000, 8.249029900", \
"0.8722025000, 0.9278165000, 1.0014169000, 1.1761222000, 1.5751952000, 2.4511520000, 4.3560196000, 8.282547200");
}
when : "(!A1&A2&A3&!S0&!S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4763131000, 0.2902232000, 0.1288789000, -0.1639661000, -0.7118246000, -1.7647439000, -3.8228556000, -7.9023573000", \
"0.4780943000, 0.2918412000, 0.1308017000, -0.1616458000, -0.7093295000, -1.7626429000, -3.8204747000, -7.9003718000", \
"0.4774977000, 0.2917775000, 0.1289892000, -0.1625676000, -0.7105906000, -1.7640645000, -3.8221266000, -7.9014244000", \
"0.4715206000, 0.2864680000, 0.1238194000, -0.1679419000, -0.7160245000, -1.7688988000, -3.8265780000, -7.9072920000", \
"0.4725308000, 0.2861142000, 0.1233422000, -0.1686697000, -0.7170190000, -1.7704858000, -3.8280922000, -7.9084372000", \
"0.4683593000, 0.2830753000, 0.1190491000, -0.1739662000, -0.7240220000, -1.7787423000, -3.8384931000, -7.9185482000", \
"0.5022527000, 0.3158302000, 0.1510569000, -0.1480548000, -0.7048873000, -1.7665840000, -3.8334817000, -7.9186966000", \
"0.5514027000, 0.3613593000, 0.1950633000, -0.1092531000, -0.6769958000, -1.7493569000, -3.8253096000, -7.9174981000", \
"0.7354055000, 0.5329677000, 0.3529900000, 0.0343695000, -0.5544970000, -1.6596151000, -3.7671598000, -7.8854643000", \
"0.8407967000, 0.6358525000, 0.4529026000, 0.1147639000, -0.4848407000, -1.6039470000, -3.7282571000, -7.8611341000", \
"0.9405637000, 0.7329317000, 0.5480775000, 0.2052912000, -0.4114775000, -1.5444567000, -3.6843061000, -7.8325912000");
}
related_pin : "A2";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2301719000, 0.3210074000, 0.4335201000, 0.6695432000, 1.1575062000, 2.1521592000, 4.1550720000, 8.168417800", \
"0.2292380000, 0.3224016000, 0.4344123000, 0.6713566000, 1.1585911000, 2.1554292000, 4.1563143000, 8.170222600", \
"0.2301333000, 0.3210376000, 0.4323279000, 0.6707961000, 1.1586856000, 2.1516703000, 4.1592057000, 8.168159000", \
"0.2246645000, 0.3175835000, 0.4294211000, 0.6664926000, 1.1536175000, 2.1503035000, 4.1512294000, 8.166061700", \
"0.2357890000, 0.3268073000, 0.4369141000, 0.6718589000, 1.1561156000, 2.1529612000, 4.1514672000, 8.168341900", \
"0.2604377000, 0.3463616000, 0.4510476000, 0.6798289000, 1.1594755000, 2.1512202000, 4.1504384000, 8.157024500", \
"0.3481150000, 0.4214242000, 0.5146310000, 0.7329474000, 1.1972738000, 2.1701774000, 4.1546008000, 8.158790400", \
"0.4215560000, 0.4918986000, 0.5812651000, 0.7805057000, 1.2365323000, 2.1934612000, 4.1658634000, 8.157843600", \
"0.6272661000, 0.6876748000, 0.7653873000, 0.9524976000, 1.3751390000, 2.2936244000, 4.2299672000, 8.191307100", \
"0.7330539000, 0.7902597000, 0.8673261000, 1.0452976000, 1.4594655000, 2.3578453000, 4.2814989000, 8.219183500", \
"0.8381809000, 0.8943891000, 0.9682335000, 1.1433376000, 1.5411303000, 2.4220320000, 4.3264902000, 8.256446000");
}
when : "(!A0&A1&A3&!S0&S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4822361000, 0.2966623000, 0.1355956000, -0.1570100000, -0.7041874000, -1.7573751000, -3.8153629000, -7.8943155000", \
"0.4852059000, 0.2991367000, 0.1376101000, -0.1543502000, -0.7018458000, -1.7552026000, -3.8140750000, -7.8931760000", \
"0.4837407000, 0.2976013000, 0.1367027000, -0.1556242000, -0.7030747000, -1.7562460000, -3.8149470000, -7.8938852000", \
"0.4780184000, 0.2920447000, 0.1312129000, -0.1610324000, -0.7085559000, -1.7617949000, -3.8196862000, -7.8986467000", \
"0.4789958000, 0.2926560000, 0.1304169000, -0.1616254000, -0.7095999000, -1.7631380000, -3.8206612000, -7.9010254000", \
"0.4754372000, 0.2888387000, 0.1274449000, -0.1658586000, -0.7159770000, -1.7714658000, -3.8308230000, -7.9108577000", \
"0.5083377000, 0.3216961000, 0.1570554000, -0.1413174000, -0.6975762000, -1.7593994000, -3.8260253000, -7.9115142000", \
"0.5603274000, 0.3678938000, 0.2017426000, -0.1021415000, -0.6696537000, -1.7419812000, -3.8179169000, -7.9100754000", \
"0.7435250000, 0.5408398000, 0.3603647000, 0.0421243000, -0.5480770000, -1.6519250000, -3.7594754000, -7.8779037000", \
"0.8498333000, 0.6452052000, 0.4615411000, 0.1221904000, -0.4752900000, -1.5955618000, -3.7197165000, -7.8529821000", \
"0.9473837000, 0.7404592000, 0.5553447000, 0.2124525000, -0.4038494000, -1.5371082000, -3.6769338000, -7.8252036000");
}
related_pin : "A2";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2232851000, 0.3137202000, 0.4261379000, 0.6621507000, 1.1500489000, 2.1446515000, 4.1475256000, 8.160773600", \
"0.2225160000, 0.3151803000, 0.4270016000, 0.6639461000, 1.1511347000, 2.1477921000, 4.1486444000, 8.163307200", \
"0.2232056000, 0.3137838000, 0.4266965000, 0.6633731000, 1.1513797000, 2.1445171000, 4.1520663000, 8.161710500", \
"0.2177751000, 0.3103711000, 0.4220450000, 0.6590646000, 1.1461804000, 2.1428141000, 4.1436899000, 8.158406500", \
"0.2288622000, 0.3196068000, 0.4295635000, 0.6644699000, 1.1486576000, 2.1454609000, 4.1439165000, 8.160779900", \
"0.2548348000, 0.3408945000, 0.4448016000, 0.6731166000, 1.1522167000, 2.1438034000, 4.1428097000, 8.149264100", \
"0.3415123000, 0.4145345000, 0.5052409000, 0.7250584000, 1.1914848000, 2.1616247000, 4.1471186000, 8.153954000", \
"0.4145812000, 0.4847137000, 0.5737745000, 0.7725577000, 1.2290738000, 2.1866024000, 4.1574587000, 8.157202600", \
"0.6200185000, 0.6797051000, 0.7593822000, 0.9415828000, 1.3669591000, 2.2842684000, 4.2223940000, 8.184301700", \
"0.7255466000, 0.7827386000, 0.8598515000, 1.0377510000, 1.4519250000, 2.3502819000, 4.2739970000, 8.211495000", \
"0.8306706000, 0.8867500000, 0.9607146000, 1.1357955000, 1.5335630000, 2.4144526000, 4.3190559000, 8.248750300");
}
when : "(A0&!A1&!A3&!S0&S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4820647000, 0.2969060000, 0.1356858000, -0.1571387000, -0.7045159000, -1.7572611000, -3.8153544000, -7.8954411000", \
"0.4852019000, 0.2991336000, 0.1376155000, -0.1543406000, -0.7018419000, -1.7551938000, -3.8140684000, -7.8931421000", \
"0.4837373000, 0.2975924000, 0.1367089000, -0.1556164000, -0.7030712000, -1.7562380000, -3.8149352000, -7.8938748000", \
"0.4780134000, 0.2920410000, 0.1312158000, -0.1610347000, -0.7085553000, -1.7617793000, -3.8196686000, -7.8986379000", \
"0.4789958000, 0.2926557000, 0.1304212000, -0.1616225000, -0.7096006000, -1.7631315000, -3.8206402000, -7.9010210000", \
"0.4757585000, 0.2888392000, 0.1274465000, -0.1658562000, -0.7159759000, -1.7714654000, -3.8308124000, -7.9108436000", \
"0.5083374000, 0.3216955000, 0.1570540000, -0.1413167000, -0.6975775000, -1.7593907000, -3.8260153000, -7.9114896000", \
"0.5603284000, 0.3678951000, 0.2017416000, -0.1021390000, -0.6696533000, -1.7419712000, -3.8179024000, -7.9100576000", \
"0.7435269000, 0.5408401000, 0.3603656000, 0.0421265000, -0.5480752000, -1.6519219000, -3.7594735000, -7.8778864000", \
"0.8498314000, 0.6452080000, 0.4615585000, 0.1221926000, -0.4758009000, -1.5955802000, -3.7197092000, -7.8529707000", \
"0.9473833000, 0.7404612000, 0.5553482000, 0.2124536000, -0.4038511000, -1.5371056000, -3.6769280000, -7.8251863000");
}
related_pin : "A2";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2232831000, 0.3137168000, 0.4261383000, 0.6621555000, 1.1500397000, 2.1446148000, 4.1474930000, 8.160805600", \
"0.2225074000, 0.3151803000, 0.4270070000, 0.6639332000, 1.1511325000, 2.1477872000, 4.1486257000, 8.163227300", \
"0.2232057000, 0.3137886000, 0.4267469000, 0.6633722000, 1.1513649000, 2.1444722000, 4.1519642000, 8.161622800", \
"0.2177698000, 0.3103694000, 0.4220435000, 0.6590639000, 1.1461730000, 2.1427966000, 4.1436662000, 8.158358500", \
"0.2288575000, 0.3196063000, 0.4295625000, 0.6644625000, 1.1486528000, 2.1454414000, 4.1438967000, 8.160781000", \
"0.2548300000, 0.3408911000, 0.4448011000, 0.6731123000, 1.1524042000, 2.1437888000, 4.1428192000, 8.149301800", \
"0.3415180000, 0.4145437000, 0.5052468000, 0.7250579000, 1.1914781000, 2.1616176000, 4.1470965000, 8.153923000", \
"0.4145815000, 0.4847163000, 0.5737924000, 0.7725607000, 1.2290654000, 2.1865846000, 4.1574372000, 8.157162500", \
"0.6200182000, 0.6796733000, 0.7593915000, 0.9409509000, 1.3669365000, 2.2842889000, 4.2223889000, 8.184274300", \
"0.7255523000, 0.7827644000, 0.8598549000, 1.0377553000, 1.4519295000, 2.3502839000, 4.2738926000, 8.211469700", \
"0.8306738000, 0.8867572000, 0.9607203000, 1.1357988000, 1.5335719000, 2.4144589000, 4.3188798000, 8.248713900");
}
when : "(A0&!A1&A3&!S0&S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4823025000, 0.2973625000, 0.1355282000, -0.1569867000, -0.7041787000, -1.7573950000, -3.8152850000, -7.8950396000", \
"0.4852011000, 0.2991350000, 0.1376166000, -0.1543343000, -0.7018427000, -1.7552046000, -3.8140826000, -7.8931654000", \
"0.4837338000, 0.2975873000, 0.1367101000, -0.1556160000, -0.7030712000, -1.7562390000, -3.8149377000, -7.8939015000", \
"0.4780122000, 0.2920400000, 0.1312186000, -0.1610358000, -0.7085572000, -1.7617919000, -3.8196817000, -7.8986447000", \
"0.4789939000, 0.2926549000, 0.1304195000, -0.1616223000, -0.7095976000, -1.7631371000, -3.8206639000, -7.9010295000", \
"0.4754381000, 0.2888377000, 0.1274448000, -0.1658584000, -0.7159784000, -1.7714643000, -3.8308227000, -7.9108600000", \
"0.5083376000, 0.3216957000, 0.1570547000, -0.1413160000, -0.6975759000, -1.7593995000, -3.8260238000, -7.9115154000", \
"0.5603276000, 0.3678929000, 0.2017424000, -0.1021402000, -0.6696538000, -1.7419794000, -3.8179142000, -7.9100722000", \
"0.7435241000, 0.5408390000, 0.3603654000, 0.0421233000, -0.5480771000, -1.6519252000, -3.7594762000, -7.8779011000", \
"0.8498308000, 0.6431697000, 0.4602115000, 0.1221907000, -0.4752892000, -1.5955701000, -3.7197217000, -7.8529913000", \
"0.9474884000, 0.7404590000, 0.5553445000, 0.2124541000, -0.4038527000, -1.5371067000, -3.6769314000, -7.8252078000");
}
related_pin : "A2";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2232885000, 0.3137259000, 0.4261366000, 0.6621387000, 1.1500492000, 2.1446608000, 4.1475436000, 8.160720200", \
"0.2225082000, 0.3151792000, 0.4269968000, 0.6639558000, 1.1511301000, 2.1477775000, 4.1486338000, 8.163351800", \
"0.2232106000, 0.3137947000, 0.4251200000, 0.6633786000, 1.1513682000, 2.1444765000, 4.1518918000, 8.161638900", \
"0.2177747000, 0.3103727000, 0.4220460000, 0.6590672000, 1.1461811000, 2.1428151000, 4.1436902000, 8.158405200", \
"0.2288614000, 0.3196069000, 0.4295638000, 0.6644693000, 1.1486574000, 2.1454606000, 4.1439185000, 8.160760400", \
"0.2548300000, 0.3408893000, 0.4447988000, 0.6731149000, 1.1522139000, 2.1438015000, 4.1428169000, 8.149269800", \
"0.3415131000, 0.4145348000, 0.5052418000, 0.7250595000, 1.1914870000, 2.1616250000, 4.1471225000, 8.153960700", \
"0.4145817000, 0.4847139000, 0.5737761000, 0.7725560000, 1.2290734000, 2.1866009000, 4.1574570000, 8.157204900", \
"0.6200117000, 0.6796692000, 0.7593908000, 0.9409418000, 1.3669239000, 2.2843192000, 4.2224018000, 8.184303500", \
"0.7255473000, 0.7827407000, 0.8598493000, 1.0377507000, 1.4519250000, 2.3502818000, 4.2739979000, 8.211496000", \
"0.8306701000, 0.8867475000, 0.9607160000, 1.1357940000, 1.5335676000, 2.4144574000, 4.3190552000, 8.248749600");
}
when : "(A0&A1&!A3&!S0&S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4826236000, 0.2973582000, 0.1355830000, -0.1569800000, -0.7041890000, -1.7573838000, -3.8153392000, -7.8951262000", \
"0.4851973000, 0.2991342000, 0.1376188000, -0.1543290000, -0.7018430000, -1.7552013000, -3.8140825000, -7.8931393000", \
"0.4837309000, 0.2975795000, 0.1367155000, -0.1556112000, -0.7030676000, -1.7564816000, -3.8142749000, -7.8938919000", \
"0.4780112000, 0.2920424000, 0.1312191000, -0.1610374000, -0.7085583000, -1.7617757000, -3.8196664000, -7.8986351000", \
"0.4789948000, 0.2926546000, 0.1304238000, -0.1616202000, -0.7095988000, -1.7631298000, -3.8206422000, -7.9010173000", \
"0.4757585000, 0.2888393000, 0.1274466000, -0.1658566000, -0.7159772000, -1.7714658000, -3.8308090000, -7.9108398000", \
"0.5083369000, 0.3216960000, 0.1570527000, -0.1413159000, -0.6975766000, -1.7593904000, -3.8260130000, -7.9114943000", \
"0.5603273000, 0.3678946000, 0.2017413000, -0.1021388000, -0.6696529000, -1.7419688000, -3.8179031000, -7.9100544000", \
"0.7435241000, 0.5408413000, 0.3603657000, 0.0421251000, -0.5480736000, -1.6519229000, -3.7594699000, -7.8778842000", \
"0.8498291000, 0.6452078000, 0.4615523000, 0.1239604000, -0.4738179000, -1.5955538000, -3.7197046000, -7.8529657000", \
"0.9474908000, 0.7404609000, 0.5553464000, 0.2124544000, -0.4038489000, -1.5371068000, -3.6769245000, -7.8251824000");
}
related_pin : "A2";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2232877000, 0.3137224000, 0.4261378000, 0.6621474000, 1.1500399000, 2.1446342000, 4.1475049000, 8.160779100", \
"0.2225153000, 0.3151803000, 0.4270037000, 0.6639407000, 1.1511291000, 2.1477773000, 4.1486190000, 8.163254500", \
"0.2231803000, 0.3137930000, 0.4251225000, 0.6633739000, 1.1513050000, 2.1443286000, 4.1515334000, 8.160943400", \
"0.2177706000, 0.3103704000, 0.4220448000, 0.6590642000, 1.1461727000, 2.1427981000, 4.1436656000, 8.158353700", \
"0.2288563000, 0.3196060000, 0.4295626000, 0.6644616000, 1.1486527000, 2.1454391000, 4.1438983000, 8.160772800", \
"0.2548257000, 0.3408885000, 0.4447990000, 0.6731107000, 1.1524063000, 2.1437908000, 4.1428291000, 8.149307500", \
"0.3415188000, 0.4145446000, 0.5052458000, 0.7250578000, 1.1914812000, 2.1616178000, 4.1470992000, 8.153924500", \
"0.4145816000, 0.4847148000, 0.5737935000, 0.7725597000, 1.2290664000, 2.1865850000, 4.1574347000, 8.157160600", \
"0.6200126000, 0.6800308000, 0.7593991000, 0.9409278000, 1.3669054000, 2.2843577000, 4.2223922000, 8.184271800", \
"0.7255507000, 0.7827647000, 0.8598525000, 1.0377542000, 1.4519284000, 2.3502850000, 4.2738887000, 8.211468100", \
"0.8306739000, 0.8867551000, 0.9607188000, 1.1357990000, 1.5335732000, 2.4144595000, 4.3188791000, 8.248717600");
}
when : "(A0&A1&A3&!S0&S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.6017380000, 0.4157139000, 0.2541597000, -0.0395336000, -0.5868316000, -1.6411058000, -3.7007048000, -7.7812484000", \
"0.6033253000, 0.4177143000, 0.2554621000, -0.0376525000, -0.5853330000, -1.6395686000, -3.6985249000, -7.7784640000", \
"0.6038828000, 0.4167365000, 0.2543610000, -0.0375431000, -0.5863198000, -1.6397351000, -3.6984789000, -7.7795757000", \
"0.6041297000, 0.4178722000, 0.2552876000, -0.0372882000, -0.5860736000, -1.6395247000, -3.6979268000, -7.7794058000", \
"0.6210550000, 0.4341876000, 0.2720739000, -0.0214467000, -0.5699083000, -1.6242817000, -3.6831138000, -7.7635135000", \
"0.6652823000, 0.4789391000, 0.3154879000, 0.0213538000, -0.5288838000, -1.5843285000, -3.6445805000, -7.7253938000", \
"0.7938974000, 0.6053534000, 0.4402529000, 0.1419877000, -0.4153632000, -1.4776303000, -3.5445251000, -7.6307045000", \
"0.9443122000, 0.7558795000, 0.5882273000, 0.2848007000, -0.2825530000, -1.3550778000, -3.4312036000, -7.5237198000", \
"1.4166200000, 1.2145271000, 1.0344649000, 0.7181187000, 0.1287383000, -0.9747784000, -3.0817978000, -7.1998800000", \
"1.6733000000, 1.4683679000, 1.2854153000, 0.9491011000, 0.3500127000, -0.7683396000, -2.8914297000, -7.0239002000", \
"1.9248033000, 1.7175564000, 1.5322747000, 1.1893489000, 0.5742404000, -0.5576658000, -2.6964642000, -6.8437275000");
}
related_pin : "A3";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2844046000, 0.3746060000, 0.4853653000, 0.7236991000, 1.2114625000, 2.2079988000, 4.2112860000, 8.221623100", \
"0.2857027000, 0.3767261000, 0.4879920000, 0.7246032000, 1.2123956000, 2.2072834000, 4.2102096000, 8.224834600", \
"0.2856609000, 0.3757424000, 0.4864909000, 0.7248743000, 1.2129489000, 2.2086545000, 4.2110174000, 8.222961200", \
"0.2865362000, 0.3773424000, 0.4885471000, 0.7251266000, 1.2130622000, 2.2077931000, 4.2108810000, 8.225326500", \
"0.3100995000, 0.4016660000, 0.5113347000, 0.7461026000, 1.2322523000, 2.2268385000, 4.2284940000, 8.239057400", \
"0.3822077000, 0.4661025000, 0.5718888000, 0.8008411000, 1.2803998000, 2.2706842000, 4.2653585000, 8.280698600", \
"0.5561662000, 0.6312212000, 0.7235554000, 0.9408691000, 1.4074776000, 2.3788973000, 4.3642575000, 8.370871000", \
"0.7325935000, 0.8035177000, 0.8925914000, 1.0919552000, 1.5488719000, 2.5068232000, 4.4793835000, 8.475391500", \
"1.2268416000, 1.2858348000, 1.3659357000, 1.5521733000, 1.9775707000, 2.8974766000, 4.8325470000, 8.795706600", \
"1.4821284000, 1.5399846000, 1.6162762000, 1.7955549000, 2.2095033000, 3.1085531000, 5.0335387000, 8.972327700", \
"1.7380902000, 1.7930907000, 1.8659189000, 2.0387256000, 2.4431122000, 3.3233794000, 5.2291044000, 9.158661500");
}
when : "(!A0&!A1&!A2&S0&S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.6016860000, 0.4157579000, 0.2542736000, -0.0395793000, -0.5874252000, -1.6416079000, -3.7006478000, -7.7799620000", \
"0.6033997000, 0.4176759000, 0.2556911000, -0.0376210000, -0.5853222000, -1.6395515000, -3.6983800000, -7.7788674000", \
"0.6040311000, 0.4167547000, 0.2545462000, -0.0375691000, -0.5863306000, -1.6397819000, -3.6985125000, -7.7795366000", \
"0.6040662000, 0.4178736000, 0.2552853000, -0.0372918000, -0.5860691000, -1.6395255000, -3.6979128000, -7.7793857000", \
"0.6210653000, 0.4341888000, 0.2720701000, -0.0214485000, -0.5699052000, -1.6242737000, -3.6830911000, -7.7634970000", \
"0.6652830000, 0.4789372000, 0.3154878000, 0.0213519000, -0.5288808000, -1.5843217000, -3.6445704000, -7.7253728000", \
"0.7938849000, 0.6053670000, 0.4402458000, 0.1419913000, -0.4153534000, -1.4776284000, -3.5451643000, -7.6306791000", \
"0.9443325000, 0.7558811000, 0.5886835000, 0.2848077000, -0.2825507000, -1.3550681000, -3.4311951000, -7.5236915000", \
"1.4165447000, 1.2154304000, 1.0358086000, 0.7186695000, 0.1305099000, -0.9743881000, -3.0817220000, -7.1998767000", \
"1.6736672000, 1.4683281000, 1.2854835000, 0.9492975000, 0.3498054000, -0.7681881000, -2.8912164000, -7.0236404000", \
"1.9272536000, 1.7205332000, 1.5351557000, 1.1922102000, 0.5779362000, -0.5550221000, -2.6946223000, -6.8424232000");
}
related_pin : "A3";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2844419000, 0.3746102000, 0.4853708000, 0.7236991000, 1.2114699000, 2.2079860000, 4.2110868000, 8.221614200", \
"0.2856953000, 0.3767181000, 0.4880014000, 0.7246052000, 1.2127729000, 2.2072795000, 4.2101885000, 8.224789700", \
"0.2856631000, 0.3757442000, 0.4864935000, 0.7248631000, 1.2129517000, 2.2086455000, 4.2110075000, 8.222894800", \
"0.2865369000, 0.3773448000, 0.4885488000, 0.7251054000, 1.2130570000, 2.2077804000, 4.2108706000, 8.225281600", \
"0.3102300000, 0.4016767000, 0.5113410000, 0.7461036000, 1.2322545000, 2.2268289000, 4.2284736000, 8.239011300", \
"0.3822101000, 0.4661033000, 0.5718921000, 0.8008455000, 1.2803987000, 2.2706341000, 4.2653396000, 8.280661200", \
"0.5569906000, 0.6312254000, 0.7235426000, 0.9408750000, 1.4074737000, 2.3788938000, 4.3642474000, 8.370831000", \
"0.7326016000, 0.8035283000, 0.8926145000, 1.0919677000, 1.5488758000, 2.5068124000, 4.4793620000, 8.475347800", \
"1.2268690000, 1.2858510000, 1.3659665000, 1.5521993000, 1.9775799000, 2.8974919000, 4.8325261000, 8.795675500", \
"1.4824463000, 1.5399967000, 1.6162927000, 1.7955572000, 2.2095108000, 3.1085477000, 5.0334408000, 8.972305700", \
"1.7380978000, 1.7930965000, 1.8659259000, 2.0387351000, 2.4431176000, 3.3233870000, 5.2289319000, 9.158623300");
}
when : "(!A0&!A1&A2&S0&S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.6086924000, 0.4226761000, 0.2602793000, -0.0322394000, -0.5798429000, -1.6340933000, -3.6930127000, -7.7736142000", \
"0.6106178000, 0.4249660000, 0.2622091000, -0.0288904000, -0.5783476000, -1.6321625000, -3.6912480000, -7.7710702000", \
"0.6107510000, 0.4233239000, 0.2621330000, -0.0303534000, -0.5785911000, -1.6327793000, -3.6911363000, -7.7720856000", \
"0.6115043000, 0.4248861000, 0.2617145000, -0.0299096000, -0.5785378000, -1.6322910000, -3.6910679000, -7.7714972000", \
"0.6270614000, 0.4415844000, 0.2785565000, -0.0134976000, -0.5621904000, -1.6163521000, -3.6758627000, -7.7556284000", \
"0.6720813000, 0.4850615000, 0.3229259000, 0.0288558000, -0.5214727000, -1.5778262000, -3.6383580000, -7.7187970000", \
"0.8008599000, 0.6126594000, 0.4472818000, 0.1490146000, -0.4077004000, -1.4700759000, -3.5371436000, -7.6231893000", \
"0.9501372000, 0.7622083000, 0.5956764000, 0.2917271000, -0.2757214000, -1.3477862000, -3.4238225000, -7.5164200000", \
"1.4236737000, 1.2224483000, 1.0428322000, 0.7259451000, 0.1379293000, -0.9669614000, -3.0742878000, -7.1924025000", \
"1.6809009000, 1.4756333000, 1.2927042000, 0.9565283000, 0.3572026000, -0.7607780000, -2.8838003000, -7.0161943000", \
"1.9316700000, 1.7245226000, 1.5396427000, 1.1965879000, 0.5816514000, -0.5502585000, -2.6890602000, -6.8361831000");
}
related_pin : "A3";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2776594000, 0.3673170000, 0.4796996000, 0.7163056000, 1.2043559000, 2.1981578000, 4.2050899000, 8.215930600", \
"0.2793766000, 0.3691138000, 0.4811074000, 0.7172452000, 1.2053914000, 2.1997386000, 4.2025972000, 8.220518100", \
"0.2787762000, 0.3685896000, 0.4791618000, 0.7174883000, 1.2056463000, 2.2012943000, 4.2036018000, 8.215004500", \
"0.2797670000, 0.3702118000, 0.4812007000, 0.7176900000, 1.2056187000, 2.2003134000, 4.2033687000, 8.217714800", \
"0.3048564000, 0.3940623000, 0.5042437000, 0.7389987000, 1.2251380000, 2.2162911000, 4.2213279000, 8.231430900", \
"0.3755325000, 0.4594557000, 0.5646035000, 0.7934022000, 1.2728900000, 2.2636023000, 4.2573453000, 8.273315300", \
"0.5490238000, 0.6240878000, 0.7157968000, 0.9336620000, 1.4001900000, 2.3716187000, 4.3570387000, 8.363524800", \
"0.7261657000, 0.7960105000, 0.8853003000, 1.0847712000, 1.5415844000, 2.5000609000, 4.4720290000, 8.467924100", \
"1.2194823000, 1.2786195000, 1.3578551000, 1.5449302000, 1.9702535000, 2.8901088000, 4.8251708000, 8.785974100", \
"1.4753309000, 1.5326678000, 1.6089746000, 1.7882145000, 2.2021437000, 3.1011819000, 5.0261389000, 8.966121500", \
"1.7307404000, 1.7857485000, 1.8584902000, 2.0313873000, 2.4357303000, 3.3160019000, 5.2217045000, 9.152589400");
}
when : "(!A0&A1&!A2&S0&S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.6089279000, 0.4226198000, 0.2601325000, -0.0321392000, -0.5799935000, -1.6339599000, -3.6928877000, -7.7739151000", \
"0.6105973000, 0.4249750000, 0.2625647000, -0.0289041000, -0.5782106000, -1.6321684000, -3.6911329000, -7.7711134000", \
"0.6102626000, 0.4234095000, 0.2620044000, -0.0303191000, -0.5784334000, -1.6327055000, -3.6912100000, -7.7719493000", \
"0.6117104000, 0.4248837000, 0.2616919000, -0.0298860000, -0.5785163000, -1.6323062000, -3.6910739000, -7.7717824000", \
"0.6278894000, 0.4415654000, 0.2785295000, -0.0135281000, -0.5621940000, -1.6163762000, -3.6758746000, -7.7556247000", \
"0.6720092000, 0.4850605000, 0.3229281000, 0.0288535000, -0.5214651000, -1.5778050000, -3.6383509000, -7.7187752000", \
"0.8008676000, 0.6126614000, 0.4472876000, 0.1490194000, -0.4076931000, -1.4700666000, -3.5371306000, -7.6231672000", \
"0.9501782000, 0.7622000000, 0.5956736000, 0.2917232000, -0.2757208000, -1.3477835000, -3.4238109000, -7.5163995000", \
"1.4236746000, 1.2224502000, 1.0428315000, 0.7259478000, 0.1379329000, -0.9669619000, -3.0742820000, -7.1923873000", \
"1.6809071000, 1.4756291000, 1.2927086000, 0.9565296000, 0.3572076000, -0.7607830000, -2.8838014000, -7.0161854000", \
"1.9349722000, 1.7245241000, 1.5397326000, 1.1965905000, 0.5816527000, -0.5502660000, -2.6890579000, -6.8361753000");
}
related_pin : "A3";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2776707000, 0.3673279000, 0.4797377000, 0.7163187000, 1.2043682000, 2.1980800000, 4.2051091000, 8.215814000", \
"0.2793173000, 0.3690934000, 0.4811083000, 0.7172573000, 1.2053951000, 2.1997085000, 4.2025396000, 8.220333700", \
"0.2788035000, 0.3686009000, 0.4791619000, 0.7174780000, 1.2056272000, 2.2012807000, 4.2035356000, 8.214991800", \
"0.2797677000, 0.3702137000, 0.4812016000, 0.7176891000, 1.2056130000, 2.2003022000, 4.2033509000, 8.217689100", \
"0.3048652000, 0.3940603000, 0.5042508000, 0.7390005000, 1.2251381000, 2.2162753000, 4.2213743000, 8.231402100", \
"0.3755382000, 0.4594553000, 0.5646065000, 0.7934065000, 1.2728907000, 2.2636010000, 4.2573269000, 8.273278100", \
"0.5491188000, 0.6240927000, 0.7158078000, 0.9336686000, 1.4001924000, 2.3716166000, 4.3570221000, 8.363493500", \
"0.7261724000, 0.7960214000, 0.8853231000, 1.0847829000, 1.5415878000, 2.5000463000, 4.4720114000, 8.467904800", \
"1.2194900000, 1.2786385000, 1.3578551000, 1.5449439000, 1.9702620000, 2.8901250000, 4.8251543000, 8.785950000", \
"1.4751206000, 1.5334970000, 1.6089905000, 1.7882163000, 2.2021500000, 3.1011829000, 5.0260423000, 8.966103700", \
"1.7307482000, 1.7857578000, 1.8584968000, 2.0313997000, 2.4357392000, 3.3160097000, 5.2215288000, 9.152553700");
}
when : "(!A0&A1&A2&S0&S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.6011416000, 0.4157449000, 0.2541942000, -0.0395541000, -0.5867558000, -1.6410879000, -3.7006361000, -7.7813494000", \
"0.6034076000, 0.4177218000, 0.2554870000, -0.0376295000, -0.5853130000, -1.6395414000, -3.6984484000, -7.7787829000", \
"0.6038907000, 0.4167442000, 0.2543780000, -0.0375335000, -0.5863058000, -1.6397180000, -3.6984358000, -7.7795068000", \
"0.6040189000, 0.4178809000, 0.2552940000, -0.0372781000, -0.5860554000, -1.6395020000, -3.6978839000, -7.7793448000", \
"0.6210246000, 0.4341929000, 0.2720855000, -0.0214380000, -0.5698950000, -1.6242582000, -3.6830840000, -7.7634461000", \
"0.6652920000, 0.4789504000, 0.3154989000, 0.0213651000, -0.5288678000, -1.5843045000, -3.6445428000, -7.7253176000", \
"0.7938943000, 0.6053729000, 0.4402642000, 0.1420041000, -0.4153405000, -1.4776062000, -3.5444798000, -7.6306272000", \
"0.9443411000, 0.7558959000, 0.5886992000, 0.2848234000, -0.2825306000, -1.3550456000, -3.4311567000, -7.5236443000", \
"1.4166442000, 1.2145467000, 1.0344870000, 0.7181471000, 0.1287690000, -0.9747421000, -3.0817444000, -7.1997934000", \
"1.6733323000, 1.4684014000, 1.2854477000, 0.9491320000, 0.3500500000, -0.7682954000, -2.8913661000, -7.0238048000", \
"1.9248284000, 1.7175956000, 1.5323177000, 1.1893890000, 0.5742793000, -0.5576197000, -2.6963998000, -6.8436630000");
}
related_pin : "A3";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2844519000, 0.3746293000, 0.4853716000, 0.7236970000, 1.2114276000, 2.2080190000, 4.2109975000, 8.221762600", \
"0.2856886000, 0.3767305000, 0.4879981000, 0.7245943000, 1.2127901000, 2.2073104000, 4.2102741000, 8.224802900", \
"0.2856811000, 0.3757525000, 0.4864930000, 0.7248734000, 1.2129498000, 2.2086699000, 4.2110133000, 8.223050300", \
"0.2865375000, 0.3773461000, 0.4885513000, 0.7251283000, 1.2130703000, 2.2078052000, 4.2109197000, 8.225388500", \
"0.3105340000, 0.4017126000, 0.5113526000, 0.7461306000, 1.2322922000, 2.2268617000, 4.2285860000, 8.239134700", \
"0.3822111000, 0.4661075000, 0.5718930000, 0.8008477000, 1.2804110000, 2.2707030000, 4.2654018000, 8.280767200", \
"0.5561467000, 0.6312267000, 0.7235576000, 0.9408772000, 1.4074862000, 2.3789210000, 4.3642970000, 8.370944000", \
"0.7326049000, 0.8035281000, 0.8926019000, 1.0919660000, 1.5488878000, 2.5068474000, 4.4794216000, 8.475463400", \
"1.2268406000, 1.2858574000, 1.3659453000, 1.5521960000, 1.9776000000, 2.8974883000, 4.8325944000, 8.794950000", \
"1.4826845000, 1.5400125000, 1.6163047000, 1.7955811000, 2.2095309000, 3.1085842000, 5.0335864000, 8.972407500", \
"1.7381268000, 1.7931261000, 1.8659563000, 2.0387623000, 2.4431435000, 3.3234148000, 5.2291568000, 9.158710500");
}
when : "(A0&!A1&!A2&S0&S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.6013153000, 0.4157880000, 0.2543033000, -0.0395587000, -0.5872907000, -1.6415802000, -3.7006281000, -7.7798652000", \
"0.6034725000, 0.4176817000, 0.2557058000, -0.0376076000, -0.5853047000, -1.6395398000, -3.6983019000, -7.7787855000", \
"0.6040418000, 0.4167632000, 0.2545605000, -0.0375596000, -0.5863156000, -1.6397687000, -3.6984669000, -7.7794667000", \
"0.6038326000, 0.4178828000, 0.2552922000, -0.0372830000, -0.5860521000, -1.6394983000, -3.6978743000, -7.7793126000", \
"0.6210315000, 0.4341939000, 0.2720831000, -0.0214399000, -0.5698931000, -1.6242513000, -3.6830647000, -7.7634243000", \
"0.6652926000, 0.4789488000, 0.3154987000, 0.0213626000, -0.5288650000, -1.5842959000, -3.6445327000, -7.7252992000", \
"0.7938998000, 0.6053861000, 0.4402561000, 0.1420081000, -0.4153305000, -1.4775963000, -3.5444650000, -7.6306001000", \
"0.9443837000, 0.7558960000, 0.5886995000, 0.2852618000, -0.2825291000, -1.3550366000, -3.4311484000, -7.5236195000", \
"1.4166378000, 1.2145418000, 1.0344786000, 0.7181459000, 0.1287721000, -0.9747411000, -3.0817388000, -7.1997856000", \
"1.6733308000, 1.4684012000, 1.2854452000, 0.9491220000, 0.3500594000, -0.7682935000, -2.8913663000, -7.0237929000", \
"1.9248276000, 1.7175959000, 1.5323179000, 1.1893891000, 0.5742817000, -0.5576216000, -2.6963990000, -6.8436472000");
}
related_pin : "A3";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2844694000, 0.3746402000, 0.4853779000, 0.7236994000, 1.2114410000, 2.2080078000, 4.2108897000, 8.221764900", \
"0.2856712000, 0.3767214000, 0.4880073000, 0.7245969000, 1.2127857000, 2.2073054000, 4.2102486000, 8.224725200", \
"0.2856780000, 0.3757541000, 0.4864958000, 0.7248723000, 1.2129502000, 2.2086644000, 4.2109583000, 8.222980400", \
"0.2865383000, 0.3773486000, 0.4885527000, 0.7251064000, 1.2130661000, 2.2077892000, 4.2109049000, 8.225340100", \
"0.3099188000, 0.4017228000, 0.5113591000, 0.7461326000, 1.2322941000, 2.2268592000, 4.2285609000, 8.239099900", \
"0.3822141000, 0.4661074000, 0.5718968000, 0.8008524000, 1.2804099000, 2.2706556000, 4.2653890000, 8.280732600", \
"0.5568369000, 0.6312306000, 0.7235452000, 0.9408837000, 1.4074830000, 2.3789124000, 4.3642849000, 8.370911000", \
"0.7326125000, 0.8035387000, 0.8926249000, 1.0919786000, 1.5488913000, 2.5068370000, 4.4794019000, 8.475412700", \
"1.2268660000, 1.2858536000, 1.3659801000, 1.5522273000, 1.9776033000, 2.8975194000, 4.8325736000, 8.795762700", \
"1.4827054000, 1.5400252000, 1.6163204000, 1.7955854000, 2.2095377000, 3.1085787000, 5.0334902000, 8.972383800", \
"1.7381336000, 1.7931313000, 1.8659598000, 2.0387699000, 2.4431513000, 3.3234218000, 5.2289833000, 9.158697500");
}
when : "(A0&!A1&A2&S0&S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.6081247000, 0.4226411000, 0.2601839000, -0.0320438000, -0.5798530000, -1.6340653000, -3.6930478000, -7.7736376000", \
"0.6106090000, 0.4249663000, 0.2622304000, -0.0288935000, -0.5782633000, -1.6321743000, -3.6911845000, -7.7711967000", \
"0.6107521000, 0.4233319000, 0.2621335000, -0.0303507000, -0.5785976000, -1.6327816000, -3.6911244000, -7.7720932000", \
"0.6115039000, 0.4248852000, 0.2617026000, -0.0298816000, -0.5785259000, -1.6322972000, -3.6910687000, -7.7718283000", \
"0.6270607000, 0.4415795000, 0.2785502000, -0.0135053000, -0.5621856000, -1.6163545000, -3.6758599000, -7.7556307000", \
"0.6717903000, 0.4850455000, 0.3229262000, 0.0284260000, -0.5214651000, -1.5777929000, -3.6371283000, -7.7188028000", \
"0.8008578000, 0.6126695000, 0.4472792000, 0.1490144000, -0.4076985000, -1.4700751000, -3.5371440000, -7.6231871000", \
"0.9504013000, 0.7621989000, 0.5956741000, 0.2917252000, -0.2757216000, -1.3477862000, -3.4238242000, -7.5164150000", \
"1.4236727000, 1.2224495000, 1.0428303000, 0.7259442000, 0.1379281000, -0.9669611000, -3.0742880000, -7.1924051000", \
"1.6809021000, 1.4756352000, 1.2927058000, 0.9565289000, 0.3572019000, -0.7607739000, -2.8837966000, -7.0161949000", \
"1.9319855000, 1.7245235000, 1.5396458000, 1.1965885000, 0.5816477000, -0.5502618000, -2.6891257000, -6.8361856000");
}
related_pin : "A3";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2776661000, 0.3673368000, 0.4797693000, 0.7163076000, 1.2043195000, 2.1981140000, 4.2049761000, 8.215718500", \
"0.2792802000, 0.3690928000, 0.4811006000, 0.7172338000, 1.2053974000, 2.1997442000, 4.2026126000, 8.220476000", \
"0.2787984000, 0.3685990000, 0.4791615000, 0.7174822000, 1.2056306000, 2.2012935000, 4.2035540000, 8.215035100", \
"0.2797660000, 0.3702121000, 0.4812019000, 0.7176876000, 1.2056192000, 2.2003144000, 4.2033725000, 8.217710000", \
"0.3048578000, 0.3940590000, 0.5042444000, 0.7389989000, 1.2251363000, 2.2162794000, 4.2213144000, 8.231430400", \
"0.3755330000, 0.4594548000, 0.5646019000, 0.7934026000, 1.2728900000, 2.2636015000, 4.2573465000, 8.273320200", \
"0.5490391000, 0.6240874000, 0.7157976000, 0.9336619000, 1.4001904000, 2.3716186000, 4.3570330000, 8.363521900", \
"0.7261659000, 0.7960113000, 0.8853003000, 1.0847722000, 1.5415838000, 2.5000609000, 4.4720295000, 8.467918800", \
"1.2194847000, 1.2786184000, 1.3578557000, 1.5449280000, 1.9702525000, 2.8901186000, 4.8251729000, 8.785973300", \
"1.4753301000, 1.5326661000, 1.6089746000, 1.7882134000, 2.2021430000, 3.1011833000, 5.0261389000, 8.964845700", \
"1.7307419000, 1.7857467000, 1.8584922000, 2.0313884000, 2.4357301000, 3.3160010000, 5.2217038000, 9.152594000");
}
when : "(A0&A1&!A2&S0&S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.6089459000, 0.4229570000, 0.2600763000, -0.0321788000, -0.5800962000, -1.6338823000, -3.6929809000, -7.7738448000", \
"0.6105877000, 0.4249981000, 0.2622400000, -0.0289041000, -0.5781511000, -1.6321666000, -3.6910595000, -7.7710075000", \
"0.6103648000, 0.4233578000, 0.2620108000, -0.0303134000, -0.5784405000, -1.6327111000, -3.6912015000, -7.7719647000", \
"0.6117071000, 0.4248832000, 0.2616796000, -0.0298892000, -0.5785052000, -1.6323103000, -3.6910733000, -7.7717900000", \
"0.6278966000, 0.4415606000, 0.2785241000, -0.0135351000, -0.5621952000, -1.6163759000, -3.6758679000, -7.7556193000", \
"0.6717373000, 0.4850436000, 0.3229232000, 0.0284223000, -0.5214578000, -1.5777715000, -3.6371135000, -7.7187757000", \
"0.8008632000, 0.6126722000, 0.4472831000, 0.1490183000, -0.4076928000, -1.4700673000, -3.5371291000, -7.6231634000", \
"0.9503975000, 0.7621906000, 0.5956632000, 0.2916442000, -0.2757192000, -1.3477825000, -3.4238171000, -7.5163954000", \
"1.4235750000, 1.2224511000, 1.0428319000, 0.7259476000, 0.1379308000, -0.9669638000, -3.0742849000, -7.1923856000", \
"1.6809069000, 1.4756297000, 1.2927075000, 0.9565312000, 0.3572062000, -0.7607833000, -2.8838005000, -7.0161878000", \
"1.9349726000, 1.7245260000, 1.5396496000, 1.1965882000, 0.5816549000, -0.5502615000, -2.6891279000, -6.8361783000");
}
related_pin : "A3";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2776755000, 0.3673461000, 0.4798028000, 0.7163213000, 1.2043338000, 2.1980801000, 4.2024349000, 8.215606200", \
"0.2792012000, 0.3690729000, 0.4811009000, 0.7172420000, 1.2053986000, 2.1997214000, 4.2025671000, 8.220306400", \
"0.2788244000, 0.3686097000, 0.4791605000, 0.7174718000, 1.2056116000, 2.2012783000, 4.2034751000, 8.215024300", \
"0.2797667000, 0.3702144000, 0.4812034000, 0.7176863000, 1.2056133000, 2.2003028000, 4.2033516000, 8.217678200", \
"0.3048662000, 0.3940572000, 0.5042501000, 0.7390005000, 1.2251351000, 2.2162625000, 4.2213572000, 8.231403400", \
"0.3755392000, 0.4594549000, 0.5646051000, 0.7934069000, 1.2728904000, 2.2636002000, 4.2573272000, 8.273272500", \
"0.5491297000, 0.6240919000, 0.7158079000, 0.9336694000, 1.4001912000, 2.3716163000, 4.3570234000, 8.363493100", \
"0.7261734000, 0.7960218000, 0.8853224000, 1.0847835000, 1.5415868000, 2.5000461000, 4.4720093000, 8.467900200", \
"1.2194937000, 1.2786380000, 1.3578575000, 1.5449449000, 1.9702609000, 2.8901364000, 4.8251528000, 8.785940500", \
"1.4753532000, 1.5334985000, 1.6089914000, 1.7882152000, 2.2021518000, 3.1011808000, 5.0260432000, 8.964830600", \
"1.7307477000, 1.7857574000, 1.8584968000, 2.0314003000, 2.4357394000, 3.3160108000, 5.2215293000, 9.152555700");
}
when : "(A0&A1&A2&S0&S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.5362102000, 0.3503822000, 0.1893405000, -0.1017772000, -0.6482565000, -1.6982680000, -3.7555983000, -7.8345434000", \
"0.5449777000, 0.3597582000, 0.1988664000, -0.0930144000, -0.6398671000, -1.6902595000, -3.7482682000, -7.8266889000", \
"0.5453078000, 0.3596617000, 0.1987818000, -0.0929203000, -0.6397725000, -1.6901634000, -3.7474840000, -7.8266413000", \
"0.5368429000, 0.3522723000, 0.1903299000, -0.1005640000, -0.6476553000, -1.6977391000, -3.7546457000, -7.8342713000", \
"0.5559635000, 0.3717097000, 0.2104863000, -0.0807874000, -0.6278925000, -1.6780387000, -3.7347300000, -7.8134890000", \
"0.5907623000, 0.4050082000, 0.2430622000, -0.0493940000, -0.5975682000, -1.6495367000, -3.7075939000, -7.7875050000", \
"0.7041184000, 0.5166997000, 0.3516417000, 0.0545692000, -0.5004540000, -1.5597597000, -3.6241474000, -7.7078811000", \
"0.8279512000, 0.6368650000, 0.4702160000, 0.1672487000, -0.3969796000, -1.4651711000, -3.5372133000, -7.6268082000", \
"1.1992812000, 0.9972570000, 0.8208432000, 0.5115556000, -0.0730963000, -1.1686485000, -3.2673494000, -7.3769346000", \
"1.4102931000, 1.2057824000, 1.0232492000, 0.6920249000, 0.1037341000, -1.0053671000, -3.1176309000, -7.2395663000", \
"1.6140941000, 1.4070707000, 1.2232542000, 0.8835477000, 0.2823141000, -0.8384877000, -2.9636589000, -7.0973864000");
}
related_pin : "S0";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2590102000, 0.3521954000, 0.4645600000, 0.7016997000, 1.1918243000, 2.1852482000, 4.1879856000, 8.203593900", \
"0.2674104000, 0.3600771000, 0.4726937000, 0.7102618000, 1.1989256000, 2.1964451000, 4.1982513000, 8.213797500", \
"0.2641603000, 0.3568069000, 0.4701370000, 0.7065879000, 1.1954264000, 2.1939371000, 4.1950421000, 8.209482400", \
"0.2633427000, 0.3548922000, 0.4670433000, 0.7049597000, 1.1933834000, 2.1886300000, 4.1968518000, 8.207313000", \
"0.2928115000, 0.3825780000, 0.4926639000, 0.7285083000, 1.2147526000, 2.2087069000, 4.2167833000, 8.224435000", \
"0.3564758000, 0.4407880000, 0.5455424000, 0.7745677000, 1.2548070000, 2.2417726000, 4.2400268000, 8.250255100", \
"0.5203175000, 0.5924652000, 0.6833465000, 0.9032213000, 1.3671744000, 2.3430733000, 4.3327143000, 8.336753000", \
"0.6718429000, 0.7415347000, 0.8299569000, 1.0304417000, 1.4853912000, 2.4448134000, 4.4180727000, 8.411545000", \
"1.0948246000, 1.1542229000, 1.2325397000, 1.4190756000, 1.8485404000, 2.7649718000, 4.7117839000, 8.674737600", \
"1.3120994000, 1.3684975000, 1.4432664000, 1.6238663000, 2.0435478000, 2.9445026000, 4.8735503000, 8.821420400", \
"1.5247844000, 1.5809025000, 1.6500701000, 1.8262518000, 2.2372232000, 3.1287893000, 5.0324783000, 8.973093400");
}
when : "(!A0&!A1&!A2&A3&S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.6104245000, 0.4250025000, 0.2620011000, -0.0306569000, -0.5793439000, -1.6332350000, -3.6919713000, -7.7717075000", \
"0.6159085000, 0.4297997000, 0.2667553000, -0.0248960000, -0.5751044000, -1.6284094000, -3.6862133000, -7.7659020000", \
"0.6113394000, 0.4259383000, 0.2641902000, -0.0293236000, -0.5781197000, -1.6322409000, -3.6908761000, -7.7700114000", \
"0.6071632000, 0.4202634000, 0.2587080000, -0.0348245000, -0.5834707000, -1.6373831000, -3.6961142000, -7.7755263000", \
"0.6091265000, 0.4232255000, 0.2606737000, -0.0321368000, -0.5809247000, -1.6351968000, -3.6937968000, -7.7737491000", \
"0.6020801000, 0.4159148000, 0.2543365000, -0.0381286000, -0.5859459000, -1.6393369000, -3.6973356000, -7.7769027000", \
"0.6080022000, 0.4215683000, 0.2610615000, -0.0306459000, -0.5779890000, -1.6295873000, -3.6865465000, -7.7653653000", \
"0.6335955000, 0.4419707000, 0.2753955000, -0.0194617000, -0.5677991000, -1.6187947000, -3.6772864000, -7.7565160000", \
"0.7036831000, 0.5137182000, 0.3463325000, 0.0396837000, -0.5196536000, -1.5790211000, -3.6391631000, -7.7201286000", \
"0.7318365000, 0.5425023000, 0.3751207000, 0.0666418000, -0.4935262000, -1.5550355000, -3.6160553000, -7.6977693000", \
"0.7593252000, 0.5694093000, 0.4014148000, 0.0924509000, -0.4695391000, -1.5305760000, -3.5949978000, -7.6785153000");
}
related_pin : "S0";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3046001000, 0.3950094000, 0.5066443000, 0.7407671000, 1.2275161000, 2.2201224000, 4.2195140000, 8.241516900", \
"0.3043043000, 0.3957182000, 0.5061162000, 0.7425193000, 1.2288521000, 2.2204968000, 4.2280943000, 8.235863200", \
"0.3052205000, 0.3962747000, 0.5066110000, 0.7419939000, 1.2275197000, 2.2229597000, 4.2237626000, 8.236985300", \
"0.2977255000, 0.3893191000, 0.5010888000, 0.7362359000, 1.2221274000, 2.2149410000, 4.2210660000, 8.231922200", \
"0.3081103000, 0.3994841000, 0.5097756000, 0.7462017000, 1.2322975000, 2.2243386000, 4.2306919000, 8.241596800", \
"0.3123425000, 0.4042673000, 0.5150212000, 0.7485829000, 1.2338304000, 2.2287921000, 4.2298944000, 8.242989100", \
"0.3338676000, 0.4232807000, 0.5322682000, 0.7649699000, 1.2488414000, 2.2454958000, 4.2461339000, 8.260381700", \
"0.3484270000, 0.4356027000, 0.5479388000, 0.7802615000, 1.2621551000, 2.2515534000, 4.2552169000, 8.265290500", \
"0.4191785000, 0.4991842000, 0.5999827000, 0.8254114000, 1.3053609000, 2.2922120000, 4.2840543000, 8.293810900", \
"0.4532185000, 0.5307887000, 0.6319141000, 0.8552575000, 1.3292512000, 2.3144421000, 4.3064623000, 8.313086000", \
"0.4864626000, 0.5633614000, 0.6624725000, 0.8832670000, 1.3548887000, 2.3362273000, 4.3307182000, 8.335191000");
}
when : "(!A0&!A1&A2&!A3&S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.5522533000, 0.3669977000, 0.2067883000, -0.0845425000, -0.6290742000, -1.6766995000, -3.7319423000, -7.8081974000", \
"0.5624353000, 0.3772964000, 0.2168047000, -0.0738577000, -0.6186742000, -1.6678646000, -3.7224053000, -7.7992209000", \
"0.5580769000, 0.3732263000, 0.2129862000, -0.0765354000, -0.6222947000, -1.6708569000, -3.7254509000, -7.8021237000", \
"0.5555050000, 0.3701543000, 0.2097918000, -0.0803085000, -0.6253870000, -1.6732088000, -3.7279994000, -7.8047228000", \
"0.5738794000, 0.3880828000, 0.2275301000, -0.0622920000, -0.6071701000, -1.6561139000, -3.7106339000, -7.7869215000", \
"0.6013884000, 0.4165020000, 0.2568618000, -0.0340525000, -0.5785579000, -1.6260009000, -3.6803781000, -7.7571772000", \
"0.6865497000, 0.5011310000, 0.3431065000, 0.0527120000, -0.4921594000, -1.5387620000, -3.5925176000, -7.6683851000", \
"0.7946249000, 0.6049372000, 0.4386162000, 0.1427435000, -0.4020703000, -1.4490109000, -3.5036370000, -7.5802313000", \
"1.0834224000, 0.8952450000, 0.7300744000, 0.4268475000, -0.1264638000, -1.1792907000, -3.2353086000, -7.3129860000", \
"1.2329117000, 1.0450904000, 0.8796175000, 0.5750632000, 0.0201765000, -1.0347638000, -3.0912817000, -7.1702345000", \
"1.3815788000, 1.1921593000, 1.0267502000, 0.7211229000, 0.1652462000, -0.8892997000, -2.9499834000, -7.0291570000");
}
related_pin : "S0";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4116697000, 0.5054621000, 0.6203864000, 0.8541885000, 1.3466772000, 2.3415016000, 4.3418328000, 8.359218700", \
"0.4131736000, 0.5089093000, 0.6227964000, 0.8599371000, 1.3475141000, 2.3414586000, 4.3447825000, 8.357715400", \
"0.4162556000, 0.5124511000, 0.6263824000, 0.8636202000, 1.3503903000, 2.3448578000, 4.3478515000, 8.362253100", \
"0.4134562000, 0.5063733000, 0.6202001000, 0.8566106000, 1.3444496000, 2.3412357000, 4.3415096000, 8.357207700", \
"0.4411606000, 0.5340874000, 0.6476468000, 0.8839357000, 1.3736723000, 2.3705024000, 4.3718727000, 8.384380500", \
"0.4810425000, 0.5746604000, 0.6877726000, 0.9231240000, 1.4099836000, 2.4083327000, 4.4072536000, 8.424654700", \
"0.5816728000, 0.6763593000, 0.7868967000, 1.0222874000, 1.5074328000, 2.4999589000, 4.5050962000, 8.514356300", \
"0.6807071000, 0.7679705000, 0.8855882000, 1.1199800000, 1.6030745000, 2.5925985000, 4.5929823000, 8.608106800", \
"0.9893716000, 1.0700178000, 1.1707979000, 1.3972604000, 1.8780998000, 2.8671868000, 4.8614344000, 8.874989200", \
"1.1447495000, 1.2239999000, 1.3238692000, 1.5468714000, 2.0231471000, 3.0117839000, 5.0036530000, 9.010415100", \
"1.3016358000, 1.3789878000, 1.4782157000, 1.6992808000, 2.1713550000, 3.1548790000, 5.1540347000, 9.155061300");
}
when : "(A0&!A1&!A2&A3&!S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.6211651000, 0.4357237000, 0.2744687000, -0.0169147000, -0.5642507000, -1.6152563000, -3.6733406000, -7.7522577000", \
"0.6289873000, 0.4444176000, 0.2832995000, -0.0086840000, -0.5559522000, -1.6069446000, -3.6651980000, -7.7442781000", \
"0.6297976000, 0.4445753000, 0.2840474000, -0.0081069000, -0.5553894000, -1.6063617000, -3.6646303000, -7.7432917000", \
"0.6236190000, 0.4373453000, 0.2763919000, -0.0149883000, -0.5621664000, -1.6133944000, -3.6710521000, -7.7504987000", \
"0.6427842000, 0.4576522000, 0.2969442000, 0.0055654000, -0.5420348000, -1.5932264000, -3.6510471000, -7.7305073000", \
"0.6831098000, 0.4974779000, 0.3357695000, 0.0427230000, -0.5057574000, -1.5582470000, -3.6173173000, -7.6966584000", \
"0.8064603000, 0.6183280000, 0.4540768000, 0.1572003000, -0.3976996000, -1.4571941000, -3.5215781000, -7.6055831000", \
"0.9398965000, 0.7504837000, 0.5838525000, 0.2816812000, -0.2808875000, -1.3487803000, -3.4206197000, -7.5100005000", \
"1.3454108000, 1.1434313000, 0.9694863000, 0.6597815000, 0.0769463000, -1.0166978000, -3.1136442000, -7.2222112000", \
"1.5733753000, 1.3692294000, 1.1870522000, 0.8573080000, 0.2703993000, -0.8354449000, -2.9454795000, -7.0656294000", \
"1.7951640000, 1.5883319000, 1.4050785000, 1.0661192000, 0.4666172000, -0.6512967000, -2.7740159000, -6.9052743000");
}
related_pin : "S0";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3594258000, 0.4532585000, 0.5653456000, 0.8023811000, 1.2922407000, 2.2869967000, 4.2895907000, 8.304629200", \
"0.3693225000, 0.4637634000, 0.5753127000, 0.8118129000, 1.3019663000, 2.2963694000, 4.3030680000, 8.313163100", \
"0.3665281000, 0.4600530000, 0.5722606000, 0.8085164000, 1.2985945000, 2.2953516000, 4.2988242000, 8.309922500", \
"0.3634964000, 0.4569887000, 0.5689962000, 0.8055335000, 1.2951257000, 2.2894306000, 4.2953929000, 8.306522200", \
"0.3916199000, 0.4826660000, 0.5925207000, 0.8275722000, 1.3147480000, 2.3075442000, 4.3090500000, 8.322172800", \
"0.4597598000, 0.5453591000, 0.6495139000, 0.8779056000, 1.3573804000, 2.3471914000, 4.3476722000, 8.353064800", \
"0.6415597000, 0.7137827000, 0.8027388000, 1.0209800000, 1.4848308000, 2.4594679000, 4.4479782000, 8.449274500", \
"0.8124676000, 0.8806971000, 0.9674628000, 1.1667154000, 1.6209217000, 2.5788857000, 4.5490354000, 8.544590000", \
"1.2768601000, 1.3346544000, 1.4124485000, 1.5993942000, 2.0287019000, 2.9460460000, 4.8854902000, 8.846935900", \
"1.5145903000, 1.5714777000, 1.6445863000, 1.8251879000, 2.2453445000, 3.1447165000, 5.0690548000, 9.017465000", \
"1.7473018000, 1.8039995000, 1.8729639000, 2.0483295000, 2.4583988000, 3.3475229000, 5.2489125000, 9.188794800");
}
when : "(A0&!A1&!A2&A3&S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.6466028000, 0.4616708000, 0.3014730000, 0.0102675000, -0.5335309000, -1.5806557000, -3.6346241000, -7.7110615000", \
"0.6513438000, 0.4658133000, 0.3065752000, 0.0154959000, -0.5288644000, -1.5759963000, -3.6301989000, -7.7066132000", \
"0.6479926000, 0.4627636000, 0.3028764000, 0.0119584000, -0.5323037000, -1.5793299000, -3.6334346000, -7.7099764000", \
"0.6419802000, 0.4565463000, 0.2963980000, 0.0056653000, -0.5385212000, -1.5855180000, -3.6400192000, -7.7156172000", \
"0.6419105000, 0.4560834000, 0.2962662000, 0.0052819000, -0.5388191000, -1.5857278000, -3.6397143000, -7.7164923000", \
"0.6394346000, 0.4541762000, 0.2947963000, 0.0037099000, -0.5401535000, -1.5862930000, -3.6402790000, -7.7162932000", \
"0.6603850000, 0.4763835000, 0.3168766000, 0.0267533000, -0.5169998000, -1.5631470000, -3.6162780000, -7.6915902000", \
"0.7048587000, 0.5160516000, 0.3497598000, 0.0546731000, -0.4894981000, -1.5364702000, -3.5903623000, -7.6659872000", \
"0.8069418000, 0.6185778000, 0.4532913000, 0.1510151000, -0.4016325000, -1.4549066000, -3.5105293000, -7.5877195000", \
"0.8561292000, 0.6677875000, 0.5018947000, 0.1983863000, -0.3554367000, -1.4113229000, -3.4679623000, -7.5464530000", \
"0.9052090000, 0.7165170000, 0.5501876000, 0.2452308000, -0.3101056000, -1.3655303000, -3.4255328000, -7.5046886000");
}
related_pin : "S0";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3949844000, 0.4869372000, 0.5984724000, 0.8361883000, 1.3235049000, 2.3206683000, 4.3245578000, 8.339237300", \
"0.3951275000, 0.4886517000, 0.6004907000, 0.8371688000, 1.3240630000, 2.3186420000, 4.3232578000, 8.337670100", \
"0.3971634000, 0.4894887000, 0.6013818000, 0.8366041000, 1.3242175000, 2.3246474000, 4.3225015000, 8.335853200", \
"0.3891026000, 0.4829670000, 0.5944629000, 0.8310539000, 1.3178238000, 2.3126919000, 4.3166785000, 8.333058500", \
"0.4008916000, 0.4956621000, 0.6068216000, 0.8430222000, 1.3302232000, 2.3268316000, 4.3291641000, 8.340409800", \
"0.4156106000, 0.5073841000, 0.6184426000, 0.8530586000, 1.3397576000, 2.3339429000, 4.3405918000, 8.348676400", \
"0.4512608000, 0.5420181000, 0.6512751000, 0.8852916000, 1.3701699000, 2.3640460000, 4.3640080000, 8.376369800", \
"0.4875138000, 0.5731334000, 0.6857694000, 0.9174530000, 1.3992898000, 2.3903352000, 4.3900937000, 8.405136200", \
"0.6072995000, 0.6856272000, 0.7863452000, 1.0111282000, 1.4886106000, 2.4761622000, 4.4681732000, 8.476873400", \
"0.6643280000, 0.7414078000, 0.8418039000, 1.0638181000, 1.5359794000, 2.5223303000, 4.5118116000, 8.525158000", \
"0.7223620000, 0.7979567000, 0.8967035000, 1.1165008000, 1.5830189000, 2.5666541000, 4.5577431000, 8.562089700");
}
when : "(A0&!A1&A2&!A3&!S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.7079493000, 0.5218798000, 0.3595145000, 0.0663930000, -0.4820314000, -1.5346566000, -3.5938502000, -7.6742899000", \
"0.7128814000, 0.5266328000, 0.3637223000, 0.0712354000, -0.4776573000, -1.5303162000, -3.5891220000, -7.6696714000", \
"0.7094634000, 0.5229136000, 0.3604978000, 0.0677122000, -0.4811160000, -1.5338840000, -3.5928048000, -7.6726731000", \
"0.7029524000, 0.5173730000, 0.3549962000, 0.0618115000, -0.4868381000, -1.5393863000, -3.5978203000, -7.6781470000", \
"0.7038753000, 0.5185767000, 0.3564586000, 0.0634795000, -0.4854330000, -1.5380270000, -3.5971763000, -7.6773307000", \
"0.7014217000, 0.5154378000, 0.3536361000, 0.0609964000, -0.4869426000, -1.5386681000, -3.5970896000, -7.6765949000", \
"0.7216282000, 0.5357571000, 0.3748147000, 0.0832992000, -0.4641042000, -1.5148690000, -3.5720757000, -7.6510237000", \
"0.7643242000, 0.5728699000, 0.4063472000, 0.1120564000, -0.4355682000, -1.4872266000, -3.5455206000, -7.6253403000", \
"0.8789660000, 0.6885651000, 0.5209742000, 0.2141708000, -0.3452176000, -1.4037039000, -3.4639430000, -7.5448969000", \
"0.9290416000, 0.7387243000, 0.5709010000, 0.2628929000, -0.2974537000, -1.3593300000, -3.4204345000, -7.5024300000", \
"0.9784433000, 0.7884876000, 0.6199347000, 0.3104622000, -0.2511280000, -1.3128046000, -3.3772548000, -7.4600954000");
}
related_pin : "S0";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3723894000, 0.4622306000, 0.5729984000, 0.8067515000, 1.2911325000, 2.2852545000, 4.2874791000, 8.306844900", \
"0.3723148000, 0.4624711000, 0.5728951000, 0.8063800000, 1.2917822000, 2.2853573000, 4.2876135000, 8.301414300", \
"0.3721063000, 0.4634301000, 0.5739392000, 0.8075382000, 1.2935917000, 2.2880047000, 4.2884823000, 8.306123200", \
"0.3672822000, 0.4565325000, 0.5670425000, 0.8013299000, 1.2867811000, 2.2817038000, 4.2829556000, 8.293533200", \
"0.3776578000, 0.4688415000, 0.5791456000, 0.8124811000, 1.2981442000, 2.2936926000, 4.2939091000, 8.306592500", \
"0.3911197000, 0.4814057000, 0.5908456000, 0.8244052000, 1.3089661000, 2.3035998000, 4.3048195000, 8.317082000", \
"0.4271918000, 0.5154412000, 0.6231736000, 0.8553623000, 1.3393001000, 2.3325290000, 4.3318242000, 8.343432700", \
"0.4585682000, 0.5466647000, 0.6574558000, 0.8883091000, 1.3674343000, 2.3602703000, 4.3601931000, 8.371147200", \
"0.5768918000, 0.6554424000, 0.7559905000, 0.9790570000, 1.4568791000, 2.4419235000, 4.4336499000, 8.441943600", \
"0.6331194000, 0.7102977000, 0.8098407000, 1.0332720000, 1.5054669000, 2.4866230000, 4.4766563000, 8.482860600", \
"0.6933320000, 0.7696184000, 0.8679564000, 1.0858227000, 1.5512673000, 2.5352980000, 4.5251838000, 8.527806500");
}
when : "(A0&!A1&A2&!A3&S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.5323663000, 0.3466398000, 0.1866637000, -0.1039346000, -0.6489985000, -1.6969605000, -3.7513965000, -7.8280019000", \
"0.5365396000, 0.3515649000, 0.1906697000, -0.0996496000, -0.6447918000, -1.6931032000, -3.7476606000, -7.8244599000", \
"0.5330618000, 0.3476733000, 0.1881062000, -0.1033303000, -0.6482058000, -1.6967494000, -3.7510417000, -7.8277575000", \
"0.5276951000, 0.3425489000, 0.1826840000, -0.1084784000, -0.6533008000, -1.7020111000, -3.7562749000, -7.8330145000", \
"0.5297504000, 0.3441363000, 0.1835061000, -0.1057013000, -0.6517248000, -1.6997210000, -3.7539312000, -7.8313405000", \
"0.5236402000, 0.3386309000, 0.1790791000, -0.1108101000, -0.6560299000, -1.7035042000, -3.7576929000, -7.8336818000", \
"0.5324838000, 0.3491538000, 0.1887094000, -0.1007656000, -0.6452973000, -1.6923903000, -3.7457271000, -7.8211379000", \
"0.5587862000, 0.3702016000, 0.2035904000, -0.0907041000, -0.6350879000, -1.6830143000, -3.7371953000, -7.8133735000", \
"0.6209161000, 0.4333331000, 0.2679920000, -0.0352027000, -0.5886152000, -1.6411344000, -3.6973079000, -7.7750734000", \
"0.6500849000, 0.4623041000, 0.2970746000, -0.0072464000, -0.5622380000, -1.6171553000, -3.6742552000, -7.7528194000", \
"0.6757424000, 0.4881801000, 0.3216949000, 0.0164059000, -0.5387712000, -1.5936112000, -3.6534203000, -7.7327849000");
}
related_pin : "S0";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3195629000, 0.4116207000, 0.5254707000, 0.7617127000, 1.2511450000, 2.2428813000, 4.2484314000, 8.258158900", \
"0.3180678000, 0.4120158000, 0.5251070000, 0.7605096000, 1.2482122000, 2.2452667000, 4.2459200000, 8.263403000", \
"0.3200651000, 0.4112217000, 0.5243310000, 0.7596920000, 1.2471557000, 2.2455443000, 4.2446183000, 8.259085200", \
"0.3105830000, 0.4041397000, 0.5171072000, 0.7539360000, 1.2411628000, 2.2348878000, 4.2377790000, 8.253682200", \
"0.3213408000, 0.4136912000, 0.5273547000, 0.7626675000, 1.2505341000, 2.2459340000, 4.2478254000, 8.260192300", \
"0.3273128000, 0.4199851000, 0.5324580000, 0.7673703000, 1.2537367000, 2.2471660000, 4.2526610000, 8.262942900", \
"0.3520670000, 0.4431427000, 0.5531671000, 0.7876241000, 1.2726821000, 2.2650339000, 4.2745406000, 8.277848400", \
"0.3726226000, 0.4585141000, 0.5718008000, 0.8048865000, 1.2865721000, 2.2771544000, 4.2765832000, 8.292523800", \
"0.4508168000, 0.5294064000, 0.6321958000, 0.8580834000, 1.3357287000, 2.3243712000, 4.3157329000, 8.328338700", \
"0.4861834000, 0.5634506000, 0.6654291000, 0.8884560000, 1.3603876000, 2.3479186000, 4.3386703000, 8.352118700", \
"0.5232679000, 0.6006442000, 0.6986064000, 0.9187838000, 1.3899948000, 2.3760806000, 4.3647908000, 8.368645600");
}
when : "(A0&!A1&A2&A3&!S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.5465445000, 0.3623249000, 0.2014820000, -0.0896850000, -0.6358624000, -1.6864036000, -3.7439825000, -7.8225846000", \
"0.5559018000, 0.3706896000, 0.2095768000, -0.0816429000, -0.6283967000, -1.6789381000, -3.7361060000, -7.8155846000", \
"0.5548961000, 0.3695684000, 0.2088522000, -0.0826552000, -0.6291380000, -1.6795828000, -3.7364269000, -7.8159948000", \
"0.5482464000, 0.3626286000, 0.2019910000, -0.0894197000, -0.6359957000, -1.6863206000, -3.7436418000, -7.8221707000", \
"0.5672433000, 0.3817616000, 0.2210249000, -0.0710601000, -0.6169548000, -1.6663473000, -3.7236714000, -7.8021979000", \
"0.5999758000, 0.4151984000, 0.2536825000, -0.0387725000, -0.5869392000, -1.6383078000, -3.6971685000, -7.7764306000", \
"0.7146372000, 0.5262010000, 0.3615845000, 0.0645163000, -0.4898504000, -1.5492743000, -3.6136960000, -7.6972109000", \
"0.8362554000, 0.6478507000, 0.4805386000, 0.1781087000, -0.3867416000, -1.4550414000, -3.5272588000, -7.6168854000", \
"1.2112835000, 1.0090115000, 0.8318019000, 0.5224585000, -0.0629718000, -1.1578323000, -3.2562243000, -7.3662279000", \
"1.4225322000, 1.2179872000, 1.0354150000, 0.7039326000, 0.1149664000, -0.9941381000, -3.1061722000, -7.2278925000", \
"1.6263245000, 1.4186675000, 1.2346222000, 0.8953827000, 0.2928318000, -0.8275938000, -2.9527408000, -7.0863922000");
}
related_pin : "S0";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2483246000, 0.3399033000, 0.4509321000, 0.6896836000, 1.1775843000, 2.1735829000, 4.1736932000, 8.191146300", \
"0.2567541000, 0.3495478000, 0.4608769000, 0.6976642000, 1.1863149000, 2.1855676000, 4.1854460000, 8.206332400", \
"0.2546085000, 0.3457520000, 0.4576336000, 0.6941531000, 1.1832725000, 2.1817409000, 4.1830677000, 8.200482800", \
"0.2523765000, 0.3441746000, 0.4555734000, 0.6920439000, 1.1807394000, 2.1810802000, 4.1798071000, 8.199448900", \
"0.2815340000, 0.3708938000, 0.4806595000, 0.7163502000, 1.2026797000, 2.1965811000, 4.2028636000, 8.211749400", \
"0.3447760000, 0.4286407000, 0.5331029000, 0.7616230000, 1.2419012000, 2.2314415000, 4.2295613000, 8.237474400", \
"0.5070874000, 0.5792398000, 0.6706800000, 0.8894229000, 1.3539579000, 2.3293423000, 4.3137232000, 8.316783000", \
"0.6585937000, 0.7280922000, 0.8162104000, 1.0173610000, 1.4726617000, 2.4312951000, 4.4099477000, 8.398997100", \
"1.0814211000, 1.1417404000, 1.2174432000, 1.4048564000, 1.8349950000, 2.7515745000, 4.6967143000, 8.662973300", \
"1.2981000000, 1.3544879000, 1.4302621000, 1.6100662000, 2.0300041000, 2.9311255000, 4.8596619000, 8.806408600", \
"1.5105422000, 1.5643705000, 1.6378271000, 1.8114020000, 2.2215982000, 3.1124893000, 5.0162767000, 8.957324800");
}
when : "(A0&A1&!A2&A3&S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.6144311000, 0.4281369000, 0.2660276000, -0.0268439000, -0.5763769000, -1.6292215000, -3.6882047000, -7.7683897000", \
"0.6191796000, 0.4322779000, 0.2706859000, -0.0226693000, -0.5712384000, -1.6252172000, -3.6838950000, -7.7638597000", \
"0.6152004000, 0.4284836000, 0.2664136000, -0.0262614000, -0.5752932000, -1.6284337000, -3.6875576000, -7.7678588000", \
"0.6104236000, 0.4237014000, 0.2609226000, -0.0308102000, -0.5794412000, -1.6339372000, -3.6924399000, -7.7730594000", \
"0.6112310000, 0.4254260000, 0.2625369000, -0.0293007000, -0.5779371000, -1.6322269000, -3.6908241000, -7.7707452000", \
"0.6041075000, 0.4179442000, 0.2564438000, -0.0359264000, -0.5840154000, -1.6369875000, -3.6949886000, -7.7754399000", \
"0.6087719000, 0.4232152000, 0.2617438000, -0.0289529000, -0.5760600000, -1.6275991000, -3.6845521000, -7.7636354000", \
"0.6338995000, 0.4422024000, 0.2756100000, -0.0187521000, -0.5668639000, -1.6187788000, -3.6769429000, -7.7565568000", \
"0.7037871000, 0.5138030000, 0.3465816000, 0.0398170000, -0.5193188000, -1.5779959000, -3.6379899000, -7.7188206000", \
"0.7321423000, 0.5428231000, 0.3752771000, 0.0669942000, -0.4931611000, -1.5542374000, -3.6153785000, -7.6973775000", \
"0.7580009000, 0.5684384000, 0.4007287000, 0.0916224000, -0.4692509000, -1.5303318000, -3.5952343000, -7.6779671000");
}
related_pin : "S0";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3027214000, 0.3937132000, 0.5020436000, 0.7389956000, 1.2222818000, 2.2201079000, 4.2192960000, 8.232830700", \
"0.3022170000, 0.3930605000, 0.5031468000, 0.7385514000, 1.2245679000, 2.2166511000, 4.2174964000, 8.233760900", \
"0.3016748000, 0.3923051000, 0.5037039000, 0.7378588000, 1.2245137000, 2.2170283000, 4.2207644000, 8.234641400", \
"0.2960137000, 0.3856040000, 0.4963627000, 0.7309797000, 1.2167047000, 2.2129171000, 4.2140101000, 8.226021600", \
"0.3052868000, 0.3960019000, 0.5061232000, 0.7421334000, 1.2271646000, 2.2224091000, 4.2225234000, 8.235264900", \
"0.3105435000, 0.4000343000, 0.5104519000, 0.7443208000, 1.2289319000, 2.2258741000, 4.2268179000, 8.239995900", \
"0.3306449000, 0.4206316000, 0.5283242000, 0.7610141000, 1.2451092000, 2.2401917000, 4.2381427000, 8.253932100", \
"0.3470931000, 0.4323761000, 0.5445007000, 0.7782816000, 1.2587854000, 2.2478593000, 4.2511852000, 8.261586700", \
"0.4170702000, 0.4954410000, 0.5972269000, 0.8213523000, 1.3001615000, 2.2870988000, 4.2802005000, 8.290000500", \
"0.4513696000, 0.5300834000, 0.6297848000, 0.8528171000, 1.3247631000, 2.3117204000, 4.3024156000, 8.311422200", \
"0.4855015000, 0.5635399000, 0.6615490000, 0.8812407000, 1.3505259000, 2.3344888000, 4.3265116000, 8.330577800");
}
when : "(A0&A1&A2&!A3&S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3459546000, 0.1690508000, 0.0161250000, -0.2648566000, -0.7993737000, -1.8378580000, -3.8858907000, -7.9579102000", \
"0.3541041000, 0.1756349000, 0.0223034000, -0.2589343000, -0.7939202000, -1.8329461000, -3.8813944000, -7.9517613000", \
"0.3509844000, 0.1725214000, 0.0191709000, -0.2619919000, -0.7970687000, -1.8356628000, -3.8838985000, -7.9554736000", \
"0.3464505000, 0.1681674000, 0.0149371000, -0.2659679000, -0.8001026000, -1.8384117000, -3.8861259000, -7.9583070000", \
"0.3587281000, 0.1813722000, 0.0283876000, -0.2518201000, -0.7860205000, -1.8240845000, -3.8718991000, -7.9430398000", \
"0.3932176000, 0.2133682000, 0.0594192000, -0.2230882000, -0.7591101000, -1.7994096000, -3.8484665000, -7.9215702000", \
"0.4994093000, 0.3168868000, 0.1574264000, -0.1323322000, -0.6772232000, -1.7255201000, -3.7813321000, -7.8592537000", \
"0.6196416000, 0.4324918000, 0.2694505000, -0.0277495000, -0.5829116000, -1.6420060000, -3.7070381000, -7.7920063000", \
"1.0040810000, 0.8040657000, 0.6235808000, 0.2918525000, -0.2883133000, -1.3780478000, -3.4739440000, -7.5842101000", \
"1.1987237000, 0.9974459000, 0.8126174000, 0.4741761000, -0.1285687000, -1.2339442000, -3.3452645000, -7.4699270000", \
"1.3915288000, 1.1879707000, 1.0021018000, 0.6578351000, 0.0343795000, -1.0838544000, -3.2097507000, -7.3492045000");
}
related_pin : "S1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2059230000, 0.3044119000, 0.4190736000, 0.6617647000, 1.1558152000, 2.1536888000, 4.1590516000, 8.176520300", \
"0.2113372000, 0.3098122000, 0.4250920000, 0.6646078000, 1.1572810000, 2.1576778000, 4.1607244000, 8.173978800", \
"0.2092090000, 0.3073039000, 0.4226062000, 0.6625168000, 1.1560714000, 2.1528492000, 4.1626115000, 8.171627000", \
"0.2090231000, 0.3067668000, 0.4219277000, 0.6626879000, 1.1561038000, 2.1521522000, 4.1624675000, 8.170388900", \
"0.2447080000, 0.3378283000, 0.4489187000, 0.6862304000, 1.1756526000, 2.1719327000, 4.1754744000, 8.188293000", \
"0.3022932000, 0.3888650000, 0.4957023000, 0.7250478000, 1.2088597000, 2.1981563000, 4.1980660000, 8.208746900", \
"0.4493444000, 0.5201940000, 0.6120887000, 0.8279747000, 1.2978684000, 2.2718201000, 4.2620646000, 8.271607700", \
"0.5874831000, 0.6526697000, 0.7384756000, 0.9405975000, 1.3923059000, 2.3566577000, 4.3358550000, 8.331139400", \
"0.9700388000, 1.0237394000, 1.1001944000, 1.2804145000, 1.7033372000, 2.6145561000, 4.5544895000, 8.520388400", \
"1.1659615000, 1.2175017000, 1.2879681000, 1.4598336000, 1.8713161000, 2.7633803000, 4.6817890000, 8.629842500", \
"1.3534285000, 1.4025339000, 1.4710243000, 1.6356196000, 2.0382047000, 2.9181063000, 4.8094264000, 8.741785200");
}
when : "(!A0&!A1&!A2&A3&S0)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3377635000, 0.1617447000, 0.0095513000, -0.2708900000, -0.8039517000, -1.8412631000, -3.8883762000, -7.9593889000", \
"0.3453477000, 0.1681020000, 0.0154649000, -0.2651411000, -0.7989023000, -1.8360935000, -3.8841355000, -7.9520920000", \
"0.3422457000, 0.1646895000, 0.0125719000, -0.2681779000, -0.8014522000, -1.8388723000, -3.8863103000, -7.9561600000", \
"0.3383550000, 0.1606840000, 0.0085162000, -0.2718023000, -0.8045001000, -1.8416047000, -3.8882401000, -7.9599578000", \
"0.3508337000, 0.1745213000, 0.0222449000, -0.2572603000, -0.7902253000, -1.8269663000, -3.8736679000, -7.9439281000", \
"0.3858868000, 0.2076191000, 0.0542588000, -0.2279277000, -0.7629992000, -1.8020209000, -3.8501031000, -7.9223340000", \
"0.4933693000, 0.3112762000, 0.1524905000, -0.1367977000, -0.6807749000, -1.7278473000, -3.7826794000, -7.8590550000", \
"0.6150838000, 0.4271228000, 0.2652896000, -0.0316881000, -0.5858688000, -1.6432978000, -3.7079176000, -7.7919258000", \
"0.9987619000, 0.7998674000, 0.6197572000, 0.2895432000, -0.2907570000, -1.3787432000, -3.4727523000, -7.5822688000", \
"1.1926307000, 0.9913191000, 0.8084716000, 0.4709704000, -0.1300498000, -1.2334867000, -3.3427287000, -7.4662152000", \
"1.3834999000, 1.1808018000, 0.9955496000, 0.6523829000, 0.0307774000, -1.0854433000, -3.2092539000, -7.3470134000");
}
related_pin : "S1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2057760000, 0.3040021000, 0.4198373000, 0.6617211000, 1.1531607000, 2.1501142000, 4.1554354000, 8.169133700", \
"0.2090859000, 0.3088758000, 0.4241030000, 0.6644983000, 1.1572174000, 2.1576812000, 4.1605768000, 8.174216500", \
"0.2070104000, 0.3063622000, 0.4222797000, 0.6630936000, 1.1565753000, 2.1527493000, 4.1626472000, 8.171708700", \
"0.2066502000, 0.3057862000, 0.4215716000, 0.6618651000, 1.1559866000, 2.1520274000, 4.1623431000, 8.170932800", \
"0.2429987000, 0.3371100000, 0.4487960000, 0.6862949000, 1.1757624000, 2.1719783000, 4.1753871000, 8.188088900", \
"0.3014275000, 0.3888493000, 0.4963110000, 0.7258377000, 1.2095366000, 2.2005433000, 4.1986535000, 8.209527700", \
"0.4516515000, 0.5222354000, 0.6140534000, 0.8302258000, 1.2999613000, 2.2740729000, 4.2640514000, 8.275215900", \
"0.5911061000, 0.6561154000, 0.7422106000, 0.9438828000, 1.3956987000, 2.3599502000, 4.3389121000, 8.334145300", \
"0.9756756000, 1.0321512000, 1.1065589000, 1.2897464000, 1.7111515000, 2.6202113000, 4.5604857000, 8.526190100", \
"1.1738213000, 1.2248376000, 1.2940266000, 1.4693985000, 1.8808376000, 2.7723432000, 4.6899550000, 8.638650600", \
"1.3651388000, 1.4155887000, 1.4821442000, 1.6447836000, 2.0467826000, 2.9319181000, 4.8172366000, 8.752369500");
}
when : "(!A0&!A1&A2&!A3&!S0)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3376330000, 0.1617497000, 0.0098904000, -0.2707525000, -0.8040694000, -1.8412566000, -3.8879663000, -7.9592619000", \
"0.3453455000, 0.1681011000, 0.0154667000, -0.2651440000, -0.7988987000, -1.8360942000, -3.8841246000, -7.9521249000", \
"0.3422429000, 0.1646824000, 0.0125616000, -0.2681801000, -0.8014541000, -1.8388752000, -3.8863411000, -7.9561235000", \
"0.3383443000, 0.1606822000, 0.0085144000, -0.2718023000, -0.8045001000, -1.8416060000, -3.8882369000, -7.9599552000", \
"0.3508323000, 0.1745196000, 0.0222436000, -0.2572603000, -0.7902273000, -1.8269656000, -3.8736695000, -7.9439264000", \
"0.3858837000, 0.2076053000, 0.0542578000, -0.2279303000, -0.7629989000, -1.8020217000, -3.8501016000, -7.9223304000", \
"0.4933685000, 0.3112754000, 0.1524910000, -0.1367983000, -0.6807744000, -1.7278465000, -3.7826769000, -7.8590513000", \
"0.6154256000, 0.4274485000, 0.2656327000, -0.0313384000, -0.5855333000, -1.6429771000, -3.7076075000, -7.7916270000", \
"0.9983247000, 0.7993493000, 0.6194098000, 0.2892171000, -0.2911567000, -1.3789159000, -3.4729896000, -7.5824156000", \
"1.1919412000, 0.9911997000, 0.8076655000, 0.4700462000, -0.1313377000, -1.2349250000, -3.3441842000, -7.4672112000", \
"1.3839809000, 1.1808484000, 0.9958465000, 0.6525601000, 0.0308302000, -1.0853331000, -3.2094813000, -7.3464953000");
}
related_pin : "S1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2056651000, 0.3036211000, 0.4189738000, 0.6616516000, 1.1533823000, 2.1503391000, 4.1537472000, 8.170438800", \
"0.2090839000, 0.3088762000, 0.4241036000, 0.6644990000, 1.1572178000, 2.1576593000, 4.1605786000, 8.174202000", \
"0.2070104000, 0.3063632000, 0.4222806000, 0.6630959000, 1.1565755000, 2.1527327000, 4.1626464000, 8.171704600", \
"0.2066486000, 0.3057864000, 0.4215718000, 0.6618646000, 1.1559867000, 2.1520017000, 4.1623429000, 8.170933000", \
"0.2429971000, 0.3371101000, 0.4487962000, 0.6862958000, 1.1757636000, 2.1719672000, 4.1753904000, 8.188077100", \
"0.3014279000, 0.3888486000, 0.4963114000, 0.7258389000, 1.2095398000, 2.2005492000, 4.1986425000, 8.209524500", \
"0.4516516000, 0.5222334000, 0.6140364000, 0.8302227000, 1.2999609000, 2.2740889000, 4.2640556000, 8.275467000", \
"0.5910963000, 0.6561146000, 0.7422051000, 0.9438786000, 1.3956884000, 2.3599402000, 4.3388986000, 8.334152800", \
"0.9756754000, 1.0321523000, 1.1065593000, 1.2897485000, 1.7111523000, 2.6202125000, 4.5604876000, 8.526181200", \
"1.1738226000, 1.2248413000, 1.2940273000, 1.4693985000, 1.8808354000, 2.7723446000, 4.6899531000, 8.638692200", \
"1.3651381000, 1.4155882000, 1.4821474000, 1.6447823000, 2.0467819000, 2.9319191000, 4.8172397000, 8.752310700");
}
when : "(!A0&!A1&A2&A3&!S0)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3464020000, 0.1695060000, 0.0164528000, -0.2650201000, -0.7993747000, -1.8378015000, -3.8858859000, -7.9579589000", \
"0.3541053000, 0.1756391000, 0.0222996000, -0.2589403000, -0.7939186000, -1.8329448000, -3.8813861000, -7.9517924000", \
"0.3509805000, 0.1725211000, 0.0191639000, -0.2620052000, -0.7970587000, -1.8356655000, -3.8838897000, -7.9554840000", \
"0.3464534000, 0.1681676000, 0.0149383000, -0.2659690000, -0.8001030000, -1.8384114000, -3.8861279000, -7.9583034000", \
"0.3587287000, 0.1813733000, 0.0283879000, -0.2518193000, -0.7860205000, -1.8240831000, -3.8718991000, -7.9430345000", \
"0.3932172000, 0.2133715000, 0.0594236000, -0.2230854000, -0.7591143000, -1.7994094000, -3.8484644000, -7.9215709000", \
"0.4994122000, 0.3168825000, 0.1574251000, -0.1323331000, -0.6772229000, -1.7255228000, -3.7813291000, -7.8592551000", \
"0.6196383000, 0.4324898000, 0.2694492000, -0.0277514000, -0.5829113000, -1.6420046000, -3.7070400000, -7.7920102000", \
"1.0040317000, 0.8045683000, 0.6237500000, 0.2920544000, -0.2881188000, -1.3779035000, -3.4736749000, -7.5839860000", \
"1.1990026000, 0.9974050000, 0.8135816000, 0.4748794000, -0.1274741000, -1.2328271000, -3.3439192000, -7.4685950000", \
"1.3916164000, 1.1874880000, 1.0018708000, 0.6575926000, 0.0343202000, -1.0836306000, -3.2098891000, -7.3494675000");
}
related_pin : "S1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2064743000, 0.3044702000, 0.4202151000, 0.6597423000, 1.1525595000, 2.1511554000, 4.1546704000, 8.174434200", \
"0.2113314000, 0.3098107000, 0.4250909000, 0.6646061000, 1.1572793000, 2.1576562000, 4.1607250000, 8.173973900", \
"0.2092072000, 0.3073024000, 0.4226053000, 0.6625168000, 1.1560725000, 2.1528359000, 4.1626198000, 8.171609900", \
"0.2090271000, 0.3067664000, 0.4219274000, 0.6626867000, 1.1561026000, 2.1521279000, 4.1624547000, 8.170390900", \
"0.2447079000, 0.3378198000, 0.4489212000, 0.6862310000, 1.1756526000, 2.1719221000, 4.1754738000, 8.188298500", \
"0.3022933000, 0.3888650000, 0.4957025000, 0.7250477000, 1.2088606000, 2.1981059000, 4.1980658000, 8.208754300", \
"0.4493367000, 0.5201950000, 0.6120895000, 0.8279739000, 1.2978699000, 2.2718215000, 4.2620637000, 8.271074900", \
"0.5874833000, 0.6526688000, 0.7384722000, 0.9405948000, 1.3922971000, 2.3566473000, 4.3358390000, 8.331146000", \
"0.9700380000, 1.0237395000, 1.1001960000, 1.2804133000, 1.7033369000, 2.6145608000, 4.5544901000, 8.520377800", \
"1.1659652000, 1.2174999000, 1.2879718000, 1.4598358000, 1.8713163000, 2.7633583000, 4.6816631000, 8.629817400", \
"1.3534291000, 1.4025359000, 1.4710269000, 1.6356192000, 2.0382060000, 2.9181070000, 4.8094217000, 8.741737700");
}
when : "(!A0&!A1&A2&A3&S0)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4302655000, 0.2485399000, 0.0926594000, -0.1907664000, -0.7284360000, -1.7712348000, -3.8229321000, -7.8978209000", \
"0.4370284000, 0.2562952000, 0.0991479000, -0.1844298000, -0.7230718000, -1.7660285000, -3.8179221000, -7.8931085000", \
"0.4346059000, 0.2530830000, 0.0966725000, -0.1873575000, -0.7259454000, -1.7690686000, -3.8198819000, -7.8957472000", \
"0.4313779000, 0.2501442000, 0.0944092000, -0.1891588000, -0.7274987000, -1.7706835000, -3.8220169000, -7.8975372000", \
"0.4338838000, 0.2547769000, 0.0996460000, -0.1818456000, -0.7182394000, -1.7589437000, -3.8088116000, -7.8833295000", \
"0.4509631000, 0.2711724000, 0.1160950000, -0.1671395000, -0.7041518000, -1.7456789000, -3.7962047000, -7.8697976000", \
"0.5266719000, 0.3364396000, 0.1756667000, -0.1133093000, -0.6557258000, -1.7032619000, -3.7576581000, -7.8335083000", \
"0.5907102000, 0.3980944000, 0.2302431000, -0.0723702000, -0.6187682000, -1.6683107000, -3.7246220000, -7.8024622000", \
"0.7483611000, 0.5542107000, 0.3867806000, 0.0830740000, -0.4740183000, -1.5287977000, -3.5863222000, -7.6672480000", \
"0.8454400000, 0.6531232000, 0.4848555000, 0.1809132000, -0.3792236000, -1.4408305000, -3.5006474000, -7.5842373000", \
"0.9464454000, 0.7516616000, 0.5851611000, 0.2803214000, -0.2825276000, -1.3468733000, -3.4124345000, -7.4993133000");
}
related_pin : "S1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2565155000, 0.3515222000, 0.4645932000, 0.7028582000, 1.1945238000, 2.1914281000, 4.1950287000, 8.208709600", \
"0.2615705000, 0.3558759000, 0.4698683000, 0.7076527000, 1.1982256000, 2.1987233000, 4.1992556000, 8.214363400", \
"0.2598339000, 0.3538964000, 0.4676165000, 0.7053960000, 1.1965052000, 2.1928248000, 4.1964950000, 8.215311000", \
"0.2544896000, 0.3518516000, 0.4647634000, 0.7041386000, 1.1944828000, 2.1897704000, 4.1965991000, 8.209197700", \
"0.2753289000, 0.3691305000, 0.4819784000, 0.7203228000, 1.2092018000, 2.2071045000, 4.2059060000, 8.228432100", \
"0.3027883000, 0.3948691000, 0.5067408000, 0.7415727000, 1.2283656000, 2.2243863000, 4.2277796000, 8.238271900", \
"0.3723400000, 0.4595569000, 0.5702670000, 0.8010987000, 1.2794891000, 2.2718128000, 4.2652309000, 8.275592800", \
"0.4435039000, 0.5247412000, 0.6253688000, 0.8559724000, 1.3336125000, 2.3131813000, 4.3053361000, 8.311717700", \
"0.6633668000, 0.7381212000, 0.8350358000, 1.0545976000, 1.5204773000, 2.4971103000, 4.4724746000, 8.474066500", \
"0.7826267000, 0.8555545000, 0.9505108000, 1.1661526000, 1.6230842000, 2.5931223000, 4.5682233000, 8.559078300", \
"0.9010034000, 0.9723456000, 1.0667569000, 1.2797826000, 1.7397361000, 2.6946041000, 4.6675223000, 8.645937000");
}
when : "(!A0&A1&!A2&!A3&S0)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3380853000, 0.1618179000, 0.0097035000, -0.2708327000, -0.8039154000, -1.8411651000, -3.8877688000, -7.9591800000", \
"0.3453522000, 0.1681073000, 0.0154767000, -0.2651297000, -0.7988907000, -1.8360762000, -3.8841134000, -7.9520378000", \
"0.3422536000, 0.1646940000, 0.0125724000, -0.2681685000, -0.8014412000, -1.8388573000, -3.8862947000, -7.9560582000", \
"0.3383589000, 0.1606923000, 0.0085220000, -0.2717897000, -0.8044878000, -1.8415884000, -3.8882021000, -7.9598673000", \
"0.3508370000, 0.1745258000, 0.0222506000, -0.2572488000, -0.7902166000, -1.8269478000, -3.8736357000, -7.9438548000", \
"0.3858943000, 0.2076119000, 0.0542666000, -0.2279201000, -0.7629875000, -1.8020010000, -3.8500601000, -7.9222596000", \
"0.4933768000, 0.3112834000, 0.1524998000, -0.1367897000, -0.6807650000, -1.7278283000, -3.7826426000, -7.8589762000", \
"0.6154371000, 0.4274567000, 0.2656399000, -0.0313319000, -0.5855223000, -1.6429576000, -3.7075676000, -7.7915599000", \
"0.9983923000, 0.7996977000, 0.6194391000, 0.2892588000, -0.2911803000, -1.3789515000, -3.4729036000, -7.5823485000", \
"1.1919583000, 0.9912200000, 0.8076835000, 0.4700637000, -0.1313217000, -1.2348988000, -3.3441445000, -7.4671427000", \
"1.3835221000, 1.1808262000, 0.9955744000, 0.6524040000, 0.0307999000, -1.0854121000, -3.2092093000, -7.3469325000");
}
related_pin : "S1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2055705000, 0.3041423000, 0.4189767000, 0.6616023000, 1.1524461000, 2.1534305000, 4.1551049000, 8.168918700", \
"0.2090824000, 0.3088766000, 0.4241056000, 0.6645126000, 1.1572414000, 2.1577099000, 4.1606305000, 8.174284200", \
"0.2070103000, 0.3063620000, 0.4222821000, 0.6631035000, 1.1565943000, 2.1527806000, 4.1626841000, 8.171788400", \
"0.2066468000, 0.3057854000, 0.4215742000, 0.6618720000, 1.1559974000, 2.1520400000, 4.1623889000, 8.170994200", \
"0.2429960000, 0.3371106000, 0.4487979000, 0.6862978000, 1.1757724000, 2.1719958000, 4.1754277000, 8.188149900", \
"0.3014269000, 0.3888493000, 0.4963128000, 0.7258421000, 1.2095462000, 2.2005613000, 4.1986909000, 8.209590700", \
"0.4516579000, 0.5222418000, 0.6140586000, 0.8302330000, 1.2999733000, 2.2740921000, 4.2640850000, 8.275293200", \
"0.5911151000, 0.6561245000, 0.7422194000, 0.9438905000, 1.3957106000, 2.3599878000, 4.3389577000, 8.334224200", \
"0.9756921000, 1.0321701000, 1.1065715000, 1.2897626000, 1.7111653000, 2.6202345000, 4.5605263000, 8.526259000", \
"1.1739220000, 1.2248589000, 1.2940464000, 1.4694196000, 1.8808568000, 2.7723914000, 4.6901230000, 8.638573400", \
"1.3651748000, 1.4156199000, 1.4821726000, 1.6448075000, 2.0468002000, 2.9316772000, 4.8173197000, 8.752420900");
}
when : "(!A0&A1&A2&!A3&!S0)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4302374000, 0.2487564000, 0.0926625000, -0.1908989000, -0.7283839000, -1.7711965000, -3.8228019000, -7.8979346000", \
"0.4370330000, 0.2563165000, 0.0991279000, -0.1844108000, -0.7230478000, -1.7659986000, -3.8179283000, -7.8931118000", \
"0.4346041000, 0.2530794000, 0.0966740000, -0.1873544000, -0.7259337000, -1.7690506000, -3.8198427000, -7.8958416000", \
"0.4313781000, 0.2501434000, 0.0944105000, -0.1891547000, -0.7274906000, -1.7706694000, -3.8220008000, -7.8974643000", \
"0.4338850000, 0.2547771000, 0.0996466000, -0.1818410000, -0.7182325000, -1.7589278000, -3.8087543000, -7.8832623000", \
"0.4509671000, 0.2712428000, 0.1159105000, -0.1671398000, -0.7041436000, -1.7456571000, -3.7961597000, -7.8700061000", \
"0.5266813000, 0.3364482000, 0.1756762000, -0.1133000000, -0.6557147000, -1.7032431000, -3.7576173000, -7.8334265000", \
"0.5907198000, 0.3981040000, 0.2302515000, -0.0723626000, -0.6187547000, -1.6682960000, -3.7245874000, -7.8023962000", \
"0.7491540000, 0.5542294000, 0.3870285000, 0.0830919000, -0.4740003000, -1.5287733000, -3.5862858000, -7.6671850000", \
"0.8467356000, 0.6531324000, 0.4848848000, 0.1809240000, -0.3797917000, -1.4408267000, -3.5007273000, -7.5841866000", \
"0.9455215000, 0.7522848000, 0.5850062000, 0.2816626000, -0.2826591000, -1.3469326000, -3.4125364000, -7.4997121000");
}
related_pin : "S1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2565045000, 0.3506719000, 0.4645107000, 0.7028541000, 1.1946177000, 2.1908748000, 4.1939181000, 8.215510300", \
"0.2615737000, 0.3558806000, 0.4698727000, 0.7076575000, 1.1982349000, 2.1987697000, 4.1992906000, 8.214423900", \
"0.2598386000, 0.3539013000, 0.4676207000, 0.7054025000, 1.1965173000, 2.1928394000, 4.1965156000, 8.215465900", \
"0.2544936000, 0.3518570000, 0.4647685000, 0.7041295000, 1.1944927000, 2.1897920000, 4.1966469000, 8.209275400", \
"0.2737343000, 0.3691370000, 0.4819833000, 0.7203337000, 1.2091697000, 2.2071316000, 4.2059250000, 8.228503800", \
"0.3027942000, 0.3948760000, 0.5067467000, 0.7415794000, 1.2283780000, 2.2244078000, 4.2278220000, 8.238354100", \
"0.3723485000, 0.4595650000, 0.5702752000, 0.8011076000, 1.2795024000, 2.2718330000, 4.2652715000, 8.275971900", \
"0.4433021000, 0.5246535000, 0.6258485000, 0.8552439000, 1.3342818000, 2.3133497000, 4.3062764000, 8.315452100", \
"0.6632939000, 0.7380978000, 0.8349120000, 1.0543109000, 1.5204896000, 2.4966800000, 4.4723790000, 8.471430700", \
"0.7824132000, 0.8540182000, 0.9499220000, 1.1664447000, 1.6243938000, 2.5967768000, 4.5676072000, 8.556700600", \
"0.9010635000, 0.9719157000, 1.0663859000, 1.2792293000, 1.7396835000, 2.6939898000, 4.6662288000, 8.647247700");
}
when : "(!A0&A1&A2&!A3&S0)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3373927000, 0.1617007000, 0.0098981000, -0.2707849000, -0.8040598000, -1.8412414000, -3.8879269000, -7.9592087000", \
"0.3453519000, 0.1681076000, 0.0154758000, -0.2651349000, -0.7988879000, -1.8360785000, -3.8840943000, -7.9520794000", \
"0.3422491000, 0.1646872000, 0.0125630000, -0.2681708000, -0.8014432000, -1.8388575000, -3.8863160000, -7.9560313000", \
"0.3383482000, 0.1606899000, 0.0085210000, -0.2717916000, -0.8044883000, -1.8415898000, -3.8882055000, -7.9598725000", \
"0.3508346000, 0.1745242000, 0.0222500000, -0.2572490000, -0.7902180000, -1.8269472000, -3.8736377000, -7.9438513000", \
"0.3858914000, 0.2076206000, 0.0542644000, -0.2279227000, -0.7629875000, -1.8020028000, -3.8500621000, -7.9222604000", \
"0.4933756000, 0.3112832000, 0.1525007000, -0.1367896000, -0.6807630000, -1.7278273000, -3.7826406000, -7.8589803000", \
"0.6154336000, 0.4274567000, 0.2656415000, -0.0313311000, -0.5855220000, -1.6429571000, -3.7075659000, -7.7915570000", \
"0.9983417000, 0.7993670000, 0.6194271000, 0.2892338000, -0.2911364000, -1.3788850000, -3.4729566000, -7.5823431000", \
"1.1919589000, 0.9912232000, 0.8076849000, 0.4700643000, -0.1313187000, -1.2349003000, -3.3441433000, -7.4671422000", \
"1.3843122000, 1.1810699000, 0.9961744000, 0.6529485000, 0.0313179000, -1.0845364000, -3.2093246000, -7.3464273000");
}
related_pin : "S1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2054887000, 0.3037183000, 0.4200208000, 0.6616193000, 1.1527829000, 2.1537302000, 4.1551303000, 8.170608800", \
"0.2090815000, 0.3088774000, 0.4241062000, 0.6645122000, 1.1572413000, 2.1576873000, 4.1606294000, 8.174278400", \
"0.2070113000, 0.3063630000, 0.4222832000, 0.6631031000, 1.1565929000, 2.1527654000, 4.1626764000, 8.171790400", \
"0.2066453000, 0.3057861000, 0.4215750000, 0.6618715000, 1.1559992000, 2.1520133000, 4.1623869000, 8.170993500", \
"0.2429948000, 0.3371103000, 0.4487976000, 0.6862962000, 1.1757740000, 2.1719964000, 4.1754288000, 8.188145900", \
"0.3014267000, 0.3888484000, 0.4963140000, 0.7258428000, 1.2095465000, 2.2005741000, 4.1986860000, 8.209595300", \
"0.4516573000, 0.5222391000, 0.6140422000, 0.8302305000, 1.2999743000, 2.2741080000, 4.2640893000, 8.275326600", \
"0.5911065000, 0.6561227000, 0.7422138000, 0.9438864000, 1.3957022000, 2.3599779000, 4.3389403000, 8.334227300", \
"0.9756908000, 1.0321687000, 1.1065726000, 1.2897616000, 1.7111678000, 2.6202361000, 4.5605252000, 8.526266700", \
"1.1738433000, 1.2248605000, 1.2940482000, 1.4694211000, 1.8808559000, 2.7723905000, 4.6901245000, 8.638576800", \
"1.3651805000, 1.4156193000, 1.4821748000, 1.6448088000, 2.0468025000, 2.9319376000, 4.8173102000, 8.752426300");
}
when : "(!A0&A1&A2&A3&!S0)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4282727000, 0.2472782000, 0.0914092000, -0.1928938000, -0.7305571000, -1.7725870000, -3.8237275000, -7.8984245000", \
"0.4352924000, 0.2536633000, 0.0975884000, -0.1865065000, -0.7247559000, -1.7676034000, -3.8188128000, -7.8927834000", \
"0.4312027000, 0.2509558000, 0.0944370000, -0.1899025000, -0.7279255000, -1.7699888000, -3.8205463000, -7.8955294000", \
"0.4293542000, 0.2480346000, 0.0912034000, -0.1917412000, -0.7297772000, -1.7715663000, -3.8233869000, -7.8980475000", \
"0.4308976000, 0.2517525000, 0.0975773000, -0.1841121000, -0.7200122000, -1.7603830000, -3.8100759000, -7.8836666000", \
"0.4485466000, 0.2681514000, 0.1137095000, -0.1691762000, -0.7059147000, -1.7468462000, -3.7968550000, -7.8698233000", \
"0.5238801000, 0.3339941000, 0.1731488000, -0.1149635000, -0.6578966000, -1.7048746000, -3.7587565000, -7.8342790000", \
"0.5871961000, 0.3949139000, 0.2277952000, -0.0747430000, -0.6212795000, -1.6697540000, -3.7258974000, -7.8030102000", \
"0.7461896000, 0.5532865000, 0.3857147000, 0.0824374000, -0.4740875000, -1.5289289000, -3.5869288000, -7.6674980000", \
"0.8430281000, 0.6506437000, 0.4837181000, 0.1797615000, -0.3801281000, -1.4403770000, -3.5000823000, -7.5827708000", \
"0.9435379000, 0.7505388000, 0.5834929000, 0.2791961000, -0.2829223000, -1.3465436000, -3.4116677000, -7.4981349000");
}
related_pin : "S1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2543274000, 0.3499967000, 0.4639260000, 0.7032966000, 1.1964796000, 2.1945889000, 4.1950720000, 8.208680800", \
"0.2599298000, 0.3552002000, 0.4695219000, 0.7074289000, 1.1995776000, 2.1988689000, 4.1992865000, 8.213048400", \
"0.2582340000, 0.3531405000, 0.4673575000, 0.7050577000, 1.1981117000, 2.1939143000, 4.1977807000, 8.211540000", \
"0.2525618000, 0.3504474000, 0.4646729000, 0.7042984000, 1.1947110000, 2.1904020000, 4.1942304000, 8.212038400", \
"0.2738327000, 0.3685182000, 0.4819506000, 0.7205273000, 1.2094110000, 2.2073452000, 4.2060025000, 8.228831100", \
"0.3009110000, 0.3943565000, 0.5068768000, 0.7418736000, 1.2286819000, 2.2247537000, 4.2248667000, 8.238717200", \
"0.3717448000, 0.4593152000, 0.5705976000, 0.8016053000, 1.2799938000, 2.2724067000, 4.2654859000, 8.276455000", \
"0.4442652000, 0.5249495000, 0.6262632000, 0.8561963000, 1.3348077000, 2.3139116000, 4.3068575000, 8.312283900", \
"0.6639478000, 0.7386505000, 0.8355331000, 1.0550503000, 1.5209103000, 2.4977960000, 4.4731157000, 8.475261900", \
"0.7829146000, 0.8561726000, 0.9510401000, 1.1666250000, 1.6236716000, 2.5952265000, 4.5694155000, 8.563396700", \
"0.9020820000, 0.9728958000, 1.0672723000, 1.2802654000, 1.7402639000, 2.6952691000, 4.6673172000, 8.646847400");
}
when : "(A0&!A1&!A2&!A3&!S0)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4306062000, 0.2489372000, 0.0912057000, -0.1967097000, -0.7371522000, -1.7802520000, -3.8313032000, -7.9056357000", \
"0.4394979000, 0.2562456000, 0.0984562000, -0.1895918000, -0.7299345000, -1.7728659000, -3.8238552000, -7.8996558000", \
"0.4370382000, 0.2548926000, 0.0965177000, -0.1909985000, -0.7314011000, -1.7747654000, -3.8253427000, -7.8997154000", \
"0.4328164000, 0.2497096000, 0.0923054000, -0.1959404000, -0.7360376000, -1.7791275000, -3.8308259000, -7.9043525000", \
"0.4558858000, 0.2728436000, 0.1153554000, -0.1728004000, -0.7131239000, -1.7562041000, -3.8083150000, -7.8821838000", \
"0.4971093000, 0.3139360000, 0.1547144000, -0.1345854000, -0.6775397000, -1.7227236000, -3.7754324000, -7.8499892000", \
"0.6240435000, 0.4370661000, 0.2751534000, -0.0209334000, -0.5718462000, -1.6252999000, -3.6845007000, -7.7640412000", \
"0.7597379000, 0.5702223000, 0.4040362000, 0.1014024000, -0.4593081000, -1.5229502000, -3.5905911000, -7.6762757000", \
"1.1680826000, 0.9660783000, 0.7870744000, 0.4702556000, -0.1118956000, -1.2041170000, -3.2993342000, -7.4064796000", \
"1.3835126000, 1.1792027000, 0.9973792000, 0.6633615000, 0.0748153000, -1.0310300000, -3.1399942000, -7.2590622000", \
"1.5943820000, 1.3877283000, 1.2034952000, 0.8647545000, 0.2613941000, -0.8554424000, -2.9775436000, -7.1087008000");
}
related_pin : "S0";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2689602000, 0.3647602000, 0.4785069000, 0.7174165000, 1.2092200000, 2.2092213000, 4.2147898000, 8.231718600", \
"0.2766344000, 0.3710001000, 0.4857507000, 0.7245933000, 1.2141768000, 2.2152095000, 4.2154673000, 8.237440400", \
"0.2722524000, 0.3682511000, 0.4828708000, 0.7212367000, 1.2142181000, 2.2136141000, 4.2138371000, 8.233387300", \
"0.2702220000, 0.3665061000, 0.4810401000, 0.7193773000, 1.2099271000, 2.2104967000, 4.2120156000, 8.226011500", \
"0.3056819000, 0.3988041000, 0.5108683000, 0.7466374000, 1.2353115000, 2.2354296000, 4.2350967000, 8.252866500", \
"0.3740659000, 0.4615412000, 0.5674110000, 0.7967712000, 1.2783289000, 2.2702806000, 4.2676653000, 8.277305400", \
"0.5498094000, 0.6226361000, 0.7141773000, 0.9320740000, 1.3994409000, 2.3726176000, 4.3613001000, 8.364804800", \
"0.7077645000, 0.7766568000, 0.8660535000, 1.0671268000, 1.5210796000, 2.4832773000, 4.4587689000, 8.459921300", \
"1.1458033000, 1.2042794000, 1.2815922000, 1.4714167000, 1.9012018000, 2.8183939000, 4.7688213000, 8.732813000", \
"1.3722291000, 1.4276072000, 1.5005434000, 1.6818233000, 2.1037544000, 3.0061380000, 4.9373388000, 8.886598600", \
"1.5887584000, 1.6440854000, 1.7170102000, 1.8874671000, 2.3003823000, 3.1960966000, 5.1057437000, 9.047922600");
}
when : "(!A0&A1&!A2&!A3&!S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4914483000, 0.3094156000, 0.1527123000, -0.1338361000, -0.6728113000, -1.7143592000, -3.7646002000, -7.8377685000", \
"0.5012175000, 0.3190559000, 0.1620652000, -0.1239909000, -0.6634844000, -1.7052931000, -3.7561308000, -7.8280838000", \
"0.5023366000, 0.3212297000, 0.1637659000, -0.1224358000, -0.6611146000, -1.7031661000, -3.7524246000, -7.8268401000", \
"0.4994304000, 0.3172130000, 0.1601497000, -0.1260795000, -0.6644554000, -1.7065296000, -3.7563832000, -7.8300645000", \
"0.5416872000, 0.3594495000, 0.2029298000, -0.0839546000, -0.6224493000, -1.6644984000, -3.7141165000, -7.7878233000", \
"0.6197846000, 0.4374887000, 0.2794973000, -0.0092056000, -0.5500344000, -1.5943466000, -3.6453289000, -7.7206255000", \
"0.8273195000, 0.6417073000, 0.4796294000, 0.1844858000, -0.3655129000, -1.4177742000, -3.4759947000, -7.5547854000", \
"1.0450278000, 0.8561573000, 0.6903214000, 0.3881463000, -0.1714962000, -1.2341851000, -3.3007762000, -7.3856659000", \
"1.6859758000, 1.4844202000, 1.3046369000, 0.9865211000, 0.4062228000, -0.6850304000, -2.7791420000, -6.8858687000", \
"2.0216053000, 1.8173997000, 1.6354391000, 1.3012928000, 0.7131645000, -0.3915596000, -2.4994644000, -6.6178179000", \
"2.3527468000, 2.1466332000, 1.9623917000, 1.6238015000, 1.0188924000, -0.0948320000, -2.2161526000, -6.3460170000");
}
related_pin : "S0";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2799737000, 0.3760583000, 0.4883039000, 0.7293736000, 1.2194777000, 2.2188161000, 4.2195453000, 8.240612200", \
"0.2907679000, 0.3871312000, 0.5014169000, 0.7397826000, 1.2302169000, 2.2324373000, 4.2322906000, 8.249583800", \
"0.2888609000, 0.3842529000, 0.4983413000, 0.7383532000, 1.2285068000, 2.2248433000, 4.2293275000, 8.244931000", \
"0.2899193000, 0.3860684000, 0.4995106000, 0.7391265000, 1.2292722000, 2.2284750000, 4.2310003000, 8.247256100", \
"0.3448374000, 0.4362973000, 0.5473614000, 0.7834030000, 1.2719632000, 2.2678357000, 4.2789099000, 8.284026200", \
"0.4480350000, 0.5350461000, 0.6395159000, 0.8691328000, 1.3503446000, 2.3424982000, 4.3393612000, 8.353861200", \
"0.7002429000, 0.7721740000, 0.8638832000, 1.0815944000, 1.5496120000, 2.5225482000, 4.5112412000, 8.515065400", \
"0.9386081000, 1.0064476000, 1.0949505000, 1.2974819000, 1.7519097000, 2.7145251000, 4.6935961000, 8.684113200", \
"1.6047303000, 1.6654096000, 1.7432961000, 1.9296833000, 2.3603869000, 3.2820792000, 5.2262711000, 9.194118700", \
"1.9491579000, 2.0048538000, 2.0804659000, 2.2623193000, 2.6836399000, 3.5862599000, 5.5170593000, 9.467585100", \
"2.2906472000, 2.3416860000, 2.4169066000, 2.5927959000, 3.0013855000, 3.8913143000, 5.8034835000, 9.747669400");
}
when : "(!A0&A1&!A2&A3&!S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.5745160000, 0.3894966000, 0.2282914000, -0.0626974000, -0.6090509000, -1.6592223000, -3.7162763000, -7.7946244000", \
"0.5871671000, 0.4019689000, 0.2404471000, -0.0506590000, -0.5978273000, -1.6479760000, -3.7057771000, -7.7843658000", \
"0.5879861000, 0.4025164000, 0.2416030000, -0.0502075000, -0.5962754000, -1.6469850000, -3.7030844000, -7.7831314000", \
"0.5843981000, 0.3985387000, 0.2382371000, -0.0533024000, -0.5995012000, -1.6499609000, -3.7068697000, -7.7857331000", \
"0.6270301000, 0.4417123000, 0.2805594000, -0.0108128000, -0.5567880000, -1.6071588000, -3.6640542000, -7.7419224000", \
"0.7034741000, 0.5179341000, 0.3565830000, 0.0636987000, -0.4845710000, -1.5359958000, -3.5945497000, -7.6734030000", \
"0.9059879000, 0.7177482000, 0.5538323000, 0.2558624000, -0.2992503000, -1.3587954000, -3.4234899000, -7.5073285000", \
"1.1196692000, 0.9299561000, 0.7624027000, 0.4580516000, -0.1065535000, -1.1754555000, -3.2480025000, -7.3374540000", \
"1.7459923000, 1.5432166000, 1.3647210000, 1.0547550000, 0.4696122000, -0.6272978000, -2.7266858000, -6.8373284000", \
"2.0866860000, 1.8818477000, 1.6987331000, 1.3653767000, 0.7770535000, -0.3337356000, -2.4469781000, -6.5697819000", \
"2.4204358000, 2.2133374000, 2.0285288000, 1.6875382000, 1.0848309000, -0.0367215000, -2.1636639000, -6.2989161000");
}
related_pin : "S0";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2573032000, 0.3491181000, 0.4617237000, 0.6982445000, 1.1854812000, 2.1855215000, 4.1870665000, 8.198676500", \
"0.2696832000, 0.3616271000, 0.4737862000, 0.7104450000, 1.1994375000, 2.1953197000, 4.1982459000, 8.214535800", \
"0.2671772000, 0.3587555000, 0.4698716000, 0.7087495000, 1.1972027000, 2.1924508000, 4.2009492000, 8.209661200", \
"0.2697247000, 0.3609928000, 0.4727561000, 0.7104572000, 1.1994102000, 2.1964668000, 4.1995737000, 8.212504400", \
"0.3226397000, 0.4125185000, 0.5221493000, 0.7563164000, 1.2430894000, 2.2397731000, 4.2391866000, 8.255233300", \
"0.4286581000, 0.5127269000, 0.6170090000, 0.8442855000, 1.3237487000, 2.3146706000, 4.3100489000, 8.319689600", \
"0.6792391000, 0.7510061000, 0.8419709000, 1.0603881000, 1.5243279000, 2.4989652000, 4.4831940000, 8.486211400", \
"0.9189179000, 0.9865194000, 1.0744038000, 1.2760658000, 1.7313645000, 2.6907183000, 4.6654935000, 8.657790700", \
"1.5883496000, 1.6466469000, 1.7254197000, 1.9121407000, 2.3403514000, 3.2563913000, 5.2030841000, 9.168840500", \
"1.9323678000, 1.9900933000, 2.0646385000, 2.2463536000, 2.6650792000, 3.5681596000, 5.4930329000, 9.441770800", \
"2.2760091000, 2.3296476000, 2.4042335000, 2.5750470000, 2.9869734000, 3.8743239000, 5.7844538000, 9.722132500");
}
when : "(!A0&A1&!A2&A3&S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.5232959000, 0.3395924000, 0.1810113000, -0.1074573000, -0.6495796000, -1.6945894000, -3.7468755000, -7.8217211000", \
"0.5312619000, 0.3477624000, 0.1888227000, -0.0998012000, -0.6413799000, -1.6867606000, -3.7390675000, -7.8134693000", \
"0.5303388000, 0.3466119000, 0.1881889000, -0.1004413000, -0.6422037000, -1.6872417000, -3.7397933000, -7.8147012000", \
"0.5256196000, 0.3419797000, 0.1836149000, -0.1054080000, -0.6468491000, -1.6923093000, -3.7453711000, -7.8190791000", \
"0.5513590000, 0.3671866000, 0.2087931000, -0.0802162000, -0.6220899000, -1.6672998000, -3.7196112000, -7.7949577000", \
"0.5962578000, 0.4119681000, 0.2526266000, -0.0374341000, -0.5814803000, -1.6285180000, -3.6822920000, -7.7583750000", \
"0.7292793000, 0.5423164000, 0.3800834000, 0.0846326000, -0.4670931000, -1.5217766000, -3.5820195000, -7.6621187000", \
"0.8708106000, 0.6822246000, 0.5161891000, 0.2144152000, -0.3457546000, -1.4095889000, -3.4778082000, -7.5638282000", \
"1.3058915000, 1.1050665000, 0.9265222000, 0.6112468000, 0.0299808000, -1.0610941000, -3.1551961000, -7.2612651000", \
"1.5360042000, 1.3325339000, 1.1515596000, 0.8186362000, 0.2320093000, -0.8715952000, -2.9791258000, -7.0970844000", \
"1.7612421000, 1.5559490000, 1.3727859000, 1.0359279000, 0.4339988000, -0.6807977000, -2.8005340000, -6.9297910000");
}
related_pin : "S0";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3974378000, 0.5039595000, 0.6231800000, 0.8630942000, 1.3527101000, 2.3484486000, 4.3528855000, 8.366982700", \
"0.4016679000, 0.5111321000, 0.6315333000, 0.8712546000, 1.3624667000, 2.3566720000, 4.3644189000, 8.378164700", \
"0.3986604000, 0.5080172000, 0.6284357000, 0.8681598000, 1.3591102000, 2.3554953000, 4.3593772000, 8.372168300", \
"0.3954645000, 0.5055538000, 0.6256954000, 0.8653232000, 1.3562142000, 2.3506896000, 4.3580873000, 8.371230400", \
"0.4320460000, 0.5347087000, 0.6514894000, 0.8883990000, 1.3761712000, 2.3712775000, 4.3734933000, 8.388144900", \
"0.5080376000, 0.6020578000, 0.7106206000, 0.9402616000, 1.4213269000, 2.4109907000, 4.4094146000, 8.418382700", \
"0.7081408000, 0.7806515000, 0.8707563000, 1.0883044000, 1.5546208000, 2.5284772000, 4.5144688000, 8.516553000", \
"0.8868969000, 0.9549909000, 1.0431051000, 1.2439639000, 1.6960974000, 2.6560923000, 4.6284218000, 8.622462200", \
"1.3636748000, 1.4233597000, 1.5016084000, 1.6863542000, 2.1157022000, 3.0344907000, 4.9772646000, 8.937865100", \
"1.6082734000, 1.6646012000, 1.7383332000, 1.9164510000, 2.3353394000, 3.2329868000, 5.1618245000, 9.112322800", \
"1.8457776000, 1.8994358000, 1.9708012000, 2.1436415000, 2.5525891000, 3.4439208000, 5.3492655000, 9.290087900");
}
when : "(!A0&A1&A2&!A3&!S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.6255584000, 0.4399822000, 0.2781219000, -0.0142945000, -0.5637992000, -1.6175367000, -3.6764218000, -7.7562364000", \
"0.6352421000, 0.4487212000, 0.2863021000, -0.0057535000, -0.5548121000, -1.6090559000, -3.6681939000, -7.7476897000", \
"0.6308358000, 0.4455467000, 0.2830333000, -0.0085151000, -0.5588248000, -1.6121835000, -3.6715026000, -7.7502264000", \
"0.6292594000, 0.4440662000, 0.2816441000, -0.0107516000, -0.5597249000, -1.6134457000, -3.6725052000, -7.7528358000", \
"0.6538833000, 0.4669657000, 0.3053957000, 0.0120377000, -0.5362435000, -1.5907687000, -3.6480653000, -7.7299819000", \
"0.6850054000, 0.4988159000, 0.3375777000, 0.0452464000, -0.5030354000, -1.5549302000, -3.6130762000, -7.6930819000", \
"0.7764424000, 0.5901662000, 0.4308616000, 0.1391910000, -0.4083207000, -1.4597008000, -3.5169272000, -7.5950996000", \
"0.8932541000, 0.7017246000, 0.5338136000, 0.2389609000, -0.3099761000, -1.3618928000, -3.4199954000, -7.4993911000", \
"1.2101488000, 1.0207539000, 0.8531190000, 0.5461582000, -0.0133648000, -1.0726402000, -3.1327621000, -7.2138663000", \
"1.3693519000, 1.1793147000, 1.0123315000, 0.7034841000, 0.1427484000, -0.9188923000, -2.9802347000, -7.0624817000", \
"1.5265479000, 1.3359159000, 1.1682035000, 0.8585087000, 0.2958358000, -0.7640565000, -2.8293168000, -6.9121958000");
}
related_pin : "S0";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3438893000, 0.4371752000, 0.5486824000, 0.7824053000, 1.2696309000, 2.2623615000, 4.2643285000, 8.277807900", \
"0.3499293000, 0.4401602000, 0.5504998000, 0.7877993000, 1.2745214000, 2.2667125000, 4.2688651000, 8.283184800", \
"0.3495188000, 0.4416196000, 0.5520758000, 0.7891003000, 1.2747310000, 2.2677640000, 4.2712104000, 8.285174000", \
"0.3467156000, 0.4383608000, 0.5488417000, 0.7838190000, 1.2727837000, 2.2648491000, 4.2671840000, 8.284224500", \
"0.3825861000, 0.4722852000, 0.5827858000, 0.8190147000, 1.3052852000, 2.2985905000, 4.3009879000, 8.319360000", \
"0.4259463000, 0.5174282000, 0.6283127000, 0.8621476000, 1.3485844000, 2.3449652000, 4.3442787000, 8.362170400", \
"0.5313746000, 0.6211022000, 0.7303334000, 0.9647435000, 1.4494269000, 2.4417308000, 4.4486587000, 8.455711100", \
"0.6360117000, 0.7197630000, 0.8348990000, 1.0688354000, 1.5500778000, 2.5421736000, 4.5419411000, 8.550846900", \
"0.9517393000, 1.0323743000, 1.1332066000, 1.3592046000, 1.8397405000, 2.8291062000, 4.8213879000, 8.829797600", \
"1.1146573000, 1.1945383000, 1.2945737000, 1.5178617000, 1.9925891000, 2.9800619000, 4.9722329000, 8.984315000", \
"1.2782278000, 1.3572039000, 1.4554569000, 1.6752937000, 2.1475155000, 3.1348109000, 5.1250677000, 9.129315400");
}
when : "(!A0&A1&A2&!A3&S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4343996000, 0.2514786000, 0.0935395000, -0.1933884000, -0.7333953000, -1.7763635000, -3.8278078000, -7.9020892000", \
"0.4410278000, 0.2586546000, 0.1004591000, -0.1868589000, -0.7269710000, -1.7703439000, -3.8214304000, -7.8970207000", \
"0.4384542000, 0.2555971000, 0.0985508000, -0.1891640000, -0.7290776000, -1.7724500000, -3.8240665000, -7.8987765000", \
"0.4329358000, 0.2500584000, 0.0925304000, -0.1940032000, -0.7346714000, -1.7776929000, -3.8293456000, -7.9042854000", \
"0.4569963000, 0.2741296000, 0.1164475000, -0.1705434000, -0.7105904000, -1.7541123000, -3.8045898000, -7.8797273000", \
"0.4976697000, 0.3147823000, 0.1561434000, -0.1328225000, -0.6748854000, -1.7204740000, -3.7731139000, -7.8482117000", \
"0.6253920000, 0.4384857000, 0.2767606000, -0.0187383000, -0.5693769000, -1.6228720000, -3.6821143000, -7.7616609000", \
"0.7599201000, 0.5707990000, 0.4049780000, 0.1027543000, -0.4576525000, -1.5211828000, -3.5890439000, -7.6747402000", \
"1.1707500000, 0.9688237000, 0.7895966000, 0.4720469000, -0.1104560000, -1.2026685000, -3.2978319000, -7.4051573000", \
"1.3870855000, 1.1826396000, 1.0006505000, 0.6665121000, 0.0769119000, -1.0293073000, -3.1383636000, -7.2576605000", \
"1.5982353000, 1.3913108000, 1.2072713000, 0.8688168000, 0.2638456000, -0.8535874000, -2.9758529000, -7.1070445000");
}
related_pin : "S0";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2690380000, 0.3625124000, 0.4761108000, 0.7168009000, 1.2061848000, 2.2022574000, 4.2036333000, 8.226791100", \
"0.2739258000, 0.3701129000, 0.4839399000, 0.7237008000, 1.2138381000, 2.2100716000, 4.2182520000, 8.228527300", \
"0.2724533000, 0.3671458000, 0.4811397000, 0.7197851000, 1.2103616000, 2.2089207000, 4.2085901000, 8.230664800", \
"0.2715825000, 0.3657433000, 0.4796036000, 0.7175770000, 1.2102265000, 2.2087274000, 4.2102274000, 8.228767100", \
"0.3057114000, 0.3970265000, 0.5085669000, 0.7440956000, 1.2323770000, 2.2319339000, 4.2312747000, 8.247121800", \
"0.3723682000, 0.4594526000, 0.5647934000, 0.7942979000, 1.2753550000, 2.2649967000, 4.2643561000, 8.274131400", \
"0.5457934000, 0.6181853000, 0.7095024000, 0.9282114000, 1.3953832000, 2.3704378000, 4.3624215000, 8.362920800", \
"0.7023005000, 0.7709571000, 0.8597774000, 1.0622797000, 1.5172863000, 2.4798761000, 4.4537294000, 8.449595600", \
"1.1400299000, 1.1997239000, 1.2744228000, 1.4642493000, 1.8952658000, 2.8137727000, 4.7619516000, 8.725651600", \
"1.3657604000, 1.4204291000, 1.4947451000, 1.6758192000, 2.0989133000, 3.0020010000, 4.9326423000, 8.880291500", \
"1.5844230000, 1.6391800000, 1.7117660000, 1.8855448000, 2.2983105000, 3.1897827000, 5.0981108000, 9.040746200");
}
when : "(!A0&A1&A2&A3&!S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.5128752000, 0.3274668000, 0.1670923000, -0.1245352000, -0.6695894000, -1.7171469000, -3.7718757000, -7.8485246000", \
"0.5162567000, 0.3306684000, 0.1705289000, -0.1196294000, -0.6653651000, -1.7137419000, -3.7681045000, -7.8445175000", \
"0.5132821000, 0.3276144000, 0.1673035000, -0.1228823000, -0.6687672000, -1.7171524000, -3.7715865000, -7.8482638000", \
"0.5073558000, 0.3232359000, 0.1627107000, -0.1286734000, -0.6729755000, -1.7227218000, -3.7767808000, -7.8526489000", \
"0.5098895000, 0.3245138000, 0.1644245000, -0.1258959000, -0.6713091000, -1.7198350000, -3.7742692000, -7.8511914000", \
"0.5043399000, 0.3194235000, 0.1594511000, -0.1306120000, -0.6754795000, -1.7231341000, -3.7773516000, -7.8533478000", \
"0.5145696000, 0.3303318000, 0.1707092000, -0.1201081000, -0.6648984000, -1.7112639000, -3.7650046000, -7.8408034000", \
"0.5420478000, 0.3532735000, 0.1867199000, -0.1096678000, -0.6540498000, -1.7016915000, -3.7559595000, -7.8319478000", \
"0.6033673000, 0.4158920000, 0.2505967000, -0.0523047000, -0.6060254000, -1.6596269000, -3.7156845000, -7.7934266000", \
"0.6331492000, 0.4453489000, 0.2800802000, -0.0242113000, -0.5784750000, -1.6353525000, -3.6922281000, -7.7708653000", \
"0.6590402000, 0.4714708000, 0.3052354000, -0.0002402000, -0.5555087000, -1.6109541000, -3.6711420000, -7.7505959000");
}
related_pin : "S0";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3365616000, 0.4316153000, 0.5453438000, 0.7800176000, 1.2707674000, 2.2657919000, 4.2691676000, 8.286330200", \
"0.3384587000, 0.4312288000, 0.5447821000, 0.7806017000, 1.2678170000, 2.2646824000, 4.2675073000, 8.279813500", \
"0.3356839000, 0.4304677000, 0.5431329000, 0.7811883000, 1.2685157000, 2.2649224000, 4.2678050000, 8.282413400", \
"0.3289962000, 0.4240908000, 0.5365293000, 0.7746257000, 1.2623400000, 2.2551591000, 4.2606688000, 8.272081200", \
"0.3404370000, 0.4335298000, 0.5457822000, 0.7839926000, 1.2714292000, 2.2646437000, 4.2721533000, 8.282836400", \
"0.3438846000, 0.4384609000, 0.5511941000, 0.7875712000, 1.2738953000, 2.2677481000, 4.2787375000, 8.283350000", \
"0.3702410000, 0.4622651000, 0.5731707000, 0.8068880000, 1.2920675000, 2.2876159000, 4.2870988000, 8.303314100", \
"0.3895761000, 0.4756723000, 0.5911793000, 0.8248686000, 1.3076384000, 2.2976406000, 4.2968608000, 8.313255300", \
"0.4677756000, 0.5478092000, 0.6487818000, 0.8744192000, 1.3570607000, 2.3441007000, 4.3365675000, 8.350367000", \
"0.5025306000, 0.5804958000, 0.6818321000, 0.9036764000, 1.3800180000, 2.3685966000, 4.3613185000, 8.366922000", \
"0.5412906000, 0.6173503000, 0.7174857000, 0.9375243000, 1.4099786000, 2.3898144000, 4.3884980000, 8.391947700");
}
when : "(A0&!A1&!A2&!A3&!S1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4279695000, 0.2467730000, 0.0914148000, -0.1928937000, -0.7305389000, -1.7726314000, -3.8236909000, -7.8983549000", \
"0.4352871000, 0.2536746000, 0.0975885000, -0.1865045000, -0.7247361000, -1.7675977000, -3.8187919000, -7.8926793000", \
"0.4312460000, 0.2509628000, 0.0944452000, -0.1899061000, -0.7279098000, -1.7699711000, -3.8205249000, -7.8954716000", \
"0.4293568000, 0.2480430000, 0.0912139000, -0.1917376000, -0.7297652000, -1.7715372000, -3.8233466000, -7.8979492000", \
"0.4309078000, 0.2517507000, 0.0975877000, -0.1841045000, -0.7198721000, -1.7603617000, -3.8100310000, -7.8835929000", \
"0.4485538000, 0.2683048000, 0.1137171000, -0.1691661000, -0.7059164000, -1.7468353000, -3.7968215000, -7.8705180000", \
"0.5238904000, 0.3340060000, 0.1731571000, -0.1149494000, -0.6578779000, -1.7048555000, -3.7587162000, -7.8342037000", \
"0.5872112000, 0.3949266000, 0.2278072000, -0.0747295000, -0.6212633000, -1.6697331000, -3.7258622000, -7.8029378000", \
"0.7456904000, 0.5536031000, 0.3857283000, 0.0824487000, -0.4740781000, -1.5289188000, -3.5869038000, -7.6674464000", \
"0.8433218000, 0.6506695000, 0.4837225000, 0.1797803000, -0.3801115000, -1.4404942000, -3.5000440000, -7.5826952000", \
"0.9444963000, 0.7511510000, 0.5842409000, 0.2806166000, -0.2834633000, -1.3465315000, -3.4117746000, -7.4981614000");
}
related_pin : "S1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2543636000, 0.3498852000, 0.4639518000, 0.7036473000, 1.1942130000, 2.1934804000, 4.1943258000, 8.215965500", \
"0.2599266000, 0.3552001000, 0.4695240000, 0.7074332000, 1.1995886000, 2.1988994000, 4.1993213000, 8.213121500", \
"0.2582307000, 0.3531408000, 0.4673609000, 0.7050659000, 1.1981174000, 2.1939495000, 4.1978111000, 8.211619800", \
"0.2525575000, 0.3504491000, 0.4646789000, 0.7043058000, 1.1947297000, 2.1904116000, 4.1942407000, 8.212125900", \
"0.2738303000, 0.3685208000, 0.4819537000, 0.7205327000, 1.2094232000, 2.2073626000, 4.2060556000, 8.228875400", \
"0.3009071000, 0.3943574000, 0.5068804000, 0.7418789000, 1.2286935000, 2.2247724000, 4.2248989000, 8.238336800", \
"0.3717472000, 0.4593187000, 0.5706035000, 0.8016136000, 1.2800052000, 2.2724195000, 4.2657183000, 8.276532500", \
"0.4442751000, 0.5249577000, 0.6262709000, 0.8562059000, 1.3348730000, 2.3139056000, 4.3068871000, 8.312307200", \
"0.6638668000, 0.7386787000, 0.8354102000, 1.0550227000, 1.5210092000, 2.4974331000, 4.4735860000, 8.474977200", \
"0.7829482000, 0.8545444000, 0.9503971000, 1.1669342000, 1.6253415000, 2.5963468000, 4.5692675000, 8.557791500", \
"0.9016176000, 0.9724461000, 1.0669192000, 1.2797331000, 1.7402085000, 2.6947920000, 4.6683479000, 8.648378800");
}
when : "(A0&!A1&!A2&A3&!S0)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3462778000, 0.1695481000, 0.0160754000, -0.2649142000, -0.7993589000, -1.8379627000, -3.8858786000, -7.9580044000", \
"0.3541005000, 0.1756324000, 0.0223020000, -0.2589341000, -0.7939164000, -1.8329328000, -3.8813606000, -7.9516923000", \
"0.3509784000, 0.1725142000, 0.0191703000, -0.2619917000, -0.7970627000, -1.8356488000, -3.8838694000, -7.9554108000", \
"0.3464496000, 0.1681653000, 0.0149374000, -0.2659669000, -0.8000970000, -1.8383978000, -3.8860836000, -7.9582323000", \
"0.3587260000, 0.1813722000, 0.0283880000, -0.2518154000, -0.7860170000, -1.8240710000, -3.8718734000, -7.9429639000", \
"0.3932184000, 0.2133689000, 0.0594208000, -0.2230852000, -0.7591033000, -1.7993936000, -3.8484286000, -7.9214915000", \
"0.4994120000, 0.3168917000, 0.1574315000, -0.1323275000, -0.6772130000, -1.7255037000, -3.7812925000, -7.8591783000", \
"0.6196447000, 0.4324962000, 0.2694563000, -0.0277435000, -0.5829003000, -1.6419878000, -3.7069993000, -7.7919386000", \
"1.0039286000, 0.8040780000, 0.6235953000, 0.2918672000, -0.2882981000, -1.3780213000, -3.4738903000, -7.5841386000", \
"1.1987406000, 0.9974652000, 0.8126332000, 0.4741930000, -0.1285500000, -1.2339207000, -3.3452261000, -7.4698549000", \
"1.3915527000, 1.1878023000, 1.0021310000, 0.6578976000, 0.0344119000, -1.0838252000, -3.2097020000, -7.3491608000");
}
related_pin : "S1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2069762000, 0.3051082000, 0.4194623000, 0.6596087000, 1.1553393000, 2.1536779000, 4.1604919000, 8.170610500", \
"0.2113697000, 0.3098354000, 0.4251122000, 0.6646244000, 1.1573047000, 2.1577347000, 4.1608077000, 8.174067400", \
"0.2092214000, 0.3073122000, 0.4226149000, 0.6625311000, 1.1566194000, 2.1528814000, 4.1626674000, 8.171703300", \
"0.2089793000, 0.3067685000, 0.4219284000, 0.6626915000, 1.1561111000, 2.1521659000, 4.1624964000, 8.170463400", \
"0.2447133000, 0.3378246000, 0.4489229000, 0.6862358000, 1.1756641000, 2.1719542000, 4.1755125000, 8.188369600", \
"0.3022978000, 0.3888691000, 0.4957075000, 0.7250525000, 1.2088737000, 2.1981760000, 4.1981073000, 8.208827300", \
"0.4493526000, 0.5202012000, 0.6120949000, 0.8279822000, 1.2979272000, 2.2718387000, 4.2620984000, 8.271675700", \
"0.5874948000, 0.6526789000, 0.7384872000, 0.9406069000, 1.3923172000, 2.3566942000, 4.3358747000, 8.331305200", \
"0.9700536000, 1.0237547000, 1.1002107000, 1.2804299000, 1.7033682000, 2.6145736000, 4.5545384000, 8.520475000", \
"1.1659843000, 1.2175197000, 1.2879876000, 1.4598504000, 1.8713242000, 2.7634003000, 4.6818266000, 8.629909600", \
"1.3534548000, 1.4025601000, 1.4710554000, 1.6356384000, 2.0382261000, 2.9181314000, 4.8095771000, 8.741881200");
}
when : "(A0&!A1&!A2&A3&S0)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3463781000, 0.1695457000, 0.0160823000, -0.2649571000, -0.7993634000, -1.8379122000, -3.8859332000, -7.9580737000", \
"0.3541036000, 0.1756360000, 0.0222984000, -0.2589413000, -0.7939146000, -1.8329323000, -3.8813518000, -7.9517288000", \
"0.3509742000, 0.1725194000, 0.0191630000, -0.2620042000, -0.7970539000, -1.8356523000, -3.8838590000, -7.9554186000", \
"0.3464531000, 0.1681655000, 0.0149383000, -0.2659681000, -0.8000972000, -1.8383993000, -3.8860818000, -7.9582304000", \
"0.3587272000, 0.1813733000, 0.0283882000, -0.2518151000, -0.7860160000, -1.8240688000, -3.8718725000, -7.9429602000", \
"0.3932186000, 0.2133721000, 0.0594253000, -0.2230816000, -0.7591055000, -1.7993948000, -3.8484271000, -7.9214913000", \
"0.4994144000, 0.3168871000, 0.1574297000, -0.1323274000, -0.6772137000, -1.7255054000, -3.7812893000, -7.8591797000", \
"0.6196431000, 0.4324950000, 0.2694550000, -0.0277454000, -0.5829016000, -1.6419893000, -3.7070001000, -7.7919382000", \
"1.0040051000, 0.8041132000, 0.6236544000, 0.2921526000, -0.2883483000, -1.3780301000, -3.4735714000, -7.5840298000", \
"1.1987411000, 0.9974628000, 0.8126350000, 0.4741939000, -0.1285500000, -1.2339218000, -3.3452234000, -7.4698543000", \
"1.3919037000, 1.1880199000, 1.0021427000, 0.6578613000, 0.0342576000, -1.0838751000, -3.2097992000, -7.3490538000");
}
related_pin : "S1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2069775000, 0.3043779000, 0.4194250000, 0.6609734000, 1.1529192000, 2.1534969000, 4.1548034000, 8.174907200", \
"0.2113640000, 0.3098340000, 0.4251109000, 0.6646227000, 1.1573021000, 2.1577121000, 4.1608079000, 8.174065800", \
"0.2092196000, 0.3073108000, 0.4226145000, 0.6625304000, 1.1566192000, 2.1528682000, 4.1626749000, 8.171793800", \
"0.2089864000, 0.3067682000, 0.4219278000, 0.6626905000, 1.1561104000, 2.1521593000, 4.1624688000, 8.170465500", \
"0.2447136000, 0.3378259000, 0.4489254000, 0.6862364000, 1.1756636000, 2.1719513000, 4.1755092000, 8.188373400", \
"0.3022992000, 0.3888696000, 0.4957079000, 0.7250522000, 1.2088743000, 2.1981261000, 4.1981037000, 8.208829400", \
"0.4493446000, 0.5202024000, 0.6120966000, 0.8279803000, 1.2979281000, 2.2718395000, 4.2620970000, 8.271676900", \
"0.5874949000, 0.6526794000, 0.7384827000, 0.9406042000, 1.3923072000, 2.3566848000, 4.3358768000, 8.331213900", \
"0.9700554000, 1.0237578000, 1.1002120000, 1.2804314000, 1.7033693000, 2.6145792000, 4.5545361000, 8.520473200", \
"1.1659847000, 1.2175184000, 1.2879883000, 1.4598491000, 1.8713244000, 2.7634017000, 4.6818269000, 8.629914900", \
"1.3534567000, 1.4025609000, 1.4710554000, 1.6356390000, 2.0382293000, 2.9181316000, 4.8095654000, 8.741880500");
}
when : "(A0&!A1&A2&A3&S0)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4282073000, 0.2472742000, 0.0914057000, -0.1929578000, -0.7305535000, -1.7725879000, -3.8237287000, -7.8984457000", \
"0.4352796000, 0.2536594000, 0.0975808000, -0.1865095000, -0.7247586000, -1.7675969000, -3.8188110000, -7.8928031000", \
"0.4312049000, 0.2509534000, 0.0944358000, -0.1899178000, -0.7279241000, -1.7699894000, -3.8205418000, -7.8955248000", \
"0.4293450000, 0.2480332000, 0.0911960000, -0.1917533000, -0.7297859000, -1.7715567000, -3.8234172000, -7.8980457000", \
"0.4308932000, 0.2517341000, 0.0975760000, -0.1841161000, -0.7198867000, -1.7603839000, -3.8100792000, -7.8836638000", \
"0.4485372000, 0.2681493000, 0.1137065000, -0.1691788000, -0.7059189000, -1.7468519000, -3.7968574000, -7.8698248000", \
"0.5238770000, 0.3339912000, 0.1731470000, -0.1149670000, -0.6578953000, -1.7048787000, -3.7587505000, -7.8342874000", \
"0.5871952000, 0.3949120000, 0.2277936000, -0.0747462000, -0.6212790000, -1.6697514000, -3.7258975000, -7.8030055000", \
"0.7461905000, 0.5535843000, 0.3857150000, 0.0824355000, -0.4740890000, -1.5289289000, -3.5869282000, -7.6674973000", \
"0.8432072000, 0.6516683000, 0.4837179000, 0.1797594000, -0.3800954000, -1.4401652000, -3.4995707000, -7.5826345000", \
"0.9435397000, 0.7505374000, 0.5834922000, 0.2791972000, -0.2829205000, -1.3465431000, -3.4116692000, -7.4981339000");
}
related_pin : "S1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2544866000, 0.3502234000, 0.4638250000, 0.7038299000, 1.1943970000, 2.1947795000, 4.1923175000, 8.215083100", \
"0.2599316000, 0.3551992000, 0.4695215000, 0.7074283000, 1.1995836000, 2.1988736000, 4.1992802000, 8.213042700", \
"0.2582340000, 0.3531416000, 0.4673591000, 0.7050591000, 1.1981113000, 2.1939232000, 4.1977644000, 8.211538600", \
"0.2525607000, 0.3504491000, 0.4646747000, 0.7042996000, 1.1947123000, 2.1903721000, 4.1942259000, 8.211972900", \
"0.2738330000, 0.3685210000, 0.4819511000, 0.7205308000, 1.2094120000, 2.2073423000, 4.2060158000, 8.228781200", \
"0.3010510000, 0.3943319000, 0.5068702000, 0.7418742000, 1.2286948000, 2.2246865000, 4.2248184000, 8.237992600", \
"0.3716659000, 0.4593092000, 0.5705951000, 0.8016042000, 1.2799919000, 2.2724017000, 4.2656867000, 8.276466900", \
"0.4439215000, 0.5249420000, 0.6262639000, 0.8557985000, 1.3348312000, 2.3139461000, 4.3068958000, 8.312276800", \
"0.6637693000, 0.7384950000, 0.8353737000, 1.0548516000, 1.5209433000, 2.4977002000, 4.4733448000, 8.469322800", \
"0.7829302000, 0.8553269000, 0.9503687000, 1.1669585000, 1.6249140000, 2.5963228000, 4.5690957000, 8.557717000", \
"0.9017275000, 0.9735982000, 1.0668617000, 1.2796338000, 1.7401673000, 2.6947955000, 4.6684320000, 8.647440300");
}
when : "(A0&A1&!A2&!A3&!S0)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4294747000, 0.2493475000, 0.0931909000, -0.1905052000, -0.7282994000, -1.7710839000, -3.8228615000, -7.8979083000", \
"0.4369302000, 0.2560396000, 0.0994751000, -0.1848206000, -0.7231829000, -1.7660836000, -3.8180412000, -7.8927250000", \
"0.4348547000, 0.2529039000, 0.0969078000, -0.1872233000, -0.7251921000, -1.7691238000, -3.8209465000, -7.8946735000", \
"0.4320658000, 0.2501718000, 0.0943852000, -0.1892457000, -0.7275587000, -1.7705823000, -3.8218455000, -7.8956584000", \
"0.4338843000, 0.2547767000, 0.0996461000, -0.1818446000, -0.7182389000, -1.7589416000, -3.8088088000, -7.8833346000", \
"0.4509631000, 0.2711799000, 0.1160962000, -0.1671393000, -0.7041517000, -1.7456799000, -3.7962040000, -7.8697948000", \
"0.5266758000, 0.3364391000, 0.1756660000, -0.1133084000, -0.6557245000, -1.7032619000, -3.7576567000, -7.8335097000", \
"0.5907104000, 0.3980948000, 0.2302439000, -0.0723706000, -0.6187680000, -1.6683105000, -3.7246188000, -7.8024566000", \
"0.7484043000, 0.5542127000, 0.3867844000, 0.0831285000, -0.4740155000, -1.5287956000, -3.5863241000, -7.6672520000", \
"0.8454390000, 0.6520044000, 0.4848557000, 0.1809146000, -0.3792229000, -1.4408300000, -3.5006543000, -7.5842423000", \
"0.9464187000, 0.7516613000, 0.5851633000, 0.2804073000, -0.2830417000, -1.3468725000, -3.4124749000, -7.4993145000");
}
related_pin : "S1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2547561000, 0.3519813000, 0.4648242000, 0.7045808000, 1.1967844000, 2.1949024000, 4.1987028000, 8.216373500", \
"0.2615778000, 0.3558798000, 0.4698727000, 0.7076864000, 1.1982069000, 2.1987365000, 4.1992645000, 8.214583200", \
"0.2598743000, 0.3538961000, 0.4676213000, 0.7053943000, 1.1970088000, 2.1927846000, 4.1963677000, 8.213169000", \
"0.2544865000, 0.3518501000, 0.4647605000, 0.7041212000, 1.1944755000, 2.1897481000, 4.1966526000, 8.209203300", \
"0.2753282000, 0.3691308000, 0.4819773000, 0.7203253000, 1.2092106000, 2.2070956000, 4.2058945000, 8.228365700", \
"0.3027869000, 0.3948686000, 0.5067411000, 0.7415731000, 1.2283666000, 2.2243507000, 4.2277804000, 8.238348600", \
"0.3724316000, 0.4594734000, 0.5694696000, 0.8006926000, 1.2790113000, 2.2706554000, 4.2643343000, 8.280061300", \
"0.4435051000, 0.5247379000, 0.6253712000, 0.8559634000, 1.3336076000, 2.3131820000, 4.3053393000, 8.311717600", \
"0.6634034000, 0.7382040000, 0.8351082000, 1.0546148000, 1.5203359000, 2.4966311000, 4.4720329000, 8.468519900", \
"0.7823715000, 0.8550451000, 0.9506654000, 1.1663629000, 1.6231600000, 2.5945215000, 4.5699430000, 8.559969400", \
"0.9019507000, 0.9723267000, 1.0666660000, 1.2797111000, 1.7398959000, 2.6945691000, 4.6663030000, 8.645843000");
}
when : "(A0&A1&!A2&!A3&S0)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4275592000, 0.2467356000, 0.0914114000, -0.1929202000, -0.7305357000, -1.7726324000, -3.8236951000, -7.8983549000", \
"0.4352763000, 0.2536700000, 0.0975829000, -0.1865095000, -0.7247360000, -1.7675942000, -3.8187874000, -7.8926896000", \
"0.4312578000, 0.2509588000, 0.0944403000, -0.1896742000, -0.7279074000, -1.7699711000, -3.8205122000, -7.8954636000", \
"0.4293499000, 0.2480422000, 0.0912055000, -0.1917480000, -0.7297796000, -1.7715246000, -3.8233747000, -7.8959185000", \
"0.4309036000, 0.2517324000, 0.0975863000, -0.1841093000, -0.7198718000, -1.7603627000, -3.8100351000, -7.8835945000", \
"0.4485436000, 0.2682916000, 0.1137135000, -0.1691690000, -0.7059181000, -1.7468383000, -3.7968217000, -7.8705187000", \
"0.5238872000, 0.3340031000, 0.1731546000, -0.1149523000, -0.6578757000, -1.7048565000, -3.7587126000, -7.8342067000", \
"0.5872098000, 0.3949251000, 0.2278058000, -0.0747336000, -0.6212640000, -1.6697327000, -3.7258627000, -7.8029364000", \
"0.7461984000, 0.5533037000, 0.3857317000, 0.0824479000, -0.4740790000, -1.5289194000, -3.5869080000, -7.6674419000", \
"0.8434823000, 0.6516854000, 0.4837233000, 0.1797800000, -0.3800818000, -1.4401445000, -3.4995326000, -7.5825656000", \
"0.9438592000, 0.7504989000, 0.5842400000, 0.2806155000, -0.2826526000, -1.3463499000, -3.4117766000, -7.4981999000");
}
related_pin : "S1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2544706000, 0.3502168000, 0.4638208000, 0.7038284000, 1.1942980000, 2.1948046000, 4.1923762000, 8.215304400", \
"0.2599285000, 0.3551987000, 0.4695236000, 0.7074328000, 1.1995944000, 2.1989088000, 4.1993233000, 8.213111400", \
"0.2582311000, 0.3531417000, 0.4673630000, 0.7050674000, 1.1981171000, 2.1939405000, 4.1977957000, 8.211619400", \
"0.2525554000, 0.3504508000, 0.4646804000, 0.7043070000, 1.1947335000, 2.1903945000, 4.1942313000, 8.212081200", \
"0.2738304000, 0.3685231000, 0.4819544000, 0.7205360000, 1.2094249000, 2.2073702000, 4.2107271000, 8.228840300", \
"0.3010458000, 0.3943336000, 0.5068741000, 0.7418784000, 1.2287052000, 2.2247117000, 4.2280812000, 8.238848100", \
"0.3716685000, 0.4593134000, 0.5705997000, 0.8016117000, 1.2800039000, 2.2724181000, 4.2657261000, 8.276545300", \
"0.4439306000, 0.5249485000, 0.6262709000, 0.8558102000, 1.3348960000, 2.3139434000, 4.3069294000, 8.312279200", \
"0.6637876000, 0.7385108000, 0.8353903000, 1.0548667000, 1.5209997000, 2.4977342000, 4.4733966000, 8.469400800", \
"0.7829508000, 0.8553469000, 0.9503880000, 1.1669791000, 1.6253266000, 2.5963550000, 4.5691648000, 8.557797200", \
"0.9017568000, 0.9736248000, 1.0668862000, 1.2796574000, 1.7401918000, 2.6948246000, 4.6685314000, 8.647540000");
}
when : "(A0&A1&!A2&A3&!S0)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4298898000, 0.2493930000, 0.0933329000, -0.1905001000, -0.7283218000, -1.7712385000, -3.8228903000, -7.8977623000", \
"0.4370295000, 0.2563174000, 0.0991374000, -0.1844006000, -0.7230441000, -1.7659966000, -3.8179251000, -7.8931133000", \
"0.4346028000, 0.2530814000, 0.0966747000, -0.1873537000, -0.7259375000, -1.7690476000, -3.8198552000, -7.8958259000", \
"0.4313770000, 0.2501446000, 0.0944111000, -0.1891555000, -0.7274899000, -1.7706664000, -3.8219981000, -7.8974625000", \
"0.4338848000, 0.2547772000, 0.0996461000, -0.1818383000, -0.7182319000, -1.7589261000, -3.8087510000, -7.8832699000", \
"0.4509670000, 0.2712433000, 0.1159106000, -0.1671334000, -0.7041450000, -1.7456564000, -3.7961590000, -7.8697160000", \
"0.5266852000, 0.3364481000, 0.1756757000, -0.1133007000, -0.6557135000, -1.7032431000, -3.7576149000, -7.8334244000", \
"0.5907200000, 0.3981040000, 0.2302519000, -0.0723613000, -0.6187541000, -1.6682954000, -3.7245872000, -7.8023958000", \
"0.7484233000, 0.5542306000, 0.3868061000, 0.0831475000, -0.4739968000, -1.5287723000, -3.5862874000, -7.6671839000", \
"0.8459318000, 0.6531346000, 0.4848865000, 0.1809247000, -0.3797915000, -1.4408265000, -3.5007284000, -7.5841836000", \
"0.9455210000, 0.7522781000, 0.5850039000, 0.2816660000, -0.2826585000, -1.3469321000, -3.4125346000, -7.4997112000");
}
related_pin : "S1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2560448000, 0.3519635000, 0.4648299000, 0.7045837000, 1.1968668000, 2.1949581000, 4.1987722000, 8.216075000", \
"0.2615821000, 0.3558845000, 0.4698764000, 0.7076899000, 1.1982186000, 2.1988035000, 4.1993017000, 8.214649700", \
"0.2598789000, 0.3539008000, 0.4676254000, 0.7054010000, 1.1970244000, 2.1928094000, 4.1963914000, 8.213302200", \
"0.2544903000, 0.3518552000, 0.4647653000, 0.7041273000, 1.1944856000, 2.1897848000, 4.1966631000, 8.209271300", \
"0.2737401000, 0.3691372000, 0.4819820000, 0.7203354000, 1.2091778000, 2.2071332000, 4.2059344000, 8.228440000", \
"0.3027929000, 0.3948750000, 0.5067467000, 0.7415791000, 1.2283785000, 2.2243718000, 4.2278827000, 8.238380600", \
"0.3723486000, 0.4595648000, 0.5702750000, 0.8011083000, 1.2795016000, 2.2718341000, 4.2652712000, 8.275676700", \
"0.4433100000, 0.5246405000, 0.6258475000, 0.8552492000, 1.3343070000, 2.3133819000, 4.3062948000, 8.315915900", \
"0.6632188000, 0.7379128000, 0.8348902000, 1.0545375000, 1.5204765000, 2.4972500000, 4.4725697000, 8.467911400", \
"0.7824106000, 0.8540184000, 0.9499168000, 1.1664857000, 1.6243929000, 2.5968433000, 4.5675874000, 8.556692400", \
"0.9012482000, 0.9730170000, 1.0663540000, 1.2791636000, 1.7396644000, 2.6940237000, 4.6670825000, 8.647282200");
}
when : "(A0&A1&A2&!A3&S0)";
}
max_capacitance : 0.5368400000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3794900000, 0.4705400000, 0.5366100000, 0.6480500000, 0.8401900000, 1.1969700000, 1.8954700000, 3.2974700000", \
"0.3848600000, 0.4759200000, 0.5419800000, 0.6534500000, 0.8455700000, 1.2022700000, 1.9009700000, 3.3031700000", \
"0.3849000000, 0.4759700000, 0.5420400000, 0.6534900000, 0.8456300000, 1.2024300000, 1.9012300000, 3.3028300000", \
"0.3873400000, 0.4783800000, 0.5444500000, 0.6559200000, 0.8480600000, 1.2048000000, 1.9036000000, 3.3056000000", \
"0.4155100000, 0.5065100000, 0.5725000000, 0.6838300000, 0.8759000000, 1.2326000000, 1.9313000000, 3.3331000000", \
"0.4805900000, 0.5713300000, 0.6369300000, 0.7476000000, 0.9390000000, 1.2951000000, 1.9932000000, 3.3948000000", \
"0.6296000000, 0.7214000000, 0.7868000000, 0.8964000000, 1.0858000000, 1.4393000000, 2.1349000000, 3.5345000000", \
"0.7784000000, 0.8727000000, 0.9389000000, 1.0491000000, 1.2378000000, 1.5886000000, 2.2810000000, 3.6779000000", \
"1.1564700000, 1.2628700000, 1.3365700000, 1.4559700000, 1.6529700000, 2.0027700000, 2.6859700000, 4.0726700000", \
"1.3385300000, 1.4501300000, 1.5276300000, 1.6527300000, 1.8579300000, 2.2130300000, 2.8940300000, 4.2748300000", \
"1.5146000000, 1.6309000000, 1.7119000000, 1.8422000000, 2.0555000000, 2.4189000000, 3.1007000000, 4.4768000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2049500000, 0.2699600000, 0.3162600000, 0.3975300000, 0.5490800000, 0.8447500000, 1.4355700000, 2.6211700000", \
"0.2076800000, 0.2727000000, 0.3189900000, 0.4002800000, 0.5518000000, 0.8473200000, 1.4392700000, 2.6217700000", \
"0.2075300000, 0.2725400000, 0.3188300000, 0.4001200000, 0.5516000000, 0.8473500000, 1.4384300000, 2.6223300000", \
"0.2078600000, 0.2728500000, 0.3191200000, 0.4003600000, 0.5519100000, 0.8476300000, 1.4387000000, 2.6223000000", \
"0.2158900000, 0.2806300000, 0.3265800000, 0.4073800000, 0.5585000000, 0.8537000000, 1.4444000000, 2.6293000000", \
"0.2208000000, 0.2888500000, 0.3356700000, 0.4163900000, 0.5666200000, 0.8606000000, 1.4505000000, 2.6350000000", \
"0.1958900000, 0.2721000000, 0.3229000000, 0.4071000000, 0.5594000000, 0.8522000000, 1.4397000000, 2.6204000000", \
"0.1466000000, 0.2305000000, 0.2852000000, 0.3737000000, 0.5298000000, 0.8266000000, 1.4117000000, 2.5915000000", \
"-0.0422300000, 0.0594700000, 0.1243700000, 0.2245700000, 0.3902700000, 0.6990700000, 1.3005700000, 2.4753700000", \
"-0.1544700000, -0.0453700000, 0.0243300000, 0.1306300000, 0.3017300000, 0.6146300000, 1.2258300000, 2.4081300000", \
"-0.2720000000, -0.1562000000, -0.0821000000, 0.0301000000, 0.2069000000, 0.5233000000, 1.1420000000, 2.3381000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1035300000, 0.1841800000, 0.2416200000, 0.3430400000, 0.5469000000, 0.9752000000, 1.8731000000, 3.7119000000", \
"0.1035300000, 0.1841800000, 0.2416500000, 0.3429800000, 0.5471000000, 0.9753600000, 1.8741000000, 3.7107000000", \
"0.1035300000, 0.1841700000, 0.2416000000, 0.3430400000, 0.5468200000, 0.9752100000, 1.8748000000, 3.7099000000", \
"0.1035000000, 0.1842300000, 0.2416800000, 0.3430300000, 0.5467400000, 0.9752300000, 1.8737000000, 3.7095000000", \
"0.1034900000, 0.1841800000, 0.2417200000, 0.3431400000, 0.5469300000, 0.9752400000, 1.8736000000, 3.7097000000", \
"0.1036000000, 0.1848200000, 0.2425900000, 0.3442600000, 0.5480000000, 0.9759000000, 1.8735000000, 3.7113000000", \
"0.1128000000, 0.1944000000, 0.2517000000, 0.3521000000, 0.5529000000, 0.9778000000, 1.8760000000, 3.7108000000", \
"0.1284000000, 0.2105000000, 0.2676000000, 0.3663000000, 0.5636000000, 0.9832000000, 1.8758000000, 3.7101000000", \
"0.1772000000, 0.2693000000, 0.3307000000, 0.4306000000, 0.6160000000, 1.0131000000, 1.8858000000, 3.7100000000", \
"0.2005000000, 0.2977000000, 0.3625000000, 0.4669000000, 0.6523000000, 1.0400000000, 1.8961000000, 3.7142000000", \
"0.2225000000, 0.3243000000, 0.3923000000, 0.5012000000, 0.6897000000, 1.0727000000, 1.9126000000, 3.7132000000");
}
related_pin : "A0";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0531300000, 0.1117800000, 0.1608400000, 0.2623200000, 0.4733000000, 0.9083000000, 1.7929500000, 3.5671000000", \
"0.0531300000, 0.1117700000, 0.1608400000, 0.2623500000, 0.4729800000, 0.9080700000, 1.7924800000, 3.5646000000", \
"0.0531300000, 0.1116800000, 0.1608200000, 0.2624200000, 0.4734600000, 0.9081000000, 1.7922200000, 3.5675000000", \
"0.0531400000, 0.1117400000, 0.1609500000, 0.2625500000, 0.4731600000, 0.9085100000, 1.7920300000, 3.5679000000", \
"0.0545900000, 0.1130100000, 0.1619600000, 0.2631800000, 0.4740200000, 0.9083400000, 1.7916900000, 3.5657000000", \
"0.0637300000, 0.1228100000, 0.1703400000, 0.2688900000, 0.4760800000, 0.9099000000, 1.7925000000, 3.5692000000", \
"0.0845600000, 0.1476700000, 0.1950300000, 0.2900900000, 0.4911000000, 0.9166000000, 1.7941000000, 3.5681000000", \
"0.1054000000, 0.1717000000, 0.2203000000, 0.3136000000, 0.5123000000, 0.9312000000, 1.7992000000, 3.5669000000", \
"0.1603000000, 0.2343000000, 0.2866000000, 0.3781000000, 0.5704000000, 0.9883000000, 1.8436000000, 3.5856000000", \
"0.1876000000, 0.2642000000, 0.3190000000, 0.4112000000, 0.6003000000, 1.0161000000, 1.8751000000, 3.6046000000", \
"0.2143000000, 0.2928000000, 0.3501000000, 0.4439000000, 0.6292000000, 1.0422000000, 1.9069000000, 3.6308000000");
}
sdf_cond : "(!A1&!A2&!A3&!S0&!S1)";
timing_sense : "positive_unate";
when : "(!A1&!A2&!A3&!S0&!S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3794900000, 0.4705400000, 0.5366100000, 0.6480500000, 0.8401900000, 1.1969700000, 1.8954700000, 3.2977700000", \
"0.3848600000, 0.4759200000, 0.5419800000, 0.6534500000, 0.8455800000, 1.2023700000, 1.9010700000, 3.3031700000", \
"0.3849000000, 0.4759700000, 0.5420400000, 0.6534900000, 0.8456300000, 1.2024300000, 1.9012300000, 3.3032300000", \
"0.3873400000, 0.4783800000, 0.5444500000, 0.6559100000, 0.8480700000, 1.2048000000, 1.9037000000, 3.3054000000", \
"0.4155100000, 0.5065100000, 0.5725000000, 0.6838300000, 0.8759000000, 1.2326000000, 1.9313000000, 3.3337000000", \
"0.4805900000, 0.5713300000, 0.6369300000, 0.7476000000, 0.9390000000, 1.2951000000, 1.9932000000, 3.3948000000", \
"0.6296000000, 0.7214000000, 0.7868000000, 0.8964000000, 1.0858000000, 1.4393000000, 2.1349000000, 3.5346000000", \
"0.7784000000, 0.8727000000, 0.9389000000, 1.0491000000, 1.2378000000, 1.5886000000, 2.2810000000, 3.6779000000", \
"1.1564700000, 1.2628700000, 1.3365700000, 1.4559700000, 1.6529700000, 2.0027700000, 2.6859700000, 4.0726700000", \
"1.3385300000, 1.4501300000, 1.5276300000, 1.6527300000, 1.8579300000, 2.2130300000, 2.8940300000, 4.2748300000", \
"1.5146000000, 1.6309000000, 1.7119000000, 1.8422000000, 2.0555000000, 2.4189000000, 3.1007000000, 4.4768000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2049600000, 0.2699700000, 0.3162600000, 0.3975400000, 0.5490800000, 0.8446300000, 1.4355700000, 2.6208700000", \
"0.2076900000, 0.2727000000, 0.3190000000, 0.4002800000, 0.5518000000, 0.8473200000, 1.4386700000, 2.6221700000", \
"0.2075300000, 0.2725500000, 0.3188400000, 0.4001200000, 0.5516000000, 0.8473500000, 1.4384300000, 2.6241300000", \
"0.2078600000, 0.2728500000, 0.3191300000, 0.4003700000, 0.5519100000, 0.8476800000, 1.4387000000, 2.6223000000", \
"0.2158900000, 0.2806300000, 0.3265800000, 0.4073800000, 0.5585100000, 0.8537000000, 1.4444000000, 2.6293000000", \
"0.2208000000, 0.2888500000, 0.3356800000, 0.4163900000, 0.5666200000, 0.8606000000, 1.4505000000, 2.6350000000", \
"0.1958900000, 0.2721000000, 0.3229000000, 0.4071000000, 0.5594000000, 0.8522000000, 1.4397000000, 2.6204000000", \
"0.1466000000, 0.2305000000, 0.2852000000, 0.3737000000, 0.5298000000, 0.8266000000, 1.4117000000, 2.5910000000", \
"-0.0422300000, 0.0594700000, 0.1243700000, 0.2245700000, 0.3902700000, 0.6990700000, 1.3005700000, 2.4753700000", \
"-0.1544700000, -0.0453700000, 0.0243300000, 0.1306300000, 0.3017300000, 0.6146300000, 1.2258300000, 2.4081300000", \
"-0.2720000000, -0.1562000000, -0.0821000000, 0.0301000000, 0.2069000000, 0.5233000000, 1.1420000000, 2.3381000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1035300000, 0.1841800000, 0.2416200000, 0.3430400000, 0.5469000000, 0.9752000000, 1.8731000000, 3.7126000000", \
"0.1035300000, 0.1841800000, 0.2416500000, 0.3429800000, 0.5468800000, 0.9754900000, 1.8737000000, 3.7094000000", \
"0.1035300000, 0.1841700000, 0.2416100000, 0.3430300000, 0.5468200000, 0.9752100000, 1.8748000000, 3.7103000000", \
"0.1035000000, 0.1842300000, 0.2416800000, 0.3430600000, 0.5466500000, 0.9750400000, 1.8738000000, 3.7101000000", \
"0.1034900000, 0.1841900000, 0.2417200000, 0.3431400000, 0.5469300000, 0.9752400000, 1.8736000000, 3.7108000000", \
"0.1036000000, 0.1848200000, 0.2425900000, 0.3442600000, 0.5480000000, 0.9759000000, 1.8735000000, 3.7113000000", \
"0.1128000000, 0.1944000000, 0.2517000000, 0.3521000000, 0.5529000000, 0.9778000000, 1.8760000000, 3.7114000000", \
"0.1284000000, 0.2105000000, 0.2676000000, 0.3663000000, 0.5636000000, 0.9832000000, 1.8758000000, 3.7098000000", \
"0.1772000000, 0.2693000000, 0.3307000000, 0.4306000000, 0.6160000000, 1.0131000000, 1.8858000000, 3.7100000000", \
"0.2005000000, 0.2977000000, 0.3625000000, 0.4669000000, 0.6523000000, 1.0400000000, 1.8961000000, 3.7142000000", \
"0.2225000000, 0.3243000000, 0.3923000000, 0.5012000000, 0.6897000000, 1.0727000000, 1.9126000000, 3.7182000000");
}
related_pin : "A0";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0531400000, 0.1117900000, 0.1608300000, 0.2623800000, 0.4730100000, 0.9089000000, 1.7935300000, 3.5669000000", \
"0.0531300000, 0.1117700000, 0.1608400000, 0.2623600000, 0.4730000000, 0.9080600000, 1.7928000000, 3.5686000000", \
"0.0531300000, 0.1116900000, 0.1608100000, 0.2624100000, 0.4734700000, 0.9080900000, 1.7922100000, 3.5660000000", \
"0.0531500000, 0.1117500000, 0.1609600000, 0.2623000000, 0.4730700000, 0.9083000000, 1.7921300000, 3.5672000000", \
"0.0545900000, 0.1130100000, 0.1619700000, 0.2631800000, 0.4738200000, 0.9083400000, 1.7916800000, 3.5657000000", \
"0.0637300000, 0.1228100000, 0.1703400000, 0.2688800000, 0.4760800000, 0.9098900000, 1.7926000000, 3.5697000000", \
"0.0845600000, 0.1476700000, 0.1950300000, 0.2900900000, 0.4911000000, 0.9165000000, 1.7941000000, 3.5683000000", \
"0.1053000000, 0.1717000000, 0.2203000000, 0.3136000000, 0.5123000000, 0.9312000000, 1.7992000000, 3.5687000000", \
"0.1603000000, 0.2343000000, 0.2866000000, 0.3781000000, 0.5704000000, 0.9883000000, 1.8436000000, 3.5802000000", \
"0.1876000000, 0.2642000000, 0.3192000000, 0.4112000000, 0.5997000000, 1.0163000000, 1.8752000000, 3.6042000000", \
"0.2143000000, 0.2928000000, 0.3501000000, 0.4439000000, 0.6292000000, 1.0422000000, 1.9069000000, 3.6308000000");
}
sdf_cond : "(!A1&!A2&A3&!S0&!S1)";
timing_sense : "positive_unate";
when : "(!A1&!A2&A3&!S0&!S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3796400000, 0.4706800000, 0.5367300000, 0.6481700000, 0.8402700000, 1.1969700000, 1.8954700000, 3.2981700000", \
"0.3850100000, 0.4760600000, 0.5421100000, 0.6535600000, 0.8456600000, 1.2023700000, 1.9010700000, 3.3032700000", \
"0.3850500000, 0.4761100000, 0.5421800000, 0.6536000000, 0.8457300000, 1.2024300000, 1.9009300000, 3.3030300000", \
"0.3875000000, 0.4785400000, 0.5446000000, 0.6560400000, 0.8481700000, 1.2049000000, 1.9034000000, 3.3058000000", \
"0.4156600000, 0.5066500000, 0.5726400000, 0.6839500000, 0.8760000000, 1.2326000000, 1.9314000000, 3.3338000000", \
"0.4807100000, 0.5714500000, 0.6370500000, 0.7477000000, 0.9391000000, 1.2951000000, 1.9933000000, 3.3948000000", \
"0.6297000000, 0.7215000000, 0.7869000000, 0.8965000000, 1.0858000000, 1.4393000000, 2.1350000000, 3.5350000000", \
"0.7785000000, 0.8728000000, 0.9390000000, 1.0491000000, 1.2378000000, 1.5887000000, 2.2810000000, 3.6779000000", \
"1.1565700000, 1.2629700000, 1.3366700000, 1.4560700000, 1.6529700000, 2.0027700000, 2.6860700000, 4.0726700000", \
"1.3386300000, 1.4502300000, 1.5277300000, 1.6528300000, 1.8580300000, 2.2130300000, 2.8941300000, 4.2748300000", \
"1.5147000000, 1.6310000000, 1.7119000000, 1.8423000000, 2.0555000000, 2.4189000000, 3.1008000000, 4.4769000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2049600000, 0.2699700000, 0.3162600000, 0.3975100000, 0.5490500000, 0.8446400000, 1.4361700000, 2.6200700000", \
"0.2077000000, 0.2727100000, 0.3189900000, 0.4002600000, 0.5517700000, 0.8473200000, 1.4382700000, 2.6238700000", \
"0.2075600000, 0.2725700000, 0.3188500000, 0.4001200000, 0.5515600000, 0.8472700000, 1.4384300000, 2.6239300000", \
"0.2079200000, 0.2729000000, 0.3191700000, 0.4004000000, 0.5519200000, 0.8474900000, 1.4387000000, 2.6230000000", \
"0.2159600000, 0.2807000000, 0.3266500000, 0.4074400000, 0.5585700000, 0.8539000000, 1.4450000000, 2.6287000000", \
"0.2208900000, 0.2889400000, 0.3357600000, 0.4164500000, 0.5666600000, 0.8608000000, 1.4506000000, 2.6351000000", \
"0.1959800000, 0.2721900000, 0.3230000000, 0.4072000000, 0.5595000000, 0.8522000000, 1.4397000000, 2.6205000000", \
"0.1467000000, 0.2306000000, 0.2853000000, 0.3737000000, 0.5298000000, 0.8266000000, 1.4118000000, 2.5916000000", \
"-0.0421300000, 0.0595700000, 0.1244700000, 0.2246700000, 0.3902700000, 0.6990700000, 1.3005700000, 2.4756700000", \
"-0.1544700000, -0.0452700000, 0.0244300000, 0.1306300000, 0.3017300000, 0.6146300000, 1.2258300000, 2.4082300000", \
"-0.2720000000, -0.1562000000, -0.0821000000, 0.0301000000, 0.2069000000, 0.5234000000, 1.1423000000, 2.3381000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1035200000, 0.1841000000, 0.2415800000, 0.3429100000, 0.5470600000, 0.9754300000, 1.8735000000, 3.7108000000", \
"0.1035000000, 0.1841500000, 0.2415800000, 0.3429300000, 0.5467800000, 0.9751400000, 1.8732000000, 3.7124000000", \
"0.1034900000, 0.1841400000, 0.2415900000, 0.3429900000, 0.5465800000, 0.9753000000, 1.8740000000, 3.7098000000", \
"0.1035200000, 0.1842000000, 0.2416400000, 0.3429900000, 0.5466100000, 0.9750300000, 1.8739000000, 3.7091000000", \
"0.1034800000, 0.1841700000, 0.2416700000, 0.3430900000, 0.5468000000, 0.9752400000, 1.8738000000, 3.7109000000", \
"0.1035900000, 0.1848000000, 0.2425600000, 0.3442400000, 0.5480000000, 0.9758000000, 1.8735000000, 3.7113000000", \
"0.1128000000, 0.1944000000, 0.2518000000, 0.3520000000, 0.5528000000, 0.9778000000, 1.8742000000, 3.7110000000", \
"0.1284000000, 0.2105000000, 0.2676000000, 0.3662000000, 0.5635000000, 0.9831000000, 1.8758000000, 3.7093000000", \
"0.1772000000, 0.2693000000, 0.3307000000, 0.4305000000, 0.6160000000, 1.0131000000, 1.8858000000, 3.7100000000", \
"0.2004000000, 0.2976000000, 0.3624000000, 0.4669000000, 0.6522000000, 1.0400000000, 1.8961000000, 3.7142000000", \
"0.2225000000, 0.3243000000, 0.3923000000, 0.5013000000, 0.6896000000, 1.0726000000, 1.9114000000, 3.7182000000");
}
related_pin : "A0";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0531500000, 0.1117400000, 0.1608200000, 0.2622400000, 0.4730500000, 0.9084300000, 1.7925000000, 3.5677000000", \
"0.0531600000, 0.1117900000, 0.1607900000, 0.2623300000, 0.4730600000, 0.9088900000, 1.7921200000, 3.5656000000", \
"0.0531300000, 0.1116700000, 0.1607900000, 0.2623500000, 0.4732700000, 0.9080100000, 1.7920700000, 3.5667000000", \
"0.0531800000, 0.1117200000, 0.1608200000, 0.2623100000, 0.4730700000, 0.9084900000, 1.7919500000, 3.5654000000", \
"0.0545800000, 0.1130100000, 0.1621200000, 0.2632000000, 0.4734500000, 0.9079900000, 1.7924300000, 3.5657000000", \
"0.0637100000, 0.1227800000, 0.1703000000, 0.2687600000, 0.4761000000, 0.9088400000, 1.7919000000, 3.5657000000", \
"0.0845700000, 0.1476700000, 0.1950300000, 0.2900900000, 0.4910000000, 0.9164000000, 1.7939000000, 3.5695000000", \
"0.1053000000, 0.1717000000, 0.2203000000, 0.3136000000, 0.5124000000, 0.9312000000, 1.7993000000, 3.5672000000", \
"0.1604000000, 0.2343000000, 0.2866000000, 0.3781000000, 0.5703000000, 0.9883000000, 1.8436000000, 3.5828000000", \
"0.1875000000, 0.2642000000, 0.3189000000, 0.4112000000, 0.6002000000, 1.0158000000, 1.8749000000, 3.6042000000", \
"0.2141000000, 0.2929000000, 0.3502000000, 0.4438000000, 0.6299000000, 1.0420000000, 1.9072000000, 3.6308000000");
}
sdf_cond : "(!A1&A2&!A3&!S0&!S1)";
timing_sense : "positive_unate";
when : "(!A1&A2&!A3&!S0&!S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3796400000, 0.4706800000, 0.5367300000, 0.6481700000, 0.8402700000, 1.1969700000, 1.8957700000, 3.2972700000", \
"0.3850100000, 0.4760600000, 0.5421100000, 0.6535600000, 0.8456600000, 1.2023700000, 1.9010700000, 3.3032700000", \
"0.3850500000, 0.4761100000, 0.5421700000, 0.6536000000, 0.8457200000, 1.2024300000, 1.9009300000, 3.3030300000", \
"0.3875000000, 0.4785400000, 0.5446000000, 0.6560400000, 0.8481700000, 1.2049000000, 1.9034000000, 3.3057000000", \
"0.4156600000, 0.5066500000, 0.5726400000, 0.6839500000, 0.8760000000, 1.2326000000, 1.9314000000, 3.3338000000", \
"0.4807100000, 0.5714500000, 0.6370500000, 0.7477000000, 0.9391000000, 1.2951000000, 1.9933000000, 3.3948000000", \
"0.6297000000, 0.7215000000, 0.7869000000, 0.8965000000, 1.0858000000, 1.4393000000, 2.1350000000, 3.5350000000", \
"0.7785000000, 0.8728000000, 0.9390000000, 1.0491000000, 1.2378000000, 1.5887000000, 2.2810000000, 3.6779000000", \
"1.1565700000, 1.2629700000, 1.3366700000, 1.4560700000, 1.6529700000, 2.0027700000, 2.6860700000, 4.0726700000", \
"1.3386300000, 1.4502300000, 1.5277300000, 1.6528300000, 1.8580300000, 2.2130300000, 2.8941300000, 4.2748300000", \
"1.5147000000, 1.6310000000, 1.7119000000, 1.8423000000, 2.0555000000, 2.4189000000, 3.1008000000, 4.4769000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2049700000, 0.2699800000, 0.3162700000, 0.3975300000, 0.5490500000, 0.8447000000, 1.4359700000, 2.6214700000", \
"0.2077100000, 0.2727200000, 0.3190000000, 0.4002700000, 0.5517800000, 0.8473400000, 1.4382700000, 2.6226700000", \
"0.2075600000, 0.2725700000, 0.3188600000, 0.4001300000, 0.5515600000, 0.8472700000, 1.4384300000, 2.6225300000", \
"0.2079200000, 0.2729100000, 0.3191700000, 0.4004200000, 0.5519300000, 0.8474600000, 1.4387000000, 2.6223000000", \
"0.2159600000, 0.2807000000, 0.3266500000, 0.4074400000, 0.5585800000, 0.8538000000, 1.4444000000, 2.6302000000", \
"0.2208900000, 0.2889400000, 0.3357600000, 0.4164500000, 0.5666600000, 0.8608000000, 1.4506000000, 2.6351000000", \
"0.1959800000, 0.2721900000, 0.3230000000, 0.4072000000, 0.5595000000, 0.8522000000, 1.4397000000, 2.6205000000", \
"0.1467000000, 0.2306000000, 0.2853000000, 0.3737000000, 0.5298000000, 0.8266000000, 1.4118000000, 2.5916000000", \
"-0.0421300000, 0.0595700000, 0.1244700000, 0.2246700000, 0.3902700000, 0.6990700000, 1.3005700000, 2.4756700000", \
"-0.1544700000, -0.0452700000, 0.0244300000, 0.1306300000, 0.3017300000, 0.6146300000, 1.2258300000, 2.4082300000", \
"-0.2720000000, -0.1562000000, -0.0821000000, 0.0301000000, 0.2069000000, 0.5234000000, 1.1423000000, 2.3381000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1035200000, 0.1841300000, 0.2415900000, 0.3429100000, 0.5470600000, 0.9754300000, 1.8733000000, 3.7109000000", \
"0.1035000000, 0.1841500000, 0.2415800000, 0.3429300000, 0.5467800000, 0.9751500000, 1.8731000000, 3.7124000000", \
"0.1034800000, 0.1841500000, 0.2415900000, 0.3429900000, 0.5466800000, 0.9754000000, 1.8740000000, 3.7097000000", \
"0.1035200000, 0.1842000000, 0.2416400000, 0.3429900000, 0.5466100000, 0.9750300000, 1.8739000000, 3.7090000000", \
"0.1034800000, 0.1841700000, 0.2416700000, 0.3430900000, 0.5468000000, 0.9752400000, 1.8738000000, 3.7109000000", \
"0.1035900000, 0.1848000000, 0.2425600000, 0.3442400000, 0.5480000000, 0.9758000000, 1.8735000000, 3.7112000000", \
"0.1128000000, 0.1944000000, 0.2518000000, 0.3520000000, 0.5528000000, 0.9778000000, 1.8742000000, 3.7110000000", \
"0.1284000000, 0.2105000000, 0.2676000000, 0.3662000000, 0.5635000000, 0.9831000000, 1.8758000000, 3.7105000000", \
"0.1772000000, 0.2693000000, 0.3307000000, 0.4305000000, 0.6160000000, 1.0131000000, 1.8858000000, 3.7100000000", \
"0.2004000000, 0.2976000000, 0.3624000000, 0.4669000000, 0.6522000000, 1.0400000000, 1.8961000000, 3.7142000000", \
"0.2225000000, 0.3243000000, 0.3923000000, 0.5013000000, 0.6896000000, 1.0726000000, 1.9114000000, 3.7182000000");
}
related_pin : "A0";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0531500000, 0.1117600000, 0.1608000000, 0.2623000000, 0.4729900000, 0.9080200000, 1.7923800000, 3.5681000000", \
"0.0531500000, 0.1117900000, 0.1608000000, 0.2623300000, 0.4730800000, 0.9088800000, 1.7931100000, 3.5657000000", \
"0.0531800000, 0.1116700000, 0.1607900000, 0.2623600000, 0.4732800000, 0.9079000000, 1.7944400000, 3.5661000000", \
"0.0531700000, 0.1117300000, 0.1609200000, 0.2624200000, 0.4731100000, 0.9081800000, 1.7930600000, 3.5667000000", \
"0.0545800000, 0.1130000000, 0.1621100000, 0.2631900000, 0.4734500000, 0.9079900000, 1.7934300000, 3.5674000000", \
"0.0637000000, 0.1227800000, 0.1703000000, 0.2687500000, 0.4761000000, 0.9088400000, 1.7920000000, 3.5655000000", \
"0.0845700000, 0.1476700000, 0.1950300000, 0.2900900000, 0.4910000000, 0.9164000000, 1.7939000000, 3.5694000000", \
"0.1053000000, 0.1717000000, 0.2203000000, 0.3136000000, 0.5124000000, 0.9312000000, 1.7993000000, 3.5698000000", \
"0.1604000000, 0.2343000000, 0.2866000000, 0.3781000000, 0.5703000000, 0.9883000000, 1.8436000000, 3.5803000000", \
"0.1875000000, 0.2642000000, 0.3189000000, 0.4112000000, 0.6002000000, 1.0158000000, 1.8749000000, 3.6042000000", \
"0.2141000000, 0.2928000000, 0.3502000000, 0.4438000000, 0.6299000000, 1.0420000000, 1.9072000000, 3.6309000000");
}
sdf_cond : "(!A1&A2&A3&!S0&!S1)";
timing_sense : "positive_unate";
when : "(!A1&A2&A3&!S0&!S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3795000000, 0.4705400000, 0.5366100000, 0.6480700000, 0.8401900000, 1.1969700000, 1.8954700000, 3.2976700000", \
"0.3848700000, 0.4759300000, 0.5420000000, 0.6534500000, 0.8455900000, 1.2023700000, 1.9012700000, 3.3033700000", \
"0.3849100000, 0.4759700000, 0.5420400000, 0.6535000000, 0.8456300000, 1.2024300000, 1.9008300000, 3.3031300000", \
"0.3873400000, 0.4783900000, 0.5444600000, 0.6559200000, 0.8480700000, 1.2048000000, 1.9034000000, 3.3058000000", \
"0.4155100000, 0.5065100000, 0.5725000000, 0.6838400000, 0.8759000000, 1.2326000000, 1.9313000000, 3.3335000000", \
"0.4805900000, 0.5713400000, 0.6369400000, 0.7476000000, 0.9390000000, 1.2951000000, 1.9932000000, 3.3945000000", \
"0.6296000000, 0.7214000000, 0.7868000000, 0.8964000000, 1.0858000000, 1.4393000000, 2.1351000000, 3.5341000000", \
"0.7784000000, 0.8727000000, 0.9389000000, 1.0491000000, 1.2378000000, 1.5886000000, 2.2809000000, 3.6782000000", \
"1.1564700000, 1.2628700000, 1.3365700000, 1.4559700000, 1.6529700000, 2.0027700000, 2.6859700000, 4.0726700000", \
"1.3385300000, 1.4501300000, 1.5276300000, 1.6527300000, 1.8579300000, 2.2130300000, 2.8940300000, 4.2748300000", \
"1.5146000000, 1.6309000000, 1.7119000000, 1.8422000000, 2.0555000000, 2.4189000000, 3.1007000000, 4.4768000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2049600000, 0.2699700000, 0.3162600000, 0.3975500000, 0.5490500000, 0.8446400000, 1.4355700000, 2.6198700000", \
"0.2076900000, 0.2727000000, 0.3190000000, 0.4002800000, 0.5517900000, 0.8473700000, 1.4382700000, 2.6237700000", \
"0.2075300000, 0.2725500000, 0.3188400000, 0.4001200000, 0.5516000000, 0.8473600000, 1.4384300000, 2.6224300000", \
"0.2078600000, 0.2728600000, 0.3191300000, 0.4003600000, 0.5519000000, 0.8476800000, 1.4391000000, 2.6232000000", \
"0.2158900000, 0.2806300000, 0.3265800000, 0.4073800000, 0.5585500000, 0.8539000000, 1.4444000000, 2.6301000000", \
"0.2208000000, 0.2888500000, 0.3356700000, 0.4163800000, 0.5666300000, 0.8607000000, 1.4503000000, 2.6331000000", \
"0.1958900000, 0.2720900000, 0.3229000000, 0.4071000000, 0.5594000000, 0.8522000000, 1.4397000000, 2.6205000000", \
"0.1466000000, 0.2305000000, 0.2852000000, 0.3737000000, 0.5298000000, 0.8266000000, 1.4117000000, 2.5916000000", \
"-0.0422300000, 0.0594700000, 0.1243700000, 0.2245700000, 0.3902700000, 0.6990700000, 1.3005700000, 2.4749700000", \
"-0.1545700000, -0.0453700000, 0.0243300000, 0.1306300000, 0.3017300000, 0.6146300000, 1.2258300000, 2.4081300000", \
"-0.2720000000, -0.1563000000, -0.0821000000, 0.0301000000, 0.2069000000, 0.5233000000, 1.1420000000, 2.3381000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1035400000, 0.1842500000, 0.2416900000, 0.3430200000, 0.5470600000, 0.9755000000, 1.8756000000, 3.7093000000", \
"0.1035100000, 0.1842400000, 0.2416500000, 0.3430500000, 0.5467000000, 0.9752000000, 1.8722000000, 3.7103000000", \
"0.1035400000, 0.1842000000, 0.2416600000, 0.3430300000, 0.5469000000, 0.9755200000, 1.8731000000, 3.7093000000", \
"0.1035400000, 0.1842300000, 0.2416700000, 0.3430400000, 0.5466500000, 0.9750400000, 1.8756000000, 3.7108000000", \
"0.1034800000, 0.1842100000, 0.2416800000, 0.3431400000, 0.5469200000, 0.9751200000, 1.8738000000, 3.7096000000", \
"0.1036200000, 0.1848200000, 0.2425800000, 0.3442500000, 0.5478000000, 0.9759000000, 1.8743000000, 3.7090000000", \
"0.1128000000, 0.1945000000, 0.2517000000, 0.3521000000, 0.5529000000, 0.9778000000, 1.8743000000, 3.7094000000", \
"0.1284000000, 0.2105000000, 0.2676000000, 0.3663000000, 0.5636000000, 0.9832000000, 1.8745000000, 3.7106000000", \
"0.1772000000, 0.2693000000, 0.3307000000, 0.4306000000, 0.6160000000, 1.0131000000, 1.8859000000, 3.7095000000", \
"0.2005000000, 0.2977000000, 0.3625000000, 0.4669000000, 0.6523000000, 1.0401000000, 1.8961000000, 3.7143000000", \
"0.2225000000, 0.3243000000, 0.3923000000, 0.5012000000, 0.6897000000, 1.0727000000, 1.9121000000, 3.7133000000");
}
related_pin : "A0";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0531700000, 0.1117100000, 0.1608700000, 0.2624000000, 0.4733100000, 0.9079000000, 1.7918500000, 3.5668000000", \
"0.0531300000, 0.1117600000, 0.1608400000, 0.2624400000, 0.4732600000, 0.9078700000, 1.7935100000, 3.5670000000", \
"0.0531300000, 0.1116900000, 0.1608100000, 0.2624000000, 0.4732700000, 0.9090900000, 1.7921200000, 3.5659000000", \
"0.0531700000, 0.1117200000, 0.1608800000, 0.2624100000, 0.4732800000, 0.9080100000, 1.7922400000, 3.5655000000", \
"0.0546400000, 0.1130500000, 0.1619500000, 0.2632200000, 0.4736200000, 0.9082400000, 1.7917400000, 3.5655000000", \
"0.0637400000, 0.1227800000, 0.1703200000, 0.2686500000, 0.4760800000, 0.9090800000, 1.7923000000, 3.5664000000", \
"0.0846200000, 0.1475500000, 0.1951400000, 0.2902100000, 0.4911000000, 0.9166000000, 1.7939000000, 3.5683000000", \
"0.1053000000, 0.1717000000, 0.2203000000, 0.3136000000, 0.5123000000, 0.9312000000, 1.7993000000, 3.5686000000", \
"0.1605000000, 0.2343000000, 0.2866000000, 0.3782000000, 0.5705000000, 0.9883000000, 1.8435000000, 3.5807000000", \
"0.1876000000, 0.2642000000, 0.3192000000, 0.4113000000, 0.6003000000, 1.0163000000, 1.8751000000, 3.6041000000", \
"0.2143000000, 0.2928000000, 0.3501000000, 0.4439000000, 0.6292000000, 1.0422000000, 1.9068000000, 3.6307000000");
}
sdf_cond : "(A1&!A2&!A3&!S0&!S1)";
timing_sense : "positive_unate";
when : "(A1&!A2&!A3&!S0&!S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3794900000, 0.4705400000, 0.5366100000, 0.6480700000, 0.8401900000, 1.1969700000, 1.8954700000, 3.2977700000", \
"0.3848700000, 0.4759300000, 0.5420000000, 0.6534500000, 0.8455900000, 1.2023700000, 1.9006700000, 3.3033700000", \
"0.3849100000, 0.4759700000, 0.5420400000, 0.6535000000, 0.8456400000, 1.2024300000, 1.9008300000, 3.3031300000", \
"0.3873400000, 0.4783900000, 0.5444600000, 0.6559100000, 0.8480700000, 1.2048000000, 1.9039000000, 3.3053000000", \
"0.4155100000, 0.5065100000, 0.5725000000, 0.6838400000, 0.8759000000, 1.2326000000, 1.9313000000, 3.3335000000", \
"0.4805900000, 0.5713400000, 0.6369400000, 0.7476000000, 0.9390000000, 1.2951000000, 1.9932000000, 3.3945000000", \
"0.6296000000, 0.7214000000, 0.7868000000, 0.8964000000, 1.0858000000, 1.4393000000, 2.1351000000, 3.5341000000", \
"0.7784000000, 0.8727000000, 0.9389000000, 1.0491000000, 1.2378000000, 1.5886000000, 2.2809000000, 3.6782000000", \
"1.1564700000, 1.2628700000, 1.3365700000, 1.4559700000, 1.6529700000, 2.0027700000, 2.6859700000, 4.0726700000", \
"1.3385300000, 1.4501300000, 1.5276300000, 1.6527300000, 1.8579300000, 2.2130300000, 2.8940300000, 4.2748300000", \
"1.5146000000, 1.6309000000, 1.7119000000, 1.8422000000, 2.0555000000, 2.4189000000, 3.1007000000, 4.4768000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2049600000, 0.2699800000, 0.3162700000, 0.3975500000, 0.5490600000, 0.8446400000, 1.4355700000, 2.6209700000", \
"0.2077000000, 0.2727100000, 0.3190000000, 0.4002900000, 0.5517900000, 0.8473800000, 1.4382700000, 2.6228700000", \
"0.2075400000, 0.2725500000, 0.3188500000, 0.4001300000, 0.5516000000, 0.8473600000, 1.4384300000, 2.6218300000", \
"0.2078700000, 0.2728600000, 0.3191300000, 0.4003700000, 0.5519000000, 0.8476800000, 1.4391000000, 2.6221000000", \
"0.2158900000, 0.2806300000, 0.3265800000, 0.4073800000, 0.5585500000, 0.8539000000, 1.4444000000, 2.6301000000", \
"0.2208000000, 0.2888500000, 0.3356700000, 0.4163900000, 0.5666300000, 0.8607000000, 1.4503000000, 2.6331000000", \
"0.1958900000, 0.2720900000, 0.3229000000, 0.4071000000, 0.5594000000, 0.8522000000, 1.4397000000, 2.6203000000", \
"0.1466000000, 0.2305000000, 0.2852000000, 0.3737000000, 0.5298000000, 0.8266000000, 1.4117000000, 2.5909000000", \
"-0.0422300000, 0.0594700000, 0.1243700000, 0.2245700000, 0.3902700000, 0.6990700000, 1.3005700000, 2.4750700000", \
"-0.1545700000, -0.0453700000, 0.0243300000, 0.1306300000, 0.3017300000, 0.6146300000, 1.2258300000, 2.4081300000", \
"-0.2720000000, -0.1563000000, -0.0821000000, 0.0301000000, 0.2069000000, 0.5233000000, 1.1420000000, 2.3381000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1035000000, 0.1842400000, 0.2416900000, 0.3430100000, 0.5469700000, 0.9754900000, 1.8756000000, 3.7094000000", \
"0.1035200000, 0.1842400000, 0.2416500000, 0.3430500000, 0.5467000000, 0.9752000000, 1.8739000000, 3.7098000000", \
"0.1035400000, 0.1842000000, 0.2416600000, 0.3430300000, 0.5468000000, 0.9754000000, 1.8730000000, 3.7093000000", \
"0.1035300000, 0.1842300000, 0.2416700000, 0.3430500000, 0.5467500000, 0.9749500000, 1.8721000000, 3.7059000000", \
"0.1034900000, 0.1842100000, 0.2416700000, 0.3431400000, 0.5469200000, 0.9751200000, 1.8738000000, 3.7096000000", \
"0.1036200000, 0.1848200000, 0.2425800000, 0.3442500000, 0.5478000000, 0.9759000000, 1.8743000000, 3.7090000000", \
"0.1128000000, 0.1945000000, 0.2517000000, 0.3521000000, 0.5529000000, 0.9778000000, 1.8742000000, 3.7099000000", \
"0.1284000000, 0.2105000000, 0.2676000000, 0.3663000000, 0.5636000000, 0.9832000000, 1.8745000000, 3.7105000000", \
"0.1772000000, 0.2693000000, 0.3307000000, 0.4306000000, 0.6160000000, 1.0131000000, 1.8859000000, 3.7095000000", \
"0.2005000000, 0.2977000000, 0.3625000000, 0.4669000000, 0.6523000000, 1.0401000000, 1.8961000000, 3.7143000000", \
"0.2225000000, 0.3243000000, 0.3923000000, 0.5012000000, 0.6897000000, 1.0727000000, 1.9121000000, 3.7182000000");
}
related_pin : "A0";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0531700000, 0.1117100000, 0.1608700000, 0.2623900000, 0.4733000000, 0.9079000000, 1.7918400000, 3.5670000000", \
"0.0531200000, 0.1117700000, 0.1608500000, 0.2624300000, 0.4732800000, 0.9078600000, 1.7919100000, 3.5661000000", \
"0.0531300000, 0.1116800000, 0.1608200000, 0.2624000000, 0.4732800000, 0.9090900000, 1.7921100000, 3.5650000000", \
"0.0531700000, 0.1117200000, 0.1608800000, 0.2624100000, 0.4732800000, 0.9080100000, 1.7920300000, 3.5644000000", \
"0.0546400000, 0.1130500000, 0.1619600000, 0.2632200000, 0.4736200000, 0.9082400000, 1.7915300000, 3.5663000000", \
"0.0637400000, 0.1227800000, 0.1703200000, 0.2686500000, 0.4760800000, 0.9088800000, 1.7923000000, 3.5664000000", \
"0.0846300000, 0.1475500000, 0.1951400000, 0.2902100000, 0.4911000000, 0.9166000000, 1.7939000000, 3.5673000000", \
"0.1053000000, 0.1719000000, 0.2203000000, 0.3136000000, 0.5123000000, 0.9312000000, 1.7993000000, 3.5669000000", \
"0.1605000000, 0.2343000000, 0.2866000000, 0.3782000000, 0.5705000000, 0.9883000000, 1.8435000000, 3.5803000000", \
"0.1876000000, 0.2642000000, 0.3190000000, 0.4113000000, 0.5997000000, 1.0164000000, 1.8752000000, 3.6044000000", \
"0.2143000000, 0.2928000000, 0.3501000000, 0.4439000000, 0.6292000000, 1.0422000000, 1.9068000000, 3.6307000000");
}
sdf_cond : "(A1&!A2&A3&!S0&!S1)";
timing_sense : "positive_unate";
when : "(A1&!A2&A3&!S0&!S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3796400000, 0.4706800000, 0.5367400000, 0.6481900000, 0.8402800000, 1.1970700000, 1.8955700000, 3.2980700000", \
"0.3850200000, 0.4760700000, 0.5421300000, 0.6535600000, 0.8456700000, 1.2023700000, 1.9012700000, 3.3027700000", \
"0.3850600000, 0.4761100000, 0.5421700000, 0.6536200000, 0.8457100000, 1.2024300000, 1.9010300000, 3.3032300000", \
"0.3875000000, 0.4785500000, 0.5446100000, 0.6560400000, 0.8481700000, 1.2049000000, 1.9035000000, 3.3061000000", \
"0.4156600000, 0.5066500000, 0.5726400000, 0.6839500000, 0.8760000000, 1.2327000000, 1.9313000000, 3.3331000000", \
"0.4807100000, 0.5714600000, 0.6370500000, 0.7477000000, 0.9391000000, 1.2951000000, 1.9933000000, 3.3945000000", \
"0.6297000000, 0.7215000000, 0.7869000000, 0.8965000000, 1.0858000000, 1.4393000000, 2.1352000000, 3.5350000000", \
"0.7785000000, 0.8728000000, 0.9390000000, 1.0491000000, 1.2378000000, 1.5887000000, 2.2809000000, 3.6774000000", \
"1.1565700000, 1.2629700000, 1.3366700000, 1.4560700000, 1.6529700000, 2.0027700000, 2.6860700000, 4.0726700000", \
"1.3386300000, 1.4502300000, 1.5277300000, 1.6528300000, 1.8580300000, 2.2130300000, 2.8941300000, 4.2749300000", \
"1.5147000000, 1.6310000000, 1.7119000000, 1.8423000000, 2.0555000000, 2.4189000000, 3.1008000000, 4.4769000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2049700000, 0.2699800000, 0.3162600000, 0.3975300000, 0.5490200000, 0.8445100000, 1.4357700000, 2.6199700000", \
"0.2077100000, 0.2727100000, 0.3190000000, 0.4002700000, 0.5517500000, 0.8472900000, 1.4382700000, 2.6224700000", \
"0.2075600000, 0.2725700000, 0.3188600000, 0.4001200000, 0.5515600000, 0.8472700000, 1.4386300000, 2.6231300000", \
"0.2079200000, 0.2729000000, 0.3191700000, 0.4004200000, 0.5519200000, 0.8475900000, 1.4385000000, 2.6243000000", \
"0.2159600000, 0.2807000000, 0.3266500000, 0.4074400000, 0.5585800000, 0.8539000000, 1.4451000000, 2.6286000000", \
"0.2208900000, 0.2889400000, 0.3357500000, 0.4164600000, 0.5666800000, 0.8608000000, 1.4504000000, 2.6337000000", \
"0.1959800000, 0.2721900000, 0.3230000000, 0.4072000000, 0.5594000000, 0.8522000000, 1.4397000000, 2.6205000000", \
"0.1467000000, 0.2306000000, 0.2853000000, 0.3737000000, 0.5298000000, 0.8266000000, 1.4118000000, 2.5916000000", \
"-0.0421300000, 0.0595700000, 0.1243700000, 0.2245700000, 0.3902700000, 0.6990700000, 1.3005700000, 2.4752700000", \
"-0.1544700000, -0.0452700000, 0.0243300000, 0.1306300000, 0.3017300000, 0.6146300000, 1.2258300000, 2.4082300000", \
"-0.2720000000, -0.1562000000, -0.0821000000, 0.0301000000, 0.2069000000, 0.5234000000, 1.1423000000, 2.3381000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1035200000, 0.1842100000, 0.2416500000, 0.3429600000, 0.5470300000, 0.9754900000, 1.8756000000, 3.7109000000", \
"0.1034900000, 0.1841800000, 0.2415700000, 0.3430000000, 0.5468700000, 0.9751100000, 1.8737000000, 3.7117000000", \
"0.1035200000, 0.1842100000, 0.2416400000, 0.3429500000, 0.5467700000, 0.9755400000, 1.8739000000, 3.7134000000", \
"0.1035200000, 0.1841900000, 0.2416200000, 0.3429800000, 0.5466000000, 0.9750200000, 1.8756000000, 3.7109000000", \
"0.1034800000, 0.1841900000, 0.2416600000, 0.3429900000, 0.5467900000, 0.9750200000, 1.8739000000, 3.7104000000", \
"0.1036000000, 0.1848000000, 0.2425600000, 0.3442400000, 0.5478000000, 0.9758000000, 1.8749000000, 3.7089000000", \
"0.1128000000, 0.1944000000, 0.2518000000, 0.3519000000, 0.5528000000, 0.9778000000, 1.8741000000, 3.7110000000", \
"0.1284000000, 0.2105000000, 0.2676000000, 0.3662000000, 0.5635000000, 0.9831000000, 1.8754000000, 3.7094000000", \
"0.1772000000, 0.2693000000, 0.3307000000, 0.4305000000, 0.6160000000, 1.0131000000, 1.8859000000, 3.7095000000", \
"0.2004000000, 0.2976000000, 0.3624000000, 0.4669000000, 0.6522000000, 1.0400000000, 1.8961000000, 3.7143000000", \
"0.2225000000, 0.3243000000, 0.3923000000, 0.5013000000, 0.6896000000, 1.0726000000, 1.9122000000, 3.7182000000");
}
related_pin : "A0";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0531600000, 0.1117400000, 0.1608300000, 0.2622900000, 0.4731300000, 0.9080300000, 1.7941200000, 3.5672000000", \
"0.0531300000, 0.1117400000, 0.1608300000, 0.2623700000, 0.4732000000, 0.9081900000, 1.7935700000, 3.5656000000", \
"0.0531700000, 0.1116700000, 0.1607900000, 0.2623600000, 0.4730600000, 0.9087100000, 1.7927300000, 3.5675000000", \
"0.0531500000, 0.1117600000, 0.1608400000, 0.2624000000, 0.4730100000, 0.9081000000, 1.7925900000, 3.5664000000", \
"0.0546100000, 0.1130500000, 0.1619300000, 0.2631600000, 0.4735500000, 0.9082000000, 1.7922300000, 3.5663000000", \
"0.0637300000, 0.1227600000, 0.1703000000, 0.2686500000, 0.4760000000, 0.9089200000, 1.7924000000, 3.5673000000", \
"0.0845100000, 0.1476600000, 0.1950400000, 0.2902100000, 0.4910000000, 0.9164000000, 1.7946000000, 3.5691000000", \
"0.1053000000, 0.1718000000, 0.2203000000, 0.3137000000, 0.5124000000, 0.9313000000, 1.7991000000, 3.5688000000", \
"0.1604000000, 0.2344000000, 0.2866000000, 0.3781000000, 0.5703000000, 0.9883000000, 1.8435000000, 3.5799000000", \
"0.1875000000, 0.2641000000, 0.3190000000, 0.4112000000, 0.6002000000, 1.0158000000, 1.8749000000, 3.6042000000", \
"0.2142000000, 0.2928000000, 0.3502000000, 0.4439000000, 0.6295000000, 1.0417000000, 1.9073000000, 3.6308000000");
}
sdf_cond : "(A1&A2&!A3&!S0&!S1)";
timing_sense : "positive_unate";
when : "(A1&A2&!A3&!S0&!S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3796400000, 0.4706800000, 0.5367400000, 0.6481800000, 0.8402800000, 1.1970700000, 1.8955700000, 3.2973700000", \
"0.3850200000, 0.4760700000, 0.5421300000, 0.6535600000, 0.8456700000, 1.2023700000, 1.9012700000, 3.3027700000", \
"0.3850600000, 0.4761100000, 0.5421700000, 0.6536200000, 0.8457100000, 1.2024300000, 1.9010300000, 3.3032300000", \
"0.3875000000, 0.4785500000, 0.5446100000, 0.6560400000, 0.8481700000, 1.2049000000, 1.9035000000, 3.3060000000", \
"0.4156600000, 0.5066500000, 0.5726400000, 0.6839500000, 0.8760000000, 1.2327000000, 1.9313000000, 3.3331000000", \
"0.4807100000, 0.5714600000, 0.6370500000, 0.7477000000, 0.9391000000, 1.2951000000, 1.9933000000, 3.3945000000", \
"0.6297000000, 0.7215000000, 0.7869000000, 0.8965000000, 1.0858000000, 1.4393000000, 2.1352000000, 3.5350000000", \
"0.7785000000, 0.8728000000, 0.9390000000, 1.0491000000, 1.2378000000, 1.5887000000, 2.2809000000, 3.6775000000", \
"1.1565700000, 1.2629700000, 1.3366700000, 1.4560700000, 1.6529700000, 2.0027700000, 2.6860700000, 4.0726700000", \
"1.3386300000, 1.4502300000, 1.5277300000, 1.6528300000, 1.8580300000, 2.2130300000, 2.8941300000, 4.2749300000", \
"1.5147000000, 1.6310000000, 1.7119000000, 1.8423000000, 2.0555000000, 2.4189000000, 3.1008000000, 4.4769000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2049800000, 0.2699900000, 0.3162700000, 0.3975400000, 0.5490300000, 0.8445200000, 1.4356700000, 2.6213700000", \
"0.2077200000, 0.2727200000, 0.3190100000, 0.4002800000, 0.5517600000, 0.8472900000, 1.4382700000, 2.6242700000", \
"0.2075700000, 0.2725800000, 0.3188600000, 0.4001300000, 0.5515600000, 0.8472700000, 1.4386300000, 2.6240300000", \
"0.2079200000, 0.2729100000, 0.3191700000, 0.4004200000, 0.5518500000, 0.8475900000, 1.4385000000, 2.6234000000", \
"0.2159600000, 0.2807100000, 0.3266500000, 0.4074400000, 0.5585800000, 0.8539000000, 1.4447000000, 2.6300000000", \
"0.2208900000, 0.2889400000, 0.3357500000, 0.4164600000, 0.5666800000, 0.8607000000, 1.4504000000, 2.6337000000", \
"0.1959800000, 0.2721900000, 0.3230000000, 0.4072000000, 0.5594000000, 0.8522000000, 1.4397000000, 2.6205000000", \
"0.1467000000, 0.2306000000, 0.2853000000, 0.3737000000, 0.5298000000, 0.8266000000, 1.4118000000, 2.5916000000", \
"-0.0421300000, 0.0595700000, 0.1243700000, 0.2245700000, 0.3902700000, 0.6990700000, 1.3005700000, 2.4752700000", \
"-0.1544700000, -0.0452700000, 0.0243300000, 0.1306300000, 0.3017300000, 0.6146300000, 1.2258300000, 2.4082300000", \
"-0.2720000000, -0.1562000000, -0.0821000000, 0.0301000000, 0.2069000000, 0.5234000000, 1.1423000000, 2.3381000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1035100000, 0.1842100000, 0.2416400000, 0.3429700000, 0.5469300000, 0.9753900000, 1.8756000000, 3.7106000000", \
"0.1034700000, 0.1841800000, 0.2415600000, 0.3430000000, 0.5468700000, 0.9751200000, 1.8737000000, 3.7117000000", \
"0.1035200000, 0.1842100000, 0.2416400000, 0.3429500000, 0.5470700000, 0.9755400000, 1.8739000000, 3.7135000000", \
"0.1035200000, 0.1841900000, 0.2416200000, 0.3429900000, 0.5466000000, 0.9750200000, 1.8756000000, 3.7142000000", \
"0.1034800000, 0.1841900000, 0.2416600000, 0.3429900000, 0.5467900000, 0.9750200000, 1.8739000000, 3.7102000000", \
"0.1036000000, 0.1848000000, 0.2425600000, 0.3442400000, 0.5478000000, 0.9758000000, 1.8751000000, 3.7089000000", \
"0.1128000000, 0.1944000000, 0.2518000000, 0.3519000000, 0.5528000000, 0.9778000000, 1.8741000000, 3.7110000000", \
"0.1284000000, 0.2105000000, 0.2676000000, 0.3662000000, 0.5635000000, 0.9831000000, 1.8754000000, 3.7096000000", \
"0.1772000000, 0.2693000000, 0.3307000000, 0.4305000000, 0.6160000000, 1.0131000000, 1.8859000000, 3.7096000000", \
"0.2004000000, 0.2976000000, 0.3624000000, 0.4669000000, 0.6522000000, 1.0400000000, 1.8961000000, 3.7143000000", \
"0.2225000000, 0.3243000000, 0.3923000000, 0.5013000000, 0.6896000000, 1.0726000000, 1.9123000000, 3.7182000000");
}
related_pin : "A0";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0531600000, 0.1117400000, 0.1608300000, 0.2623000000, 0.4731100000, 0.9080200000, 1.7929100000, 3.5659000000", \
"0.0531300000, 0.1117400000, 0.1608300000, 0.2623600000, 0.4732000000, 0.9081800000, 1.7935600000, 3.5663000000", \
"0.0531800000, 0.1116600000, 0.1607900000, 0.2623500000, 0.4730500000, 0.9088000000, 1.7923800000, 3.5668000000", \
"0.0531400000, 0.1117500000, 0.1608300000, 0.2624000000, 0.4730800000, 0.9081000000, 1.7925900000, 3.5680000000", \
"0.0546100000, 0.1130500000, 0.1619300000, 0.2631600000, 0.4735500000, 0.9081900000, 1.7931200000, 3.5665000000", \
"0.0637300000, 0.1227600000, 0.1703000000, 0.2686700000, 0.4760000000, 0.9089200000, 1.7924000000, 3.5673000000", \
"0.0845200000, 0.1476600000, 0.1950400000, 0.2902100000, 0.4910000000, 0.9164000000, 1.7946000000, 3.5692000000", \
"0.1053000000, 0.1718000000, 0.2203000000, 0.3137000000, 0.5124000000, 0.9313000000, 1.7991000000, 3.5684000000", \
"0.1604000000, 0.2344000000, 0.2866000000, 0.3781000000, 0.5703000000, 0.9883000000, 1.8435000000, 3.5799000000", \
"0.1875000000, 0.2641000000, 0.3190000000, 0.4112000000, 0.6002000000, 1.0158000000, 1.8749000000, 3.6042000000", \
"0.2142000000, 0.2928000000, 0.3502000000, 0.4439000000, 0.6295000000, 1.0418000000, 1.9073000000, 3.6300000000");
}
sdf_cond : "(A1&A2&A3&!S0&!S1)";
timing_sense : "positive_unate";
when : "(A1&A2&A3&!S0&!S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3873700000, 0.4795500000, 0.5462800000, 0.6586800000, 0.8518200000, 1.2092700000, 1.9079700000, 3.3093700000", \
"0.3928800000, 0.4850600000, 0.5517900000, 0.6641700000, 0.8573200000, 1.2147700000, 1.9133700000, 3.3150700000", \
"0.3937800000, 0.4859700000, 0.5527000000, 0.6650800000, 0.8582300000, 1.2157300000, 1.9144300000, 3.3163300000", \
"0.3977400000, 0.4899100000, 0.5566400000, 0.6690400000, 0.8621900000, 1.2197000000, 1.9183000000, 3.3199000000", \
"0.4285600000, 0.5207000000, 0.5873700000, 0.6996500000, 0.8927000000, 1.2502000000, 1.9488000000, 3.3503000000", \
"0.4970900000, 0.5890700000, 0.6555000000, 0.7672000000, 0.9597000000, 1.3167000000, 2.0150000000, 3.4160000000", \
"0.6550000000, 0.7476000000, 0.8135000000, 0.9240000000, 1.1146000000, 1.4692000000, 2.1651000000, 3.5647000000", \
"0.8140000000, 0.9085000000, 0.9750000000, 1.0858000000, 1.2753000000, 1.6273000000, 2.3201000000, 3.7175000000", \
"1.2237700000, 1.3295700000, 1.4027700000, 1.5214700000, 1.7172700000, 2.0668700000, 2.7507700000, 4.1382700000", \
"1.4221300000, 1.5330300000, 1.6100300000, 1.7340300000, 1.9375300000, 2.2909300000, 2.9720300000, 4.3546300000", \
"1.6145000000, 1.7300000000, 1.8102000000, 1.9394000000, 2.1506000000, 2.5112000000, 3.1920000000, 4.5696000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1944700000, 0.2592000000, 0.3053700000, 0.3865400000, 0.5379400000, 0.8337400000, 1.4244700000, 2.6091700000", \
"0.1976200000, 0.2623500000, 0.3085200000, 0.3896800000, 0.5410900000, 0.8367200000, 1.4287700000, 2.6135700000", \
"0.1977900000, 0.2625300000, 0.3086900000, 0.3898600000, 0.5412500000, 0.8371400000, 1.4284300000, 2.6120300000", \
"0.1989100000, 0.2636300000, 0.3097700000, 0.3909100000, 0.5423400000, 0.8383900000, 1.4296000000, 2.6133000000", \
"0.2069300000, 0.2714400000, 0.3172600000, 0.3979600000, 0.5489200000, 0.8443000000, 1.4349000000, 2.6190000000", \
"0.2093000000, 0.2770000000, 0.3236200000, 0.4040800000, 0.5543000000, 0.8483000000, 1.4382000000, 2.6225000000", \
"0.1766400000, 0.2524100000, 0.3029000000, 0.3867000000, 0.5388000000, 0.8315000000, 1.4186000000, 2.6002000000", \
"0.1187000000, 0.2022000000, 0.2564000000, 0.3442000000, 0.4998000000, 0.7965000000, 1.3816000000, 2.5609000000", \
"-0.0961300000, 0.0050700000, 0.0694700000, 0.1687700000, 0.3331700000, 0.6409700000, 1.2420700000, 2.4174700000", \
"-0.2222700000, -0.1137700000, -0.0446700000, 0.0607300000, 0.2302300000, 0.5413300000, 1.1519300000, 2.3350300000", \
"-0.3539000000, -0.2389000000, -0.1653000000, -0.0541000000, 0.1209000000, 0.4353000000, 1.0523000000, 2.2491000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1072800000, 0.1890000000, 0.2468700000, 0.3483000000, 0.5513600000, 0.9784500000, 1.8745000000, 3.7096000000", \
"0.1072900000, 0.1889900000, 0.2467800000, 0.3481900000, 0.5516900000, 0.9780100000, 1.8771000000, 3.7108000000", \
"0.1072800000, 0.1889300000, 0.2467600000, 0.3483700000, 0.5517400000, 0.9782700000, 1.8769000000, 3.7097000000", \
"0.1072700000, 0.1890100000, 0.2468900000, 0.3482800000, 0.5514400000, 0.9785900000, 1.8769000000, 3.7117000000", \
"0.1072800000, 0.1890000000, 0.2468300000, 0.3483300000, 0.5514800000, 0.9787500000, 1.8771000000, 3.7104000000", \
"0.1073100000, 0.1893800000, 0.2475200000, 0.3493700000, 0.5526000000, 0.9790000000, 1.8752000000, 3.7109000000", \
"0.1146000000, 0.1968000000, 0.2546000000, 0.3554000000, 0.5565000000, 0.9810000000, 1.8756000000, 3.7112000000", \
"0.1280000000, 0.2108000000, 0.2683000000, 0.3677000000, 0.5656000000, 0.9852000000, 1.8779000000, 3.7090000000", \
"0.1749000000, 0.2664000000, 0.3271000000, 0.4262000000, 0.6121000000, 1.0111000000, 1.8842000000, 3.7105000000", \
"0.1974000000, 0.2940000000, 0.3577000000, 0.4609000000, 0.6456000000, 1.0347000000, 1.8932000000, 3.7152000000", \
"0.2189000000, 0.3197000000, 0.3869000000, 0.4944000000, 0.6809000000, 1.0647000000, 1.9070000000, 3.7157000000");
}
related_pin : "A1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0524700000, 0.1109900000, 0.1601000000, 0.2617800000, 0.4728100000, 0.9079100000, 1.7926100000, 3.5659000000", \
"0.0524800000, 0.1109500000, 0.1601200000, 0.2616800000, 0.4724700000, 0.9077700000, 1.7924600000, 3.5676000000", \
"0.0524800000, 0.1109700000, 0.1601200000, 0.2617800000, 0.4727700000, 0.9077500000, 1.7929900000, 3.5659000000", \
"0.0524900000, 0.1110000000, 0.1603000000, 0.2617800000, 0.4727000000, 0.9082000000, 1.7921300000, 3.5674000000", \
"0.0540600000, 0.1123300000, 0.1613100000, 0.2626900000, 0.4729200000, 0.9080200000, 1.7916900000, 3.5655000000", \
"0.0631700000, 0.1219600000, 0.1695700000, 0.2680700000, 0.4754700000, 0.9088200000, 1.7926000000, 3.5663000000", \
"0.0838300000, 0.1464800000, 0.1936500000, 0.2888800000, 0.4901000000, 0.9154000000, 1.7933000000, 3.5674000000", \
"0.1043000000, 0.1702000000, 0.2183000000, 0.3112000000, 0.5104000000, 0.9297000000, 1.7978000000, 3.5661000000", \
"0.1582000000, 0.2316000000, 0.2833000000, 0.3740000000, 0.5657000000, 0.9843000000, 1.8415000000, 3.5833000000", \
"0.1848000000, 0.2611000000, 0.3153000000, 0.4063000000, 0.5938000000, 1.0113000000, 1.8723000000, 3.6039000000", \
"0.2109000000, 0.2891000000, 0.3457000000, 0.4381000000, 0.6228000000, 1.0349000000, 1.9024000000, 3.6295000000");
}
sdf_cond : "(!A0&!A2&!A3&S0&!S1)";
timing_sense : "positive_unate";
when : "(!A0&!A2&!A3&S0&!S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3875400000, 0.4797500000, 0.5465000000, 0.6589400000, 0.8521100000, 1.2096700000, 1.9082700000, 3.3100700000", \
"0.3930700000, 0.4852900000, 0.5520400000, 0.6644700000, 0.8576600000, 1.2151700000, 1.9138700000, 3.3154700000", \
"0.3939700000, 0.4861900000, 0.5529400000, 0.6653700000, 0.8585600000, 1.2161300000, 1.9147300000, 3.3164300000", \
"0.3979300000, 0.4901400000, 0.5568900000, 0.6693300000, 0.8625200000, 1.2201000000, 1.9187000000, 3.3205000000", \
"0.4287600000, 0.5209400000, 0.5876300000, 0.6999500000, 0.8931000000, 1.2505000000, 1.9493000000, 3.3511000000", \
"0.4972900000, 0.5893000000, 0.6557000000, 0.7675000000, 0.9600000000, 1.3170000000, 2.0154000000, 3.4159000000", \
"0.6552000000, 0.7478000000, 0.8137000000, 0.9243000000, 1.1149000000, 1.4695000000, 2.1655000000, 3.5650000000", \
"0.8142000000, 0.9087000000, 0.9752000000, 1.0860000000, 1.2756000000, 1.6276000000, 2.3204000000, 3.7176000000", \
"1.2239700000, 1.3297700000, 1.4029700000, 1.5216700000, 1.7175700000, 2.0671700000, 2.7511700000, 4.1386700000", \
"1.4223300000, 1.5333300000, 1.6102300000, 1.7343300000, 1.9378300000, 2.2912300000, 2.9723300000, 4.3549300000", \
"1.6147000000, 1.7302000000, 1.8105000000, 1.9397000000, 2.1509000000, 2.5115000000, 3.1923000000, 4.5699000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1945500000, 0.2592700000, 0.3054100000, 0.3865600000, 0.5378900000, 0.8335500000, 1.4246700000, 2.6090700000", \
"0.1977200000, 0.2624300000, 0.3085800000, 0.3897000000, 0.5411200000, 0.8368300000, 1.4282700000, 2.6124700000", \
"0.1978900000, 0.2626000000, 0.3087500000, 0.3898900000, 0.5412400000, 0.8368300000, 1.4281300000, 2.6114300000", \
"0.1990100000, 0.2637000000, 0.3098300000, 0.3909400000, 0.5423500000, 0.8382200000, 1.4293000000, 2.6135000000", \
"0.2070400000, 0.2715300000, 0.3173400000, 0.3980200000, 0.5489500000, 0.8442000000, 1.4348000000, 2.6196000000", \
"0.2094500000, 0.2771300000, 0.3237400000, 0.4041800000, 0.5543700000, 0.8485000000, 1.4382000000, 2.6223000000", \
"0.1768300000, 0.2526000000, 0.3031000000, 0.3869000000, 0.5389000000, 0.8316000000, 1.4188000000, 2.6002000000", \
"0.1189000000, 0.2024000000, 0.2566000000, 0.3444000000, 0.5000000000, 0.7966000000, 1.3818000000, 2.5609000000", \
"-0.0958300000, 0.0052700000, 0.0696700000, 0.1689700000, 0.3333700000, 0.6410700000, 1.2422700000, 2.4176700000", \
"-0.2220700000, -0.1135700000, -0.0443700000, 0.0609300000, 0.2304300000, 0.5415300000, 1.1521300000, 2.3350300000", \
"-0.3537000000, -0.2387000000, -0.1651000000, -0.0539000000, 0.1211000000, 0.4355000000, 1.0525000000, 2.2493000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1073600000, 0.1891200000, 0.2470200000, 0.3484200000, 0.5515900000, 0.9787100000, 1.8770000000, 3.7131000000", \
"0.1073600000, 0.1891100000, 0.2469700000, 0.3484400000, 0.5515200000, 0.9785600000, 1.8745000000, 3.7098000000", \
"0.1073400000, 0.1891200000, 0.2469900000, 0.3484400000, 0.5513900000, 0.9787200000, 1.8770000000, 3.7098000000", \
"0.1073400000, 0.1891100000, 0.2470200000, 0.3484200000, 0.5515100000, 0.9787200000, 1.8770000000, 3.7093000000", \
"0.1073600000, 0.1890900000, 0.2469600000, 0.3486300000, 0.5515400000, 0.9788700000, 1.8770000000, 3.7091000000", \
"0.1073900000, 0.1895300000, 0.2476500000, 0.3494800000, 0.5527000000, 0.9791000000, 1.8753000000, 3.7119000000", \
"0.1146000000, 0.1969000000, 0.2547000000, 0.3556000000, 0.5567000000, 0.9811000000, 1.8756000000, 3.7113000000", \
"0.1281000000, 0.2108000000, 0.2683000000, 0.3679000000, 0.5657000000, 0.9853000000, 1.8779000000, 3.7079000000", \
"0.1750000000, 0.2664000000, 0.3273000000, 0.4264000000, 0.6122000000, 1.0112000000, 1.8844000000, 3.7121000000", \
"0.1975000000, 0.2941000000, 0.3578000000, 0.4610000000, 0.6456000000, 1.0347000000, 1.8933000000, 3.7151000000", \
"0.2189000000, 0.3198000000, 0.3869000000, 0.4945000000, 0.6810000000, 1.0647000000, 1.9070000000, 3.7157000000");
}
related_pin : "A1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0524500000, 0.1108900000, 0.1600200000, 0.2617300000, 0.4724000000, 0.9089100000, 1.7926400000, 3.5665000000", \
"0.0524900000, 0.1108700000, 0.1600400000, 0.2618300000, 0.4726200000, 0.9081500000, 1.7929800000, 3.5685000000", \
"0.0524500000, 0.1109400000, 0.1600200000, 0.2617100000, 0.4724300000, 0.9088400000, 1.7941900000, 3.5647000000", \
"0.0524700000, 0.1109100000, 0.1601300000, 0.2616500000, 0.4727500000, 0.9077900000, 1.7927700000, 3.5668000000", \
"0.0540400000, 0.1122900000, 0.1614300000, 0.2624700000, 0.4728400000, 0.9081800000, 1.7935100000, 3.5677000000", \
"0.0631500000, 0.1219300000, 0.1695100000, 0.2680100000, 0.4754500000, 0.9089300000, 1.7925000000, 3.5666000000", \
"0.0838700000, 0.1463900000, 0.1936600000, 0.2887900000, 0.4900000000, 0.9151000000, 1.7947000000, 3.5677000000", \
"0.1043000000, 0.1702000000, 0.2182000000, 0.3111000000, 0.5103000000, 0.9297000000, 1.7978000000, 3.5670000000", \
"0.1581000000, 0.2317000000, 0.2833000000, 0.3739000000, 0.5657000000, 0.9843000000, 1.8414000000, 3.5841000000", \
"0.1849000000, 0.2611000000, 0.3152000000, 0.4062000000, 0.5938000000, 1.0113000000, 1.8723000000, 3.6033000000", \
"0.2105000000, 0.2890000000, 0.3456000000, 0.4382000000, 0.6227000000, 1.0349000000, 1.9024000000, 3.6293000000");
}
sdf_cond : "(!A0&!A2&A3&S0&!S1)";
timing_sense : "positive_unate";
when : "(!A0&!A2&A3&S0&!S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3873700000, 0.4795500000, 0.5462700000, 0.6586700000, 0.8518100000, 1.2092700000, 1.9079700000, 3.3095700000", \
"0.3928700000, 0.4850600000, 0.5517900000, 0.6641700000, 0.8573100000, 1.2147700000, 1.9133700000, 3.3148700000", \
"0.3937800000, 0.4859700000, 0.5527000000, 0.6650800000, 0.8582200000, 1.2157300000, 1.9143300000, 3.3160300000", \
"0.3977400000, 0.4899100000, 0.5566400000, 0.6690400000, 0.8621900000, 1.2197000000, 1.9183000000, 3.3200000000", \
"0.4285600000, 0.5207000000, 0.5873700000, 0.6996500000, 0.8927000000, 1.2502000000, 1.9488000000, 3.3504000000", \
"0.4970900000, 0.5890800000, 0.6555000000, 0.7672000000, 0.9597000000, 1.3167000000, 2.0150000000, 3.4168000000", \
"0.6550000000, 0.7476000000, 0.8135000000, 0.9240000000, 1.1146000000, 1.4692000000, 2.1651000000, 3.5647000000", \
"0.8140000000, 0.9085000000, 0.9750000000, 1.0858000000, 1.2753000000, 1.6273000000, 2.3201000000, 3.7174000000", \
"1.2237700000, 1.3295700000, 1.4027700000, 1.5214700000, 1.7172700000, 2.0668700000, 2.7507700000, 4.1382700000", \
"1.4221300000, 1.5330300000, 1.6100300000, 1.7340300000, 1.9375300000, 2.2909300000, 2.9720300000, 4.3546300000", \
"1.6145000000, 1.7300000000, 1.8102000000, 1.9394000000, 2.1506000000, 2.5112000000, 3.1920000000, 4.5696000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1944700000, 0.2592000000, 0.3053700000, 0.3865500000, 0.5379500000, 0.8336900000, 1.4257700000, 2.6097700000", \
"0.1976200000, 0.2623500000, 0.3085200000, 0.3896800000, 0.5410800000, 0.8367600000, 1.4287700000, 2.6113700000", \
"0.1977900000, 0.2625300000, 0.3086900000, 0.3898600000, 0.5412500000, 0.8371200000, 1.4280300000, 2.6120300000", \
"0.1989100000, 0.2636300000, 0.3097700000, 0.3909100000, 0.5423400000, 0.8383900000, 1.4295000000, 2.6132000000", \
"0.2069300000, 0.2714400000, 0.3172600000, 0.3979600000, 0.5489200000, 0.8443000000, 1.4350000000, 2.6204000000", \
"0.2093000000, 0.2770000000, 0.3236100000, 0.4040800000, 0.5542900000, 0.8483000000, 1.4382000000, 2.6225000000", \
"0.1766400000, 0.2524100000, 0.3029000000, 0.3867000000, 0.5388000000, 0.8315000000, 1.4186000000, 2.6002000000", \
"0.1187000000, 0.2022000000, 0.2564000000, 0.3442000000, 0.4998000000, 0.7965000000, 1.3816000000, 2.5609000000", \
"-0.0961300000, 0.0050700000, 0.0694700000, 0.1687700000, 0.3331700000, 0.6409700000, 1.2420700000, 2.4174700000", \
"-0.2222700000, -0.1137700000, -0.0446700000, 0.0607300000, 0.2302300000, 0.5413300000, 1.1519300000, 2.3350300000", \
"-0.3539000000, -0.2389000000, -0.1653000000, -0.0541000000, 0.1209000000, 0.4353000000, 1.0523000000, 2.2491000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1072700000, 0.1890000000, 0.2468800000, 0.3483100000, 0.5513800000, 0.9783400000, 1.8750000000, 3.7095000000", \
"0.1072600000, 0.1889900000, 0.2467800000, 0.3481700000, 0.5515900000, 0.9781100000, 1.8771000000, 3.7110000000", \
"0.1073000000, 0.1889500000, 0.2467500000, 0.3484100000, 0.5516400000, 0.9780600000, 1.8771000000, 3.7092000000", \
"0.1072600000, 0.1890100000, 0.2468900000, 0.3482800000, 0.5514400000, 0.9785900000, 1.8769000000, 3.7122000000", \
"0.1072800000, 0.1890000000, 0.2468300000, 0.3483300000, 0.5514800000, 0.9787500000, 1.8771000000, 3.7106000000", \
"0.1073100000, 0.1893900000, 0.2475200000, 0.3493700000, 0.5526000000, 0.9790000000, 1.8752000000, 3.7119000000", \
"0.1146000000, 0.1968000000, 0.2546000000, 0.3554000000, 0.5565000000, 0.9810000000, 1.8756000000, 3.7112000000", \
"0.1280000000, 0.2108000000, 0.2683000000, 0.3677000000, 0.5656000000, 0.9852000000, 1.8779000000, 3.7120000000", \
"0.1749000000, 0.2664000000, 0.3271000000, 0.4262000000, 0.6121000000, 1.0111000000, 1.8842000000, 3.7105000000", \
"0.1974000000, 0.2940000000, 0.3577000000, 0.4609000000, 0.6456000000, 1.0347000000, 1.8932000000, 3.7152000000", \
"0.2189000000, 0.3197000000, 0.3869000000, 0.4944000000, 0.6809000000, 1.0647000000, 1.9070000000, 3.7157000000");
}
related_pin : "A1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0525000000, 0.1109700000, 0.1601200000, 0.2618000000, 0.4729200000, 0.9089100000, 1.7932100000, 3.5666000000", \
"0.0525200000, 0.1109400000, 0.1601100000, 0.2616900000, 0.4725100000, 0.9079700000, 1.7931600000, 3.5644000000", \
"0.0524800000, 0.1109800000, 0.1601100000, 0.2617900000, 0.4727500000, 0.9078500000, 1.7925800000, 3.5667000000", \
"0.0524900000, 0.1110000000, 0.1603000000, 0.2617800000, 0.4727000000, 0.9082000000, 1.7923300000, 3.5673000000", \
"0.0540600000, 0.1123300000, 0.1613100000, 0.2626900000, 0.4729200000, 0.9080200000, 1.7918800000, 3.5699000000", \
"0.0631700000, 0.1219600000, 0.1695700000, 0.2680700000, 0.4754700000, 0.9087200000, 1.7926000000, 3.5664000000", \
"0.0838300000, 0.1464800000, 0.1936500000, 0.2888800000, 0.4901000000, 0.9154000000, 1.7933000000, 3.5669000000", \
"0.1043000000, 0.1702000000, 0.2183000000, 0.3112000000, 0.5104000000, 0.9297000000, 1.7978000000, 3.5664000000", \
"0.1582000000, 0.2316000000, 0.2833000000, 0.3740000000, 0.5657000000, 0.9843000000, 1.8415000000, 3.5833000000", \
"0.1848000000, 0.2611000000, 0.3153000000, 0.4063000000, 0.5938000000, 1.0113000000, 1.8724000000, 3.6040000000", \
"0.2109000000, 0.2891000000, 0.3457000000, 0.4381000000, 0.6228000000, 1.0349000000, 1.9024000000, 3.6295000000");
}
sdf_cond : "(!A0&A2&!A3&S0&!S1)";
timing_sense : "positive_unate";
when : "(!A0&A2&!A3&S0&!S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3875400000, 0.4797600000, 0.5465000000, 0.6589200000, 0.8521000000, 1.2095700000, 1.9084700000, 3.3100700000", \
"0.3930700000, 0.4852900000, 0.5520300000, 0.6644700000, 0.8576500000, 1.2151700000, 1.9138700000, 3.3148700000", \
"0.3939700000, 0.4861900000, 0.5529400000, 0.6653600000, 0.8585400000, 1.2160300000, 1.9149300000, 3.3163300000", \
"0.3979300000, 0.4901400000, 0.5568900000, 0.6693300000, 0.8625200000, 1.2201000000, 1.9187000000, 3.3205000000", \
"0.4287600000, 0.5209400000, 0.5876300000, 0.6999500000, 0.8931000000, 1.2505000000, 1.9493000000, 3.3512000000", \
"0.4972900000, 0.5893000000, 0.6557000000, 0.7675000000, 0.9600000000, 1.3170000000, 2.0154000000, 3.4161000000", \
"0.6552000000, 0.7478000000, 0.8137000000, 0.9243000000, 1.1149000000, 1.4695000000, 2.1655000000, 3.5650000000", \
"0.8142000000, 0.9087000000, 0.9752000000, 1.0860000000, 1.2756000000, 1.6276000000, 2.3204000000, 3.7178000000", \
"1.2239700000, 1.3297700000, 1.4029700000, 1.5216700000, 1.7175700000, 2.0671700000, 2.7511700000, 4.1387700000", \
"1.4223300000, 1.5333300000, 1.6102300000, 1.7343300000, 1.9378300000, 2.2912300000, 2.9723300000, 4.3548300000", \
"1.6147000000, 1.7302000000, 1.8105000000, 1.9397000000, 2.1509000000, 2.5115000000, 3.1923000000, 4.5699000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1945600000, 0.2592700000, 0.3054200000, 0.3865700000, 0.5379000000, 0.8337100000, 1.4244700000, 2.6097700000", \
"0.1977200000, 0.2624300000, 0.3085800000, 0.3897000000, 0.5411200000, 0.8368200000, 1.4281700000, 2.6137700000", \
"0.1978900000, 0.2626000000, 0.3087500000, 0.3898900000, 0.5412400000, 0.8368600000, 1.4282300000, 2.6138300000", \
"0.1990100000, 0.2637000000, 0.3098300000, 0.3909400000, 0.5423500000, 0.8382200000, 1.4293000000, 2.6135000000", \
"0.2070400000, 0.2715300000, 0.3173400000, 0.3980200000, 0.5489500000, 0.8442000000, 1.4348000000, 2.6199000000", \
"0.2094500000, 0.2771300000, 0.3237400000, 0.4041800000, 0.5543700000, 0.8485000000, 1.4382000000, 2.6223000000", \
"0.1768300000, 0.2526000000, 0.3031000000, 0.3869000000, 0.5389000000, 0.8316000000, 1.4188000000, 2.6002000000", \
"0.1189000000, 0.2024000000, 0.2566000000, 0.3444000000, 0.5000000000, 0.7966000000, 1.3818000000, 2.5609000000", \
"-0.0958300000, 0.0052700000, 0.0696700000, 0.1689700000, 0.3333700000, 0.6410700000, 1.2422700000, 2.4176700000", \
"-0.2220700000, -0.1135700000, -0.0443700000, 0.0609300000, 0.2304300000, 0.5415300000, 1.1521300000, 2.3350300000", \
"-0.3537000000, -0.2387000000, -0.1651000000, -0.0539000000, 0.1211000000, 0.4355000000, 1.0525000000, 2.2493000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1073600000, 0.1890800000, 0.2468900000, 0.3484700000, 0.5519000000, 0.9788000000, 1.8752000000, 3.7094000000", \
"0.1073600000, 0.1891000000, 0.2470000000, 0.3484100000, 0.5516500000, 0.9787700000, 1.8770000000, 3.7106000000", \
"0.1073800000, 0.1889800000, 0.2469500000, 0.3484100000, 0.5518200000, 0.9788300000, 1.8749000000, 3.7111000000", \
"0.1073500000, 0.1891100000, 0.2470200000, 0.3484200000, 0.5515100000, 0.9787200000, 1.8770000000, 3.7093000000", \
"0.1073600000, 0.1890900000, 0.2469600000, 0.3486300000, 0.5515400000, 0.9788700000, 1.8770000000, 3.7101000000", \
"0.1073900000, 0.1894300000, 0.2476500000, 0.3494800000, 0.5527000000, 0.9791000000, 1.8753000000, 3.7121000000", \
"0.1146000000, 0.1969000000, 0.2547000000, 0.3556000000, 0.5567000000, 0.9811000000, 1.8756000000, 3.7113000000", \
"0.1281000000, 0.2108000000, 0.2683000000, 0.3679000000, 0.5657000000, 0.9853000000, 1.8779000000, 3.7116000000", \
"0.1750000000, 0.2664000000, 0.3273000000, 0.4264000000, 0.6121000000, 1.0112000000, 1.8844000000, 3.7127000000", \
"0.1975000000, 0.2941000000, 0.3578000000, 0.4610000000, 0.6456000000, 1.0347000000, 1.8933000000, 3.7152000000", \
"0.2189000000, 0.3198000000, 0.3869000000, 0.4945000000, 0.6810000000, 1.0647000000, 1.9070000000, 3.7156000000");
}
related_pin : "A1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0524800000, 0.1109300000, 0.1600100000, 0.2616600000, 0.4724800000, 0.9077100000, 1.7921300000, 3.5665000000", \
"0.0524900000, 0.1108700000, 0.1600400000, 0.2618300000, 0.4726100000, 0.9080500000, 1.7920800000, 3.5673000000", \
"0.0524500000, 0.1109300000, 0.1600200000, 0.2616900000, 0.4724400000, 0.9085400000, 1.7927900000, 3.5664000000", \
"0.0524700000, 0.1109100000, 0.1601300000, 0.2616500000, 0.4727500000, 0.9077900000, 1.7929700000, 3.5668000000", \
"0.0540400000, 0.1122900000, 0.1614400000, 0.2624700000, 0.4728400000, 0.9081800000, 1.7935100000, 3.5661000000", \
"0.0631500000, 0.1219300000, 0.1695100000, 0.2680100000, 0.4754500000, 0.9089300000, 1.7925000000, 3.5666000000", \
"0.0838700000, 0.1463900000, 0.1936600000, 0.2887900000, 0.4900000000, 0.9152000000, 1.7939000000, 3.5670000000", \
"0.1043000000, 0.1702000000, 0.2182000000, 0.3111000000, 0.5103000000, 0.9297000000, 1.7978000000, 3.5674000000", \
"0.1581000000, 0.2317000000, 0.2833000000, 0.3739000000, 0.5657000000, 0.9843000000, 1.8414000000, 3.5844000000", \
"0.1849000000, 0.2611000000, 0.3152000000, 0.4062000000, 0.5938000000, 1.0113000000, 1.8723000000, 3.6033000000", \
"0.2105000000, 0.2890000000, 0.3456000000, 0.4382000000, 0.6227000000, 1.0349000000, 1.9024000000, 3.6294000000");
}
sdf_cond : "(!A0&A2&A3&S0&!S1)";
timing_sense : "positive_unate";
when : "(!A0&A2&A3&S0&!S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3873600000, 0.4795500000, 0.5462700000, 0.6586600000, 0.8518000000, 1.2092700000, 1.9078700000, 3.3098700000", \
"0.3928700000, 0.4850600000, 0.5517800000, 0.6641600000, 0.8573000000, 1.2147700000, 1.9134700000, 3.3153700000", \
"0.3937700000, 0.4859700000, 0.5526900000, 0.6650800000, 0.8582200000, 1.2157300000, 1.9144300000, 3.3161300000", \
"0.3977300000, 0.4899100000, 0.5566300000, 0.6690400000, 0.8621900000, 1.2197000000, 1.9184000000, 3.3193000000", \
"0.4285500000, 0.5207000000, 0.5873600000, 0.6996500000, 0.8927000000, 1.2502000000, 1.9487000000, 3.3505000000", \
"0.4970900000, 0.5890800000, 0.6554000000, 0.7672000000, 0.9597000000, 1.3166000000, 2.0150000000, 3.4163000000", \
"0.6550000000, 0.7476000000, 0.8135000000, 0.9240000000, 1.1146000000, 1.4692000000, 2.1652000000, 3.5646000000", \
"0.8140000000, 0.9085000000, 0.9750000000, 1.0858000000, 1.2753000000, 1.6273000000, 2.3201000000, 3.7174000000", \
"1.2237700000, 1.3295700000, 1.4027700000, 1.5213700000, 1.7172700000, 2.0668700000, 2.7507700000, 4.1382700000", \
"1.4221300000, 1.5330300000, 1.6100300000, 1.7340300000, 1.9375300000, 2.2909300000, 2.9720300000, 4.3545300000", \
"1.6145000000, 1.7300000000, 1.8102000000, 1.9394000000, 2.1506000000, 2.5112000000, 3.1920000000, 4.5696000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1944700000, 0.2592000000, 0.3053700000, 0.3865400000, 0.5380000000, 0.8335500000, 1.4244700000, 2.6093700000", \
"0.1976100000, 0.2623400000, 0.3085100000, 0.3896800000, 0.5410700000, 0.8369500000, 1.4282700000, 2.6117700000", \
"0.1977800000, 0.2625200000, 0.3086800000, 0.3898600000, 0.5412400000, 0.8371000000, 1.4282300000, 2.6132300000", \
"0.1989000000, 0.2636200000, 0.3097600000, 0.3909100000, 0.5423300000, 0.8381000000, 1.4295000000, 2.6140000000", \
"0.2069200000, 0.2714300000, 0.3172600000, 0.3979500000, 0.5489800000, 0.8444000000, 1.4348000000, 2.6205000000", \
"0.2093000000, 0.2769900000, 0.3236100000, 0.4040800000, 0.5542600000, 0.8484000000, 1.4380000000, 2.6220000000", \
"0.1766300000, 0.2524100000, 0.3029000000, 0.3867000000, 0.5388000000, 0.8315000000, 1.4186000000, 2.6003000000", \
"0.1187000000, 0.2021000000, 0.2564000000, 0.3442000000, 0.4998000000, 0.7965000000, 1.3817000000, 2.5605000000", \
"-0.0961300000, 0.0050700000, 0.0694700000, 0.1687700000, 0.3330700000, 0.6409700000, 1.2420700000, 2.4174700000", \
"-0.2223700000, -0.1138700000, -0.0446700000, 0.0606300000, 0.2302300000, 0.5413300000, 1.1519300000, 2.3350300000", \
"-0.3539000000, -0.2389000000, -0.1654000000, -0.0541000000, 0.1209000000, 0.4353000000, 1.0523000000, 2.2491000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1072800000, 0.1890000000, 0.2468300000, 0.3483100000, 0.5514900000, 0.9781400000, 1.8758000000, 3.7098000000", \
"0.1072800000, 0.1889700000, 0.2467500000, 0.3483700000, 0.5515000000, 0.9784400000, 1.8759000000, 3.7099000000", \
"0.1072700000, 0.1889800000, 0.2467800000, 0.3483100000, 0.5514300000, 0.9784000000, 1.8752000000, 3.7104000000", \
"0.1072800000, 0.1888700000, 0.2468900000, 0.3482800000, 0.5513300000, 0.9784900000, 1.8746000000, 3.7104000000", \
"0.1072800000, 0.1889900000, 0.2468300000, 0.3485400000, 0.5513800000, 0.9787600000, 1.8758000000, 3.7123000000", \
"0.1073100000, 0.1894000000, 0.2475200000, 0.3493800000, 0.5526000000, 0.9790000000, 1.8752000000, 3.7104000000", \
"0.1146000000, 0.1968000000, 0.2545000000, 0.3554000000, 0.5567000000, 0.9810000000, 1.8762000000, 3.7107000000", \
"0.1281000000, 0.2108000000, 0.2683000000, 0.3677000000, 0.5656000000, 0.9852000000, 1.8780000000, 3.7097000000", \
"0.1750000000, 0.2664000000, 0.3271000000, 0.4262000000, 0.6121000000, 1.0111000000, 1.8842000000, 3.7118000000", \
"0.1974000000, 0.2940000000, 0.3578000000, 0.4609000000, 0.6456000000, 1.0347000000, 1.8931000000, 3.7152000000", \
"0.2188000000, 0.3197000000, 0.3869000000, 0.4947000000, 0.6809000000, 1.0647000000, 1.9070000000, 3.7155000000");
}
related_pin : "A1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0525000000, 0.1109000000, 0.1601300000, 0.2618000000, 0.4730200000, 0.9091200000, 1.7919800000, 3.5683000000", \
"0.0525100000, 0.1109400000, 0.1601600000, 0.2617000000, 0.4725800000, 0.9091800000, 1.7925900000, 3.5663000000", \
"0.0524900000, 0.1109700000, 0.1601100000, 0.2618100000, 0.4724700000, 0.9077600000, 1.7926900000, 3.5670000000", \
"0.0525000000, 0.1109900000, 0.1601700000, 0.2618100000, 0.4727100000, 0.9079100000, 1.7929300000, 3.5664000000", \
"0.0540900000, 0.1122900000, 0.1613600000, 0.2625700000, 0.4731300000, 0.9080200000, 1.7916400000, 3.5675000000", \
"0.0631700000, 0.1219700000, 0.1695700000, 0.2680800000, 0.4756800000, 0.9085300000, 1.7919000000, 3.5672000000", \
"0.0838800000, 0.1463900000, 0.1936700000, 0.2887800000, 0.4900000000, 0.9154000000, 1.7933000000, 3.5686000000", \
"0.1043000000, 0.1702000000, 0.2183000000, 0.3112000000, 0.5104000000, 0.9298000000, 1.7986000000, 3.5660000000", \
"0.1582000000, 0.2316000000, 0.2834000000, 0.3740000000, 0.5657000000, 0.9843000000, 1.8415000000, 3.5832000000", \
"0.1848000000, 0.2611000000, 0.3153000000, 0.4063000000, 0.5938000000, 1.0113000000, 1.8724000000, 3.6039000000", \
"0.2108000000, 0.2891000000, 0.3457000000, 0.4381000000, 0.6228000000, 1.0349000000, 1.9025000000, 3.6295000000");
}
sdf_cond : "(A0&!A2&!A3&S0&!S1)";
timing_sense : "positive_unate";
when : "(A0&!A2&!A3&S0&!S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3875300000, 0.4797500000, 0.5464900000, 0.6589200000, 0.8521000000, 1.2096700000, 1.9084700000, 3.3100700000", \
"0.3930600000, 0.4852800000, 0.5520300000, 0.6644700000, 0.8576500000, 1.2151700000, 1.9137700000, 3.3158700000", \
"0.3939600000, 0.4861800000, 0.5529300000, 0.6653600000, 0.8585400000, 1.2160300000, 1.9148300000, 3.3161300000", \
"0.3979300000, 0.4901400000, 0.5568800000, 0.6693200000, 0.8625100000, 1.2201000000, 1.9188000000, 3.3201000000", \
"0.4287600000, 0.5209400000, 0.5876200000, 0.6999400000, 0.8931000000, 1.2506000000, 1.9491000000, 3.3508000000", \
"0.4972800000, 0.5893000000, 0.6557000000, 0.7675000000, 0.9600000000, 1.3170000000, 2.0154000000, 3.4163000000", \
"0.6552000000, 0.7478000000, 0.8137000000, 0.9243000000, 1.1149000000, 1.4695000000, 2.1656000000, 3.5646000000", \
"0.8142000000, 0.9087000000, 0.9752000000, 1.0860000000, 1.2756000000, 1.6276000000, 2.3204000000, 3.7179000000", \
"1.2239700000, 1.3297700000, 1.4029700000, 1.5216700000, 1.7174700000, 2.0671700000, 2.7511700000, 4.1387700000", \
"1.4223300000, 1.5333300000, 1.6102300000, 1.7342300000, 1.9378300000, 2.2912300000, 2.9723300000, 4.3548300000", \
"1.6147000000, 1.7302000000, 1.8105000000, 1.9397000000, 2.1509000000, 2.5115000000, 3.1923000000, 4.5699000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1945500000, 0.2592700000, 0.3054200000, 0.3865700000, 0.5379200000, 0.8337400000, 1.4244700000, 2.6094700000", \
"0.1977100000, 0.2624200000, 0.3085700000, 0.3897000000, 0.5411100000, 0.8368800000, 1.4282700000, 2.6123700000", \
"0.1978800000, 0.2625900000, 0.3087400000, 0.3898900000, 0.5412400000, 0.8370900000, 1.4284300000, 2.6136300000", \
"0.1990000000, 0.2636900000, 0.3098200000, 0.3909400000, 0.5423300000, 0.8380500000, 1.4293000000, 2.6148000000", \
"0.2070200000, 0.2715200000, 0.3173400000, 0.3980200000, 0.5489200000, 0.8443000000, 1.4349000000, 2.6197000000", \
"0.2094500000, 0.2771300000, 0.3237300000, 0.4041800000, 0.5543100000, 0.8483000000, 1.4380000000, 2.6222000000", \
"0.1768200000, 0.2525900000, 0.3031000000, 0.3869000000, 0.5389000000, 0.8316000000, 1.4187000000, 2.6003000000", \
"0.1189000000, 0.2024000000, 0.2566000000, 0.3444000000, 0.5000000000, 0.7966000000, 1.3818000000, 2.5605000000", \
"-0.0958300000, 0.0052700000, 0.0696700000, 0.1689700000, 0.3333700000, 0.6410700000, 1.2422700000, 2.4176700000", \
"-0.2220700000, -0.1135700000, -0.0443700000, 0.0609300000, 0.2304300000, 0.5415300000, 1.1521300000, 2.3350300000", \
"-0.3537000000, -0.2387000000, -0.1651000000, -0.0539000000, 0.1211000000, 0.4355000000, 1.0525000000, 2.2493000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1073500000, 0.1890700000, 0.2469000000, 0.3484100000, 0.5515900000, 0.9787100000, 1.8748000000, 3.7135000000", \
"0.1073500000, 0.1890900000, 0.2470200000, 0.3484200000, 0.5513400000, 0.9786700000, 1.8746000000, 3.7109000000", \
"0.1073600000, 0.1889800000, 0.2469800000, 0.3484000000, 0.5518200000, 0.9788300000, 1.8768000000, 3.7102000000", \
"0.1073400000, 0.1891200000, 0.2470300000, 0.3484200000, 0.5515100000, 0.9786200000, 1.8747000000, 3.7106000000", \
"0.1073200000, 0.1891000000, 0.2469600000, 0.3486400000, 0.5515400000, 0.9787700000, 1.8758000000, 3.7106000000", \
"0.1073900000, 0.1894300000, 0.2476600000, 0.3494900000, 0.5527000000, 0.9791000000, 1.8753000000, 3.7108000000", \
"0.1146000000, 0.1969000000, 0.2547000000, 0.3556000000, 0.5568000000, 0.9809000000, 1.8763000000, 3.7108000000", \
"0.1281000000, 0.2109000000, 0.2683000000, 0.3679000000, 0.5657000000, 0.9853000000, 1.8780000000, 3.7115000000", \
"0.1750000000, 0.2664000000, 0.3273000000, 0.4264000000, 0.6121000000, 1.0112000000, 1.8843000000, 3.7137000000", \
"0.1975000000, 0.2941000000, 0.3578000000, 0.4611000000, 0.6456000000, 1.0347000000, 1.8932000000, 3.7152000000", \
"0.2189000000, 0.3198000000, 0.3869000000, 0.4946000000, 0.6810000000, 1.0647000000, 1.9070000000, 3.7152000000");
}
related_pin : "A1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0524500000, 0.1109400000, 0.1600400000, 0.2617100000, 0.4724300000, 0.9080100000, 1.7936800000, 3.5665000000", \
"0.0524500000, 0.1108800000, 0.1600500000, 0.2616100000, 0.4726600000, 0.9077600000, 1.7938100000, 3.5683000000", \
"0.0524500000, 0.1109200000, 0.1600300000, 0.2616600000, 0.4725800000, 0.9089500000, 1.7926100000, 3.5656000000", \
"0.0524700000, 0.1109400000, 0.1602200000, 0.2617000000, 0.4727200000, 0.9081900000, 1.7936000000, 3.5671000000", \
"0.0540700000, 0.1123000000, 0.1612700000, 0.2624500000, 0.4729500000, 0.9077900000, 1.7918100000, 3.5671000000", \
"0.0631500000, 0.1219200000, 0.1695200000, 0.2680100000, 0.4756600000, 0.9088400000, 1.7920000000, 3.5671000000", \
"0.0839000000, 0.1464000000, 0.1936800000, 0.2887900000, 0.4899000000, 0.9153000000, 1.7943000000, 3.5665000000", \
"0.1043000000, 0.1702000000, 0.2183000000, 0.3112000000, 0.5104000000, 0.9297000000, 1.7984000000, 3.5660000000", \
"0.1581000000, 0.2317000000, 0.2833000000, 0.3739000000, 0.5657000000, 0.9843000000, 1.8414000000, 3.5834000000", \
"0.1848000000, 0.2610000000, 0.3152000000, 0.4062000000, 0.5938000000, 1.0112000000, 1.8722000000, 3.6033000000", \
"0.2105000000, 0.2890000000, 0.3457000000, 0.4381000000, 0.6227000000, 1.0349000000, 1.9024000000, 3.6293000000");
}
sdf_cond : "(A0&!A2&A3&S0&!S1)";
timing_sense : "positive_unate";
when : "(A0&!A2&A3&S0&!S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3873600000, 0.4795500000, 0.5462800000, 0.6586500000, 0.8518000000, 1.2092700000, 1.9080700000, 3.3096700000", \
"0.3928600000, 0.4850500000, 0.5517800000, 0.6641600000, 0.8573000000, 1.2147700000, 1.9134700000, 3.3154700000", \
"0.3937700000, 0.4859600000, 0.5526900000, 0.6650800000, 0.8582200000, 1.2157300000, 1.9142300000, 3.3162300000", \
"0.3977300000, 0.4899100000, 0.5566300000, 0.6690400000, 0.8621900000, 1.2197000000, 1.9184000000, 3.3201000000", \
"0.4285500000, 0.5207000000, 0.5873600000, 0.6996500000, 0.8927000000, 1.2502000000, 1.9487000000, 3.3505000000", \
"0.4970900000, 0.5890800000, 0.6554000000, 0.7672000000, 0.9597000000, 1.3166000000, 2.0150000000, 3.4164000000", \
"0.6550000000, 0.7476000000, 0.8135000000, 0.9240000000, 1.1146000000, 1.4692000000, 2.1652000000, 3.5644000000", \
"0.8140000000, 0.9085000000, 0.9750000000, 1.0858000000, 1.2753000000, 1.6273000000, 2.3201000000, 3.7175000000", \
"1.2237700000, 1.3295700000, 1.4027700000, 1.5213700000, 1.7172700000, 2.0668700000, 2.7507700000, 4.1383700000", \
"1.4221300000, 1.5330300000, 1.6100300000, 1.7340300000, 1.9375300000, 2.2909300000, 2.9720300000, 4.3545300000", \
"1.6145000000, 1.7300000000, 1.8102000000, 1.9394000000, 2.1506000000, 2.5112000000, 3.1920000000, 4.5696000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1944700000, 0.2592100000, 0.3053700000, 0.3865500000, 0.5380100000, 0.8335600000, 1.4244700000, 2.6100700000", \
"0.1976100000, 0.2623400000, 0.3085100000, 0.3896800000, 0.5410700000, 0.8369500000, 1.4281700000, 2.6128700000", \
"0.1977800000, 0.2625200000, 0.3086800000, 0.3898600000, 0.5412300000, 0.8371100000, 1.4282300000, 2.6135300000", \
"0.1989000000, 0.2636200000, 0.3097600000, 0.3909100000, 0.5423300000, 0.8381000000, 1.4295000000, 2.6140000000", \
"0.2069200000, 0.2714300000, 0.3172600000, 0.3979500000, 0.5489800000, 0.8444000000, 1.4352000000, 2.6181000000", \
"0.2092900000, 0.2769900000, 0.3236100000, 0.4040800000, 0.5542600000, 0.8484000000, 1.4380000000, 2.6217000000", \
"0.1766300000, 0.2524100000, 0.3029000000, 0.3867000000, 0.5388000000, 0.8315000000, 1.4186000000, 2.6003000000", \
"0.1187000000, 0.2021000000, 0.2564000000, 0.3442000000, 0.4998000000, 0.7965000000, 1.3817000000, 2.5607000000", \
"-0.0961300000, 0.0050700000, 0.0694700000, 0.1687700000, 0.3330700000, 0.6409700000, 1.2420700000, 2.4174700000", \
"-0.2223700000, -0.1138700000, -0.0446700000, 0.0606300000, 0.2302300000, 0.5413300000, 1.1519300000, 2.3350300000", \
"-0.3539000000, -0.2389000000, -0.1654000000, -0.0541000000, 0.1208000000, 0.4353000000, 1.0523000000, 2.2491000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1072900000, 0.1889900000, 0.2467700000, 0.3481800000, 0.5516100000, 0.9780300000, 1.8746000000, 3.7124000000", \
"0.1072700000, 0.1889700000, 0.2467600000, 0.3483900000, 0.5518000000, 0.9784400000, 1.8758000000, 3.7114000000", \
"0.1072700000, 0.1889800000, 0.2467900000, 0.3483100000, 0.5514200000, 0.9784000000, 1.8745000000, 3.7095000000", \
"0.1072800000, 0.1888700000, 0.2468900000, 0.3482800000, 0.5513300000, 0.9784900000, 1.8746000000, 3.7114000000", \
"0.1072800000, 0.1889900000, 0.2468300000, 0.3485400000, 0.5514800000, 0.9787600000, 1.8758000000, 3.7123000000", \
"0.1073100000, 0.1894000000, 0.2475200000, 0.3493800000, 0.5526000000, 0.9789000000, 1.8752000000, 3.7102000000", \
"0.1146000000, 0.1968000000, 0.2545000000, 0.3554000000, 0.5567000000, 0.9810000000, 1.8762000000, 3.7094000000", \
"0.1280000000, 0.2108000000, 0.2683000000, 0.3677000000, 0.5656000000, 0.9852000000, 1.8780000000, 3.7100000000", \
"0.1750000000, 0.2664000000, 0.3271000000, 0.4262000000, 0.6121000000, 1.0111000000, 1.8842000000, 3.7131000000", \
"0.1974000000, 0.2940000000, 0.3578000000, 0.4609000000, 0.6456000000, 1.0347000000, 1.8931000000, 3.7152000000", \
"0.2188000000, 0.3197000000, 0.3869000000, 0.4947000000, 0.6809000000, 1.0647000000, 1.9070000000, 3.7155000000");
}
related_pin : "A1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0525000000, 0.1109000000, 0.1601500000, 0.2618100000, 0.4730400000, 0.9082200000, 1.7919700000, 3.5669000000", \
"0.0525100000, 0.1109400000, 0.1601500000, 0.2617100000, 0.4725700000, 0.9092700000, 1.7925900000, 3.5665000000", \
"0.0524900000, 0.1109800000, 0.1601000000, 0.2618400000, 0.4724800000, 0.9077600000, 1.7926800000, 3.5670000000", \
"0.0525000000, 0.1109900000, 0.1601700000, 0.2618100000, 0.4727100000, 0.9079100000, 1.7930300000, 3.5664000000", \
"0.0540900000, 0.1122900000, 0.1613600000, 0.2625700000, 0.4731300000, 0.9080200000, 1.7926400000, 3.5644000000", \
"0.0631700000, 0.1219700000, 0.1695700000, 0.2680800000, 0.4756800000, 0.9088300000, 1.7919000000, 3.5684000000", \
"0.0838800000, 0.1463900000, 0.1936700000, 0.2887800000, 0.4900000000, 0.9154000000, 1.7933000000, 3.5698000000", \
"0.1043000000, 0.1702000000, 0.2183000000, 0.3112000000, 0.5104000000, 0.9298000000, 1.7986000000, 3.5665000000", \
"0.1582000000, 0.2316000000, 0.2834000000, 0.3740000000, 0.5657000000, 0.9843000000, 1.8415000000, 3.5832000000", \
"0.1848000000, 0.2611000000, 0.3153000000, 0.4063000000, 0.5938000000, 1.0113000000, 1.8724000000, 3.6016000000", \
"0.2108000000, 0.2891000000, 0.3457000000, 0.4381000000, 0.6228000000, 1.0349000000, 1.9025000000, 3.6295000000");
}
sdf_cond : "(A0&A2&!A3&S0&!S1)";
timing_sense : "positive_unate";
when : "(A0&A2&!A3&S0&!S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3875300000, 0.4797500000, 0.5464900000, 0.6589100000, 0.8520900000, 1.2095700000, 1.9084700000, 3.3099700000", \
"0.3930600000, 0.4852800000, 0.5520300000, 0.6644600000, 0.8576300000, 1.2151700000, 1.9139700000, 3.3157700000", \
"0.3939600000, 0.4861800000, 0.5529300000, 0.6653500000, 0.8585300000, 1.2160300000, 1.9148300000, 3.3159300000", \
"0.3979300000, 0.4901400000, 0.5568800000, 0.6693200000, 0.8625100000, 1.2201000000, 1.9188000000, 3.3203000000", \
"0.4287600000, 0.5209400000, 0.5876200000, 0.6999400000, 0.8931000000, 1.2506000000, 1.9491000000, 3.3507000000", \
"0.4972800000, 0.5893000000, 0.6557000000, 0.7675000000, 0.9600000000, 1.3170000000, 2.0154000000, 3.4163000000", \
"0.6552000000, 0.7478000000, 0.8137000000, 0.9243000000, 1.1149000000, 1.4695000000, 2.1656000000, 3.5646000000", \
"0.8142000000, 0.9087000000, 0.9752000000, 1.0860000000, 1.2756000000, 1.6276000000, 2.3204000000, 3.7179000000", \
"1.2239700000, 1.3297700000, 1.4029700000, 1.5216700000, 1.7174700000, 2.0671700000, 2.7511700000, 4.1387700000", \
"1.4223300000, 1.5333300000, 1.6102300000, 1.7342300000, 1.9378300000, 2.2912300000, 2.9723300000, 4.3548300000", \
"1.6147000000, 1.7302000000, 1.8105000000, 1.9397000000, 2.1509000000, 2.5115000000, 3.1923000000, 4.5699000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1945600000, 0.2592700000, 0.3054200000, 0.3865700000, 0.5379500000, 0.8336800000, 1.4244700000, 2.6076700000", \
"0.1977100000, 0.2624200000, 0.3085700000, 0.3897000000, 0.5411000000, 0.8368900000, 1.4282700000, 2.6134700000", \
"0.1978800000, 0.2626000000, 0.3087400000, 0.3898800000, 0.5412400000, 0.8370900000, 1.4284300000, 2.6137300000", \
"0.1990000000, 0.2636900000, 0.3098200000, 0.3909400000, 0.5423300000, 0.8380500000, 1.4293000000, 2.6148000000", \
"0.2070200000, 0.2715200000, 0.3173300000, 0.3980200000, 0.5489200000, 0.8443000000, 1.4349000000, 2.6205000000", \
"0.2094500000, 0.2771300000, 0.3237300000, 0.4041800000, 0.5543100000, 0.8483000000, 1.4380000000, 2.6221000000", \
"0.1768200000, 0.2525900000, 0.3031000000, 0.3869000000, 0.5389000000, 0.8316000000, 1.4187000000, 2.6003000000", \
"0.1189000000, 0.2024000000, 0.2566000000, 0.3444000000, 0.5000000000, 0.7966000000, 1.3818000000, 2.5605000000", \
"-0.0958300000, 0.0052700000, 0.0696700000, 0.1689700000, 0.3333700000, 0.6410700000, 1.2422700000, 2.4176700000", \
"-0.2220700000, -0.1135700000, -0.0443700000, 0.0609300000, 0.2304300000, 0.5415300000, 1.1521300000, 2.3350300000", \
"-0.3537000000, -0.2387000000, -0.1651000000, -0.0539000000, 0.1211000000, 0.4355000000, 1.0525000000, 2.2493000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1073600000, 0.1890800000, 0.2468800000, 0.3484700000, 0.5519100000, 0.9788100000, 1.8751000000, 3.7101000000", \
"0.1073500000, 0.1890400000, 0.2469000000, 0.3484100000, 0.5517700000, 0.9787800000, 1.8768000000, 3.7098000000", \
"0.1073600000, 0.1890300000, 0.2468800000, 0.3484900000, 0.5516300000, 0.9786200000, 1.8747000000, 3.7104000000", \
"0.1073400000, 0.1891100000, 0.2470300000, 0.3484200000, 0.5514100000, 0.9786200000, 1.8747000000, 3.7096000000", \
"0.1073200000, 0.1891000000, 0.2469600000, 0.3486400000, 0.5515400000, 0.9787700000, 1.8758000000, 3.7108000000", \
"0.1073900000, 0.1894300000, 0.2476600000, 0.3494900000, 0.5527000000, 0.9791000000, 1.8753000000, 3.7108000000", \
"0.1146000000, 0.1969000000, 0.2548000000, 0.3556000000, 0.5568000000, 0.9809000000, 1.8763000000, 3.7099000000", \
"0.1281000000, 0.2109000000, 0.2683000000, 0.3679000000, 0.5657000000, 0.9853000000, 1.8780000000, 3.7115000000", \
"0.1750000000, 0.2664000000, 0.3273000000, 0.4264000000, 0.6121000000, 1.0112000000, 1.8844000000, 3.7137000000", \
"0.1975000000, 0.2941000000, 0.3578000000, 0.4611000000, 0.6456000000, 1.0347000000, 1.8932000000, 3.7152000000", \
"0.2189000000, 0.3198000000, 0.3869000000, 0.4946000000, 0.6810000000, 1.0647000000, 1.9070000000, 3.7152000000");
}
related_pin : "A1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0524800000, 0.1109100000, 0.1600700000, 0.2617300000, 0.4725900000, 0.9078000000, 1.7936800000, 3.5646000000", \
"0.0524500000, 0.1108800000, 0.1600500000, 0.2615900000, 0.4726400000, 0.9082600000, 1.7939100000, 3.5674000000", \
"0.0524500000, 0.1109100000, 0.1600300000, 0.2616500000, 0.4725800000, 0.9089500000, 1.7926100000, 3.5657000000", \
"0.0524700000, 0.1109400000, 0.1602200000, 0.2617000000, 0.4727200000, 0.9081900000, 1.7934000000, 3.5665000000", \
"0.0540700000, 0.1123000000, 0.1612700000, 0.2624500000, 0.4729500000, 0.9077900000, 1.7918100000, 3.5668000000", \
"0.0631500000, 0.1219200000, 0.1695200000, 0.2680100000, 0.4756600000, 0.9092400000, 1.7920000000, 3.5699000000", \
"0.0839000000, 0.1464000000, 0.1936800000, 0.2887900000, 0.4899000000, 0.9153000000, 1.7943000000, 3.5706000000", \
"0.1043000000, 0.1702000000, 0.2183000000, 0.3112000000, 0.5104000000, 0.9297000000, 1.7984000000, 3.5660000000", \
"0.1581000000, 0.2317000000, 0.2833000000, 0.3739000000, 0.5657000000, 0.9843000000, 1.8414000000, 3.5837000000", \
"0.1848000000, 0.2610000000, 0.3152000000, 0.4062000000, 0.5938000000, 1.0112000000, 1.8722000000, 3.6034000000", \
"0.2105000000, 0.2890000000, 0.3457000000, 0.4381000000, 0.6227000000, 1.0349000000, 1.9024000000, 3.6293000000");
}
sdf_cond : "(A0&A2&A3&S0&!S1)";
timing_sense : "positive_unate";
when : "(A0&A2&A3&S0&!S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4154100000, 0.5103600000, 0.5789400000, 0.6942700000, 0.8906900000, 1.2505700000, 1.9497700000, 3.3498700000", \
"0.4217700000, 0.5167200000, 0.5853000000, 0.7006300000, 0.8970600000, 1.2569700000, 1.9561700000, 3.3563700000", \
"0.4226800000, 0.5176400000, 0.5862200000, 0.7015500000, 0.8979800000, 1.2578300000, 1.9570300000, 3.3572300000", \
"0.4265300000, 0.5214900000, 0.5900700000, 0.7054200000, 0.9018200000, 1.2618000000, 1.9612000000, 3.3619000000", \
"0.4560500000, 0.5509800000, 0.6195100000, 0.7347600000, 0.9311000000, 1.2910000000, 1.9903000000, 3.3904000000", \
"0.5222400000, 0.6170500000, 0.6853000000, 0.8002000000, 0.9960000000, 1.3554000000, 2.0543000000, 3.4549000000", \
"0.6774000000, 0.7726000000, 0.8404000000, 0.9539000000, 1.1479000000, 1.5052000000, 2.2021000000, 3.6007000000", \
"0.8350000000, 0.9319000000, 1.0002000000, 1.1138000000, 1.3068000000, 1.6615000000, 2.3552000000, 3.7515000000", \
"1.2436700000, 1.3513700000, 1.4259700000, 1.5466700000, 1.7454700000, 2.0975700000, 2.7823700000, 4.1685700000", \
"1.4411300000, 1.5540300000, 1.6322300000, 1.7582300000, 1.9644300000, 2.3201300000, 3.0023300000, 4.3831300000", \
"1.6324000000, 1.7498000000, 1.8313000000, 1.9623000000, 2.1762000000, 2.5389000000, 3.2207000000, 4.5968000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2129500000, 0.2792900000, 0.3263300000, 0.4082900000, 0.5604300000, 0.8561700000, 1.4470700000, 2.6324700000", \
"0.2161100000, 0.2824500000, 0.3294900000, 0.4114300000, 0.5636200000, 0.8594800000, 1.4500700000, 2.6335700000", \
"0.2162400000, 0.2825700000, 0.3296100000, 0.4115700000, 0.5637200000, 0.8596000000, 1.4506300000, 2.6354300000", \
"0.2168800000, 0.2832000000, 0.3302300000, 0.4121600000, 0.5642100000, 0.8601300000, 1.4512000000, 2.6364000000", \
"0.2245700000, 0.2906700000, 0.3374100000, 0.4189800000, 0.5706800000, 0.8661000000, 1.4569000000, 2.6416000000", \
"0.2299800000, 0.2989200000, 0.3463000000, 0.4275800000, 0.5784000000, 0.8725000000, 1.4623000000, 2.6460000000", \
"0.2036300000, 0.2806000000, 0.3319000000, 0.4166000000, 0.5690000000, 0.8616000000, 1.4491000000, 2.6300000000", \
"0.1510000000, 0.2357000000, 0.2908000000, 0.3797000000, 0.5358000000, 0.8319000000, 1.4165000000, 2.5958000000", \
"-0.0519300000, 0.0504700000, 0.1157700000, 0.2164700000, 0.3819700000, 0.6893700000, 1.2884700000, 2.4627700000", \
"-0.1729700000, -0.0631700000, 0.0069300000, 0.1137300000, 0.2847300000, 0.5958300000, 1.2039300000, 2.3852300000", \
"-0.2999000000, -0.1834000000, -0.1089000000, 0.0039000000, 0.1806000000, 0.4951000000, 1.1099000000, 2.3039000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1143100000, 0.1988500000, 0.2583100000, 0.3611400000, 0.5630600000, 0.9868500000, 1.8793000000, 3.7106000000", \
"0.1143100000, 0.1988100000, 0.2583000000, 0.3611400000, 0.5630100000, 0.9868900000, 1.8791000000, 3.7113000000", \
"0.1143100000, 0.1988500000, 0.2582900000, 0.3611400000, 0.5630400000, 0.9868300000, 1.8794000000, 3.7112000000", \
"0.1142500000, 0.1988600000, 0.2583700000, 0.3609500000, 0.5633700000, 0.9869100000, 1.8791000000, 3.7123000000", \
"0.1142700000, 0.1987900000, 0.2584100000, 0.3613000000, 0.5634300000, 0.9868900000, 1.8806000000, 3.7113000000", \
"0.1142800000, 0.1991300000, 0.2589400000, 0.3618400000, 0.5642000000, 0.9868000000, 1.8788000000, 3.7108000000", \
"0.1209000000, 0.2056000000, 0.2651000000, 0.3675000000, 0.5680000000, 0.9890000000, 1.8800000000, 3.7107000000", \
"0.1332000000, 0.2187000000, 0.2778000000, 0.3792000000, 0.5766000000, 0.9936000000, 1.8810000000, 3.7126000000", \
"0.1802000000, 0.2736000000, 0.3355000000, 0.4364000000, 0.6226000000, 1.0196000000, 1.8901000000, 3.7134000000", \
"0.2030000000, 0.3016000000, 0.3664000000, 0.4711000000, 0.6560000000, 1.0433000000, 1.8990000000, 3.7160000000", \
"0.2247000000, 0.3275000000, 0.3960000000, 0.5050000000, 0.6914000000, 1.0739000000, 1.9120000000, 3.7153000000");
}
related_pin : "A2";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0553000000, 0.1148000000, 0.1639100000, 0.2649400000, 0.4748100000, 0.9088400000, 1.7933700000, 3.5671000000", \
"0.0552900000, 0.1147900000, 0.1638300000, 0.2649800000, 0.4754200000, 0.9085700000, 1.7944100000, 3.5647000000", \
"0.0553000000, 0.1148800000, 0.1639300000, 0.2648900000, 0.4752300000, 0.9088200000, 1.7920700000, 3.5669000000", \
"0.0553400000, 0.1148200000, 0.1638600000, 0.2649600000, 0.4748800000, 0.9087200000, 1.7922500000, 3.5692000000", \
"0.0563600000, 0.1158900000, 0.1648500000, 0.2656100000, 0.4751800000, 0.9087500000, 1.7923100000, 3.5681000000", \
"0.0649800000, 0.1247000000, 0.1721900000, 0.2706200000, 0.4775300000, 0.9094100000, 1.7925000000, 3.5657000000", \
"0.0856100000, 0.1492500000, 0.1968100000, 0.2915700000, 0.4914000000, 0.9161000000, 1.7935000000, 3.5668000000", \
"0.1060000000, 0.1732000000, 0.2217000000, 0.3143000000, 0.5120000000, 0.9301000000, 1.7985000000, 3.5677000000", \
"0.1601000000, 0.2352000000, 0.2877000000, 0.3785000000, 0.5691000000, 0.9843000000, 1.8395000000, 3.5783000000", \
"0.1869000000, 0.2647000000, 0.3196000000, 0.4114000000, 0.5982000000, 1.0110000000, 1.8689000000, 3.6010000000", \
"0.2130000000, 0.2929000000, 0.3506000000, 0.4440000000, 0.6276000000, 1.0368000000, 1.8992000000, 3.6263000000");
}
sdf_cond : "(!A0&!A1&!A3&!S0&S1)";
timing_sense : "positive_unate";
when : "(!A0&!A1&!A3&!S0&S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4154000000, 0.5103500000, 0.5789400000, 0.6942700000, 0.8906800000, 1.2505700000, 1.9499700000, 3.3504700000", \
"0.4217600000, 0.5167200000, 0.5853100000, 0.7006300000, 0.8970400000, 1.2569700000, 1.9559700000, 3.3570700000", \
"0.4226900000, 0.5176400000, 0.5862300000, 0.7015500000, 0.8979800000, 1.2578300000, 1.9570300000, 3.3573300000", \
"0.4265400000, 0.5215000000, 0.5900800000, 0.7054100000, 0.9018300000, 1.2618000000, 1.9610000000, 3.3617000000", \
"0.4560600000, 0.5509800000, 0.6195100000, 0.7347700000, 0.9311000000, 1.2910000000, 1.9900000000, 3.3911000000", \
"0.5222400000, 0.6170500000, 0.6854000000, 0.8002000000, 0.9960000000, 1.3554000000, 2.0544000000, 3.4547000000", \
"0.6774000000, 0.7726000000, 0.8404000000, 0.9539000000, 1.1479000000, 1.5052000000, 2.2020000000, 3.6008000000", \
"0.8350000000, 0.9319000000, 1.0002000000, 1.1138000000, 1.3068000000, 1.6615000000, 2.3552000000, 3.7515000000", \
"1.2436700000, 1.3513700000, 1.4259700000, 1.5466700000, 1.7454700000, 2.0975700000, 2.7824700000, 4.1687700000", \
"1.4411300000, 1.5540300000, 1.6322300000, 1.7582300000, 1.9644300000, 2.3201300000, 3.0023300000, 4.3831300000", \
"1.6324000000, 1.7498000000, 1.8313000000, 1.9623000000, 2.1762000000, 2.5389000000, 3.2207000000, 4.5967000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2129600000, 0.2792900000, 0.3263300000, 0.4083000000, 0.5603900000, 0.8561300000, 1.4477700000, 2.6304700000", \
"0.2161100000, 0.2824500000, 0.3294900000, 0.4114300000, 0.5636200000, 0.8594900000, 1.4502700000, 2.6351700000", \
"0.2162400000, 0.2825700000, 0.3296100000, 0.4115700000, 0.5637200000, 0.8595900000, 1.4506300000, 2.6355300000", \
"0.2168800000, 0.2832000000, 0.3302200000, 0.4121800000, 0.5642600000, 0.8599500000, 1.4516000000, 2.6365000000", \
"0.2245700000, 0.2906700000, 0.3374100000, 0.4189800000, 0.5707200000, 0.8661000000, 1.4566000000, 2.6416000000", \
"0.2299800000, 0.2989200000, 0.3463000000, 0.4275800000, 0.5783900000, 0.8729000000, 1.4620000000, 2.6450000000", \
"0.2036300000, 0.2806000000, 0.3319000000, 0.4166000000, 0.5690000000, 0.8616000000, 1.4491000000, 2.6300000000", \
"0.1510000000, 0.2357000000, 0.2908000000, 0.3797000000, 0.5358000000, 0.8319000000, 1.4166000000, 2.5960000000", \
"-0.0519300000, 0.0504700000, 0.1157700000, 0.2164700000, 0.3819700000, 0.6893700000, 1.2884700000, 2.4627700000", \
"-0.1730700000, -0.0631700000, 0.0069300000, 0.1137300000, 0.2847300000, 0.5958300000, 1.2039300000, 2.3852300000", \
"-0.2999000000, -0.1835000000, -0.1089000000, 0.0039000000, 0.1806000000, 0.4951000000, 1.1098000000, 2.3039000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1143000000, 0.1988200000, 0.2582900000, 0.3611400000, 0.5633800000, 0.9865400000, 1.8787000000, 3.7110000000", \
"0.1143200000, 0.1988100000, 0.2582600000, 0.3611100000, 0.5630900000, 0.9867000000, 1.8795000000, 3.7083000000", \
"0.1143100000, 0.1988500000, 0.2583000000, 0.3611400000, 0.5630400000, 0.9868300000, 1.8793000000, 3.7113000000", \
"0.1143100000, 0.1988600000, 0.2582600000, 0.3611100000, 0.5630300000, 0.9865300000, 1.8805000000, 3.7111000000", \
"0.1142800000, 0.1987800000, 0.2584100000, 0.3613000000, 0.5634300000, 0.9868900000, 1.8794000000, 3.7105000000", \
"0.1142600000, 0.1991200000, 0.2588400000, 0.3617400000, 0.5641000000, 0.9869000000, 1.8790000000, 3.7107000000", \
"0.1209000000, 0.2056000000, 0.2651000000, 0.3675000000, 0.5680000000, 0.9890000000, 1.8796000000, 3.7131000000", \
"0.1332000000, 0.2187000000, 0.2778000000, 0.3792000000, 0.5766000000, 0.9936000000, 1.8808000000, 3.7127000000", \
"0.1802000000, 0.2736000000, 0.3355000000, 0.4364000000, 0.6226000000, 1.0196000000, 1.8896000000, 3.7142000000", \
"0.2030000000, 0.3016000000, 0.3664000000, 0.4711000000, 0.6560000000, 1.0433000000, 1.8990000000, 3.7160000000", \
"0.2247000000, 0.3275000000, 0.3960000000, 0.5050000000, 0.6914000000, 1.0739000000, 1.9120000000, 3.7153000000");
}
related_pin : "A2";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0553000000, 0.1147900000, 0.1639000000, 0.2649600000, 0.4747000000, 0.9086400000, 1.7925800000, 3.5644000000", \
"0.0553000000, 0.1147800000, 0.1638400000, 0.2649700000, 0.4748900000, 0.9086700000, 1.7926300000, 3.5655000000", \
"0.0552900000, 0.1148800000, 0.1639300000, 0.2649000000, 0.4754000000, 0.9089200000, 1.7922800000, 3.5674000000", \
"0.0553000000, 0.1148800000, 0.1640300000, 0.2650000000, 0.4747900000, 0.9086200000, 1.7926500000, 3.5666000000", \
"0.0563400000, 0.1158800000, 0.1647500000, 0.2656200000, 0.4751800000, 0.9086500000, 1.7918300000, 3.5657000000", \
"0.0649700000, 0.1247000000, 0.1722000000, 0.2706200000, 0.4774400000, 0.9096200000, 1.7925000000, 3.5676000000", \
"0.0855200000, 0.1492600000, 0.1967100000, 0.2913600000, 0.4914000000, 0.9153000000, 1.7935000000, 3.5670000000", \
"0.1060000000, 0.1732000000, 0.2217000000, 0.3144000000, 0.5120000000, 0.9300000000, 1.7977000000, 3.5685000000", \
"0.1601000000, 0.2352000000, 0.2877000000, 0.3785000000, 0.5692000000, 0.9843000000, 1.8395000000, 3.5782000000", \
"0.1869000000, 0.2647000000, 0.3197000000, 0.4114000000, 0.5982000000, 1.0109000000, 1.8689000000, 3.6010000000", \
"0.2131000000, 0.2929000000, 0.3506000000, 0.4440000000, 0.6276000000, 1.0369000000, 1.8992000000, 3.6269000000");
}
sdf_cond : "(!A0&!A1&A3&!S0&S1)";
timing_sense : "positive_unate";
when : "(!A0&!A1&A3&!S0&S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4154000000, 0.5103600000, 0.5789400000, 0.6942700000, 0.8906900000, 1.2505700000, 1.9496700000, 3.3506700000", \
"0.4217700000, 0.5167200000, 0.5853000000, 0.7006300000, 0.8970600000, 1.2569700000, 1.9561700000, 3.3563700000", \
"0.4226800000, 0.5176400000, 0.5862200000, 0.7015500000, 0.8979800000, 1.2578300000, 1.9570300000, 3.3572300000", \
"0.4265300000, 0.5214800000, 0.5900700000, 0.7054200000, 0.9018200000, 1.2618000000, 1.9610000000, 3.3620000000", \
"0.4560500000, 0.5509800000, 0.6195100000, 0.7347600000, 0.9311000000, 1.2910000000, 1.9903000000, 3.3904000000", \
"0.5222400000, 0.6170500000, 0.6853000000, 0.8002000000, 0.9960000000, 1.3554000000, 2.0543000000, 3.4548000000", \
"0.6774000000, 0.7726000000, 0.8404000000, 0.9539000000, 1.1479000000, 1.5052000000, 2.2021000000, 3.6007000000", \
"0.8350000000, 0.9319000000, 1.0002000000, 1.1138000000, 1.3068000000, 1.6615000000, 2.3552000000, 3.7515000000", \
"1.2436700000, 1.3513700000, 1.4259700000, 1.5466700000, 1.7454700000, 2.0975700000, 2.7823700000, 4.1685700000", \
"1.4411300000, 1.5540300000, 1.6322300000, 1.7582300000, 1.9644300000, 2.3201300000, 3.0023300000, 4.3831300000", \
"1.6324000000, 1.7498000000, 1.8313000000, 1.9623000000, 2.1762000000, 2.5389000000, 3.2207000000, 4.5968000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2129600000, 0.2792900000, 0.3263400000, 0.4083000000, 0.5604400000, 0.8561800000, 1.4471700000, 2.6319700000", \
"0.2161200000, 0.2824500000, 0.3295000000, 0.4114300000, 0.5636200000, 0.8594800000, 1.4501700000, 2.6356700000", \
"0.2162400000, 0.2825800000, 0.3296200000, 0.4115700000, 0.5637200000, 0.8596000000, 1.4505300000, 2.6343300000", \
"0.2168900000, 0.2832000000, 0.3302300000, 0.4121600000, 0.5642200000, 0.8601300000, 1.4512000000, 2.6365000000", \
"0.2245700000, 0.2906700000, 0.3374100000, 0.4189800000, 0.5706900000, 0.8661000000, 1.4569000000, 2.6415000000", \
"0.2299800000, 0.2989200000, 0.3463000000, 0.4275800000, 0.5784000000, 0.8726000000, 1.4622000000, 2.6459000000", \
"0.2036300000, 0.2806000000, 0.3319000000, 0.4166000000, 0.5690000000, 0.8616000000, 1.4491000000, 2.6300000000", \
"0.1510000000, 0.2357000000, 0.2908000000, 0.3797000000, 0.5358000000, 0.8319000000, 1.4165000000, 2.5958000000", \
"-0.0519300000, 0.0504700000, 0.1157700000, 0.2164700000, 0.3819700000, 0.6893700000, 1.2884700000, 2.4628700000", \
"-0.1729700000, -0.0631700000, 0.0069300000, 0.1137300000, 0.2847300000, 0.5958300000, 1.2039300000, 2.3852300000", \
"-0.2999000000, -0.1834000000, -0.1089000000, 0.0039000000, 0.1806000000, 0.4951000000, 1.1099000000, 2.3039000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1143100000, 0.1988600000, 0.2582900000, 0.3611300000, 0.5630600000, 0.9868600000, 1.8795000000, 3.7125000000", \
"0.1143100000, 0.1988100000, 0.2582900000, 0.3611400000, 0.5630100000, 0.9868900000, 1.8791000000, 3.7112000000", \
"0.1143100000, 0.1988500000, 0.2582900000, 0.3611300000, 0.5630400000, 0.9868300000, 1.8794000000, 3.7112000000", \
"0.1142600000, 0.1988600000, 0.2583700000, 0.3609300000, 0.5633700000, 0.9866100000, 1.8788000000, 3.7121000000", \
"0.1142700000, 0.1987800000, 0.2584100000, 0.3613000000, 0.5634300000, 0.9868900000, 1.8806000000, 3.7113000000", \
"0.1142800000, 0.1991300000, 0.2589400000, 0.3618400000, 0.5642000000, 0.9868000000, 1.8788000000, 3.7105000000", \
"0.1209000000, 0.2056000000, 0.2651000000, 0.3675000000, 0.5680000000, 0.9890000000, 1.8800000000, 3.7125000000", \
"0.1332000000, 0.2187000000, 0.2778000000, 0.3792000000, 0.5766000000, 0.9936000000, 1.8810000000, 3.7126000000", \
"0.1802000000, 0.2736000000, 0.3355000000, 0.4364000000, 0.6226000000, 1.0196000000, 1.8901000000, 3.7134000000", \
"0.2030000000, 0.3016000000, 0.3664000000, 0.4711000000, 0.6560000000, 1.0433000000, 1.8990000000, 3.7160000000", \
"0.2247000000, 0.3275000000, 0.3960000000, 0.5050000000, 0.6914000000, 1.0739000000, 1.9120000000, 3.7153000000");
}
related_pin : "A2";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0553000000, 0.1148000000, 0.1639000000, 0.2649400000, 0.4748200000, 0.9088300000, 1.7926700000, 3.5659000000", \
"0.0552900000, 0.1147900000, 0.1638400000, 0.2649800000, 0.4753900000, 0.9085700000, 1.7924200000, 3.5688000000", \
"0.0552900000, 0.1148700000, 0.1639200000, 0.2648900000, 0.4752400000, 0.9095200000, 1.7924700000, 3.5660000000", \
"0.0553500000, 0.1148300000, 0.1638700000, 0.2649600000, 0.4748700000, 0.9087200000, 1.7922500000, 3.5658000000", \
"0.0563600000, 0.1158900000, 0.1648500000, 0.2656100000, 0.4751800000, 0.9087500000, 1.7923000000, 3.5668000000", \
"0.0649800000, 0.1247000000, 0.1721900000, 0.2706100000, 0.4776300000, 0.9094100000, 1.7923000000, 3.5670000000", \
"0.0856000000, 0.1492500000, 0.1968100000, 0.2915700000, 0.4914000000, 0.9161000000, 1.7935000000, 3.5669000000", \
"0.1060000000, 0.1732000000, 0.2217000000, 0.3143000000, 0.5120000000, 0.9301000000, 1.7985000000, 3.5676000000", \
"0.1601000000, 0.2350000000, 0.2876000000, 0.3785000000, 0.5691000000, 0.9843000000, 1.8394000000, 3.5784000000", \
"0.1869000000, 0.2647000000, 0.3196000000, 0.4114000000, 0.5982000000, 1.0110000000, 1.8689000000, 3.6010000000", \
"0.2130000000, 0.2930000000, 0.3506000000, 0.4440000000, 0.6276000000, 1.0368000000, 1.8992000000, 3.6262000000");
}
sdf_cond : "(!A0&A1&!A3&!S0&S1)";
timing_sense : "positive_unate";
when : "(!A0&A1&!A3&!S0&S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4154000000, 0.5103500000, 0.5789400000, 0.6942700000, 0.8906700000, 1.2505700000, 1.9499700000, 3.3504700000", \
"0.4217600000, 0.5167200000, 0.5853100000, 0.7006300000, 0.8970400000, 1.2569700000, 1.9559700000, 3.3566700000", \
"0.4226800000, 0.5176400000, 0.5862200000, 0.7015500000, 0.8979800000, 1.2578300000, 1.9570300000, 3.3572300000", \
"0.4265400000, 0.5214900000, 0.5900800000, 0.7054000000, 0.9018300000, 1.2618000000, 1.9610000000, 3.3616000000", \
"0.4560600000, 0.5509800000, 0.6195100000, 0.7347700000, 0.9311000000, 1.2910000000, 1.9900000000, 3.3911000000", \
"0.5222400000, 0.6170500000, 0.6854000000, 0.8002000000, 0.9960000000, 1.3554000000, 2.0544000000, 3.4546000000", \
"0.6774000000, 0.7726000000, 0.8404000000, 0.9539000000, 1.1479000000, 1.5052000000, 2.2020000000, 3.6008000000", \
"0.8350000000, 0.9319000000, 1.0002000000, 1.1138000000, 1.3068000000, 1.6615000000, 2.3552000000, 3.7515000000", \
"1.2436700000, 1.3513700000, 1.4259700000, 1.5466700000, 1.7454700000, 2.0975700000, 2.7824700000, 4.1687700000", \
"1.4411300000, 1.5540300000, 1.6322300000, 1.7582300000, 1.9644300000, 2.3201300000, 3.0023300000, 4.3831300000", \
"1.6324000000, 1.7498000000, 1.8313000000, 1.9623000000, 2.1762000000, 2.5389000000, 3.2207000000, 4.5967000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2129600000, 0.2792900000, 0.3263400000, 0.4083100000, 0.5603800000, 0.8561500000, 1.4477700000, 2.6316700000", \
"0.2161200000, 0.2824600000, 0.3295000000, 0.4114300000, 0.5636200000, 0.8595000000, 1.4505700000, 2.6357700000", \
"0.2162400000, 0.2825800000, 0.3296200000, 0.4115700000, 0.5637200000, 0.8595900000, 1.4506300000, 2.6344300000", \
"0.2168900000, 0.2832100000, 0.3302300000, 0.4121800000, 0.5642700000, 0.8599400000, 1.4515000000, 2.6341000000", \
"0.2245700000, 0.2906700000, 0.3374100000, 0.4189800000, 0.5707200000, 0.8661000000, 1.4566000000, 2.6416000000", \
"0.2299800000, 0.2989200000, 0.3463000000, 0.4275800000, 0.5783900000, 0.8728000000, 1.4623000000, 2.6450000000", \
"0.2036300000, 0.2806000000, 0.3319000000, 0.4166000000, 0.5690000000, 0.8616000000, 1.4491000000, 2.6300000000", \
"0.1510000000, 0.2357000000, 0.2908000000, 0.3797000000, 0.5358000000, 0.8319000000, 1.4166000000, 2.5960000000", \
"-0.0519300000, 0.0504700000, 0.1157700000, 0.2164700000, 0.3819700000, 0.6893700000, 1.2884700000, 2.4627700000", \
"-0.1730700000, -0.0631700000, 0.0069300000, 0.1137300000, 0.2847300000, 0.5958300000, 1.2039300000, 2.3852300000", \
"-0.2999000000, -0.1835000000, -0.1089000000, 0.0039000000, 0.1806000000, 0.4951000000, 1.1098000000, 2.3039000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1142900000, 0.1988200000, 0.2583100000, 0.3611400000, 0.5633900000, 0.9866400000, 1.8788000000, 3.7111000000", \
"0.1143200000, 0.1988100000, 0.2582600000, 0.3611100000, 0.5630900000, 0.9867000000, 1.8794000000, 3.7116000000", \
"0.1143100000, 0.1988500000, 0.2582900000, 0.3611400000, 0.5630400000, 0.9868300000, 1.8793000000, 3.7111000000", \
"0.1143100000, 0.1988500000, 0.2582600000, 0.3611400000, 0.5630300000, 0.9865300000, 1.8807000000, 3.7115000000", \
"0.1142800000, 0.1987800000, 0.2584100000, 0.3613000000, 0.5634300000, 0.9868900000, 1.8794000000, 3.7105000000", \
"0.1142600000, 0.1991300000, 0.2588400000, 0.3617400000, 0.5641000000, 0.9869000000, 1.8790000000, 3.7110000000", \
"0.1209000000, 0.2056000000, 0.2651000000, 0.3675000000, 0.5680000000, 0.9890000000, 1.8796000000, 3.7132000000", \
"0.1332000000, 0.2187000000, 0.2778000000, 0.3792000000, 0.5766000000, 0.9936000000, 1.8808000000, 3.7127000000", \
"0.1802000000, 0.2736000000, 0.3355000000, 0.4364000000, 0.6226000000, 1.0196000000, 1.8896000000, 3.7142000000", \
"0.2030000000, 0.3016000000, 0.3664000000, 0.4711000000, 0.6560000000, 1.0433000000, 1.8990000000, 3.7160000000", \
"0.2247000000, 0.3275000000, 0.3960000000, 0.5050000000, 0.6914000000, 1.0739000000, 1.9120000000, 3.7153000000");
}
related_pin : "A2";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0553200000, 0.1147900000, 0.1638800000, 0.2649800000, 0.4747000000, 0.9087400000, 1.7933700000, 3.5684000000", \
"0.0552900000, 0.1147900000, 0.1638400000, 0.2649600000, 0.4749100000, 0.9085700000, 1.7939200000, 3.5660000000", \
"0.0553000000, 0.1148700000, 0.1639200000, 0.2649000000, 0.4753900000, 0.9089200000, 1.7922700000, 3.5677000000", \
"0.0552900000, 0.1149100000, 0.1640000000, 0.2649900000, 0.4748200000, 0.9086200000, 1.7926500000, 3.5653000000", \
"0.0563400000, 0.1158800000, 0.1647500000, 0.2656200000, 0.4751800000, 0.9086500000, 1.7917300000, 3.5657000000", \
"0.0649700000, 0.1247000000, 0.1722000000, 0.2706200000, 0.4774400000, 0.9097100000, 1.7924000000, 3.5677000000", \
"0.0855200000, 0.1492600000, 0.1967100000, 0.2913600000, 0.4914000000, 0.9153000000, 1.7935000000, 3.5672000000", \
"0.1060000000, 0.1732000000, 0.2217000000, 0.3144000000, 0.5120000000, 0.9300000000, 1.7987000000, 3.5685000000", \
"0.1601000000, 0.2352000000, 0.2877000000, 0.3785000000, 0.5692000000, 0.9843000000, 1.8395000000, 3.5783000000", \
"0.1869000000, 0.2646000000, 0.3197000000, 0.4114000000, 0.5982000000, 1.0109000000, 1.8689000000, 3.6010000000", \
"0.2131000000, 0.2929000000, 0.3506000000, 0.4440000000, 0.6276000000, 1.0369000000, 1.8992000000, 3.6268000000");
}
sdf_cond : "(!A0&A1&A3&!S0&S1)";
timing_sense : "positive_unate";
when : "(!A0&A1&A3&!S0&S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4154500000, 0.5104100000, 0.5789900000, 0.6943100000, 0.8907300000, 1.2506700000, 1.9496700000, 3.3507700000", \
"0.4217900000, 0.5167500000, 0.5853300000, 0.7006500000, 0.8970700000, 1.2569700000, 1.9559700000, 3.3570700000", \
"0.4227100000, 0.5176800000, 0.5862600000, 0.7015800000, 0.8979800000, 1.2579300000, 1.9571300000, 3.3575300000", \
"0.4265600000, 0.5215100000, 0.5900900000, 0.7054300000, 0.9018500000, 1.2618000000, 1.9610000000, 3.3614000000", \
"0.4560700000, 0.5509900000, 0.6195200000, 0.7347700000, 0.9311000000, 1.2910000000, 1.9903000000, 3.3905000000", \
"0.5222600000, 0.6170600000, 0.6854000000, 0.8002000000, 0.9960000000, 1.3554000000, 2.0544000000, 3.4548000000", \
"0.6774000000, 0.7727000000, 0.8404000000, 0.9539000000, 1.1480000000, 1.5052000000, 2.2021000000, 3.6008000000", \
"0.8351000000, 0.9319000000, 1.0002000000, 1.1138000000, 1.3069000000, 1.6616000000, 2.3553000000, 3.7517000000", \
"1.2436700000, 1.3513700000, 1.4259700000, 1.5466700000, 1.7454700000, 2.0975700000, 2.7824700000, 4.1686700000", \
"1.4412300000, 1.5540300000, 1.6322300000, 1.7582300000, 1.9644300000, 2.3201300000, 3.0023300000, 4.3832300000", \
"1.6324000000, 1.7498000000, 1.8313000000, 1.9624000000, 2.1762000000, 2.5389000000, 3.2207000000, 4.5967000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2129100000, 0.2792400000, 0.3262800000, 0.4082200000, 0.5603900000, 0.8562200000, 1.4468700000, 2.6318700000", \
"0.2160600000, 0.2823900000, 0.3294300000, 0.4113700000, 0.5635500000, 0.8594000000, 1.4501700000, 2.6350700000", \
"0.2161900000, 0.2825200000, 0.3295600000, 0.4115100000, 0.5636600000, 0.8595500000, 1.4505300000, 2.6346300000", \
"0.2168700000, 0.2831800000, 0.3302000000, 0.4121400000, 0.5642000000, 0.8600600000, 1.4511000000, 2.6363000000", \
"0.2246000000, 0.2906900000, 0.3374300000, 0.4189900000, 0.5707000000, 0.8662000000, 1.4570000000, 2.6420000000", \
"0.2300100000, 0.2989500000, 0.3463200000, 0.4276000000, 0.5784100000, 0.8725000000, 1.4623000000, 2.6463000000", \
"0.2036600000, 0.2807000000, 0.3320000000, 0.4166000000, 0.5690000000, 0.8616000000, 1.4491000000, 2.6300000000", \
"0.1511000000, 0.2357000000, 0.2909000000, 0.3797000000, 0.5358000000, 0.8319000000, 1.4165000000, 2.5965000000", \
"-0.0519300000, 0.0504700000, 0.1157700000, 0.2164700000, 0.3819700000, 0.6894700000, 1.2884700000, 2.4628700000", \
"-0.1729700000, -0.0631700000, 0.0069300000, 0.1137300000, 0.2847300000, 0.5956300000, 1.2040300000, 2.3851300000", \
"-0.2998000000, -0.1834000000, -0.1089000000, 0.0039000000, 0.1806000000, 0.4951000000, 1.1099000000, 2.3039000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1142900000, 0.1988300000, 0.2582600000, 0.3611100000, 0.5630100000, 0.9868200000, 1.8802000000, 3.7086000000", \
"0.1143000000, 0.1987500000, 0.2582300000, 0.3611000000, 0.5630800000, 0.9868700000, 1.8809000000, 3.7086000000", \
"0.1143000000, 0.1987800000, 0.2582200000, 0.3611100000, 0.5629900000, 0.9867200000, 1.8809000000, 3.7104000000", \
"0.1142900000, 0.1988300000, 0.2583600000, 0.3611500000, 0.5630000000, 0.9869000000, 1.8809000000, 3.7106000000", \
"0.1142600000, 0.1987300000, 0.2583900000, 0.3612900000, 0.5634200000, 0.9868800000, 1.8806000000, 3.7113000000", \
"0.1142700000, 0.1991000000, 0.2589200000, 0.3618200000, 0.5641000000, 0.9868000000, 1.8794000000, 3.7144000000", \
"0.1208000000, 0.2055000000, 0.2651000000, 0.3675000000, 0.5680000000, 0.9890000000, 1.8799000000, 3.7104000000", \
"0.1332000000, 0.2187000000, 0.2778000000, 0.3792000000, 0.5765000000, 0.9936000000, 1.8810000000, 3.7110000000", \
"0.1802000000, 0.2737000000, 0.3355000000, 0.4364000000, 0.6226000000, 1.0197000000, 1.8903000000, 3.7134000000", \
"0.2030000000, 0.3015000000, 0.3665000000, 0.4710000000, 0.6560000000, 1.0434000000, 1.8991000000, 3.7160000000", \
"0.2247000000, 0.3276000000, 0.3960000000, 0.5051000000, 0.6913000000, 1.0739000000, 1.9120000000, 3.7153000000");
}
related_pin : "A2";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0552700000, 0.1147700000, 0.1637900000, 0.2650200000, 0.4747500000, 0.9087800000, 1.7929000000, 3.5673000000", \
"0.0552800000, 0.1147700000, 0.1638200000, 0.2649700000, 0.4755200000, 0.9086300000, 1.7920900000, 3.5679000000", \
"0.0552800000, 0.1148600000, 0.1639100000, 0.2649000000, 0.4752100000, 0.9087800000, 1.7924400000, 3.5666000000", \
"0.0553400000, 0.1148100000, 0.1638600000, 0.2649400000, 0.4751000000, 0.9086500000, 1.7922900000, 3.5677000000", \
"0.0563300000, 0.1158800000, 0.1648500000, 0.2655900000, 0.4751600000, 0.9088400000, 1.7926100000, 3.5671000000", \
"0.0649900000, 0.1246900000, 0.1721700000, 0.2706200000, 0.4776100000, 0.9094900000, 1.7940000000, 3.5663000000", \
"0.0856300000, 0.1492200000, 0.1967800000, 0.2915400000, 0.4914000000, 0.9153000000, 1.7928000000, 3.5671000000", \
"0.1060000000, 0.1732000000, 0.2216000000, 0.3144000000, 0.5120000000, 0.9302000000, 1.7985000000, 3.5657000000", \
"0.1600000000, 0.2350000000, 0.2875000000, 0.3784000000, 0.5691000000, 0.9841000000, 1.8395000000, 3.5783000000", \
"0.1867000000, 0.2647000000, 0.3200000000, 0.4115000000, 0.5978000000, 1.0116000000, 1.8695000000, 3.6008000000", \
"0.2130000000, 0.2929000000, 0.3506000000, 0.4440000000, 0.6275000000, 1.0369000000, 1.8992000000, 3.6265000000");
}
sdf_cond : "(A0&!A1&!A3&!S0&S1)";
timing_sense : "positive_unate";
when : "(A0&!A1&!A3&!S0&S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4154500000, 0.5104000000, 0.5789800000, 0.6943100000, 0.8907100000, 1.2506700000, 1.9499700000, 3.3504700000", \
"0.4217900000, 0.5167500000, 0.5853300000, 0.7006600000, 0.8970500000, 1.2569700000, 1.9561700000, 3.3568700000", \
"0.4227200000, 0.5176800000, 0.5862600000, 0.7015800000, 0.8979900000, 1.2579300000, 1.9569300000, 3.3580300000", \
"0.4265600000, 0.5215200000, 0.5901000000, 0.7054300000, 0.9018600000, 1.2618000000, 1.9609000000, 3.3613000000", \
"0.4560700000, 0.5510000000, 0.6195200000, 0.7347700000, 0.9311000000, 1.2910000000, 1.9900000000, 3.3912000000", \
"0.5222600000, 0.6170700000, 0.6854000000, 0.8002000000, 0.9960000000, 1.3554000000, 2.0543000000, 3.4546000000", \
"0.6774000000, 0.7727000000, 0.8404000000, 0.9539000000, 1.1480000000, 1.5052000000, 2.2021000000, 3.6009000000", \
"0.8351000000, 0.9319000000, 1.0002000000, 1.1138000000, 1.3069000000, 1.6616000000, 2.3552000000, 3.7511000000", \
"1.2436700000, 1.3513700000, 1.4259700000, 1.5466700000, 1.7454700000, 2.0975700000, 2.7825700000, 4.1688700000", \
"1.4412300000, 1.5540300000, 1.6322300000, 1.7582300000, 1.9644300000, 2.3201300000, 3.0024300000, 4.3832300000", \
"1.6324000000, 1.7498000000, 1.8313000000, 1.9624000000, 2.1762000000, 2.5389000000, 3.2207000000, 4.5967000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2129100000, 0.2792400000, 0.3262800000, 0.4082400000, 0.5603400000, 0.8561900000, 1.4472700000, 2.6314700000", \
"0.2160600000, 0.2824000000, 0.3294400000, 0.4113700000, 0.5635500000, 0.8594100000, 1.4499700000, 2.6336700000", \
"0.2162000000, 0.2825200000, 0.3295600000, 0.4115200000, 0.5636600000, 0.8595200000, 1.4505300000, 2.6347300000", \
"0.2168700000, 0.2831900000, 0.3302100000, 0.4121400000, 0.5642800000, 0.8601200000, 1.4509000000, 2.6355000000", \
"0.2245900000, 0.2906900000, 0.3374300000, 0.4189900000, 0.5707200000, 0.8662000000, 1.4570000000, 2.6421000000", \
"0.2300100000, 0.2989500000, 0.3463200000, 0.4276000000, 0.5784100000, 0.8725000000, 1.4622000000, 2.6456000000", \
"0.2036600000, 0.2807000000, 0.3320000000, 0.4166000000, 0.5690000000, 0.8616000000, 1.4491000000, 2.6300000000", \
"0.1511000000, 0.2357000000, 0.2909000000, 0.3797000000, 0.5358000000, 0.8319000000, 1.4166000000, 2.5961000000", \
"-0.0519300000, 0.0504700000, 0.1157700000, 0.2164700000, 0.3819700000, 0.6893700000, 1.2884700000, 2.4626700000", \
"-0.1729700000, -0.0631700000, 0.0069300000, 0.1137300000, 0.2847300000, 0.5956300000, 1.2040300000, 2.3851300000", \
"-0.2999000000, -0.1834000000, -0.1089000000, 0.0039000000, 0.1806000000, 0.4951000000, 1.1098000000, 2.3039000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1142500000, 0.1987900000, 0.2582900000, 0.3611300000, 0.5633400000, 0.9866000000, 1.8788000000, 3.7112000000", \
"0.1142500000, 0.1988000000, 0.2582700000, 0.3611200000, 0.5632900000, 0.9864800000, 1.8797000000, 3.7110000000", \
"0.1143000000, 0.1987800000, 0.2582200000, 0.3611100000, 0.5629900000, 0.9868200000, 1.8793000000, 3.7134000000", \
"0.1143000000, 0.1988600000, 0.2581400000, 0.3611600000, 0.5630300000, 0.9868900000, 1.8795000000, 3.7115000000", \
"0.1142700000, 0.1987400000, 0.2583900000, 0.3612800000, 0.5634200000, 0.9868800000, 1.8795000000, 3.7112000000", \
"0.1142600000, 0.1991000000, 0.2588200000, 0.3617200000, 0.5638000000, 0.9870000000, 1.8785000000, 3.7121000000", \
"0.1209000000, 0.2055000000, 0.2651000000, 0.3675000000, 0.5680000000, 0.9892000000, 1.8797000000, 3.7127000000", \
"0.1332000000, 0.2187000000, 0.2778000000, 0.3792000000, 0.5765000000, 0.9936000000, 1.8808000000, 3.7116000000", \
"0.1802000000, 0.2737000000, 0.3355000000, 0.4364000000, 0.6226000000, 1.0197000000, 1.8891000000, 3.7140000000", \
"0.2030000000, 0.3015000000, 0.3665000000, 0.4710000000, 0.6560000000, 1.0434000000, 1.8991000000, 3.7160000000", \
"0.2247000000, 0.3276000000, 0.3960000000, 0.5051000000, 0.6913000000, 1.0739000000, 1.9121000000, 3.7153000000");
}
related_pin : "A2";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0553100000, 0.1148300000, 0.1638200000, 0.2649700000, 0.4751600000, 0.9089000000, 1.7921500000, 3.5669000000", \
"0.0552800000, 0.1147700000, 0.1638200000, 0.2649600000, 0.4748300000, 0.9086300000, 1.7924400000, 3.5657000000", \
"0.0552800000, 0.1148600000, 0.1639100000, 0.2649000000, 0.4749300000, 0.9088800000, 1.7922400000, 3.5656000000", \
"0.0552900000, 0.1148700000, 0.1639300000, 0.2649500000, 0.4747700000, 0.9088300000, 1.7926900000, 3.5689000000", \
"0.0563300000, 0.1158800000, 0.1648100000, 0.2655900000, 0.4752700000, 0.9087400000, 1.7919200000, 3.5692000000", \
"0.0649800000, 0.1246900000, 0.1721800000, 0.2706100000, 0.4779100000, 0.9098900000, 1.7932000000, 3.5697000000", \
"0.0855600000, 0.1493300000, 0.1966700000, 0.2915400000, 0.4914000000, 0.9153000000, 1.7929000000, 3.5672000000", \
"0.1060000000, 0.1732000000, 0.2216000000, 0.3144000000, 0.5121000000, 0.9303000000, 1.7986000000, 3.5676000000", \
"0.1601000000, 0.2352000000, 0.2875000000, 0.3785000000, 0.5691000000, 0.9842000000, 1.8394000000, 3.5829000000", \
"0.1868000000, 0.2647000000, 0.3200000000, 0.4115000000, 0.5978000000, 1.0116000000, 1.8695000000, 3.6008000000", \
"0.2130000000, 0.2929000000, 0.3506000000, 0.4440000000, 0.6276000000, 1.0369000000, 1.8992000000, 3.6267000000");
}
sdf_cond : "(A0&!A1&A3&!S0&S1)";
timing_sense : "positive_unate";
when : "(A0&!A1&A3&!S0&S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4154500000, 0.5104000000, 0.5789800000, 0.6943000000, 0.8907200000, 1.2505700000, 1.9496700000, 3.3503700000", \
"0.4217900000, 0.5167500000, 0.5853300000, 0.7006500000, 0.8970700000, 1.2569700000, 1.9559700000, 3.3570700000", \
"0.4227100000, 0.5176700000, 0.5862500000, 0.7015800000, 0.8979800000, 1.2579300000, 1.9571300000, 3.3579300000", \
"0.4265600000, 0.5215100000, 0.5900900000, 0.7054300000, 0.9018500000, 1.2618000000, 1.9610000000, 3.3614000000", \
"0.4560700000, 0.5509900000, 0.6195200000, 0.7347700000, 0.9311000000, 1.2910000000, 1.9903000000, 3.3905000000", \
"0.5222600000, 0.6170600000, 0.6854000000, 0.8002000000, 0.9960000000, 1.3554000000, 2.0544000000, 3.4548000000", \
"0.6774000000, 0.7727000000, 0.8404000000, 0.9539000000, 1.1480000000, 1.5052000000, 2.2021000000, 3.6008000000", \
"0.8351000000, 0.9319000000, 1.0002000000, 1.1138000000, 1.3069000000, 1.6616000000, 2.3553000000, 3.7517000000", \
"1.2436700000, 1.3513700000, 1.4259700000, 1.5466700000, 1.7454700000, 2.0975700000, 2.7824700000, 4.1686700000", \
"1.4412300000, 1.5540300000, 1.6322300000, 1.7582300000, 1.9644300000, 2.3201300000, 3.0023300000, 4.3832300000", \
"1.6324000000, 1.7498000000, 1.8313000000, 1.9624000000, 2.1762000000, 2.5389000000, 3.2207000000, 4.5967000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2129100000, 0.2792500000, 0.3262900000, 0.4082300000, 0.5604000000, 0.8562300000, 1.4473700000, 2.6320700000", \
"0.2160700000, 0.2824000000, 0.3294400000, 0.4113700000, 0.5635600000, 0.8594000000, 1.4504700000, 2.6346700000", \
"0.2162000000, 0.2825300000, 0.3295700000, 0.4115200000, 0.5636600000, 0.8595600000, 1.4505300000, 2.6359300000", \
"0.2168700000, 0.2831800000, 0.3302100000, 0.4121400000, 0.5642000000, 0.8600600000, 1.4511000000, 2.6340000000", \
"0.2246000000, 0.2906900000, 0.3374300000, 0.4190000000, 0.5707000000, 0.8662000000, 1.4570000000, 2.6420000000", \
"0.2300100000, 0.2989500000, 0.3463200000, 0.4276000000, 0.5784100000, 0.8725000000, 1.4624000000, 2.6464000000", \
"0.2036600000, 0.2807000000, 0.3320000000, 0.4166000000, 0.5690000000, 0.8616000000, 1.4491000000, 2.6300000000", \
"0.1511000000, 0.2357000000, 0.2909000000, 0.3797000000, 0.5358000000, 0.8319000000, 1.4165000000, 2.5964000000", \
"-0.0519300000, 0.0504700000, 0.1157700000, 0.2164700000, 0.3819700000, 0.6894700000, 1.2884700000, 2.4626700000", \
"-0.1729700000, -0.0631700000, 0.0069300000, 0.1137300000, 0.2847300000, 0.5956300000, 1.2040300000, 2.3851300000", \
"-0.2998000000, -0.1834000000, -0.1089000000, 0.0039000000, 0.1806000000, 0.4951000000, 1.1098000000, 2.3039000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1143000000, 0.1988200000, 0.2582500000, 0.3611100000, 0.5630200000, 0.9868200000, 1.8803000000, 3.7111000000", \
"0.1143000000, 0.1987500000, 0.2582300000, 0.3611100000, 0.5630800000, 0.9868700000, 1.8804000000, 3.7085000000", \
"0.1142900000, 0.1987800000, 0.2582200000, 0.3611000000, 0.5629900000, 0.9868200000, 1.8809000000, 3.7142000000", \
"0.1142900000, 0.1988300000, 0.2583600000, 0.3611700000, 0.5630000000, 0.9869100000, 1.8809000000, 3.7107000000", \
"0.1142600000, 0.1987400000, 0.2583900000, 0.3612900000, 0.5634200000, 0.9868800000, 1.8806000000, 3.7113000000", \
"0.1142700000, 0.1991100000, 0.2589200000, 0.3618200000, 0.5642000000, 0.9868000000, 1.8794000000, 3.7144000000", \
"0.1208000000, 0.2055000000, 0.2651000000, 0.3675000000, 0.5680000000, 0.9890000000, 1.8799000000, 3.7108000000", \
"0.1332000000, 0.2187000000, 0.2778000000, 0.3792000000, 0.5765000000, 0.9936000000, 1.8810000000, 3.7110000000", \
"0.1802000000, 0.2737000000, 0.3355000000, 0.4364000000, 0.6226000000, 1.0197000000, 1.8903000000, 3.7134000000", \
"0.2030000000, 0.3015000000, 0.3665000000, 0.4710000000, 0.6560000000, 1.0434000000, 1.8991000000, 3.7160000000", \
"0.2247000000, 0.3276000000, 0.3960000000, 0.5051000000, 0.6913000000, 1.0739000000, 1.9120000000, 3.7153000000");
}
related_pin : "A2";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0552600000, 0.1147600000, 0.1638100000, 0.2650100000, 0.4747200000, 0.9086800000, 1.7926400000, 3.5669000000", \
"0.0552900000, 0.1147800000, 0.1638200000, 0.2649600000, 0.4755000000, 0.9085300000, 1.7927900000, 3.5673000000", \
"0.0552900000, 0.1148500000, 0.1639100000, 0.2649000000, 0.4752000000, 0.9099700000, 1.7924300000, 3.5677000000", \
"0.0553400000, 0.1148100000, 0.1638500000, 0.2649400000, 0.4750700000, 0.9086500000, 1.7922900000, 3.5653000000", \
"0.0563300000, 0.1158700000, 0.1648600000, 0.2655900000, 0.4751600000, 0.9088400000, 1.7924100000, 3.5663000000", \
"0.0649900000, 0.1246900000, 0.1721700000, 0.2706200000, 0.4776100000, 0.9094900000, 1.7940000000, 3.5666000000", \
"0.0856300000, 0.1492200000, 0.1967800000, 0.2915400000, 0.4914000000, 0.9153000000, 1.7928000000, 3.5671000000", \
"0.1060000000, 0.1732000000, 0.2216000000, 0.3144000000, 0.5120000000, 0.9301000000, 1.7985000000, 3.5667000000", \
"0.1601000000, 0.2351000000, 0.2876000000, 0.3784000000, 0.5691000000, 0.9839000000, 1.8393000000, 3.5788000000", \
"0.1867000000, 0.2647000000, 0.3200000000, 0.4115000000, 0.5978000000, 1.0116000000, 1.8695000000, 3.6008000000", \
"0.2130000000, 0.2929000000, 0.3506000000, 0.4440000000, 0.6275000000, 1.0369000000, 1.8992000000, 3.6261000000");
}
sdf_cond : "(A0&A1&!A3&!S0&S1)";
timing_sense : "positive_unate";
when : "(A0&A1&!A3&!S0&S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4154400000, 0.5104000000, 0.5789800000, 0.6943100000, 0.8907100000, 1.2506700000, 1.9499700000, 3.3506700000", \
"0.4217900000, 0.5167400000, 0.5853300000, 0.7006600000, 0.8970500000, 1.2569700000, 1.9561700000, 3.3568700000", \
"0.4227100000, 0.5176800000, 0.5862600000, 0.7015800000, 0.8979800000, 1.2579300000, 1.9569300000, 3.3580300000", \
"0.4265600000, 0.5215100000, 0.5900900000, 0.7054300000, 0.9018600000, 1.2618000000, 1.9609000000, 3.3613000000", \
"0.4560700000, 0.5509900000, 0.6195200000, 0.7347700000, 0.9311000000, 1.2910000000, 1.9900000000, 3.3911000000", \
"0.5222600000, 0.6170700000, 0.6854000000, 0.8002000000, 0.9960000000, 1.3554000000, 2.0543000000, 3.4546000000", \
"0.6774000000, 0.7727000000, 0.8404000000, 0.9539000000, 1.1480000000, 1.5052000000, 2.2021000000, 3.6009000000", \
"0.8351000000, 0.9319000000, 1.0002000000, 1.1138000000, 1.3069000000, 1.6616000000, 2.3552000000, 3.7511000000", \
"1.2436700000, 1.3513700000, 1.4259700000, 1.5466700000, 1.7454700000, 2.0975700000, 2.7825700000, 4.1688700000", \
"1.4412300000, 1.5540300000, 1.6322300000, 1.7582300000, 1.9644300000, 2.3201300000, 3.0024300000, 4.3832300000", \
"1.6324000000, 1.7498000000, 1.8313000000, 1.9624000000, 2.1762000000, 2.5389000000, 3.2207000000, 4.5967000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2129200000, 0.2792500000, 0.3262900000, 0.4082500000, 0.5603500000, 0.8561900000, 1.4470700000, 2.6319700000", \
"0.2160700000, 0.2824000000, 0.3294400000, 0.4113700000, 0.5635600000, 0.8594200000, 1.4505700000, 2.6355700000", \
"0.2162000000, 0.2825300000, 0.3295700000, 0.4115200000, 0.5636600000, 0.8595200000, 1.4505300000, 2.6336300000", \
"0.2168700000, 0.2831900000, 0.3302100000, 0.4121500000, 0.5642900000, 0.8601300000, 1.4509000000, 2.6355000000", \
"0.2245900000, 0.2906900000, 0.3374300000, 0.4189900000, 0.5707200000, 0.8662000000, 1.4570000000, 2.6421000000", \
"0.2300100000, 0.2989500000, 0.3463200000, 0.4276000000, 0.5784100000, 0.8726000000, 1.4625000000, 2.6455000000", \
"0.2036600000, 0.2807000000, 0.3320000000, 0.4166000000, 0.5690000000, 0.8616000000, 1.4491000000, 2.6300000000", \
"0.1511000000, 0.2357000000, 0.2909000000, 0.3797000000, 0.5358000000, 0.8319000000, 1.4166000000, 2.5964000000", \
"-0.0519300000, 0.0504700000, 0.1157700000, 0.2164700000, 0.3819700000, 0.6893700000, 1.2884700000, 2.4626700000", \
"-0.1729700000, -0.0631700000, 0.0069300000, 0.1137300000, 0.2847300000, 0.5956300000, 1.2040300000, 2.3851300000", \
"-0.2999000000, -0.1834000000, -0.1089000000, 0.0039000000, 0.1806000000, 0.4951000000, 1.1098000000, 2.3039000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1142500000, 0.1987900000, 0.2582900000, 0.3611300000, 0.5633500000, 0.9866100000, 1.8788000000, 3.7106000000", \
"0.1142600000, 0.1988000000, 0.2582800000, 0.3611200000, 0.5632900000, 0.9864800000, 1.8796000000, 3.7110000000", \
"0.1143000000, 0.1987800000, 0.2582200000, 0.3611000000, 0.5629900000, 0.9868200000, 1.8794000000, 3.7108000000", \
"0.1143100000, 0.1988600000, 0.2581300000, 0.3611700000, 0.5630300000, 0.9869000000, 1.8795000000, 3.7115000000", \
"0.1142700000, 0.1987400000, 0.2583900000, 0.3612800000, 0.5634200000, 0.9868800000, 1.8795000000, 3.7113000000", \
"0.1142600000, 0.1991000000, 0.2588200000, 0.3617200000, 0.5638000000, 0.9870000000, 1.8785000000, 3.7121000000", \
"0.1209000000, 0.2055000000, 0.2651000000, 0.3675000000, 0.5680000000, 0.9892000000, 1.8797000000, 3.7129000000", \
"0.1332000000, 0.2187000000, 0.2778000000, 0.3792000000, 0.5765000000, 0.9936000000, 1.8808000000, 3.7116000000", \
"0.1802000000, 0.2737000000, 0.3355000000, 0.4364000000, 0.6226000000, 1.0197000000, 1.8891000000, 3.7140000000", \
"0.2030000000, 0.3015000000, 0.3665000000, 0.4710000000, 0.6560000000, 1.0434000000, 1.8991000000, 3.7160000000", \
"0.2247000000, 0.3276000000, 0.3960000000, 0.5051000000, 0.6913000000, 1.0739000000, 1.9121000000, 3.7153000000");
}
related_pin : "A2";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0553000000, 0.1148400000, 0.1638200000, 0.2649600000, 0.4746800000, 0.9088900000, 1.7935500000, 3.5654000000", \
"0.0552800000, 0.1147700000, 0.1638200000, 0.2649600000, 0.4748300000, 0.9086200000, 1.7928000000, 3.5686000000", \
"0.0552900000, 0.1148500000, 0.1639100000, 0.2649100000, 0.4749200000, 0.9088700000, 1.7922400000, 3.5644000000", \
"0.0553000000, 0.1148800000, 0.1639300000, 0.2649500000, 0.4747700000, 0.9088200000, 1.7922700000, 3.5691000000", \
"0.0563300000, 0.1158800000, 0.1648100000, 0.2655900000, 0.4752600000, 0.9087400000, 1.7919200000, 3.5694000000", \
"0.0649800000, 0.1246900000, 0.1721800000, 0.2706100000, 0.4779100000, 0.9098900000, 1.7930000000, 3.5694000000", \
"0.0855600000, 0.1493300000, 0.1966700000, 0.2915400000, 0.4914000000, 0.9153000000, 1.7929000000, 3.5672000000", \
"0.1060000000, 0.1732000000, 0.2216000000, 0.3144000000, 0.5121000000, 0.9303000000, 1.7978000000, 3.5667000000", \
"0.1601000000, 0.2352000000, 0.2875000000, 0.3785000000, 0.5691000000, 0.9842000000, 1.8394000000, 3.5830000000", \
"0.1867000000, 0.2647000000, 0.3200000000, 0.4115000000, 0.5978000000, 1.0116000000, 1.8695000000, 3.6008000000", \
"0.2130000000, 0.2929000000, 0.3506000000, 0.4440000000, 0.6276000000, 1.0369000000, 1.8992000000, 3.6268000000");
}
sdf_cond : "(A0&A1&A3&!S0&S1)";
timing_sense : "positive_unate";
when : "(A0&A1&A3&!S0&S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4264900000, 0.5220300000, 0.5909800000, 0.7068600000, 0.9039100000, 1.2642700000, 1.9635700000, 3.3641700000", \
"0.4320200000, 0.5275700000, 0.5965100000, 0.7124000000, 0.9094400000, 1.2698700000, 1.9691700000, 3.3697700000", \
"0.4329700000, 0.5285200000, 0.5974800000, 0.7133400000, 0.9104000000, 1.2707300000, 1.9699300000, 3.3699300000", \
"0.4366700000, 0.5322200000, 0.6011600000, 0.7170400000, 0.9141100000, 1.2745000000, 1.9736000000, 3.3743000000", \
"0.4658900000, 0.5614100000, 0.6303100000, 0.7461200000, 0.9431000000, 1.3035000000, 2.0027000000, 3.4033000000", \
"0.5326100000, 0.6280400000, 0.6967000000, 0.8121000000, 1.0086000000, 1.3685000000, 2.0673000000, 3.4676000000", \
"0.6900000000, 0.7858000000, 0.8539000000, 0.9680000000, 1.1628000000, 1.5206000000, 2.2175000000, 3.6157000000", \
"0.8506000000, 0.9478000000, 1.0165000000, 1.1307000000, 1.3244000000, 1.6797000000, 2.3738000000, 3.7698000000", \
"1.2700700000, 1.3776700000, 1.4522700000, 1.5728700000, 1.7716700000, 2.1241700000, 2.8096700000, 4.1970700000", \
"1.4732300000, 1.5860300000, 1.6641300000, 1.7899300000, 1.9958300000, 2.3514300000, 3.0340300000, 4.4156300000", \
"1.6702000000, 1.7874000000, 1.8688000000, 1.9996000000, 2.2131000000, 2.5751000000, 3.2570000000, 4.6332000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2112600000, 0.2774200000, 0.3243800000, 0.4062600000, 0.5583300000, 0.8541700000, 1.4451700000, 2.6279700000", \
"0.2142400000, 0.2804000000, 0.3273700000, 0.4092400000, 0.5613200000, 0.8569900000, 1.4482700000, 2.6331700000", \
"0.2144200000, 0.2805800000, 0.3275300000, 0.4094200000, 0.5614400000, 0.8573200000, 1.4484300000, 2.6338300000", \
"0.2153400000, 0.2814900000, 0.3284300000, 0.4102900000, 0.5623200000, 0.8581600000, 1.4489000000, 2.6345000000", \
"0.2232000000, 0.2891400000, 0.3358100000, 0.4172900000, 0.5690000000, 0.8645000000, 1.4551000000, 2.6406000000", \
"0.2277200000, 0.2965400000, 0.3438600000, 0.4250900000, 0.5757800000, 0.8701000000, 1.4600000000, 2.6432000000", \
"0.1988900000, 0.2757200000, 0.3269000000, 0.4114000000, 0.5637000000, 0.8564000000, 1.4439000000, 2.6249000000", \
"0.1434000000, 0.2279000000, 0.2829000000, 0.3715000000, 0.5273000000, 0.8235000000, 1.4083000000, 2.5876000000", \
"-0.0684300000, 0.0337700000, 0.0989700000, 0.1993700000, 0.3643700000, 0.6713700000, 1.2703700000, 2.4451700000", \
"-0.1943700000, -0.0846700000, -0.0146700000, 0.0918300000, 0.2621300000, 0.5727300000, 1.1808300000, 2.3624300000", \
"-0.3261000000, -0.2099000000, -0.1355000000, -0.0230000000, 0.1531000000, 0.4669000000, 1.0811000000, 2.2754000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1162300000, 0.2013600000, 0.2611200000, 0.3639000000, 0.5660300000, 0.9886700000, 1.8797000000, 3.7126000000", \
"0.1162100000, 0.2013600000, 0.2611200000, 0.3638800000, 0.5659900000, 0.9886200000, 1.8797000000, 3.7111000000", \
"0.1162100000, 0.2013000000, 0.2610400000, 0.3641000000, 0.5657800000, 0.9886600000, 1.8807000000, 3.7108000000", \
"0.1162400000, 0.2013600000, 0.2611200000, 0.3639800000, 0.5659500000, 0.9888200000, 1.8801000000, 3.7134000000", \
"0.1162100000, 0.2013600000, 0.2611500000, 0.3640200000, 0.5657800000, 0.9886000000, 1.8798000000, 3.7123000000", \
"0.1162500000, 0.2015700000, 0.2616400000, 0.3649100000, 0.5665000000, 0.9892000000, 1.8807000000, 3.7128000000", \
"0.1224000000, 0.2075000000, 0.2673000000, 0.3698000000, 0.5704000000, 0.9912000000, 1.8805000000, 3.7106000000", \
"0.1337000000, 0.2198000000, 0.2794000000, 0.3813000000, 0.5787000000, 0.9952000000, 1.8816000000, 3.7127000000", \
"0.1798000000, 0.2732000000, 0.3350000000, 0.4360000000, 0.6223000000, 1.0205000000, 1.8903000000, 3.7118000000", \
"0.2024000000, 0.3008000000, 0.3655000000, 0.4699000000, 0.6548000000, 1.0426000000, 1.8995000000, 3.7164000000", \
"0.2239000000, 0.3266000000, 0.3948000000, 0.5034000000, 0.6894000000, 1.0716000000, 1.9117000000, 3.7156000000");
}
related_pin : "A3";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0548800000, 0.1142900000, 0.1634100000, 0.2645200000, 0.4744500000, 0.9096300000, 1.7924400000, 3.5656000000", \
"0.0548700000, 0.1142800000, 0.1633700000, 0.2646700000, 0.4752800000, 0.9085500000, 1.7920600000, 3.5656000000", \
"0.0549400000, 0.1143400000, 0.1633500000, 0.2645700000, 0.4746600000, 0.9088400000, 1.7920600000, 3.5669000000", \
"0.0549400000, 0.1143100000, 0.1635200000, 0.2646100000, 0.4745000000, 0.9088600000, 1.7934800000, 3.5662000000", \
"0.0560700000, 0.1154200000, 0.1644300000, 0.2652700000, 0.4749300000, 0.9086200000, 1.7932600000, 3.5668000000", \
"0.0647100000, 0.1243700000, 0.1719700000, 0.2704700000, 0.4772100000, 0.9099700000, 1.7926000000, 3.5677000000", \
"0.0852700000, 0.1487100000, 0.1962200000, 0.2909700000, 0.4912000000, 0.9160000000, 1.7937000000, 3.5654000000", \
"0.1058000000, 0.1726000000, 0.2210000000, 0.3135000000, 0.5115000000, 0.9300000000, 1.7987000000, 3.5670000000", \
"0.1595000000, 0.2344000000, 0.2866000000, 0.3770000000, 0.5676000000, 0.9827000000, 1.8383000000, 3.5788000000", \
"0.1859000000, 0.2638000000, 0.3186000000, 0.4099000000, 0.5966000000, 1.0090000000, 1.8686000000, 3.6010000000", \
"0.2120000000, 0.2919000000, 0.3493000000, 0.4423000000, 0.6254000000, 1.0342000000, 1.8978000000, 3.6263000000");
}
sdf_cond : "(!A0&!A1&!A2&S0&S1)";
timing_sense : "positive_unate";
when : "(!A0&!A1&!A2&S0&S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4264800000, 0.5220400000, 0.5909800000, 0.7068500000, 0.9039100000, 1.2642700000, 1.9634700000, 3.3637700000", \
"0.4320200000, 0.5275700000, 0.5965100000, 0.7123900000, 0.9094400000, 1.2697700000, 1.9690700000, 3.3698700000", \
"0.4329700000, 0.5285200000, 0.5974700000, 0.7133600000, 0.9104000000, 1.2707300000, 1.9700300000, 3.3707300000", \
"0.4366700000, 0.5322200000, 0.6011600000, 0.7170400000, 0.9141000000, 1.2745000000, 1.9736000000, 3.3744000000", \
"0.4658900000, 0.5614100000, 0.6303100000, 0.7461200000, 0.9431000000, 1.3035000000, 2.0028000000, 3.4036000000", \
"0.5326100000, 0.6280400000, 0.6967000000, 0.8121000000, 1.0086000000, 1.3685000000, 2.0672000000, 3.4670000000", \
"0.6900000000, 0.7858000000, 0.8539000000, 0.9680000000, 1.1628000000, 1.5206000000, 2.2176000000, 3.6158000000", \
"0.8506000000, 0.9478000000, 1.0165000000, 1.1307000000, 1.3244000000, 1.6797000000, 2.3737000000, 3.7700000000", \
"1.2700700000, 1.3776700000, 1.4521700000, 1.5728700000, 1.7716700000, 2.1241700000, 2.8096700000, 4.1962700000", \
"1.4732300000, 1.5860300000, 1.6641300000, 1.7899300000, 1.9958300000, 2.3514300000, 3.0340300000, 4.4158300000", \
"1.6702000000, 1.7874000000, 1.8688000000, 1.9996000000, 2.2131000000, 2.5751000000, 3.2570000000, 4.6332000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2112600000, 0.2774200000, 0.3243800000, 0.4062400000, 0.5583500000, 0.8541800000, 1.4456700000, 2.6297700000", \
"0.2142400000, 0.2804000000, 0.3273600000, 0.4092400000, 0.5613000000, 0.8569600000, 1.4483700000, 2.6335700000", \
"0.2144100000, 0.2805700000, 0.3275300000, 0.4094200000, 0.5614300000, 0.8573200000, 1.4483300000, 2.6326300000", \
"0.2153400000, 0.2814800000, 0.3284200000, 0.4102800000, 0.5622800000, 0.8581700000, 1.4489000000, 2.6332000000", \
"0.2231900000, 0.2891400000, 0.3358000000, 0.4172800000, 0.5689900000, 0.8645000000, 1.4552000000, 2.6389000000", \
"0.2277100000, 0.2965300000, 0.3438600000, 0.4250800000, 0.5757800000, 0.8700000000, 1.4595000000, 2.6429000000", \
"0.1988800000, 0.2757100000, 0.3269000000, 0.4114000000, 0.5637000000, 0.8564000000, 1.4439000000, 2.6249000000", \
"0.1434000000, 0.2279000000, 0.2829000000, 0.3715000000, 0.5274000000, 0.8235000000, 1.4083000000, 2.5876000000", \
"-0.0684300000, 0.0337700000, 0.0989700000, 0.1993700000, 0.3643700000, 0.6713700000, 1.2703700000, 2.4451700000", \
"-0.1943700000, -0.0846700000, -0.0146700000, 0.0918300000, 0.2621300000, 0.5727300000, 1.1807300000, 2.3624300000", \
"-0.3262000000, -0.2099000000, -0.1355000000, -0.0230000000, 0.1531000000, 0.4668000000, 1.0811000000, 2.2754000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1162300000, 0.2013200000, 0.2609200000, 0.3639800000, 0.5660200000, 0.9887800000, 1.8795000000, 3.7123000000", \
"0.1162500000, 0.2013300000, 0.2610600000, 0.3641100000, 0.5658900000, 0.9884400000, 1.8810000000, 3.7132000000", \
"0.1162400000, 0.2013600000, 0.2611200000, 0.3639100000, 0.5660000000, 0.9885200000, 1.8806000000, 3.7111000000", \
"0.1162400000, 0.2013600000, 0.2611200000, 0.3639500000, 0.5659600000, 0.9888200000, 1.8805000000, 3.7117000000", \
"0.1162400000, 0.2013600000, 0.2611300000, 0.3640200000, 0.5659100000, 0.9885000000, 1.8794000000, 3.7119000000", \
"0.1162400000, 0.2015700000, 0.2614500000, 0.3649100000, 0.5665000000, 0.9892000000, 1.8818000000, 3.7117000000", \
"0.1224000000, 0.2076000000, 0.2673000000, 0.3698000000, 0.5705000000, 0.9912000000, 1.8806000000, 3.7119000000", \
"0.1337000000, 0.2198000000, 0.2794000000, 0.3813000000, 0.5787000000, 0.9952000000, 1.8814000000, 3.7129000000", \
"0.1798000000, 0.2732000000, 0.3350000000, 0.4360000000, 0.6223000000, 1.0205000000, 1.8903000000, 3.7130000000", \
"0.2024000000, 0.3008000000, 0.3655000000, 0.4699000000, 0.6548000000, 1.0426000000, 1.8994000000, 3.7168000000", \
"0.2239000000, 0.3266000000, 0.3948000000, 0.5034000000, 0.6894000000, 1.0716000000, 1.9117000000, 3.7157000000");
}
related_pin : "A3";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0548700000, 0.1143900000, 0.1634700000, 0.2645200000, 0.4746200000, 0.9087200000, 1.7926400000, 3.5717000000", \
"0.0548700000, 0.1142700000, 0.1634100000, 0.2646700000, 0.4745800000, 0.9085500000, 1.7926000000, 3.5665000000", \
"0.0549200000, 0.1143500000, 0.1633500000, 0.2645700000, 0.4748600000, 0.9092500000, 1.7920800000, 3.5659000000", \
"0.0549500000, 0.1143300000, 0.1634100000, 0.2645600000, 0.4748100000, 0.9093700000, 1.7920900000, 3.5684000000", \
"0.0560700000, 0.1154200000, 0.1644600000, 0.2652700000, 0.4750400000, 0.9089300000, 1.7922800000, 3.5663000000", \
"0.0647300000, 0.1243800000, 0.1719800000, 0.2704800000, 0.4773200000, 0.9091700000, 1.7939000000, 3.5677000000", \
"0.0853000000, 0.1488200000, 0.1962300000, 0.2909700000, 0.4912000000, 0.9160000000, 1.7939000000, 3.5660000000", \
"0.1057000000, 0.1727000000, 0.2208000000, 0.3136000000, 0.5115000000, 0.9300000000, 1.7987000000, 3.5673000000", \
"0.1594000000, 0.2344000000, 0.2865000000, 0.3770000000, 0.5676000000, 0.9827000000, 1.8383000000, 3.5788000000", \
"0.1859000000, 0.2638000000, 0.3186000000, 0.4099000000, 0.5966000000, 1.0090000000, 1.8685000000, 3.6012000000", \
"0.2120000000, 0.2919000000, 0.3493000000, 0.4423000000, 0.6254000000, 1.0342000000, 1.8977000000, 3.6263000000");
}
sdf_cond : "(!A0&!A1&A2&S0&S1)";
timing_sense : "positive_unate";
when : "(!A0&!A1&A2&S0&S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4266500000, 0.5222200000, 0.5911800000, 0.7070700000, 0.9041700000, 1.2645700000, 1.9636700000, 3.3645700000", \
"0.4321800000, 0.5277500000, 0.5967200000, 0.7126000000, 0.9096900000, 1.2700700000, 1.9693700000, 3.3699700000", \
"0.4331400000, 0.5287100000, 0.5976700000, 0.7135700000, 0.9106400000, 1.2710300000, 1.9704300000, 3.3710300000", \
"0.4368200000, 0.5323900000, 0.6013400000, 0.7172400000, 0.9143300000, 1.2747000000, 1.9739000000, 3.3747000000", \
"0.4660300000, 0.5615700000, 0.6304800000, 0.7463000000, 0.9433000000, 1.3037000000, 2.0029000000, 3.4036000000", \
"0.5327400000, 0.6281900000, 0.6969000000, 0.8123000000, 1.0088000000, 1.3687000000, 2.0675000000, 3.4682000000", \
"0.6901000000, 0.7859000000, 0.8540000000, 0.9682000000, 1.1630000000, 1.5208000000, 2.2178000000, 3.6160000000", \
"0.8507000000, 0.9479000000, 1.0166000000, 1.1308000000, 1.3246000000, 1.6800000000, 2.3740000000, 3.7701000000", \
"1.2701700000, 1.3778700000, 1.4523700000, 1.5729700000, 1.7717700000, 2.1243700000, 2.8098700000, 4.1973700000", \
"1.4734300000, 1.5861300000, 1.6642300000, 1.7900300000, 1.9960300000, 2.3516300000, 3.0342300000, 4.4159300000", \
"1.6703000000, 1.7876000000, 1.8690000000, 1.9998000000, 2.2132000000, 2.5753000000, 3.2572000000, 4.6337000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2112800000, 0.2774300000, 0.3243800000, 0.4062400000, 0.5583100000, 0.8540000000, 1.4450700000, 2.6303700000", \
"0.2142700000, 0.2804200000, 0.3273700000, 0.4092300000, 0.5613000000, 0.8571300000, 1.4477700000, 2.6325700000", \
"0.2144500000, 0.2805900000, 0.3275400000, 0.4094200000, 0.5613900000, 0.8572600000, 1.4484300000, 2.6311300000", \
"0.2153700000, 0.2815100000, 0.3284300000, 0.4102900000, 0.5623100000, 0.8579600000, 1.4491000000, 2.6338000000", \
"0.2232400000, 0.2891800000, 0.3358300000, 0.4172900000, 0.5689600000, 0.8645000000, 1.4551000000, 2.6395000000", \
"0.2277900000, 0.2966000000, 0.3439200000, 0.4251300000, 0.5758800000, 0.8700000000, 1.4595000000, 2.6434000000", \
"0.1989800000, 0.2758100000, 0.3270000000, 0.4115000000, 0.5638000000, 0.8564000000, 1.4440000000, 2.6250000000", \
"0.1435000000, 0.2280000000, 0.2830000000, 0.3716000000, 0.5274000000, 0.8236000000, 1.4084000000, 2.5868000000", \
"-0.0683300000, 0.0339700000, 0.0990700000, 0.1994700000, 0.3644700000, 0.6714700000, 1.2704700000, 2.4452700000", \
"-0.1942700000, -0.0845700000, -0.0145700000, 0.0919300000, 0.2622300000, 0.5728300000, 1.1809300000, 2.3622300000", \
"-0.3260000000, -0.2098000000, -0.1353000000, -0.0228000000, 0.1532000000, 0.4670000000, 1.0812000000, 2.2755000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1162900000, 0.2014100000, 0.2611400000, 0.3642000000, 0.5658200000, 0.9888800000, 1.8802000000, 3.7116000000", \
"0.1162900000, 0.2014000000, 0.2611400000, 0.3642000000, 0.5659100000, 0.9886400000, 1.8809000000, 3.7088000000", \
"0.1162900000, 0.2014100000, 0.2611900000, 0.3642300000, 0.5661200000, 0.9885000000, 1.8805000000, 3.7127000000", \
"0.1163000000, 0.2014400000, 0.2612100000, 0.3640400000, 0.5660900000, 0.9889200000, 1.8801000000, 3.7107000000", \
"0.1162800000, 0.2014100000, 0.2612400000, 0.3642700000, 0.5658300000, 0.9888000000, 1.8799000000, 3.7125000000", \
"0.1162800000, 0.2016700000, 0.2617200000, 0.3649800000, 0.5666000000, 0.9893000000, 1.8806000000, 3.7131000000", \
"0.1224000000, 0.2076000000, 0.2674000000, 0.3699000000, 0.5705000000, 0.9913000000, 1.8807000000, 3.7121000000", \
"0.1337000000, 0.2199000000, 0.2794000000, 0.3815000000, 0.5789000000, 0.9949000000, 1.8817000000, 3.7127000000", \
"0.1798000000, 0.2732000000, 0.3351000000, 0.4360000000, 0.6223000000, 1.0206000000, 1.8904000000, 3.7120000000", \
"0.2024000000, 0.3008000000, 0.3656000000, 0.4700000000, 0.6549000000, 1.0427000000, 1.8995000000, 3.7166000000", \
"0.2239000000, 0.3266000000, 0.3949000000, 0.5035000000, 0.6895000000, 1.0716000000, 1.9117000000, 3.7155000000");
}
related_pin : "A3";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0548700000, 0.1142500000, 0.1633800000, 0.2646400000, 0.4744700000, 0.9086500000, 1.7926000000, 3.5673000000", \
"0.0548500000, 0.1142400000, 0.1632900000, 0.2646300000, 0.4744700000, 0.9084500000, 1.7916900000, 3.5674000000", \
"0.0548800000, 0.1142500000, 0.1634400000, 0.2645300000, 0.4747100000, 0.9085500000, 1.7920900000, 3.5656000000", \
"0.0549400000, 0.1142900000, 0.1634800000, 0.2645700000, 0.4749500000, 0.9084700000, 1.7928200000, 3.5658000000", \
"0.0560500000, 0.1153800000, 0.1644400000, 0.2652400000, 0.4753000000, 0.9085100000, 1.7920800000, 3.5657000000", \
"0.0646900000, 0.1243600000, 0.1719500000, 0.2704500000, 0.4775500000, 0.9098300000, 1.7922000000, 3.5664000000", \
"0.0852700000, 0.1487200000, 0.1962200000, 0.2909700000, 0.4912000000, 0.9161000000, 1.7939000000, 3.5657000000", \
"0.1058000000, 0.1725000000, 0.2209000000, 0.3135000000, 0.5115000000, 0.9300000000, 1.7983000000, 3.5657000000", \
"0.1595000000, 0.2344000000, 0.2865000000, 0.3770000000, 0.5675000000, 0.9827000000, 1.8383000000, 3.5787000000", \
"0.1858000000, 0.2638000000, 0.3186000000, 0.4099000000, 0.5966000000, 1.0091000000, 1.8685000000, 3.6009000000", \
"0.2120000000, 0.2919000000, 0.3494000000, 0.4422000000, 0.6253000000, 1.0342000000, 1.8978000000, 3.6261000000");
}
sdf_cond : "(!A0&A1&!A2&S0&S1)";
timing_sense : "positive_unate";
when : "(!A0&A1&!A2&S0&S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4266500000, 0.5222200000, 0.5911900000, 0.7070700000, 0.9041700000, 1.2645700000, 1.9636700000, 3.3643700000", \
"0.4321800000, 0.5277500000, 0.5967100000, 0.7126100000, 0.9096800000, 1.2700700000, 1.9695700000, 3.3699700000", \
"0.4331400000, 0.5287100000, 0.5976700000, 0.7135700000, 0.9106400000, 1.2710300000, 1.9703300000, 3.3708300000", \
"0.4368200000, 0.5323900000, 0.6013400000, 0.7172400000, 0.9143300000, 1.2747000000, 1.9739000000, 3.3746000000", \
"0.4660300000, 0.5615700000, 0.6304800000, 0.7463100000, 0.9433000000, 1.3037000000, 2.0030000000, 3.4039000000", \
"0.5327400000, 0.6281900000, 0.6969000000, 0.8123000000, 1.0088000000, 1.3687000000, 2.0677000000, 3.4675000000", \
"0.6901000000, 0.7859000000, 0.8540000000, 0.9682000000, 1.1630000000, 1.5208000000, 2.2177000000, 3.6167000000", \
"0.8507000000, 0.9479000000, 1.0166000000, 1.1308000000, 1.3246000000, 1.6800000000, 2.3739000000, 3.7702000000", \
"1.2701700000, 1.3778700000, 1.4523700000, 1.5729700000, 1.7717700000, 2.1243700000, 2.8098700000, 4.1965700000", \
"1.4734300000, 1.5861300000, 1.6642300000, 1.7900300000, 1.9960300000, 2.3516300000, 3.0342300000, 4.4160300000", \
"1.6703000000, 1.7876000000, 1.8690000000, 1.9998000000, 2.2132000000, 2.5753000000, 3.2572000000, 4.6336000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2112800000, 0.2774300000, 0.3243800000, 0.4062200000, 0.5583100000, 0.8540400000, 1.4447700000, 2.6305700000", \
"0.2142700000, 0.2804200000, 0.3273700000, 0.4092300000, 0.5612900000, 0.8569300000, 1.4481700000, 2.6335700000", \
"0.2144400000, 0.2805900000, 0.3275300000, 0.4094100000, 0.5614000000, 0.8572700000, 1.4482300000, 2.6316300000", \
"0.2153700000, 0.2815000000, 0.3284300000, 0.4102800000, 0.5622500000, 0.8581200000, 1.4487000000, 2.6346000000", \
"0.2232400000, 0.2891700000, 0.3358300000, 0.4172700000, 0.5689700000, 0.8645000000, 1.4560000000, 2.6396000000", \
"0.2277800000, 0.2965900000, 0.3439100000, 0.4251300000, 0.5758100000, 0.8701000000, 1.4595000000, 2.6437000000", \
"0.1989700000, 0.2758000000, 0.3270000000, 0.4114000000, 0.5638000000, 0.8564000000, 1.4439000000, 2.6250000000", \
"0.1435000000, 0.2280000000, 0.2830000000, 0.3716000000, 0.5274000000, 0.8235000000, 1.4084000000, 2.5876000000", \
"-0.0683300000, 0.0338700000, 0.0990700000, 0.1994700000, 0.3644700000, 0.6714700000, 1.2704700000, 2.4452700000", \
"-0.1942700000, -0.0845700000, -0.0145700000, 0.0919300000, 0.2622300000, 0.5728300000, 1.1808300000, 2.3622300000", \
"-0.3260000000, -0.2098000000, -0.1353000000, -0.0228000000, 0.1532000000, 0.4670000000, 1.0812000000, 2.2755000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1162700000, 0.2013800000, 0.2611000000, 0.3641900000, 0.5657100000, 0.9888800000, 1.8815000000, 3.7128000000", \
"0.1162500000, 0.2014200000, 0.2610200000, 0.3642300000, 0.5660200000, 0.9884100000, 1.8799000000, 3.7109000000", \
"0.1163000000, 0.2014300000, 0.2612000000, 0.3642300000, 0.5661200000, 0.9886000000, 1.8799000000, 3.7108000000", \
"0.1163000000, 0.2014400000, 0.2612100000, 0.3640400000, 0.5660900000, 0.9889200000, 1.8801000000, 3.7113000000", \
"0.1163000000, 0.2014100000, 0.2612300000, 0.3640900000, 0.5658300000, 0.9887000000, 1.8798000000, 3.7123000000", \
"0.1162800000, 0.2016700000, 0.2617400000, 0.3649800000, 0.5667000000, 0.9893000000, 1.8799000000, 3.7092000000", \
"0.1225000000, 0.2075000000, 0.2674000000, 0.3699000000, 0.5705000000, 0.9913000000, 1.8802000000, 3.7132000000", \
"0.1337000000, 0.2199000000, 0.2794000000, 0.3815000000, 0.5789000000, 0.9950000000, 1.8814000000, 3.7129000000", \
"0.1798000000, 0.2732000000, 0.3350000000, 0.4360000000, 0.6224000000, 1.0206000000, 1.8906000000, 3.7130000000", \
"0.2024000000, 0.3008000000, 0.3656000000, 0.4700000000, 0.6549000000, 1.0427000000, 1.8994000000, 3.7169000000", \
"0.2239000000, 0.3266000000, 0.3949000000, 0.5035000000, 0.6895000000, 1.0717000000, 1.9117000000, 3.7157000000");
}
related_pin : "A3";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0548900000, 0.1143200000, 0.1633400000, 0.2645500000, 0.4744700000, 0.9087400000, 1.7921700000, 3.5670000000", \
"0.0548500000, 0.1142500000, 0.1633400000, 0.2646400000, 0.4743500000, 0.9086600000, 1.7933000000, 3.5664000000", \
"0.0548900000, 0.1142600000, 0.1634600000, 0.2645300000, 0.4747300000, 0.9088600000, 1.7922000000, 3.5682000000", \
"0.0549600000, 0.1143000000, 0.1633800000, 0.2645800000, 0.4747200000, 0.9088800000, 1.7932100000, 3.5667000000", \
"0.0560700000, 0.1153900000, 0.1644200000, 0.2652200000, 0.4750200000, 0.9091300000, 1.7926900000, 3.5694000000", \
"0.0647000000, 0.1243500000, 0.1719500000, 0.2704500000, 0.4771600000, 0.9095300000, 1.7920000000, 3.5662000000", \
"0.0852800000, 0.1486200000, 0.1962300000, 0.2909800000, 0.4912000000, 0.9162000000, 1.7933000000, 3.5658000000", \
"0.1057000000, 0.1727000000, 0.2208000000, 0.3136000000, 0.5115000000, 0.9299000000, 1.7985000000, 3.5693000000", \
"0.1595000000, 0.2344000000, 0.2866000000, 0.3770000000, 0.5675000000, 0.9827000000, 1.8383000000, 3.5787000000", \
"0.1858000000, 0.2639000000, 0.3186000000, 0.4099000000, 0.5966000000, 1.0091000000, 1.8685000000, 3.6009000000", \
"0.2120000000, 0.2919000000, 0.3493000000, 0.4423000000, 0.6253000000, 1.0341000000, 1.8977000000, 3.6261000000");
}
sdf_cond : "(!A0&A1&A2&S0&S1)";
timing_sense : "positive_unate";
when : "(!A0&A1&A2&S0&S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4264800000, 0.5220300000, 0.5909700000, 0.7068600000, 0.9039100000, 1.2642700000, 1.9636700000, 3.3642700000", \
"0.4320200000, 0.5275700000, 0.5965100000, 0.7124000000, 0.9094400000, 1.2698700000, 1.9691700000, 3.3697700000", \
"0.4329700000, 0.5285200000, 0.5974800000, 0.7133400000, 0.9104100000, 1.2707300000, 1.9699300000, 3.3706300000", \
"0.4366700000, 0.5322200000, 0.6011600000, 0.7170400000, 0.9141100000, 1.2745000000, 1.9735000000, 3.3744000000", \
"0.4658900000, 0.5614100000, 0.6303100000, 0.7461200000, 0.9431000000, 1.3035000000, 2.0027000000, 3.4032000000", \
"0.5326100000, 0.6280400000, 0.6967000000, 0.8121000000, 1.0086000000, 1.3685000000, 2.0673000000, 3.4678000000", \
"0.6900000000, 0.7858000000, 0.8539000000, 0.9680000000, 1.1628000000, 1.5206000000, 2.2175000000, 3.6157000000", \
"0.8506000000, 0.9478000000, 1.0165000000, 1.1307000000, 1.3244000000, 1.6797000000, 2.3738000000, 3.7698000000", \
"1.2700700000, 1.3776700000, 1.4522700000, 1.5728700000, 1.7716700000, 2.1241700000, 2.8096700000, 4.1970700000", \
"1.4732300000, 1.5860300000, 1.6641300000, 1.7899300000, 1.9958300000, 2.3514300000, 3.0340300000, 4.4158300000", \
"1.6702000000, 1.7874000000, 1.8688000000, 1.9996000000, 2.2131000000, 2.5751000000, 3.2570000000, 4.6334000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2112700000, 0.2774300000, 0.3243900000, 0.4062700000, 0.5583300000, 0.8541500000, 1.4452700000, 2.6305700000", \
"0.2142400000, 0.2804000000, 0.3273700000, 0.4092500000, 0.5613100000, 0.8572000000, 1.4481700000, 2.6332700000", \
"0.2144200000, 0.2805800000, 0.3275300000, 0.4094200000, 0.5614400000, 0.8573200000, 1.4484300000, 2.6334300000", \
"0.2153400000, 0.2814900000, 0.3284300000, 0.4102900000, 0.5623200000, 0.8581600000, 1.4489000000, 2.6346000000", \
"0.2231900000, 0.2891400000, 0.3358100000, 0.4172900000, 0.5689900000, 0.8645000000, 1.4554000000, 2.6396000000", \
"0.2277200000, 0.2965400000, 0.3438600000, 0.4250900000, 0.5757800000, 0.8701000000, 1.4600000000, 2.6429000000", \
"0.1988900000, 0.2757200000, 0.3269000000, 0.4114000000, 0.5637000000, 0.8564000000, 1.4439000000, 2.6249000000", \
"0.1434000000, 0.2279000000, 0.2829000000, 0.3715000000, 0.5273000000, 0.8235000000, 1.4083000000, 2.5876000000", \
"-0.0684300000, 0.0337700000, 0.0989700000, 0.1993700000, 0.3643700000, 0.6713700000, 1.2703700000, 2.4451700000", \
"-0.1943700000, -0.0846700000, -0.0146700000, 0.0918300000, 0.2621300000, 0.5727300000, 1.1808300000, 2.3624300000", \
"-0.3261000000, -0.2099000000, -0.1355000000, -0.0230000000, 0.1531000000, 0.4669000000, 1.0811000000, 2.2754000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1162300000, 0.2013700000, 0.2611100000, 0.3638800000, 0.5660300000, 0.9885600000, 1.8798000000, 3.7111000000", \
"0.1162300000, 0.2013600000, 0.2611200000, 0.3639000000, 0.5659900000, 0.9885200000, 1.8799000000, 3.7110000000", \
"0.1162800000, 0.2012900000, 0.2610000000, 0.3641000000, 0.5657700000, 0.9886700000, 1.8805000000, 3.7147000000", \
"0.1162400000, 0.2013600000, 0.2611200000, 0.3639900000, 0.5657500000, 0.9888200000, 1.8801000000, 3.7102000000", \
"0.1162000000, 0.2013600000, 0.2611600000, 0.3640200000, 0.5657800000, 0.9886000000, 1.8798000000, 3.7123000000", \
"0.1162400000, 0.2015700000, 0.2616300000, 0.3649100000, 0.5665000000, 0.9892000000, 1.8807000000, 3.7087000000", \
"0.1224000000, 0.2076000000, 0.2673000000, 0.3698000000, 0.5704000000, 0.9912000000, 1.8803000000, 3.7107000000", \
"0.1337000000, 0.2198000000, 0.2794000000, 0.3813000000, 0.5787000000, 0.9952000000, 1.8816000000, 3.7127000000", \
"0.1798000000, 0.2732000000, 0.3350000000, 0.4360000000, 0.6223000000, 1.0205000000, 1.8903000000, 3.7119000000", \
"0.2024000000, 0.3008000000, 0.3655000000, 0.4699000000, 0.6548000000, 1.0426000000, 1.8995000000, 3.7167000000", \
"0.2239000000, 0.3266000000, 0.3948000000, 0.5034000000, 0.6894000000, 1.0716000000, 1.9117000000, 3.7154000000");
}
related_pin : "A3";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0548800000, 0.1143000000, 0.1634200000, 0.2645300000, 0.4743900000, 0.9088300000, 1.7927200000, 3.5668000000", \
"0.0548900000, 0.1142900000, 0.1634100000, 0.2645100000, 0.4744500000, 0.9087500000, 1.7918600000, 3.5673000000", \
"0.0549500000, 0.1143400000, 0.1633500000, 0.2645700000, 0.4746500000, 0.9088400000, 1.7920600000, 3.5667000000", \
"0.0549400000, 0.1143100000, 0.1635200000, 0.2646100000, 0.4745000000, 0.9088600000, 1.7917900000, 3.5659000000", \
"0.0560700000, 0.1154200000, 0.1644300000, 0.2652700000, 0.4750300000, 0.9086200000, 1.7925600000, 3.5663000000", \
"0.0647200000, 0.1243700000, 0.1719700000, 0.2704600000, 0.4772100000, 0.9099700000, 1.7926000000, 3.5659000000", \
"0.0852700000, 0.1487100000, 0.1962200000, 0.2909700000, 0.4912000000, 0.9160000000, 1.7937000000, 3.5654000000", \
"0.1058000000, 0.1726000000, 0.2210000000, 0.3135000000, 0.5115000000, 0.9300000000, 1.7988000000, 3.5672000000", \
"0.1595000000, 0.2344000000, 0.2866000000, 0.3770000000, 0.5676000000, 0.9827000000, 1.8383000000, 3.5789000000", \
"0.1859000000, 0.2638000000, 0.3186000000, 0.4099000000, 0.5966000000, 1.0090000000, 1.8686000000, 3.6011000000", \
"0.2120000000, 0.2919000000, 0.3493000000, 0.4423000000, 0.6254000000, 1.0342000000, 1.8978000000, 3.6264000000");
}
sdf_cond : "(A0&!A1&!A2&S0&S1)";
timing_sense : "positive_unate";
when : "(A0&!A1&!A2&S0&S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4264800000, 0.5220300000, 0.5909800000, 0.7068400000, 0.9039100000, 1.2642700000, 1.9634700000, 3.3640700000", \
"0.4320200000, 0.5275600000, 0.5965100000, 0.7123800000, 0.9094400000, 1.2697700000, 1.9690700000, 3.3693700000", \
"0.4329700000, 0.5285200000, 0.5974600000, 0.7133500000, 0.9104000000, 1.2707300000, 1.9700300000, 3.3707300000", \
"0.4366700000, 0.5322200000, 0.6011600000, 0.7170400000, 0.9141000000, 1.2745000000, 1.9736000000, 3.3744000000", \
"0.4658900000, 0.5614100000, 0.6303100000, 0.7461200000, 0.9431000000, 1.3035000000, 2.0028000000, 3.4036000000", \
"0.5326100000, 0.6280400000, 0.6967000000, 0.8121000000, 1.0086000000, 1.3685000000, 2.0672000000, 3.4671000000", \
"0.6900000000, 0.7858000000, 0.8539000000, 0.9680000000, 1.1628000000, 1.5206000000, 2.2176000000, 3.6157000000", \
"0.8506000000, 0.9478000000, 1.0165000000, 1.1307000000, 1.3244000000, 1.6797000000, 2.3737000000, 3.7700000000", \
"1.2700700000, 1.3776700000, 1.4521700000, 1.5728700000, 1.7716700000, 2.1241700000, 2.8096700000, 4.1962700000", \
"1.4732300000, 1.5860300000, 1.6641300000, 1.7899300000, 1.9958300000, 2.3514300000, 3.0340300000, 4.4158300000", \
"1.6702000000, 1.7874000000, 1.8688000000, 1.9996000000, 2.2131000000, 2.5751000000, 3.2570000000, 4.6333000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2112600000, 0.2774200000, 0.3243800000, 0.4062700000, 0.5583200000, 0.8542100000, 1.4450700000, 2.6297700000", \
"0.2142400000, 0.2804000000, 0.3273600000, 0.4092400000, 0.5612800000, 0.8570500000, 1.4479700000, 2.6317700000", \
"0.2144100000, 0.2805700000, 0.3275300000, 0.4094200000, 0.5614300000, 0.8573300000, 1.4483300000, 2.6323300000", \
"0.2153400000, 0.2814800000, 0.3284200000, 0.4102800000, 0.5622800000, 0.8581700000, 1.4489000000, 2.6339000000", \
"0.2231900000, 0.2891400000, 0.3358000000, 0.4172800000, 0.5689900000, 0.8645000000, 1.4552000000, 2.6389000000", \
"0.2277100000, 0.2965300000, 0.3438600000, 0.4250800000, 0.5757900000, 0.8700000000, 1.4597000000, 2.6429000000", \
"0.1988800000, 0.2757100000, 0.3269000000, 0.4114000000, 0.5637000000, 0.8564000000, 1.4439000000, 2.6249000000", \
"0.1434000000, 0.2279000000, 0.2829000000, 0.3715000000, 0.5274000000, 0.8235000000, 1.4083000000, 2.5877000000", \
"-0.0684300000, 0.0337700000, 0.0989700000, 0.1993700000, 0.3643700000, 0.6713700000, 1.2703700000, 2.4451700000", \
"-0.1943700000, -0.0846700000, -0.0146700000, 0.0918300000, 0.2621300000, 0.5727300000, 1.1807300000, 2.3624300000", \
"-0.3262000000, -0.2099000000, -0.1355000000, -0.0230000000, 0.1531000000, 0.4668000000, 1.0811000000, 2.2754000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1162100000, 0.2012800000, 0.2610400000, 0.3641000000, 0.5657000000, 0.9887000000, 1.8808000000, 3.7110000000", \
"0.1162400000, 0.2013300000, 0.2610700000, 0.3641100000, 0.5659000000, 0.9884500000, 1.8812000000, 3.7119000000", \
"0.1162100000, 0.2013600000, 0.2611200000, 0.3639000000, 0.5660000000, 0.9885300000, 1.8796000000, 3.7113000000", \
"0.1162400000, 0.2013600000, 0.2611200000, 0.3639500000, 0.5659600000, 0.9888200000, 1.8805000000, 3.7116000000", \
"0.1162400000, 0.2013500000, 0.2611400000, 0.3642200000, 0.5659100000, 0.9885000000, 1.8794000000, 3.7118000000", \
"0.1162900000, 0.2015700000, 0.2614500000, 0.3649100000, 0.5665000000, 0.9892000000, 1.8816000000, 3.7118000000", \
"0.1224000000, 0.2076000000, 0.2673000000, 0.3698000000, 0.5703000000, 0.9912000000, 1.8806000000, 3.7118000000", \
"0.1337000000, 0.2198000000, 0.2794000000, 0.3813000000, 0.5787000000, 0.9952000000, 1.8814000000, 3.7129000000", \
"0.1798000000, 0.2732000000, 0.3350000000, 0.4360000000, 0.6223000000, 1.0205000000, 1.8899000000, 3.7130000000", \
"0.2024000000, 0.3008000000, 0.3655000000, 0.4699000000, 0.6548000000, 1.0426000000, 1.8994000000, 3.7169000000", \
"0.2239000000, 0.3266000000, 0.3948000000, 0.5034000000, 0.6894000000, 1.0716000000, 1.9117000000, 3.7156000000");
}
related_pin : "A3";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0549400000, 0.1143400000, 0.1633800000, 0.2645800000, 0.4747100000, 0.9088200000, 1.7919500000, 3.5665000000", \
"0.0548900000, 0.1143000000, 0.1634200000, 0.2645200000, 0.4745000000, 0.9088500000, 1.7925500000, 3.5654000000", \
"0.0549200000, 0.1143500000, 0.1633500000, 0.2645700000, 0.4748600000, 0.9093500000, 1.7920800000, 3.5676000000", \
"0.0549500000, 0.1143300000, 0.1634100000, 0.2645600000, 0.4748100000, 0.9093700000, 1.7920900000, 3.5661000000", \
"0.0560700000, 0.1154200000, 0.1644600000, 0.2652700000, 0.4750400000, 0.9089300000, 1.7922800000, 3.5663000000", \
"0.0647300000, 0.1243800000, 0.1719800000, 0.2704800000, 0.4773200000, 0.9092700000, 1.7927000000, 3.5678000000", \
"0.0853000000, 0.1488200000, 0.1962300000, 0.2909700000, 0.4912000000, 0.9159000000, 1.7939000000, 3.5656000000", \
"0.1057000000, 0.1727000000, 0.2208000000, 0.3136000000, 0.5115000000, 0.9300000000, 1.7988000000, 3.5679000000", \
"0.1594000000, 0.2344000000, 0.2865000000, 0.3770000000, 0.5676000000, 0.9827000000, 1.8383000000, 3.5789000000", \
"0.1859000000, 0.2638000000, 0.3186000000, 0.4099000000, 0.5966000000, 1.0090000000, 1.8685000000, 3.6013000000", \
"0.2120000000, 0.2919000000, 0.3493000000, 0.4423000000, 0.6254000000, 1.0342000000, 1.8977000000, 3.6263000000");
}
sdf_cond : "(A0&!A1&A2&S0&S1)";
timing_sense : "positive_unate";
when : "(A0&!A1&A2&S0&S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4266500000, 0.5222200000, 0.5911800000, 0.7070800000, 0.9041500000, 1.2645700000, 1.9638700000, 3.3645700000", \
"0.4321800000, 0.5277500000, 0.5967100000, 0.7126000000, 0.9096900000, 1.2700700000, 1.9693700000, 3.3700700000", \
"0.4331400000, 0.5287100000, 0.5976700000, 0.7135700000, 0.9106400000, 1.2710300000, 1.9704300000, 3.3706300000", \
"0.4368200000, 0.5323900000, 0.6013500000, 0.7172400000, 0.9143300000, 1.2747000000, 1.9739000000, 3.3746000000", \
"0.4660300000, 0.5615700000, 0.6304800000, 0.7463000000, 0.9433000000, 1.3037000000, 2.0029000000, 3.4036000000", \
"0.5327400000, 0.6281900000, 0.6969000000, 0.8123000000, 1.0088000000, 1.3687000000, 2.0675000000, 3.4682000000", \
"0.6901000000, 0.7859000000, 0.8540000000, 0.9682000000, 1.1630000000, 1.5208000000, 2.2178000000, 3.6163000000", \
"0.8507000000, 0.9479000000, 1.0166000000, 1.1308000000, 1.3246000000, 1.6800000000, 2.3740000000, 3.7701000000", \
"1.2701700000, 1.3778700000, 1.4523700000, 1.5729700000, 1.7717700000, 2.1243700000, 2.8098700000, 4.1973700000", \
"1.4734300000, 1.5861300000, 1.6642300000, 1.7900300000, 1.9960300000, 2.3516300000, 3.0342300000, 4.4158300000", \
"1.6703000000, 1.7876000000, 1.8690000000, 1.9998000000, 2.2132000000, 2.5753000000, 3.2572000000, 4.6337000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2112800000, 0.2774300000, 0.3243800000, 0.4062500000, 0.5583100000, 0.8540200000, 1.4452700000, 2.6302700000", \
"0.2142700000, 0.2804200000, 0.3273700000, 0.4092400000, 0.5613100000, 0.8571000000, 1.4477700000, 2.6327700000", \
"0.2144500000, 0.2805900000, 0.3275400000, 0.4094200000, 0.5613900000, 0.8572600000, 1.4484300000, 2.6329300000", \
"0.2153700000, 0.2815100000, 0.3284300000, 0.4102900000, 0.5623100000, 0.8579600000, 1.4492000000, 2.6325000000", \
"0.2232400000, 0.2891800000, 0.3358300000, 0.4172900000, 0.5689600000, 0.8645000000, 1.4551000000, 2.6391000000", \
"0.2277900000, 0.2966000000, 0.3439200000, 0.4251300000, 0.5758800000, 0.8700000000, 1.4595000000, 2.6434000000", \
"0.1989800000, 0.2758100000, 0.3270000000, 0.4115000000, 0.5638000000, 0.8564000000, 1.4440000000, 2.6250000000", \
"0.1435000000, 0.2280000000, 0.2830000000, 0.3716000000, 0.5274000000, 0.8236000000, 1.4084000000, 2.5868000000", \
"-0.0683300000, 0.0339700000, 0.0990700000, 0.1994700000, 0.3644700000, 0.6714700000, 1.2704700000, 2.4452700000", \
"-0.1942700000, -0.0845700000, -0.0145700000, 0.0919300000, 0.2622300000, 0.5728300000, 1.1809300000, 2.3622300000", \
"-0.3260000000, -0.2098000000, -0.1353000000, -0.0228000000, 0.1532000000, 0.4670000000, 1.0812000000, 2.2755000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1162700000, 0.2014400000, 0.2612100000, 0.3639900000, 0.5661500000, 0.9887600000, 1.8796000000, 3.7127000000", \
"0.1162500000, 0.2014000000, 0.2611400000, 0.3642000000, 0.5659100000, 0.9886400000, 1.8809000000, 3.7072000000", \
"0.1162900000, 0.2014200000, 0.2611900000, 0.3642300000, 0.5660200000, 0.9885000000, 1.8808000000, 3.7121000000", \
"0.1163000000, 0.2014400000, 0.2612100000, 0.3640400000, 0.5660900000, 0.9889200000, 1.8801000000, 3.7110000000", \
"0.1162800000, 0.2014100000, 0.2612500000, 0.3642700000, 0.5658300000, 0.9888000000, 1.8799000000, 3.7125000000", \
"0.1163200000, 0.2016700000, 0.2617200000, 0.3649800000, 0.5666000000, 0.9893000000, 1.8806000000, 3.7128000000", \
"0.1224000000, 0.2076000000, 0.2674000000, 0.3699000000, 0.5705000000, 0.9913000000, 1.8806000000, 3.7085000000", \
"0.1337000000, 0.2199000000, 0.2794000000, 0.3815000000, 0.5789000000, 0.9948000000, 1.8817000000, 3.7127000000", \
"0.1798000000, 0.2732000000, 0.3351000000, 0.4360000000, 0.6223000000, 1.0206000000, 1.8904000000, 3.7121000000", \
"0.2024000000, 0.3008000000, 0.3656000000, 0.4700000000, 0.6549000000, 1.0427000000, 1.8995000000, 3.7165000000", \
"0.2239000000, 0.3266000000, 0.3949000000, 0.5035000000, 0.6895000000, 1.0716000000, 1.9117000000, 3.7155000000");
}
related_pin : "A3";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0548600000, 0.1142500000, 0.1634100000, 0.2646400000, 0.4745000000, 0.9087500000, 1.7917800000, 3.5669000000", \
"0.0548500000, 0.1142400000, 0.1633000000, 0.2646300000, 0.4744700000, 0.9085500000, 1.7916000000, 3.5695000000", \
"0.0548800000, 0.1142500000, 0.1634400000, 0.2645200000, 0.4745700000, 0.9085500000, 1.7920900000, 3.5670000000", \
"0.0549200000, 0.1142900000, 0.1634800000, 0.2645700000, 0.4749600000, 0.9085700000, 1.7927200000, 3.5665000000", \
"0.0560500000, 0.1153800000, 0.1644400000, 0.2652100000, 0.4754000000, 0.9085100000, 1.7919800000, 3.5696000000", \
"0.0646900000, 0.1243600000, 0.1719500000, 0.2704400000, 0.4775600000, 0.9095300000, 1.7922000000, 3.5674000000", \
"0.0852800000, 0.1487200000, 0.1962200000, 0.2909700000, 0.4912000000, 0.9161000000, 1.7939000000, 3.5657000000", \
"0.1058000000, 0.1726000000, 0.2209000000, 0.3135000000, 0.5115000000, 0.9300000000, 1.7983000000, 3.5657000000", \
"0.1595000000, 0.2344000000, 0.2865000000, 0.3770000000, 0.5675000000, 0.9827000000, 1.8383000000, 3.5787000000", \
"0.1858000000, 0.2638000000, 0.3186000000, 0.4099000000, 0.5966000000, 1.0091000000, 1.8685000000, 3.6009000000", \
"0.2120000000, 0.2919000000, 0.3494000000, 0.4422000000, 0.6253000000, 1.0342000000, 1.8978000000, 3.6261000000");
}
sdf_cond : "(A0&A1&!A2&S0&S1)";
timing_sense : "positive_unate";
when : "(A0&A1&!A2&S0&S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4266500000, 0.5222200000, 0.5911800000, 0.7070700000, 0.9041500000, 1.2645700000, 1.9638700000, 3.3646700000", \
"0.4321800000, 0.5277500000, 0.5967100000, 0.7126100000, 0.9096800000, 1.2700700000, 1.9693700000, 3.3698700000", \
"0.4331400000, 0.5287100000, 0.5976600000, 0.7135700000, 0.9106400000, 1.2710300000, 1.9703300000, 3.3709300000", \
"0.4368200000, 0.5323900000, 0.6013400000, 0.7172400000, 0.9143300000, 1.2747000000, 1.9739000000, 3.3745000000", \
"0.4660300000, 0.5615700000, 0.6304800000, 0.7463100000, 0.9433000000, 1.3037000000, 2.0030000000, 3.4039000000", \
"0.5327400000, 0.6281900000, 0.6969000000, 0.8123000000, 1.0088000000, 1.3687000000, 2.0676000000, 3.4678000000", \
"0.6901000000, 0.7859000000, 0.8540000000, 0.9682000000, 1.1630000000, 1.5208000000, 2.2177000000, 3.6166000000", \
"0.8507000000, 0.9479000000, 1.0166000000, 1.1308000000, 1.3246000000, 1.6799000000, 2.3739000000, 3.7702000000", \
"1.2701700000, 1.3778700000, 1.4523700000, 1.5729700000, 1.7717700000, 2.1243700000, 2.8098700000, 4.1965700000", \
"1.4734300000, 1.5861300000, 1.6642300000, 1.7900300000, 1.9960300000, 2.3516300000, 3.0342300000, 4.4160300000", \
"1.6703000000, 1.7876000000, 1.8690000000, 1.9998000000, 2.2132000000, 2.5753000000, 3.2572000000, 4.6334000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2112800000, 0.2774300000, 0.3243800000, 0.4062400000, 0.5583100000, 0.8541600000, 1.4454700000, 2.6292700000", \
"0.2142700000, 0.2804200000, 0.3273700000, 0.4092300000, 0.5612900000, 0.8569200000, 1.4481700000, 2.6318700000", \
"0.2144400000, 0.2805900000, 0.3275400000, 0.4094100000, 0.5614000000, 0.8572700000, 1.4482300000, 2.6318300000", \
"0.2153700000, 0.2815000000, 0.3284300000, 0.4102800000, 0.5622500000, 0.8581200000, 1.4492000000, 2.6342000000", \
"0.2232400000, 0.2891700000, 0.3358300000, 0.4172700000, 0.5689700000, 0.8645000000, 1.4560000000, 2.6397000000", \
"0.2277800000, 0.2965900000, 0.3439100000, 0.4251300000, 0.5758100000, 0.8701000000, 1.4595000000, 2.6438000000", \
"0.1989700000, 0.2758000000, 0.3270000000, 0.4114000000, 0.5638000000, 0.8564000000, 1.4439000000, 2.6250000000", \
"0.1435000000, 0.2280000000, 0.2830000000, 0.3716000000, 0.5274000000, 0.8235000000, 1.4084000000, 2.5876000000", \
"-0.0683300000, 0.0338700000, 0.0990700000, 0.1994700000, 0.3644700000, 0.6714700000, 1.2704700000, 2.4452700000", \
"-0.1942700000, -0.0845700000, -0.0145700000, 0.0919300000, 0.2622300000, 0.5728300000, 1.1808300000, 2.3622300000", \
"-0.3260000000, -0.2098000000, -0.1353000000, -0.0228000000, 0.1532000000, 0.4670000000, 1.0812000000, 2.2755000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1163000000, 0.2014000000, 0.2611500000, 0.3642100000, 0.5659500000, 0.9884600000, 1.8810000000, 3.7140000000", \
"0.1162500000, 0.2013800000, 0.2611500000, 0.3642300000, 0.5661200000, 0.9887200000, 1.8797000000, 3.7128000000", \
"0.1162900000, 0.2014300000, 0.2612000000, 0.3642300000, 0.5661200000, 0.9886000000, 1.8799000000, 3.7106000000", \
"0.1163000000, 0.2014400000, 0.2612100000, 0.3640400000, 0.5660900000, 0.9889200000, 1.8800000000, 3.7117000000", \
"0.1163000000, 0.2014100000, 0.2612200000, 0.3640900000, 0.5658200000, 0.9886000000, 1.8797000000, 3.7122000000", \
"0.1162800000, 0.2016700000, 0.2617400000, 0.3649800000, 0.5666000000, 0.9893000000, 1.8798000000, 3.7119000000", \
"0.1225000000, 0.2075000000, 0.2674000000, 0.3699000000, 0.5705000000, 0.9913000000, 1.8803000000, 3.7137000000", \
"0.1337000000, 0.2199000000, 0.2794000000, 0.3815000000, 0.5788000000, 0.9949000000, 1.8814000000, 3.7129000000", \
"0.1798000000, 0.2732000000, 0.3350000000, 0.4360000000, 0.6224000000, 1.0206000000, 1.8906000000, 3.7130000000", \
"0.2024000000, 0.3008000000, 0.3656000000, 0.4700000000, 0.6549000000, 1.0426000000, 1.8994000000, 3.7169000000", \
"0.2239000000, 0.3266000000, 0.3949000000, 0.5035000000, 0.6895000000, 1.0717000000, 1.9117000000, 3.7159000000");
}
related_pin : "A3";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0548900000, 0.1142900000, 0.1633500000, 0.2643800000, 0.4746700000, 0.9084400000, 1.7923900000, 3.5658000000", \
"0.0548600000, 0.1142500000, 0.1633400000, 0.2646400000, 0.4743300000, 0.9086600000, 1.7936000000, 3.5667000000", \
"0.0548900000, 0.1142600000, 0.1634600000, 0.2645300000, 0.4747200000, 0.9088600000, 1.7923000000, 3.5666000000", \
"0.0549600000, 0.1143000000, 0.1633800000, 0.2645800000, 0.4747200000, 0.9088800000, 1.7922100000, 3.5669000000", \
"0.0560700000, 0.1153900000, 0.1644200000, 0.2652200000, 0.4750200000, 0.9091300000, 1.7926900000, 3.5712000000", \
"0.0647000000, 0.1243500000, 0.1719500000, 0.2704500000, 0.4771600000, 0.9095300000, 1.7920000000, 3.5665000000", \
"0.0852800000, 0.1486200000, 0.1962300000, 0.2909800000, 0.4912000000, 0.9162000000, 1.7934000000, 3.5658000000", \
"0.1057000000, 0.1727000000, 0.2208000000, 0.3136000000, 0.5115000000, 0.9299000000, 1.7985000000, 3.5679000000", \
"0.1595000000, 0.2344000000, 0.2866000000, 0.3770000000, 0.5675000000, 0.9827000000, 1.8383000000, 3.5787000000", \
"0.1858000000, 0.2639000000, 0.3186000000, 0.4099000000, 0.5966000000, 1.0091000000, 1.8685000000, 3.6010000000", \
"0.2120000000, 0.2919000000, 0.3493000000, 0.4423000000, 0.6253000000, 1.0341000000, 1.8977000000, 3.6261000000");
}
sdf_cond : "(A0&A1&A2&S0&S1)";
timing_sense : "positive_unate";
when : "(A0&A1&A2&S0&S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3812300000, 0.4763400000, 0.5452000000, 0.6609500000, 0.8577600000, 1.2181700000, 1.9178700000, 3.3182700000", \
"0.3959900000, 0.4911600000, 0.5600100000, 0.6757300000, 0.8725400000, 1.2328700000, 1.9325700000, 3.3327700000", \
"0.3991200000, 0.4942300000, 0.5630800000, 0.6788200000, 0.8756400000, 1.2360300000, 1.9357300000, 3.3366300000", \
"0.4052700000, 0.5003600000, 0.5692100000, 0.6849600000, 0.8817900000, 1.2422000000, 1.9417000000, 3.3431000000", \
"0.4458200000, 0.5408900000, 0.6097100000, 0.7254300000, 0.9222000000, 1.2826000000, 1.9824000000, 3.3838000000", \
"0.5258600000, 0.6207400000, 0.6893000000, 0.8044000000, 1.0007000000, 1.3607000000, 2.0601000000, 3.4611000000", \
"0.6993000000, 0.7949000000, 0.8627000000, 0.9764000000, 1.1709000000, 1.5287000000, 2.2259000000, 3.6248000000", \
"0.8672000000, 0.9641000000, 1.0328000000, 1.1474000000, 1.3413000000, 1.6970000000, 2.3918000000, 3.7891000000", \
"1.3051700000, 1.4103700000, 1.4833700000, 1.6020700000, 1.7995700000, 2.1534700000, 2.8413700000, 4.2308700000", \
"1.5173300000, 1.6267300000, 1.7025300000, 1.8253300000, 2.0281300000, 2.3837300000, 3.0697300000, 4.4551300000", \
"1.7228000000, 1.8359000000, 1.9143000000, 2.0409000000, 2.2494000000, 2.6100000000, 3.2957000000, 4.6766000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1959100000, 0.2621100000, 0.3091900000, 0.3912600000, 0.5435100000, 0.8392900000, 1.4302700000, 2.6144700000", \
"0.2008300000, 0.2670300000, 0.3141200000, 0.3961800000, 0.5484400000, 0.8442500000, 1.4357700000, 2.6196700000", \
"0.2020500000, 0.2682400000, 0.3153300000, 0.3974000000, 0.5496500000, 0.8454300000, 1.4369300000, 2.6203300000", \
"0.2077300000, 0.2738900000, 0.3209500000, 0.4029800000, 0.5551900000, 0.8512600000, 1.4418000000, 2.6270000000", \
"0.2290700000, 0.2947700000, 0.3414000000, 0.4229300000, 0.5746200000, 0.8703000000, 1.4609000000, 2.6445000000", \
"0.2425300000, 0.3116100000, 0.3591600000, 0.4406200000, 0.5913500000, 0.8856000000, 1.4745000000, 2.6584000000", \
"0.2238700000, 0.3015000000, 0.3524000000, 0.4362000000, 0.5878000000, 0.8806000000, 1.4679000000, 2.6482000000", \
"0.1776000000, 0.2624000000, 0.3171000000, 0.4043000000, 0.5579000000, 0.8523000000, 1.4372000000, 2.6161000000", \
"-0.0092300000, 0.0903700000, 0.1542700000, 0.2520700000, 0.4119700000, 0.7120700000, 1.3053700000, 2.4806700000", \
"-0.1231700000, -0.0173700000, 0.0504300000, 0.1536300000, 0.3177300000, 0.6196300000, 1.2177300000, 2.3983300000", \
"-0.2433000000, -0.1320000000, -0.0606000000, 0.0476000000, 0.2164000000, 0.5199000000, 1.1216000000, 2.3108000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1131800000, 0.1975800000, 0.2568600000, 0.3596400000, 0.5621300000, 0.9860700000, 1.8784000000, 3.7102000000", \
"0.1133900000, 0.1977100000, 0.2570900000, 0.3599500000, 0.5623300000, 0.9864600000, 1.8790000000, 3.7108000000", \
"0.1132400000, 0.1976900000, 0.2569000000, 0.3597800000, 0.5621600000, 0.9863800000, 1.8791000000, 3.7137000000", \
"0.1132000000, 0.1975900000, 0.2570100000, 0.3598500000, 0.5623500000, 0.9859900000, 1.8808000000, 3.7114000000", \
"0.1128700000, 0.1972800000, 0.2566200000, 0.3595100000, 0.5621300000, 0.9863400000, 1.8782000000, 3.7118000000", \
"0.1125500000, 0.1973700000, 0.2572200000, 0.3604800000, 0.5631000000, 0.9867000000, 1.8791000000, 3.7123000000", \
"0.1219000000, 0.2058000000, 0.2650000000, 0.3667000000, 0.5671000000, 0.9889000000, 1.8813000000, 3.7105000000", \
"0.1316000000, 0.2179000000, 0.2777000000, 0.3792000000, 0.5764000000, 0.9929000000, 1.8820000000, 3.7101000000", \
"0.1721000000, 0.2635000000, 0.3245000000, 0.4253000000, 0.6135000000, 1.0157000000, 1.8895000000, 3.7113000000", \
"0.1917000000, 0.2869000000, 0.3503000000, 0.4535000000, 0.6401000000, 1.0345000000, 1.8965000000, 3.7172000000", \
"0.2101000000, 0.3089000000, 0.3747000000, 0.4813000000, 0.6687000000, 1.0576000000, 1.9072000000, 3.7191000000");
}
related_pin : "S0";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0539600000, 0.1135500000, 0.1627900000, 0.2641400000, 0.4744300000, 0.9085600000, 1.7935900000, 3.5672000000", \
"0.0539700000, 0.1135500000, 0.1627400000, 0.2641700000, 0.4743600000, 0.9088300000, 1.7924900000, 3.5667000000", \
"0.0539700000, 0.1135000000, 0.1627700000, 0.2641500000, 0.4743100000, 0.9085800000, 1.7920600000, 3.5665000000", \
"0.0540200000, 0.1135000000, 0.1628600000, 0.2643000000, 0.4744000000, 0.9084600000, 1.7919900000, 3.5688000000", \
"0.0553000000, 0.1148600000, 0.1640400000, 0.2650000000, 0.4747300000, 0.9089300000, 1.7925200000, 3.5688000000", \
"0.0657600000, 0.1254500000, 0.1730200000, 0.2711800000, 0.4777000000, 0.9094400000, 1.7932000000, 3.5669000000", \
"0.0868000000, 0.1495800000, 0.1958400000, 0.2898000000, 0.4905000000, 0.9156000000, 1.7937000000, 3.5665000000", \
"0.1050000000, 0.1719000000, 0.2189000000, 0.3101000000, 0.5066000000, 0.9265000000, 1.7979000000, 3.5666000000", \
"0.1520000000, 0.2261000000, 0.2770000000, 0.3647000000, 0.5526000000, 0.9662000000, 1.8288000000, 3.5809000000", \
"0.1747000000, 0.2509000000, 0.3043000000, 0.3922000000, 0.5761000000, 0.9855000000, 1.8491000000, 3.5943000000", \
"0.1958000000, 0.2742000000, 0.3298000000, 0.4190000000, 0.5991000000, 1.0049000000, 1.8721000000, 3.6126000000");
}
sdf_cond : "(!A0&!A1&!A2&A3&S1)";
timing_sense : "positive_unate";
when : "(!A0&!A1&!A2&A3&S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4830400000, 0.5786100000, 0.6475200000, 0.7633300000, 0.9603500000, 1.3207700000, 2.0198700000, 3.4204700000", \
"0.4880600000, 0.5836300000, 0.6525400000, 0.7683600000, 0.9653700000, 1.3257700000, 2.0249700000, 3.4250700000", \
"0.4895300000, 0.5851000000, 0.6540100000, 0.7698300000, 0.9668400000, 1.3272300000, 2.0264300000, 3.4271300000", \
"0.4968200000, 0.5923800000, 0.6613100000, 0.7771300000, 0.9742000000, 1.3345000000, 2.0338000000, 3.4345000000", \
"0.5087800000, 0.6043100000, 0.6732400000, 0.7890700000, 0.9861000000, 1.3465000000, 2.0458000000, 3.4465000000", \
"0.5107700000, 0.6064500000, 0.6756000000, 0.7917000000, 0.9889000000, 1.3495000000, 2.0490000000, 3.4496000000", \
"0.5090000000, 0.6028000000, 0.6702000000, 0.7839000000, 0.9795000000, 1.3397000000, 2.0394000000, 3.4398000000", \
"0.4890000000, 0.5825000000, 0.6500000000, 0.7636000000, 0.9585000000, 1.3170000000, 2.0163000000, 3.4167000000", \
"0.3908700000, 0.4851700000, 0.5530700000, 0.6675700000, 0.8650700000, 1.2272700000, 1.9243700000, 3.3225700000", \
"0.3297300000, 0.4244300000, 0.4925300000, 0.6075300000, 0.8067300000, 1.1688300000, 1.8659300000, 3.2615300000", \
"0.2649000000, 0.3599000000, 0.4281000000, 0.5438000000, 0.7436000000, 1.1057000000, 1.8033000000, 3.1969000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3269800000, 0.3933900000, 0.4402600000, 0.5218900000, 0.6737200000, 0.9692400000, 1.5606700000, 2.7453700000", \
"0.3338400000, 0.4002500000, 0.4471200000, 0.5287500000, 0.6805600000, 0.9761300000, 1.5667700000, 2.7503700000", \
"0.3410900000, 0.4075100000, 0.4543800000, 0.5359900000, 0.6877600000, 0.9834300000, 1.5738300000, 2.7581300000", \
"0.3510200000, 0.4174300000, 0.4643000000, 0.5459500000, 0.6977800000, 0.9931000000, 1.5841000000, 2.7676000000", \
"0.4012800000, 0.4676900000, 0.5145600000, 0.5961800000, 0.7479700000, 1.0435000000, 1.6350000000, 2.8179000000", \
"0.4743300000, 0.5408200000, 0.5876700000, 0.6692000000, 0.8209000000, 1.1164000000, 1.7068000000, 2.8919000000", \
"0.6048000000, 0.6709000000, 0.7174000000, 0.7986000000, 0.9501000000, 1.2451000000, 1.8354000000, 3.0188000000", \
"0.7203000000, 0.7869000000, 0.8335000000, 0.9146000000, 1.0654000000, 1.3600000000, 1.9505000000, 3.1346000000", \
"0.9993700000, 1.0682700000, 1.1157700000, 1.1969700000, 1.3484700000, 1.6432700000, 2.2312700000, 3.4148700000", \
"1.1312300000, 1.2016300000, 1.2495300000, 1.3312300000, 1.4832300000, 1.7776300000, 2.3652300000, 3.5466300000", \
"1.2581000000, 1.3297000000, 1.3782000000, 1.4603000000, 1.6128000000, 1.9069000000, 2.4944000000, 3.6747000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1162600000, 0.2012500000, 0.2610500000, 0.3641700000, 0.5660300000, 0.9888500000, 1.8805000000, 3.7109000000", \
"0.1162400000, 0.2013800000, 0.2611400000, 0.3641600000, 0.5660000000, 0.9888300000, 1.8809000000, 3.7125000000", \
"0.1162000000, 0.2013600000, 0.2611600000, 0.3641600000, 0.5659900000, 0.9888100000, 1.8804000000, 3.7135000000", \
"0.1162600000, 0.2013000000, 0.2610800000, 0.3641800000, 0.5658500000, 0.9887900000, 1.8811000000, 3.7127000000", \
"0.1162300000, 0.2013500000, 0.2609800000, 0.3642200000, 0.5660100000, 0.9888000000, 1.8812000000, 3.7087000000", \
"0.1162300000, 0.2010400000, 0.2606300000, 0.3633800000, 0.5654000000, 0.9884000000, 1.8807000000, 3.7111000000", \
"0.1124000000, 0.1954000000, 0.2538000000, 0.3569000000, 0.5607000000, 0.9863000000, 1.8806000000, 3.7116000000", \
"0.1131000000, 0.1963000000, 0.2551000000, 0.3577000000, 0.5597000000, 0.9846000000, 1.8783000000, 3.7091000000", \
"0.1155000000, 0.1997000000, 0.2590000000, 0.3637000000, 0.5688000000, 0.9912000000, 1.8760000000, 3.7109000000", \
"0.1168000000, 0.2011000000, 0.2611000000, 0.3673000000, 0.5724000000, 0.9933000000, 1.8753000000, 3.7066000000", \
"0.1179000000, 0.2026000000, 0.2633000000, 0.3707000000, 0.5751000000, 0.9950000000, 1.8770000000, 3.7023000000");
}
related_pin : "S0";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0566600000, 0.1161600000, 0.1651200000, 0.2657900000, 0.4751800000, 0.9091800000, 1.7932900000, 3.5669000000", \
"0.0566600000, 0.1162000000, 0.1650900000, 0.2659200000, 0.4757900000, 0.9090000000, 1.7924200000, 3.5687000000", \
"0.0566500000, 0.1162500000, 0.1650800000, 0.2658300000, 0.4751800000, 0.9089000000, 1.7940100000, 3.5695000000", \
"0.0566300000, 0.1161400000, 0.1650000000, 0.2657700000, 0.4753400000, 0.9087400000, 1.7918500000, 3.5660000000", \
"0.0566800000, 0.1161700000, 0.1650800000, 0.2658400000, 0.4751500000, 0.9089700000, 1.7924000000, 3.5657000000", \
"0.0572500000, 0.1166900000, 0.1656200000, 0.2661100000, 0.4753200000, 0.9090000000, 1.7940000000, 3.5685000000", \
"0.0577000000, 0.1168000000, 0.1655000000, 0.2662000000, 0.4755000000, 0.9100000000, 1.7916000000, 3.5650000000", \
"0.0598000000, 0.1192000000, 0.1677000000, 0.2676000000, 0.4763000000, 0.9100000000, 1.7927000000, 3.5663000000", \
"0.0669000000, 0.1268000000, 0.1745000000, 0.2734000000, 0.4811000000, 0.9115000000, 1.7916000000, 3.5647000000", \
"0.0704000000, 0.1308000000, 0.1784000000, 0.2770000000, 0.4839000000, 0.9129000000, 1.7912000000, 3.5649000000", \
"0.0739000000, 0.1348000000, 0.1822000000, 0.2805000000, 0.4866000000, 0.9145000000, 1.7928000000, 3.5631000000");
}
sdf_cond : "(!A0&!A1&A2&!A3&S1)";
timing_sense : "negative_unate";
when : "(!A0&!A1&A2&!A3&S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3141100000, 0.4053400000, 0.4718700000, 0.5839200000, 0.7767600000, 1.1342700000, 1.8336700000, 3.2362700000", \
"0.3259800000, 0.4172300000, 0.4837400000, 0.5958100000, 0.7886000000, 1.1460700000, 1.8454700000, 3.2473700000", \
"0.3269600000, 0.4182100000, 0.4847400000, 0.5968200000, 0.7896400000, 1.1471300000, 1.8467300000, 3.2489300000", \
"0.3359400000, 0.4271400000, 0.4936600000, 0.6057300000, 0.7985700000, 1.1561000000, 1.8556000000, 3.2586000000", \
"0.3805400000, 0.4716500000, 0.5380700000, 0.6500000000, 0.8428000000, 1.2003000000, 1.8998000000, 3.3025000000", \
"0.4625900000, 0.5530900000, 0.6189300000, 0.7301000000, 0.9221000000, 1.2790000000, 1.9780000000, 3.3799000000", \
"0.6309000000, 0.7225000000, 0.7881000000, 0.8979000000, 1.0878000000, 1.4423000000, 2.1387000000, 3.5396000000", \
"0.7920000000, 0.8855000000, 0.9515000000, 1.0620000000, 1.2515000000, 1.6035000000, 2.2972000000, 3.6957000000", \
"1.2005700000, 1.3040700000, 1.3758700000, 1.4929700000, 1.6879700000, 2.0386700000, 2.7248700000, 4.1149700000", \
"1.3977300000, 1.5055300000, 1.5803300000, 1.7016300000, 1.9028300000, 2.2573300000, 2.9416300000, 4.3272300000", \
"1.5886000000, 1.7001000000, 1.7775000000, 1.9028000000, 2.1099000000, 2.4708000000, 3.1560000000, 4.5372000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1732700000, 0.2379200000, 0.2842000000, 0.3655600000, 0.5172200000, 0.8129800000, 1.4046700000, 2.5889700000", \
"0.1765000000, 0.2411300000, 0.2874100000, 0.3687800000, 0.5203600000, 0.8163800000, 1.4075700000, 2.5927700000", \
"0.1779700000, 0.2425900000, 0.2888700000, 0.3702300000, 0.5218300000, 0.8178700000, 1.4092300000, 2.5931300000", \
"0.1839400000, 0.2485300000, 0.2947700000, 0.3760900000, 0.5277600000, 0.8237500000, 1.4152000000, 2.6008000000", \
"0.2046900000, 0.2687400000, 0.3144900000, 0.3952500000, 0.5463000000, 0.8417000000, 1.4337000000, 2.6163000000", \
"0.2164400000, 0.2838800000, 0.3304000000, 0.4109500000, 0.5610400000, 0.8551000000, 1.4451000000, 2.6283000000", \
"0.1979300000, 0.2736800000, 0.3237000000, 0.4065000000, 0.5574000000, 0.8497000000, 1.4372000000, 2.6179000000", \
"0.1540000000, 0.2367000000, 0.2903000000, 0.3764000000, 0.5296000000, 0.8241000000, 1.4092000000, 2.5882000000", \
"-0.0219300000, 0.0756700000, 0.1381700000, 0.2343700000, 0.3934700000, 0.6945700000, 1.2901700000, 2.4646700000", \
"-0.1283700000, -0.0245700000, 0.0417300000, 0.1431300000, 0.3061300000, 0.6090300000, 1.2100300000, 2.3917300000", \
"-0.2404000000, -0.1313000000, -0.0613000000, 0.0449000000, 0.2121000000, 0.5166000000, 1.1218000000, 2.3136000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1001900000, 0.1807800000, 0.2382300000, 0.3402900000, 0.5450100000, 0.9743300000, 1.8734300000, 3.7058000000", \
"0.1005000000, 0.1810300000, 0.2385300000, 0.3403800000, 0.5451600000, 0.9747400000, 1.8729200000, 3.7095000000", \
"0.1002100000, 0.1808100000, 0.2383000000, 0.3402400000, 0.5451900000, 0.9746700000, 1.8735800000, 3.7100000000", \
"0.1001400000, 0.1807200000, 0.2382200000, 0.3402200000, 0.5449100000, 0.9744300000, 1.8744000000, 3.7107000000", \
"0.0995600000, 0.1802700000, 0.2379400000, 0.3401100000, 0.5450600000, 0.9746700000, 1.8734000000, 3.7126000000", \
"0.0990600000, 0.1805100000, 0.2386400000, 0.3410500000, 0.5456000000, 0.9751000000, 1.8737000000, 3.7111000000", \
"0.1101000000, 0.1916000000, 0.2488000000, 0.3490000000, 0.5510000000, 0.9768000000, 1.8760000000, 3.7123000000", \
"0.1246000000, 0.2065000000, 0.2636000000, 0.3625000000, 0.5607000000, 0.9816000000, 1.8752000000, 3.7103000000", \
"0.1685000000, 0.2579000000, 0.3176000000, 0.4166000000, 0.6041000000, 1.0065000000, 1.8842000000, 3.7137000000", \
"0.1887000000, 0.2821000000, 0.3444000000, 0.4467000000, 0.6335000000, 1.0277000000, 1.8919000000, 3.7106000000", \
"0.2077000000, 0.3047000000, 0.3693000000, 0.4747000000, 0.6634000000, 1.0549000000, 1.9050000000, 3.7156000000");
}
related_pin : "S0";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0511100000, 0.1097800000, 0.1591800000, 0.2612800000, 0.4725700000, 0.9081700000, 1.7927000000, 3.5699000000", \
"0.0510300000, 0.1097300000, 0.1591700000, 0.2612500000, 0.4722700000, 0.9088400000, 1.7920700000, 3.5664000000", \
"0.0510400000, 0.1096600000, 0.1591800000, 0.2612600000, 0.4724200000, 0.9080600000, 1.7919000000, 3.5681000000", \
"0.0510100000, 0.1097300000, 0.1592600000, 0.2612400000, 0.4726000000, 0.9080600000, 1.7923900000, 3.5661000000", \
"0.0531100000, 0.1113100000, 0.1606200000, 0.2622100000, 0.4728000000, 0.9083200000, 1.7928100000, 3.5662000000", \
"0.0631000000, 0.1216200000, 0.1692900000, 0.2679300000, 0.4759800000, 0.9086100000, 1.7928000000, 3.5669000000", \
"0.0836600000, 0.1455200000, 0.1919600000, 0.2864600000, 0.4882000000, 0.9138000000, 1.7934000000, 3.5664000000", \
"0.1022000000, 0.1675000000, 0.2143000000, 0.3060000000, 0.5045000000, 0.9260000000, 1.7976000000, 3.5679000000", \
"0.1487000000, 0.2209000000, 0.2710000000, 0.3594000000, 0.5494000000, 0.9673000000, 1.8305000000, 3.5780000000", \
"0.1709000000, 0.2452000000, 0.2978000000, 0.3858000000, 0.5721000000, 0.9868000000, 1.8527000000, 3.5934000000", \
"0.1918000000, 0.2680000000, 0.3229000000, 0.4117000000, 0.5939000000, 1.0052000000, 1.8744000000, 3.6161000000");
}
sdf_cond : "(!A0&A1&!A2&!A3&!S1)";
timing_sense : "positive_unate";
when : "(!A0&A1&!A2&!A3&!S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2987100000, 0.3896400000, 0.4561200000, 0.5681500000, 0.7610900000, 1.1188700000, 1.8186700000, 3.2216700000", \
"0.3100600000, 0.4010100000, 0.4675000000, 0.5795400000, 0.7724400000, 1.1301700000, 1.8297700000, 3.2326700000", \
"0.3111200000, 0.4020400000, 0.4685100000, 0.5805600000, 0.7735000000, 1.1312300000, 1.8310300000, 3.2340300000", \
"0.3199500000, 0.4108300000, 0.4773000000, 0.5893500000, 0.7823000000, 1.1401000000, 1.8396000000, 3.2423000000", \
"0.3648600000, 0.4555900000, 0.5219200000, 0.6338100000, 0.8266000000, 1.1844000000, 1.8841000000, 3.2872000000", \
"0.4469200000, 0.5368100000, 0.6024400000, 0.7135000000, 0.9055000000, 1.2626000000, 1.9619000000, 3.3639000000", \
"0.6131000000, 0.7043000000, 0.7698000000, 0.8796000000, 1.0696000000, 1.4243000000, 2.1214000000, 3.5218000000", \
"0.7720000000, 0.8654000000, 0.9314000000, 1.0417000000, 1.2314000000, 1.5837000000, 2.2776000000, 3.6761000000", \
"1.1733700000, 1.2771700000, 1.3492700000, 1.4668700000, 1.6626700000, 2.0140700000, 2.7006700000, 4.0907700000", \
"1.3671300000, 1.4752300000, 1.5503300000, 1.6723300000, 1.8745300000, 2.2302300000, 2.9152300000, 4.3013300000", \
"1.5548000000, 1.6666000000, 1.7444000000, 1.8703000000, 2.0786000000, 2.4410000000, 3.1273000000, 4.5091000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1678100000, 0.2324100000, 0.2786800000, 0.3600400000, 0.5116700000, 0.8075200000, 1.3991700000, 2.5828700000", \
"0.1710100000, 0.2356000000, 0.2818800000, 0.3632400000, 0.5148600000, 0.8106900000, 1.4016700000, 2.5865700000", \
"0.1723200000, 0.2369100000, 0.2831900000, 0.3645400000, 0.5162000000, 0.8121600000, 1.4039300000, 2.5884300000", \
"0.1785100000, 0.2430500000, 0.2892900000, 0.3705900000, 0.5222400000, 0.8180700000, 1.4099000000, 2.5945000000", \
"0.1981300000, 0.2623100000, 0.3080800000, 0.3888200000, 0.5399100000, 0.8353000000, 1.4264000000, 2.6108000000", \
"0.2076700000, 0.2756600000, 0.3223700000, 0.4030600000, 0.5531700000, 0.8471000000, 1.4372000000, 2.6200000000", \
"0.1863500000, 0.2632600000, 0.3137000000, 0.3970000000, 0.5483000000, 0.8408000000, 1.4281000000, 2.6095000000", \
"0.1407000000, 0.2250000000, 0.2793000000, 0.3661000000, 0.5197000000, 0.8148000000, 1.3999000000, 2.5783000000", \
"-0.0358300000, 0.0629700000, 0.1263700000, 0.2237700000, 0.3837700000, 0.6856700000, 1.2818700000, 2.4567700000", \
"-0.1420700000, -0.0373700000, 0.0300300000, 0.1326300000, 0.2968300000, 0.6006300000, 1.2030300000, 2.3841300000", \
"-0.2537000000, -0.1438000000, -0.0730000000, 0.0347000000, 0.2034000000, 0.5090000000, 1.1152000000, 2.3076000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0977900000, 0.1781700000, 0.2358800000, 0.3382200000, 0.5437200000, 0.9736000000, 1.8740400000, 3.7097000000", \
"0.0981800000, 0.1785000000, 0.2361800000, 0.3384900000, 0.5441200000, 0.9735500000, 1.8743700000, 3.7104000000", \
"0.0977400000, 0.1781300000, 0.2357000000, 0.3382000000, 0.5436600000, 0.9737400000, 1.8739900000, 3.7124000000", \
"0.0976900000, 0.1780200000, 0.2357200000, 0.3381100000, 0.5434900000, 0.9736900000, 1.8735000000, 3.7097000000", \
"0.0969200000, 0.1773900000, 0.2352400000, 0.3378600000, 0.5435700000, 0.9737100000, 1.8752000000, 3.7118000000", \
"0.0966700000, 0.1778500000, 0.2362400000, 0.3390600000, 0.5446900000, 0.9745000000, 1.8733000000, 3.7115000000", \
"0.1083000000, 0.1897000000, 0.2471000000, 0.3476000000, 0.5498000000, 0.9766000000, 1.8750000000, 3.7101000000", \
"0.1239000000, 0.2055000000, 0.2625000000, 0.3615000000, 0.5601000000, 0.9812000000, 1.8751000000, 3.7094000000", \
"0.1682000000, 0.2582000000, 0.3181000000, 0.4175000000, 0.6049000000, 1.0072000000, 1.8831000000, 3.7131000000", \
"0.1887000000, 0.2825000000, 0.3453000000, 0.4478000000, 0.6350000000, 1.0295000000, 1.8919000000, 3.7114000000", \
"0.2078000000, 0.3052000000, 0.3700000000, 0.4762000000, 0.6652000000, 1.0563000000, 1.9064000000, 3.7145000000");
}
related_pin : "S0";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0507200000, 0.1093900000, 0.1588900000, 0.2610300000, 0.4723700000, 0.9082000000, 1.7923600000, 3.5661000000", \
"0.0506800000, 0.1093500000, 0.1589000000, 0.2610300000, 0.4723400000, 0.9081100000, 1.7921000000, 3.5674000000", \
"0.0506800000, 0.1094600000, 0.1588700000, 0.2610200000, 0.4724200000, 0.9079700000, 1.7934000000, 3.5683000000", \
"0.0507300000, 0.1094400000, 0.1589300000, 0.2609200000, 0.4724100000, 0.9086900000, 1.7920500000, 3.5680000000", \
"0.0534200000, 0.1114200000, 0.1605800000, 0.2621000000, 0.4729900000, 0.9077400000, 1.7925100000, 3.5667000000", \
"0.0640100000, 0.1225600000, 0.1699800000, 0.2685700000, 0.4761000000, 0.9094600000, 1.7923000000, 3.5676000000", \
"0.0854600000, 0.1476800000, 0.1938200000, 0.2877700000, 0.4889000000, 0.9148000000, 1.7939000000, 3.5665000000", \
"0.1040000000, 0.1701000000, 0.2169000000, 0.3083000000, 0.5060000000, 0.9267000000, 1.7980000000, 3.5666000000", \
"0.1500000000, 0.2237000000, 0.2744000000, 0.3624000000, 0.5520000000, 0.9690000000, 1.8317000000, 3.5777000000", \
"0.1719000000, 0.2477000000, 0.3010000000, 0.3896000000, 0.5753000000, 0.9889000000, 1.8551000000, 3.5975000000", \
"0.1928000000, 0.2702000000, 0.3259000000, 0.4156000000, 0.5980000000, 1.0076000000, 1.8771000000, 3.6200000000");
}
sdf_cond : "(!A0&A1&!A2&A3&!S1)";
timing_sense : "positive_unate";
when : "(!A0&A1&!A2&A3&!S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3690600000, 0.4642200000, 0.5331500000, 0.6489800000, 0.8458800000, 1.2063700000, 1.9059700000, 3.3074700000", \
"0.3835600000, 0.4787800000, 0.5476900000, 0.6635000000, 0.8603600000, 1.2207700000, 1.9204700000, 3.3215700000", \
"0.3862900000, 0.4814400000, 0.5503500000, 0.6661700000, 0.8630600000, 1.2234300000, 1.9230300000, 3.3243300000", \
"0.3926200000, 0.4877600000, 0.5566800000, 0.6725100000, 0.8694400000, 1.2299000000, 1.9296000000, 3.3309000000", \
"0.4332800000, 0.5284100000, 0.5973000000, 0.7130900000, 0.9100000000, 1.2705000000, 1.9703000000, 3.3715000000", \
"0.5133300000, 0.6081900000, 0.6767000000, 0.7919000000, 0.9883000000, 1.3482000000, 2.0473000000, 3.4486000000", \
"0.6853000000, 0.7812000000, 0.8491000000, 0.9629000000, 1.1574000000, 1.5151000000, 2.2125000000, 3.6116000000", \
"0.8521000000, 0.9492000000, 1.0178000000, 1.1325000000, 1.3266000000, 1.6822000000, 2.3765000000, 3.7730000000", \
"1.2854700000, 1.3910700000, 1.4643700000, 1.5834700000, 1.7812700000, 2.1351700000, 2.8227700000, 4.2118700000", \
"1.4951300000, 1.6050300000, 1.6811300000, 1.8043300000, 2.0077300000, 2.3636300000, 3.0495300000, 4.4343300000", \
"1.6982000000, 1.8118000000, 1.8906000000, 2.0176000000, 2.2269000000, 2.5880000000, 3.2737000000, 4.6540000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1916800000, 0.2578800000, 0.3049600000, 0.3870200000, 0.5392100000, 0.8352200000, 1.4261700000, 2.6106700000", \
"0.1965500000, 0.2627500000, 0.3098400000, 0.3918800000, 0.5441400000, 0.8400000000, 1.4314700000, 2.6151700000", \
"0.1978000000, 0.2639900000, 0.3110800000, 0.3931100000, 0.5452800000, 0.8412700000, 1.4321300000, 2.6180300000", \
"0.2037300000, 0.2699000000, 0.3169500000, 0.3989600000, 0.5512200000, 0.8471100000, 1.4388000000, 2.6216000000", \
"0.2249500000, 0.2906700000, 0.3372900000, 0.4187600000, 0.5704600000, 0.8660000000, 1.4574000000, 2.6411000000", \
"0.2374100000, 0.3068100000, 0.3544600000, 0.4359800000, 0.5866500000, 0.8810000000, 1.4705000000, 2.6525000000", \
"0.2174600000, 0.2958000000, 0.3471000000, 0.4311000000, 0.5828000000, 0.8756000000, 1.4628000000, 2.6440000000", \
"0.1702000000, 0.2562000000, 0.3115000000, 0.3992000000, 0.5531000000, 0.8477000000, 1.4326000000, 2.6116000000", \
"-0.0156300000, 0.0848700000, 0.1494700000, 0.2480700000, 0.4085700000, 0.7092700000, 1.3031700000, 2.4782700000", \
"-0.1286700000, -0.0219700000, 0.0465300000, 0.1505300000, 0.3154300000, 0.6179300000, 1.2172300000, 2.3975300000", \
"-0.2479000000, -0.1359000000, -0.0639000000, 0.0453000000, 0.2150000000, 0.5193000000, 1.1219000000, 2.3116000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1132000000, 0.1975300000, 0.2568600000, 0.3597600000, 0.5622300000, 0.9859300000, 1.8793000000, 3.7126000000", \
"0.1133800000, 0.1976900000, 0.2570000000, 0.3596600000, 0.5620500000, 0.9862600000, 1.8803000000, 3.7135000000", \
"0.1133100000, 0.1976500000, 0.2570200000, 0.3597900000, 0.5620500000, 0.9861000000, 1.8791000000, 3.7077000000", \
"0.1132300000, 0.1974700000, 0.2567900000, 0.3597100000, 0.5619300000, 0.9861300000, 1.8790000000, 3.7123000000", \
"0.1128800000, 0.1972300000, 0.2566900000, 0.3596000000, 0.5618600000, 0.9858800000, 1.8782000000, 3.7084000000", \
"0.1125200000, 0.1973300000, 0.2571500000, 0.3603600000, 0.5627000000, 0.9865000000, 1.8801000000, 3.7112000000", \
"0.1226000000, 0.2068000000, 0.2658000000, 0.3673000000, 0.5675000000, 0.9890000000, 1.8798000000, 3.7086000000", \
"0.1331000000, 0.2193000000, 0.2789000000, 0.3805000000, 0.5772000000, 0.9935000000, 1.8824000000, 3.7101000000", \
"0.1748000000, 0.2667000000, 0.3278000000, 0.4284000000, 0.6160000000, 1.0177000000, 1.8892000000, 3.7164000000", \
"0.1948000000, 0.2906000000, 0.3541000000, 0.4575000000, 0.6435000000, 1.0366000000, 1.8976000000, 3.7175000000", \
"0.2135000000, 0.3127000000, 0.3787000000, 0.4854000000, 0.6727000000, 1.0606000000, 1.9090000000, 3.7160000000");
}
related_pin : "S0";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0539700000, 0.1134100000, 0.1627100000, 0.2640700000, 0.4741900000, 0.9087900000, 1.7925600000, 3.5664000000", \
"0.0540100000, 0.1135000000, 0.1626800000, 0.2641800000, 0.4741900000, 0.9088100000, 1.7922900000, 3.5662000000", \
"0.0540100000, 0.1134300000, 0.1627000000, 0.2641600000, 0.4744400000, 0.9087400000, 1.7917200000, 3.5665000000", \
"0.0540300000, 0.1134700000, 0.1627100000, 0.2642000000, 0.4741500000, 0.9085600000, 1.7923200000, 3.5652000000", \
"0.0558000000, 0.1150700000, 0.1642800000, 0.2651100000, 0.4749700000, 0.9088900000, 1.7934300000, 3.5669000000", \
"0.0669000000, 0.1264100000, 0.1738800000, 0.2716100000, 0.4779100000, 0.9094400000, 1.7922000000, 3.5649000000", \
"0.0890200000, 0.1516900000, 0.1976900000, 0.2909000000, 0.4911000000, 0.9161000000, 1.7937000000, 3.5685000000", \
"0.1078000000, 0.1747000000, 0.2215000000, 0.3118000000, 0.5079000000, 0.9272000000, 1.7983000000, 3.5657000000", \
"0.1533000000, 0.2284000000, 0.2798000000, 0.3672000000, 0.5545000000, 0.9679000000, 1.8295000000, 3.5779000000", \
"0.1760000000, 0.2534000000, 0.3069000000, 0.3949000000, 0.5783000000, 0.9873000000, 1.8512000000, 3.5932000000", \
"0.1971000000, 0.2760000000, 0.3323000000, 0.4218000000, 0.6017000000, 1.0062000000, 1.8725000000, 3.6165000000");
}
sdf_cond : "(!A0&A1&!A2&A3&S1)";
timing_sense : "positive_unate";
when : "(!A0&A1&!A2&A3&S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3341500000, 0.4245000000, 0.4903800000, 0.6017400000, 0.7939500000, 1.1508700000, 1.8497700000, 3.2518700000", \
"0.3459600000, 0.4363500000, 0.5022400000, 0.6136100000, 0.8058100000, 1.1627700000, 1.8615700000, 3.2643700000", \
"0.3469800000, 0.4373400000, 0.5032100000, 0.6145800000, 0.8067800000, 1.1637300000, 1.8628300000, 3.2652300000", \
"0.3558600000, 0.4461600000, 0.5120300000, 0.6233900000, 0.8155900000, 1.1725000000, 1.8717000000, 3.2733000000", \
"0.4003300000, 0.4905600000, 0.5563400000, 0.6675600000, 0.8597000000, 1.2166000000, 1.9157000000, 3.3178000000", \
"0.4821000000, 0.5720100000, 0.6373600000, 0.7479000000, 0.9394000000, 1.2957000000, 1.9943000000, 3.3964000000", \
"0.6522000000, 0.7432000000, 0.8081000000, 0.9173000000, 1.1068000000, 1.4608000000, 2.1573000000, 3.5576000000", \
"0.8149000000, 0.9077000000, 0.9733000000, 1.0832000000, 1.2720000000, 1.6237000000, 2.3172000000, 3.7155000000", \
"1.2287700000, 1.3310700000, 1.4021700000, 1.5182700000, 1.7119700000, 2.0621700000, 2.7483700000, 4.1387700000", \
"1.4276300000, 1.5341300000, 1.6082300000, 1.7285300000, 1.9283300000, 2.2819300000, 2.9663300000, 4.3523300000", \
"1.6197000000, 1.7300000000, 1.8067000000, 1.9310000000, 2.1368000000, 2.4964000000, 3.1814000000, 4.5633000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1751200000, 0.2406900000, 0.2875700000, 0.3695400000, 0.5219000000, 0.8181500000, 1.4086700000, 2.5944700000", \
"0.1782300000, 0.2438100000, 0.2906900000, 0.3726700000, 0.5251100000, 0.8213400000, 1.4127700000, 2.5965700000", \
"0.1796000000, 0.2451600000, 0.2920500000, 0.3740100000, 0.5263400000, 0.8223300000, 1.4139300000, 2.5981300000", \
"0.1859400000, 0.2514600000, 0.2983100000, 0.3802500000, 0.5327000000, 0.8286600000, 1.4204000000, 2.6051000000", \
"0.2076800000, 0.2725600000, 0.3188600000, 0.4001600000, 0.5519100000, 0.8475000000, 1.4388000000, 2.6225000000", \
"0.2206800000, 0.2890700000, 0.3361800000, 0.4173100000, 0.5678700000, 0.8619000000, 1.4515000000, 2.6346000000", \
"0.2038500000, 0.2811000000, 0.3319000000, 0.4155000000, 0.5666000000, 0.8589000000, 1.4459000000, 2.6264000000", \
"0.1604000000, 0.2454000000, 0.3003000000, 0.3875000000, 0.5407000000, 0.8347000000, 1.4193000000, 2.5980000000", \
"-0.0163300000, 0.0840700000, 0.1490700000, 0.2483700000, 0.4087700000, 0.7094700000, 1.3033700000, 2.4774700000", \
"-0.1229700000, -0.0169700000, 0.0518300000, 0.1572300000, 0.3226300000, 0.6255300000, 1.2251300000, 2.4044300000", \
"-0.2352000000, -0.1244000000, -0.0523000000, 0.0584000000, 0.2295000000, 0.5343000000, 1.1374000000, 2.3279000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1018400000, 0.1822200000, 0.2395800000, 0.3413700000, 0.5456100000, 0.9751000000, 1.8753600000, 3.7105000000", \
"0.1017600000, 0.1822200000, 0.2396700000, 0.3414800000, 0.5458000000, 0.9749800000, 1.8753000000, 3.7109000000", \
"0.1019300000, 0.1822700000, 0.2396200000, 0.3414200000, 0.5461200000, 0.9749600000, 1.8732000000, 3.7119000000", \
"0.1019500000, 0.1822200000, 0.2396400000, 0.3414000000, 0.5460700000, 0.9751000000, 1.8751000000, 3.7110000000", \
"0.1018700000, 0.1821400000, 0.2395600000, 0.3413500000, 0.5458800000, 0.9748200000, 1.8742000000, 3.7115000000", \
"0.1006900000, 0.1818400000, 0.2398900000, 0.3419800000, 0.5464000000, 0.9753000000, 1.8734000000, 3.7086000000", \
"0.1084000000, 0.1899000000, 0.2477000000, 0.3485000000, 0.5506000000, 0.9772000000, 1.8742000000, 3.7094000000", \
"0.1204000000, 0.2027000000, 0.2604000000, 0.3602000000, 0.5596000000, 0.9812000000, 1.8761000000, 3.7092000000", \
"0.1615000000, 0.2504000000, 0.3102000000, 0.4095000000, 0.5986000000, 1.0030000000, 1.8833000000, 3.7121000000", \
"0.1810000000, 0.2736000000, 0.3356000000, 0.4381000000, 0.6262000000, 1.0236000000, 1.8904000000, 3.7104000000", \
"0.1991000000, 0.2953000000, 0.3598000000, 0.4653000000, 0.6550000000, 1.0484000000, 1.9023000000, 3.7153000000");
}
related_pin : "S0";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0522600000, 0.1118100000, 0.1613300000, 0.2633000000, 0.4742000000, 0.9083400000, 1.7919500000, 3.5667000000", \
"0.0522200000, 0.1117500000, 0.1613700000, 0.2633000000, 0.4744200000, 0.9083900000, 1.7922600000, 3.5652000000", \
"0.0522200000, 0.1117900000, 0.1613100000, 0.2633300000, 0.4739700000, 0.9085000000, 1.7930000000, 3.5663000000", \
"0.0522600000, 0.1118000000, 0.1613500000, 0.2633100000, 0.4742500000, 0.9085600000, 1.7928400000, 3.5665000000", \
"0.0542600000, 0.1133000000, 0.1627100000, 0.2641400000, 0.4746300000, 0.9088300000, 1.7923000000, 3.5663000000", \
"0.0647500000, 0.1240500000, 0.1716800000, 0.2701700000, 0.4771300000, 0.9092400000, 1.7925000000, 3.5695000000", \
"0.0868000000, 0.1495500000, 0.1957900000, 0.2894500000, 0.4899000000, 0.9146000000, 1.7933000000, 3.5670000000", \
"0.1069000000, 0.1734000000, 0.2200000000, 0.3104000000, 0.5064000000, 0.9263000000, 1.7968000000, 3.5668000000", \
"0.1542000000, 0.2296000000, 0.2811000000, 0.3690000000, 0.5559000000, 0.9682000000, 1.8294000000, 3.5793000000", \
"0.1758000000, 0.2538000000, 0.3087000000, 0.3976000000, 0.5809000000, 0.9892000000, 1.8523000000, 3.5920000000", \
"0.1964000000, 0.2758000000, 0.3339000000, 0.4248000000, 0.6051000000, 1.0097000000, 1.8737000000, 3.6138000000");
}
sdf_cond : "(!A0&A1&A2&!A3&!S1)";
timing_sense : "positive_unate";
when : "(!A0&A1&A2&!A3&!S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4791600000, 0.5747400000, 0.6436800000, 0.7595400000, 0.9565800000, 1.3169700000, 2.0163700000, 3.4171700000", \
"0.4841900000, 0.5797700000, 0.6487100000, 0.7645600000, 0.9616300000, 1.3220700000, 2.0212700000, 3.4218700000", \
"0.4856800000, 0.5812600000, 0.6502000000, 0.7660600000, 0.9631200000, 1.3235300000, 2.0228300000, 3.4231300000", \
"0.4922100000, 0.5877900000, 0.6567200000, 0.7725800000, 0.9696000000, 1.3301000000, 2.0295000000, 3.4302000000", \
"0.4989700000, 0.5945300000, 0.6634700000, 0.7793500000, 0.9764000000, 1.3369000000, 2.0360000000, 3.4368000000", \
"0.4953800000, 0.5911300000, 0.6603000000, 0.7765000000, 0.9738000000, 1.3345000000, 2.0343000000, 3.4352000000", \
"0.4867000000, 0.5805000000, 0.6479000000, 0.7614000000, 0.9569000000, 1.3170000000, 2.0169000000, 3.4182000000", \
"0.4604000000, 0.5540000000, 0.6215000000, 0.7353000000, 0.9303000000, 1.2887000000, 1.9880000000, 3.3890000000", \
"0.3522700000, 0.4467700000, 0.5147700000, 0.6294700000, 0.8274700000, 1.1897700000, 1.8865700000, 3.2849700000", \
"0.2883300000, 0.3831300000, 0.4512300000, 0.5665300000, 0.7660300000, 1.1281300000, 1.8254300000, 3.2210300000", \
"0.2214000000, 0.3165000000, 0.3848000000, 0.5008000000, 0.7008000000, 1.0629000000, 1.7606000000, 3.1542000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3158900000, 0.3822700000, 0.4291600000, 0.5108500000, 0.6627400000, 0.9582600000, 1.5488700000, 2.7320700000", \
"0.3221300000, 0.3885000000, 0.4354000000, 0.5170900000, 0.6689800000, 0.9645900000, 1.5551700000, 2.7406700000", \
"0.3291500000, 0.3955200000, 0.4424200000, 0.5241100000, 0.6760000000, 0.9716600000, 1.5621300000, 2.7465300000", \
"0.3397000000, 0.4060700000, 0.4529700000, 0.5346600000, 0.6865200000, 0.9822000000, 1.5727000000, 2.7583000000", \
"0.3897400000, 0.4561200000, 0.5030000000, 0.5846900000, 0.7365600000, 1.0321000000, 1.6231000000, 2.8077000000", \
"0.4608300000, 0.5273100000, 0.5742000000, 0.6558000000, 0.8076000000, 1.1032000000, 1.6942000000, 2.8775000000", \
"0.5884000000, 0.6545000000, 0.7010000000, 0.7822000000, 0.9337000000, 1.2292000000, 1.8203000000, 3.0033000000", \
"0.7009000000, 0.7675000000, 0.8142000000, 0.8954000000, 1.0465000000, 1.3410000000, 1.9315000000, 3.1147000000", \
"0.9749700000, 1.0437700000, 1.0912700000, 1.1726700000, 1.3245700000, 1.6196700000, 2.2077700000, 3.3915700000", \
"1.1054300000, 1.1754300000, 1.2233300000, 1.3051300000, 1.4575300000, 1.7524300000, 2.3401300000, 3.5219300000", \
"1.2310000000, 1.3023000000, 1.3507000000, 1.4330000000, 1.5856000000, 1.8800000000, 2.4682000000, 3.6487000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1162900000, 0.2014100000, 0.2611200000, 0.3640100000, 0.5658300000, 0.9889400000, 1.8811000000, 3.7086000000", \
"0.1162900000, 0.2013800000, 0.2610200000, 0.3642500000, 0.5657700000, 0.9886700000, 1.8806000000, 3.7129000000", \
"0.1162800000, 0.2013800000, 0.2610200000, 0.3642600000, 0.5658400000, 0.9887500000, 1.8808000000, 3.7108000000", \
"0.1162800000, 0.2013200000, 0.2612000000, 0.3642200000, 0.5661000000, 0.9887000000, 1.8798000000, 3.7112000000", \
"0.1162800000, 0.2014400000, 0.2612100000, 0.3642900000, 0.5657900000, 0.9888000000, 1.8802000000, 3.7106000000", \
"0.1161700000, 0.2008100000, 0.2604700000, 0.3633700000, 0.5653000000, 0.9884000000, 1.8797000000, 3.7134000000", \
"0.1127000000, 0.1956000000, 0.2537000000, 0.3567000000, 0.5603000000, 0.9866000000, 1.8791000000, 3.7127000000", \
"0.1132000000, 0.1966000000, 0.2554000000, 0.3582000000, 0.5601000000, 0.9847000000, 1.8786000000, 3.7114000000", \
"0.1159000000, 0.2003000000, 0.2597000000, 0.3647000000, 0.5697000000, 0.9927000000, 1.8764000000, 3.7086000000", \
"0.1172000000, 0.2016000000, 0.2620000000, 0.3686000000, 0.5734000000, 0.9939000000, 1.8753000000, 3.7084000000", \
"0.1184000000, 0.2033000000, 0.2643000000, 0.3715000000, 0.5760000000, 0.9959000000, 1.8772000000, 3.7058000000");
}
related_pin : "S0";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0563400000, 0.1159000000, 0.1647400000, 0.2655800000, 0.4757700000, 0.9097600000, 1.7940500000, 3.5641000000", \
"0.0563100000, 0.1158500000, 0.1648600000, 0.2656000000, 0.4750000000, 0.9091200000, 1.7925200000, 3.5663000000", \
"0.0563000000, 0.1158100000, 0.1648000000, 0.2655900000, 0.4751300000, 0.9090500000, 1.7923500000, 3.5658000000", \
"0.0563000000, 0.1157900000, 0.1647300000, 0.2655400000, 0.4750600000, 0.9086400000, 1.7941500000, 3.5668000000", \
"0.0563900000, 0.1159100000, 0.1648100000, 0.2656300000, 0.4753000000, 0.9092100000, 1.7924000000, 3.5668000000", \
"0.0569400000, 0.1163900000, 0.1652500000, 0.2658200000, 0.4753400000, 0.9090000000, 1.7923000000, 3.5664000000", \
"0.0573000000, 0.1164000000, 0.1652000000, 0.2659000000, 0.4754000000, 0.9093000000, 1.7936000000, 3.5720000000", \
"0.0594000000, 0.1189000000, 0.1674000000, 0.2675000000, 0.4761000000, 0.9089000000, 1.7930000000, 3.5674000000", \
"0.0659000000, 0.1260000000, 0.1739000000, 0.2731000000, 0.4812000000, 0.9115000000, 1.7909000000, 3.5689000000", \
"0.0693000000, 0.1297000000, 0.1775000000, 0.2766000000, 0.4836000000, 0.9135000000, 1.7908000000, 3.5648000000", \
"0.0727000000, 0.1335000000, 0.1813000000, 0.2799000000, 0.4864000000, 0.9145000000, 1.7931000000, 3.5668000000");
}
sdf_cond : "(!A0&A1&A2&!A3&S1)";
timing_sense : "negative_unate";
when : "(!A0&A1&A2&!A3&S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3159000000, 0.4070300000, 0.4734800000, 0.5854500000, 0.7782000000, 1.1356700000, 1.8352700000, 3.2376700000", \
"0.3273400000, 0.4185000000, 0.4849500000, 0.5969200000, 0.7896300000, 1.1469700000, 1.8464700000, 3.2488700000", \
"0.3285100000, 0.4196700000, 0.4861300000, 0.5981200000, 0.7908700000, 1.1483300000, 1.8479300000, 3.2499300000", \
"0.3369000000, 0.4280100000, 0.4944700000, 0.6064500000, 0.7992100000, 1.1566000000, 1.8560000000, 3.2590000000", \
"0.3813700000, 0.4724100000, 0.5387600000, 0.6506000000, 0.8433000000, 1.2008000000, 1.9002000000, 3.3030000000", \
"0.4630700000, 0.5535800000, 0.6193800000, 0.7305000000, 0.9225000000, 1.2793000000, 1.9780000000, 3.3802000000", \
"0.6312000000, 0.7228000000, 0.7882000000, 0.8981000000, 1.0880000000, 1.4425000000, 2.1389000000, 3.5401000000", \
"0.7922000000, 0.8857000000, 0.9517000000, 1.0621000000, 1.2515000000, 1.6037000000, 2.2972000000, 3.6955000000", \
"1.2006700000, 1.3041700000, 1.3759700000, 1.4930700000, 1.6879700000, 2.0386700000, 2.7249700000, 4.1150700000", \
"1.3977300000, 1.5055300000, 1.5803300000, 1.7016300000, 1.9028300000, 2.2573300000, 2.9416300000, 4.3274300000", \
"1.5886000000, 1.7001000000, 1.7775000000, 1.9027000000, 2.1099000000, 2.4708000000, 3.1560000000, 4.5374000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1733100000, 0.2378900000, 0.2841300000, 0.3654400000, 0.5169900000, 0.8129900000, 1.4042700000, 2.5888700000", \
"0.1764800000, 0.2410700000, 0.2873000000, 0.3686200000, 0.5202100000, 0.8160900000, 1.4069700000, 2.5925700000", \
"0.1778600000, 0.2424400000, 0.2886600000, 0.3699800000, 0.5215200000, 0.8173100000, 1.4087300000, 2.5937300000", \
"0.1841900000, 0.2487200000, 0.2949200000, 0.3761800000, 0.5277700000, 0.8234200000, 1.4149000000, 2.5997000000", \
"0.2052100000, 0.2692100000, 0.3149300000, 0.3956300000, 0.5466700000, 0.8421000000, 1.4327000000, 2.6181000000", \
"0.2168700000, 0.2842700000, 0.3307800000, 0.4113200000, 0.5613600000, 0.8552000000, 1.4456000000, 2.6291000000", \
"0.1982200000, 0.2739200000, 0.3239000000, 0.4067000000, 0.5576000000, 0.8500000000, 1.4374000000, 2.6185000000", \
"0.1542000000, 0.2369000000, 0.2904000000, 0.3765000000, 0.5297000000, 0.8243000000, 1.4093000000, 2.5879000000", \
"-0.0218300000, 0.0757700000, 0.1382700000, 0.2343700000, 0.3934700000, 0.6945700000, 1.2899700000, 2.4646700000", \
"-0.1281700000, -0.0244700000, 0.0418300000, 0.1431300000, 0.3061300000, 0.6089300000, 1.2098300000, 2.3916300000", \
"-0.2403000000, -0.1312000000, -0.0613000000, 0.0449000000, 0.2121000000, 0.5167000000, 1.1218000000, 2.3130000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1003000000, 0.1807700000, 0.2383300000, 0.3402400000, 0.5449200000, 0.9743100000, 1.8735000000, 3.7119000000", \
"0.1005400000, 0.1811000000, 0.2385900000, 0.3403400000, 0.5452700000, 0.9746600000, 1.8732800000, 3.7095000000", \
"0.1003500000, 0.1808100000, 0.2383800000, 0.3401700000, 0.5450500000, 0.9746900000, 1.8734300000, 3.7059000000", \
"0.1002800000, 0.1807300000, 0.2382400000, 0.3402500000, 0.5452400000, 0.9745100000, 1.8745000000, 3.7106000000", \
"0.0997500000, 0.1803300000, 0.2380100000, 0.3400600000, 0.5450200000, 0.9746000000, 1.8736000000, 3.7109000000", \
"0.0993800000, 0.1807200000, 0.2388200000, 0.3412000000, 0.5459000000, 0.9751000000, 1.8738000000, 3.7117000000", \
"0.1100000000, 0.1916000000, 0.2487000000, 0.3490000000, 0.5511000000, 0.9771000000, 1.8737000000, 3.7109000000", \
"0.1245000000, 0.2064000000, 0.2636000000, 0.3626000000, 0.5605000000, 0.9817000000, 1.8755000000, 3.7098000000", \
"0.1683000000, 0.2580000000, 0.3178000000, 0.4165000000, 0.6039000000, 1.0064000000, 1.8845000000, 3.7107000000", \
"0.1886000000, 0.2822000000, 0.3445000000, 0.4465000000, 0.6335000000, 1.0277000000, 1.8918000000, 3.7107000000", \
"0.2077000000, 0.3045000000, 0.3695000000, 0.4746000000, 0.6633000000, 1.0548000000, 1.9052000000, 3.7148000000");
}
related_pin : "S0";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0510200000, 0.1096900000, 0.1590800000, 0.2611400000, 0.4723900000, 0.9078300000, 1.7926200000, 3.5674000000", \
"0.0510000000, 0.1095800000, 0.1590900000, 0.2611600000, 0.4725200000, 0.9081500000, 1.7918700000, 3.5663000000", \
"0.0510100000, 0.1096100000, 0.1590900000, 0.2611500000, 0.4722900000, 0.9081500000, 1.7924600000, 3.5695000000", \
"0.0510000000, 0.1096200000, 0.1592300000, 0.2610400000, 0.4724500000, 0.9088000000, 1.7941000000, 3.5659000000", \
"0.0530500000, 0.1112500000, 0.1604800000, 0.2620800000, 0.4728100000, 0.9081500000, 1.7921200000, 3.5684000000", \
"0.0629300000, 0.1215400000, 0.1692200000, 0.2678100000, 0.4754900000, 0.9095300000, 1.7928000000, 3.5668000000", \
"0.0834300000, 0.1453500000, 0.1918200000, 0.2863700000, 0.4882000000, 0.9147000000, 1.7933000000, 3.5676000000", \
"0.1019000000, 0.1673000000, 0.2141000000, 0.3060000000, 0.5044000000, 0.9261000000, 1.7967000000, 3.5696000000", \
"0.1485000000, 0.2208000000, 0.2709000000, 0.3590000000, 0.5492000000, 0.9670000000, 1.8306000000, 3.5772000000", \
"0.1706000000, 0.2450000000, 0.2976000000, 0.3857000000, 0.5717000000, 0.9865000000, 1.8522000000, 3.5978000000", \
"0.1919000000, 0.2677000000, 0.3227000000, 0.4114000000, 0.5943000000, 1.0046000000, 1.8753000000, 3.6183000000");
}
sdf_cond : "(!A0&A1&A2&A3&!S1)";
timing_sense : "positive_unate";
when : "(!A0&A1&A2&A3&!S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4605000000, 0.5527200000, 0.6194300000, 0.7317800000, 0.9249100000, 1.2824700000, 1.9809700000, 3.3827700000", \
"0.4651200000, 0.5573300000, 0.6240500000, 0.7364000000, 0.9295300000, 1.2870700000, 1.9857700000, 3.3876700000", \
"0.4665300000, 0.5587400000, 0.6254600000, 0.7378100000, 0.9309400000, 1.2884300000, 1.9869300000, 3.3889300000", \
"0.4736900000, 0.5658800000, 0.6326000000, 0.7449600000, 0.9380900000, 1.2956000000, 1.9941000000, 3.3954000000", \
"0.4863400000, 0.5785000000, 0.6452000000, 0.7575600000, 0.9507000000, 1.3082000000, 2.0069000000, 3.4087000000", \
"0.4887600000, 0.5810400000, 0.6479000000, 0.7604000000, 0.9536000000, 1.3113000000, 2.0102000000, 3.4120000000", \
"0.4843000000, 0.5750000000, 0.6405000000, 0.7511000000, 0.9433000000, 1.3007000000, 1.9995000000, 3.4016000000", \
"0.4614000000, 0.5518000000, 0.6172000000, 0.7279000000, 0.9199000000, 1.2764000000, 1.9753000000, 3.3769000000", \
"0.3603700000, 0.4514700000, 0.5171700000, 0.6286700000, 0.8236700000, 1.1835700000, 1.8806700000, 3.2813700000", \
"0.2984300000, 0.3897300000, 0.4556300000, 0.5679300000, 0.7640300000, 1.1235300000, 1.8209300000, 3.2192300000", \
"0.2328000000, 0.3244000000, 0.3905000000, 0.5035000000, 0.6999000000, 1.0593000000, 1.7568000000, 3.1536000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3201900000, 0.3852800000, 0.4314200000, 0.5124200000, 0.6636900000, 0.9591500000, 1.5504700000, 2.7335700000", \
"0.3266700000, 0.3917700000, 0.4379000000, 0.5189100000, 0.6701900000, 0.9656000000, 1.5561700000, 2.7414700000", \
"0.3337000000, 0.3987900000, 0.4449300000, 0.5259400000, 0.6772200000, 0.9725800000, 1.5631300000, 2.7479300000", \
"0.3432800000, 0.4083700000, 0.4545100000, 0.5355200000, 0.6868000000, 0.9822000000, 1.5728000000, 2.7567000000", \
"0.3929700000, 0.4580600000, 0.5041900000, 0.5851600000, 0.7363900000, 1.0319000000, 1.6229000000, 2.8084000000", \
"0.4659600000, 0.5311300000, 0.5772300000, 0.6581000000, 0.8093000000, 1.1046000000, 1.6955000000, 2.8791000000", \
"0.5958000000, 0.6608000000, 0.7066000000, 0.7872000000, 0.9382000000, 1.2332000000, 1.8238000000, 3.0066000000", \
"0.7106000000, 0.7761000000, 0.8220000000, 0.9025000000, 1.0530000000, 1.3474000000, 1.9383000000, 3.1226000000", \
"0.9896700000, 1.0576700000, 1.1043700000, 1.1849700000, 1.3359700000, 1.6304700000, 2.2188700000, 3.4029700000", \
"1.1221300000, 1.1914300000, 1.2387300000, 1.3197300000, 1.4711300000, 1.7651300000, 2.3531300000, 3.5346300000", \
"1.2495000000, 1.3201000000, 1.3680000000, 1.4495000000, 1.6013000000, 1.8948000000, 2.4823000000, 3.6631000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1072700000, 0.1890100000, 0.2468800000, 0.3483400000, 0.5515100000, 0.9785500000, 1.8770000000, 3.7106000000", \
"0.1072700000, 0.1890100000, 0.2468600000, 0.3483300000, 0.5515900000, 0.9785300000, 1.8768000000, 3.7099000000", \
"0.1073000000, 0.1890100000, 0.2468900000, 0.3483400000, 0.5515400000, 0.9785800000, 1.8769000000, 3.7095000000", \
"0.1072700000, 0.1890200000, 0.2468800000, 0.3483200000, 0.5514600000, 0.9784900000, 1.8769000000, 3.7117000000", \
"0.1072900000, 0.1889800000, 0.2469200000, 0.3483600000, 0.5513600000, 0.9786000000, 1.8752000000, 3.7128000000", \
"0.1074000000, 0.1888300000, 0.2464600000, 0.3479600000, 0.5515000000, 0.9781000000, 1.8750000000, 3.7131000000", \
"0.1050000000, 0.1851000000, 0.2418000000, 0.3437000000, 0.5485000000, 0.9776000000, 1.8744000000, 3.7107000000", \
"0.1049000000, 0.1852000000, 0.2426000000, 0.3443000000, 0.5481000000, 0.9765000000, 1.8746000000, 3.7109000000", \
"0.1070000000, 0.1880000000, 0.2460000000, 0.3502000000, 0.5572000000, 0.9830000000, 1.8741000000, 3.7087000000", \
"0.1083000000, 0.1894000000, 0.2482000000, 0.3539000000, 0.5602000000, 0.9846000000, 1.8726000000, 3.7073000000", \
"0.1095000000, 0.1910000000, 0.2506000000, 0.3568000000, 0.5626000000, 0.9856000000, 1.8740000000, 3.7070000000");
}
related_pin : "S0";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0544000000, 0.1129900000, 0.1619400000, 0.2631500000, 0.4733700000, 0.9090400000, 1.7933300000, 3.5666000000", \
"0.0543800000, 0.1129600000, 0.1619400000, 0.2631400000, 0.4735400000, 0.9080500000, 1.7933300000, 3.5664000000", \
"0.0543700000, 0.1129800000, 0.1620900000, 0.2631400000, 0.4737700000, 0.9079700000, 1.7932600000, 3.5665000000", \
"0.0543600000, 0.1129500000, 0.1621200000, 0.2631300000, 0.4736600000, 0.9082700000, 1.7934500000, 3.5685000000", \
"0.0544900000, 0.1130700000, 0.1620200000, 0.2631400000, 0.4734400000, 0.9082700000, 1.7927000000, 3.5664000000", \
"0.0551100000, 0.1136900000, 0.1626600000, 0.2635700000, 0.4735500000, 0.9081000000, 1.7926000000, 3.5674000000", \
"0.0560000000, 0.1144000000, 0.1632000000, 0.2640000000, 0.4741000000, 0.9088000000, 1.7927000000, 3.5647000000", \
"0.0582000000, 0.1168000000, 0.1655000000, 0.2656000000, 0.4752000000, 0.9088000000, 1.7926000000, 3.5665000000", \
"0.0655000000, 0.1248000000, 0.1723000000, 0.2714000000, 0.4798000000, 0.9110000000, 1.7915000000, 3.5659000000", \
"0.0693000000, 0.1289000000, 0.1763000000, 0.2753000000, 0.4820000000, 0.9123000000, 1.7913000000, 3.5665000000", \
"0.0730000000, 0.1330000000, 0.1803000000, 0.2789000000, 0.4846000000, 0.9130000000, 1.7928000000, 3.5652000000");
}
sdf_cond : "(A0&!A1&!A2&!A3&!S1)";
timing_sense : "negative_unate";
when : "(A0&!A1&!A2&!A3&!S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4643500000, 0.5566100000, 0.6233600000, 0.7357600000, 0.9289200000, 1.2864700000, 1.9851700000, 3.3869700000", \
"0.4691300000, 0.5613900000, 0.6281500000, 0.7405400000, 0.9337000000, 1.2912700000, 1.9898700000, 3.3921700000", \
"0.4705400000, 0.5628000000, 0.6295500000, 0.7419600000, 0.9351200000, 1.2926300000, 1.9915300000, 3.3924300000", \
"0.4768500000, 0.5691000000, 0.6358500000, 0.7482600000, 0.9414000000, 1.2989000000, 1.9976000000, 3.3992000000", \
"0.4843800000, 0.5765800000, 0.6433300000, 0.7557300000, 0.9489000000, 1.3064000000, 2.0051000000, 3.4070000000", \
"0.4807400000, 0.5730700000, 0.6399400000, 0.7525000000, 0.9458000000, 1.3035000000, 2.0024000000, 3.4042000000", \
"0.4668000000, 0.5576000000, 0.6231000000, 0.7338000000, 0.9260000000, 1.2834000000, 1.9822000000, 3.3844000000", \
"0.4353000000, 0.5259000000, 0.5914000000, 0.7023000000, 0.8945000000, 1.2512000000, 1.9501000000, 3.3517000000", \
"0.3148700000, 0.4061700000, 0.4719700000, 0.5838700000, 0.7794700000, 1.1393700000, 1.8368700000, 3.2370700000", \
"0.2442300000, 0.3357300000, 0.4017300000, 0.5145300000, 0.7109300000, 1.0706300000, 1.7683300000, 3.1664300000", \
"0.1714000000, 0.2632000000, 0.3295000000, 0.4429000000, 0.6396000000, 0.9991000000, 1.6968000000, 3.0937000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3170200000, 0.3822800000, 0.4285200000, 0.5096000000, 0.6609200000, 0.9565400000, 1.5473700000, 2.7305700000", \
"0.3230800000, 0.3883400000, 0.4345800000, 0.5157000000, 0.6670400000, 0.9626100000, 1.5540700000, 2.7382700000", \
"0.3296800000, 0.3949400000, 0.4411900000, 0.5222700000, 0.6735900000, 0.9692000000, 1.5600300000, 2.7443300000", \
"0.3403300000, 0.4055800000, 0.4518300000, 0.5329500000, 0.6843000000, 0.9799000000, 1.5712000000, 2.7535000000", \
"0.3902000000, 0.4554500000, 0.5017000000, 0.5827800000, 0.7340900000, 1.0297000000, 1.6208000000, 2.8049000000", \
"0.4625700000, 0.5279400000, 0.5741600000, 0.6552000000, 0.8064000000, 1.1019000000, 1.6923000000, 2.8766000000", \
"0.5922000000, 0.6574000000, 0.7035000000, 0.7843000000, 0.9355000000, 1.2306000000, 1.8215000000, 3.0064000000", \
"0.7075000000, 0.7732000000, 0.8194000000, 0.9001000000, 1.0510000000, 1.3458000000, 1.9366000000, 3.1199000000", \
"0.9907700000, 1.0587700000, 1.1056700000, 1.1865700000, 1.3379700000, 1.6331700000, 2.2218700000, 3.4063700000", \
"1.1262300000, 1.1954300000, 1.2428300000, 1.3241300000, 1.4760300000, 1.7706300000, 2.3590300000, 3.5407300000", \
"1.2568000000, 1.3274000000, 1.3753000000, 1.4570000000, 1.6092000000, 1.9034000000, 2.4915000000, 3.6727000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1073400000, 0.1891000000, 0.2468900000, 0.3483500000, 0.5516900000, 0.9784900000, 1.8770000000, 3.7094000000", \
"0.1073400000, 0.1891100000, 0.2469000000, 0.3485000000, 0.5516200000, 0.9787400000, 1.8752000000, 3.7102000000", \
"0.1073400000, 0.1890400000, 0.2469700000, 0.3484600000, 0.5515400000, 0.9781500000, 1.8767000000, 3.7116000000", \
"0.1073500000, 0.1890900000, 0.2469100000, 0.3484400000, 0.5514000000, 0.9783200000, 1.8769000000, 3.7099000000", \
"0.1073400000, 0.1891200000, 0.2469100000, 0.3485600000, 0.5515300000, 0.9786000000, 1.8770000000, 3.7113000000", \
"0.1074500000, 0.1889000000, 0.2467000000, 0.3481700000, 0.5512000000, 0.9787000000, 1.8760000000, 3.7131000000", \
"0.1054000000, 0.1853000000, 0.2422000000, 0.3439000000, 0.5488000000, 0.9774000000, 1.8751000000, 3.7103000000", \
"0.1051000000, 0.1856000000, 0.2431000000, 0.3451000000, 0.5488000000, 0.9770000000, 1.8749000000, 3.7114000000", \
"0.1074000000, 0.1885000000, 0.2467000000, 0.3513000000, 0.5583000000, 0.9836000000, 1.8747000000, 3.7113000000", \
"0.1087000000, 0.1900000000, 0.2492000000, 0.3552000000, 0.5612000000, 0.9850000000, 1.8729000000, 3.7071000000", \
"0.1100000000, 0.1918000000, 0.2517000000, 0.3579000000, 0.5635000000, 0.9861000000, 1.8754000000, 3.7077000000");
}
related_pin : "S0";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0545100000, 0.1131900000, 0.1621200000, 0.2633300000, 0.4738900000, 0.9081300000, 1.7920700000, 3.5647000000", \
"0.0545500000, 0.1132500000, 0.1623400000, 0.2633400000, 0.4734200000, 0.9080900000, 1.7930000000, 3.5670000000", \
"0.0545200000, 0.1132000000, 0.1621300000, 0.2633500000, 0.4739000000, 0.9081300000, 1.7919700000, 3.5681000000", \
"0.0545200000, 0.1132400000, 0.1623100000, 0.2633400000, 0.4734200000, 0.9081400000, 1.7928600000, 3.5645000000", \
"0.0546000000, 0.1132800000, 0.1622100000, 0.2632700000, 0.4736400000, 0.9081700000, 1.7926000000, 3.5695000000", \
"0.0552700000, 0.1139700000, 0.1627800000, 0.2636700000, 0.4740100000, 0.9090000000, 1.7918000000, 3.5666000000", \
"0.0561000000, 0.1148000000, 0.1635000000, 0.2646000000, 0.4743000000, 0.9085000000, 1.7932000000, 3.5673000000", \
"0.0581000000, 0.1171000000, 0.1659000000, 0.2662000000, 0.4754000000, 0.9089000000, 1.7938000000, 3.5683000000", \
"0.0652000000, 0.1246000000, 0.1724000000, 0.2718000000, 0.4804000000, 0.9119000000, 1.7918000000, 3.5668000000", \
"0.0689000000, 0.1286000000, 0.1762000000, 0.2754000000, 0.4830000000, 0.9129000000, 1.7919000000, 3.5657000000", \
"0.0724000000, 0.1325000000, 0.1801000000, 0.2788000000, 0.4853000000, 0.9142000000, 1.7936000000, 3.5662000000");
}
sdf_cond : "(A0&!A1&!A2&A3&!S1)";
timing_sense : "negative_unate";
when : "(A0&!A1&!A2&A3&!S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3952400000, 0.4900600000, 0.5586600000, 0.6740700000, 0.8705900000, 1.2306700000, 1.9301700000, 3.3311700000", \
"0.4097600000, 0.5047000000, 0.5733100000, 0.6887300000, 0.8852600000, 1.2453700000, 1.9446700000, 3.3458700000", \
"0.4130500000, 0.5079000000, 0.5764900000, 0.6919100000, 0.8884400000, 1.2485300000, 1.9481300000, 3.3489300000", \
"0.4191200000, 0.5139400000, 0.5825300000, 0.6979400000, 0.8944800000, 1.2546000000, 1.9539000000, 3.3549000000", \
"0.4597100000, 0.5544500000, 0.6229800000, 0.7383300000, 0.9348000000, 1.2950000000, 1.9942000000, 3.3954000000", \
"0.5396200000, 0.6342500000, 0.7026000000, 0.8175000000, 1.0135000000, 1.3732000000, 2.0723000000, 3.4733000000", \
"0.7139000000, 0.8089000000, 0.8766000000, 0.9902000000, 1.1844000000, 1.5421000000, 2.2395000000, 3.6390000000", \
"0.8821000000, 0.9788000000, 1.0474000000, 1.1618000000, 1.3556000000, 1.7113000000, 2.4062000000, 3.8032000000", \
"1.3223700000, 1.4268700000, 1.4995700000, 1.6179700000, 1.8150700000, 2.1690700000, 2.8574700000, 4.2470700000", \
"1.5352300000, 1.6439300000, 1.7193300000, 1.8417300000, 2.0441300000, 2.3996300000, 3.0861300000, 4.4721300000", \
"1.7411000000, 1.8535000000, 1.9315000000, 2.0577000000, 2.2658000000, 2.6261000000, 3.3123000000, 4.6939000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1978600000, 0.2646900000, 0.3121200000, 0.3944800000, 0.5469300000, 0.8427600000, 1.4341700000, 2.6193700000", \
"0.2028600000, 0.2696900000, 0.3171200000, 0.3995000000, 0.5519700000, 0.8478500000, 1.4388700000, 2.6226700000", \
"0.2041200000, 0.2709400000, 0.3183800000, 0.4007600000, 0.5532000000, 0.8491900000, 1.4399300000, 2.6245300000", \
"0.2099900000, 0.2767800000, 0.3241900000, 0.4065500000, 0.5589500000, 0.8549200000, 1.4461000000, 2.6307000000", \
"0.2318500000, 0.2981000000, 0.3450400000, 0.4268200000, 0.5788000000, 0.8743000000, 1.4650000000, 2.6489000000", \
"0.2464400000, 0.3161100000, 0.3639500000, 0.4455500000, 0.5963100000, 0.8905000000, 1.4797000000, 2.6636000000", \
"0.2294700000, 0.3081000000, 0.3594000000, 0.4432000000, 0.5945000000, 0.8871000000, 1.4740000000, 2.6538000000", \
"0.1837000000, 0.2703000000, 0.3256000000, 0.4130000000, 0.5663000000, 0.8600000000, 1.4445000000, 2.6231000000", \
"-0.0047300000, 0.0976700000, 0.1634700000, 0.2628700000, 0.4231700000, 0.7226700000, 1.3153700000, 2.4893700000", \
"-0.1192700000, -0.0112700000, 0.0589300000, 0.1646300000, 0.3298300000, 0.6312300000, 1.2286300000, 2.4077300000", \
"-0.2397000000, -0.1268000000, -0.0534000000, 0.0582000000, 0.2289000000, 0.5322000000, 1.1328000000, 2.3209000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1127400000, 0.1974500000, 0.2570800000, 0.3601400000, 0.5626700000, 0.9864700000, 1.8785000000, 3.7136000000", \
"0.1128500000, 0.1975000000, 0.2570400000, 0.3602200000, 0.5625200000, 0.9864100000, 1.8799000000, 3.7123000000", \
"0.1127700000, 0.1975000000, 0.2572100000, 0.3601900000, 0.5624900000, 0.9864100000, 1.8789000000, 3.7121000000", \
"0.1127500000, 0.1974100000, 0.2570400000, 0.3601300000, 0.5626400000, 0.9865700000, 1.8809000000, 3.7124000000", \
"0.1125100000, 0.1972800000, 0.2570200000, 0.3598900000, 0.5623700000, 0.9863400000, 1.8806000000, 3.7142000000", \
"0.1122100000, 0.1971800000, 0.2571600000, 0.3606200000, 0.5631000000, 0.9865000000, 1.8807000000, 3.7120000000", \
"0.1203000000, 0.2043000000, 0.2635000000, 0.3657000000, 0.5667000000, 0.9885000000, 1.8794000000, 3.7124000000", \
"0.1293000000, 0.2155000000, 0.2756000000, 0.3773000000, 0.5752000000, 0.9923000000, 1.8804000000, 3.7145000000", \
"0.1680000000, 0.2590000000, 0.3198000000, 0.4207000000, 0.6101000000, 1.0138000000, 1.8896000000, 3.7122000000", \
"0.1871000000, 0.2821000000, 0.3451000000, 0.4485000000, 0.6356000000, 1.0314000000, 1.8951000000, 3.7118000000", \
"0.2054000000, 0.3035000000, 0.3691000000, 0.4754000000, 0.6634000000, 1.0539000000, 1.9051000000, 3.7155000000");
}
related_pin : "S0";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0549300000, 0.1149200000, 0.1641100000, 0.2653000000, 0.4750600000, 0.9088000000, 1.7923800000, 3.5659000000", \
"0.0549900000, 0.1149800000, 0.1641900000, 0.2652700000, 0.4749800000, 0.9089800000, 1.7923800000, 3.5664000000", \
"0.0549800000, 0.1150100000, 0.1642200000, 0.2652900000, 0.4749900000, 0.9087900000, 1.7941100000, 3.5673000000", \
"0.0549600000, 0.1149200000, 0.1640800000, 0.2653300000, 0.4754700000, 0.9093800000, 1.7928900000, 3.5653000000", \
"0.0561700000, 0.1161100000, 0.1652600000, 0.2659400000, 0.4762100000, 0.9088000000, 1.7923000000, 3.5662000000", \
"0.0670500000, 0.1270100000, 0.1744700000, 0.2721500000, 0.4782100000, 0.9097500000, 1.7924000000, 3.5662000000", \
"0.0897100000, 0.1522000000, 0.1980500000, 0.2908000000, 0.4907000000, 0.9158000000, 1.7936000000, 3.5674000000", \
"0.1097000000, 0.1762000000, 0.2223000000, 0.3119000000, 0.5074000000, 0.9265000000, 1.7977000000, 3.5667000000", \
"0.1577000000, 0.2336000000, 0.2847000000, 0.3713000000, 0.5560000000, 0.9669000000, 1.8286000000, 3.5768000000", \
"0.1792000000, 0.2585000000, 0.3127000000, 0.4008000000, 0.5817000000, 0.9869000000, 1.8490000000, 3.5950000000", \
"0.1996000000, 0.2810000000, 0.3386000000, 0.4285000000, 0.6060000000, 1.0068000000, 1.8705000000, 3.6113000000");
}
sdf_cond : "(A0&!A1&!A2&A3&S1)";
timing_sense : "positive_unate";
when : "(A0&!A1&!A2&A3&S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4548100000, 0.5469500000, 0.6136700000, 0.7260600000, 0.9192700000, 1.2768700000, 1.9756700000, 3.3771700000", \
"0.4596100000, 0.5517500000, 0.6184700000, 0.7308600000, 0.9240700000, 1.2816700000, 1.9803700000, 3.3825700000", \
"0.4609500000, 0.5530900000, 0.6198100000, 0.7322000000, 0.9254100000, 1.2830300000, 1.9820300000, 3.3830300000", \
"0.4677400000, 0.5598600000, 0.6265900000, 0.7389800000, 0.9321800000, 1.2898000000, 1.9888000000, 3.3902000000", \
"0.4816300000, 0.5737200000, 0.6404300000, 0.7528300000, 0.9460000000, 1.3036000000, 2.0026000000, 3.4042000000", \
"0.4859600000, 0.5781800000, 0.6450000000, 0.7576000000, 0.9509000000, 1.3087000000, 2.0076000000, 3.4092000000", \
"0.4847000000, 0.5754000000, 0.6409000000, 0.7515000000, 0.9438000000, 1.3013000000, 2.0002000000, 3.4028000000", \
"0.4648000000, 0.5553000000, 0.6207000000, 0.7315000000, 0.9234000000, 1.2801000000, 1.9790000000, 3.3810000000", \
"0.3720700000, 0.4630700000, 0.5287700000, 0.6401700000, 0.8349700000, 1.1948700000, 1.8920700000, 3.2923700000", \
"0.3144300000, 0.4056300000, 0.4714300000, 0.5835300000, 0.7795300000, 1.1390300000, 1.8362300000, 3.2346300000", \
"0.2530000000, 0.3445000000, 0.4105000000, 0.5233000000, 0.7196000000, 1.0789000000, 1.7765000000, 3.1729000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3209300000, 0.3862900000, 0.4325300000, 0.5135800000, 0.6648300000, 0.9602600000, 1.5518700000, 2.7343700000", \
"0.3273700000, 0.3927300000, 0.4389700000, 0.5200000000, 0.6712900000, 0.9667100000, 1.5572700000, 2.7426700000", \
"0.3344300000, 0.3997900000, 0.4460400000, 0.5270900000, 0.6783300000, 0.9737700000, 1.5645300000, 2.7490300000", \
"0.3438800000, 0.4092300000, 0.4554800000, 0.5365400000, 0.6877700000, 0.9832000000, 1.5739000000, 2.7581000000", \
"0.3936500000, 0.4590100000, 0.5052400000, 0.5862800000, 0.7375000000, 1.0329000000, 1.6244000000, 2.8073000000", \
"0.4677900000, 0.5332300000, 0.5794200000, 0.6603000000, 0.8115000000, 1.1067000000, 1.6973000000, 2.8820000000", \
"0.5999000000, 0.6652000000, 0.7112000000, 0.7918000000, 0.9427000000, 1.2376000000, 1.8286000000, 3.0125000000", \
"0.7171000000, 0.7830000000, 0.8291000000, 0.9096000000, 1.0600000000, 1.3544000000, 1.9446000000, 3.1282000000", \
"1.0005700000, 1.0694700000, 1.1165700000, 1.1971700000, 1.3479700000, 1.6421700000, 2.2304700000, 3.4134700000", \
"1.1345300000, 1.2050300000, 1.2526300000, 1.3338300000, 1.4851300000, 1.7788300000, 2.3664300000, 3.5480300000", \
"1.2631000000, 1.3351000000, 1.3834000000, 1.4651000000, 1.6168000000, 1.9101000000, 2.4972000000, 3.6777000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1065600000, 0.1882300000, 0.2461300000, 0.3476000000, 0.5508800000, 0.9779900000, 1.8745000000, 3.7105000000", \
"0.1065200000, 0.1882300000, 0.2461300000, 0.3478000000, 0.5510900000, 0.9784100000, 1.8769000000, 3.7111000000", \
"0.1064900000, 0.1882000000, 0.2461000000, 0.3477700000, 0.5511100000, 0.9783300000, 1.8750000000, 3.7115000000", \
"0.1065300000, 0.1882100000, 0.2460900000, 0.3477500000, 0.5510100000, 0.9784300000, 1.8765000000, 3.7097000000", \
"0.1065500000, 0.1881700000, 0.2460700000, 0.3476900000, 0.5511200000, 0.9785000000, 1.8751000000, 3.7109000000", \
"0.1067100000, 0.1881200000, 0.2458200000, 0.3473800000, 0.5511000000, 0.9783000000, 1.8752000000, 3.7111000000", \
"0.1046000000, 0.1845000000, 0.2413000000, 0.3431000000, 0.5482000000, 0.9774000000, 1.8758000000, 3.7111000000", \
"0.1048000000, 0.1849000000, 0.2423000000, 0.3440000000, 0.5479000000, 0.9765000000, 1.8748000000, 3.7112000000", \
"0.1073000000, 0.1879000000, 0.2457000000, 0.3498000000, 0.5569000000, 0.9828000000, 1.8738000000, 3.7110000000", \
"0.1087000000, 0.1895000000, 0.2482000000, 0.3538000000, 0.5600000000, 0.9847000000, 1.8721000000, 3.7072000000", \
"0.1102000000, 0.1914000000, 0.2508000000, 0.3570000000, 0.5624000000, 0.9856000000, 1.8735000000, 3.7075000000");
}
related_pin : "S0";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0549000000, 0.1136000000, 0.1625300000, 0.2634900000, 0.4737800000, 0.9083700000, 1.7927500000, 3.5643000000", \
"0.0549100000, 0.1136400000, 0.1624400000, 0.2635100000, 0.4736300000, 0.9096000000, 1.7917500000, 3.5687000000", \
"0.0548800000, 0.1135900000, 0.1624800000, 0.2634800000, 0.4737600000, 0.9082100000, 1.7915900000, 3.5677000000", \
"0.0548800000, 0.1135900000, 0.1624100000, 0.2635300000, 0.4737900000, 0.9093300000, 1.7916100000, 3.5677000000", \
"0.0550000000, 0.1137100000, 0.1626200000, 0.2635300000, 0.4738100000, 0.9088700000, 1.7933000000, 3.5686000000", \
"0.0557500000, 0.1144600000, 0.1631900000, 0.2639700000, 0.4738200000, 0.9092000000, 1.7916000000, 3.5672000000", \
"0.0569000000, 0.1154000000, 0.1640000000, 0.2647000000, 0.4747000000, 0.9095000000, 1.7942000000, 3.5694000000", \
"0.0598000000, 0.1181000000, 0.1664000000, 0.2664000000, 0.4750000000, 0.9093000000, 1.7924000000, 3.5674000000", \
"0.0683000000, 0.1273000000, 0.1744000000, 0.2727000000, 0.4803000000, 0.9112000000, 1.7926000000, 3.5695000000", \
"0.0727000000, 0.1319000000, 0.1788000000, 0.2769000000, 0.4831000000, 0.9128000000, 1.7920000000, 3.5701000000", \
"0.0769000000, 0.1364000000, 0.1832000000, 0.2808000000, 0.4857000000, 0.9135000000, 1.7927000000, 3.5649000000");
}
sdf_cond : "(A0&!A1&A2&!A3&!S1)";
timing_sense : "negative_unate";
when : "(A0&!A1&A2&!A3&!S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4824300000, 0.5780200000, 0.6469500000, 0.7627900000, 0.9598200000, 1.3202700000, 2.0195700000, 3.4204700000", \
"0.4875700000, 0.5831600000, 0.6521000000, 0.7679500000, 0.9649700000, 1.3253700000, 2.0244700000, 3.4249700000", \
"0.4890200000, 0.5846100000, 0.6535400000, 0.7693900000, 0.9664200000, 1.3268300000, 2.0260300000, 3.4267300000", \
"0.4961300000, 0.5917000000, 0.6606500000, 0.7765000000, 0.9735000000, 1.3339000000, 2.0331000000, 3.4337000000", \
"0.5097200000, 0.6052700000, 0.6742100000, 0.7900600000, 0.9871000000, 1.3475000000, 2.0469000000, 3.4472000000", \
"0.5135900000, 0.6092700000, 0.6784000000, 0.7945000000, 0.9918000000, 1.3524000000, 2.0519000000, 3.4521000000", \
"0.5149000000, 0.6087000000, 0.6762000000, 0.7898000000, 0.9855000000, 1.3457000000, 2.0452000000, 3.4465000000", \
"0.4983000000, 0.5918000000, 0.6592000000, 0.7728000000, 0.9676000000, 1.3260000000, 2.0249000000, 3.4264000000", \
"0.4084700000, 0.5027700000, 0.5706700000, 0.6849700000, 0.8821700000, 1.2443700000, 1.9411700000, 3.3400700000", \
"0.3516300000, 0.4463300000, 0.5142300000, 0.6291300000, 0.8280300000, 1.1899300000, 1.8868300000, 3.2824300000", \
"0.2910000000, 0.3859000000, 0.4540000000, 0.5694000000, 0.7690000000, 1.1308000000, 1.8279000000, 3.2217000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3326800000, 0.3993800000, 0.4463100000, 0.5279200000, 0.6796600000, 0.9751400000, 1.5654700000, 2.7502700000", \
"0.3392600000, 0.4059600000, 0.4529000000, 0.5345200000, 0.6862700000, 0.9817600000, 1.5724700000, 2.7569700000", \
"0.3465900000, 0.4132900000, 0.4602300000, 0.5418400000, 0.6935800000, 0.9889300000, 1.5796300000, 2.7628300000", \
"0.3563200000, 0.4230200000, 0.4699600000, 0.5515900000, 0.7033300000, 0.9988000000, 1.5892000000, 2.7741000000", \
"0.4068200000, 0.4735100000, 0.5204400000, 0.6020600000, 0.7537900000, 1.0492000000, 1.6400000000, 2.8252000000", \
"0.4819500000, 0.5487200000, 0.5956200000, 0.6772000000, 0.8287000000, 1.1240000000, 1.7145000000, 2.8999000000", \
"0.6171000000, 0.6834000000, 0.7300000000, 0.8111000000, 0.9625000000, 1.2575000000, 1.8479000000, 3.0328000000", \
"0.7370000000, 0.8039000000, 0.8505000000, 0.9315000000, 1.0821000000, 1.3765000000, 1.9668000000, 3.1510000000", \
"1.0256700000, 1.0951700000, 1.1426700000, 1.2237700000, 1.3747700000, 1.6689700000, 2.2569700000, 3.4399700000", \
"1.1615300000, 1.2325300000, 1.2806300000, 1.3621300000, 1.5136300000, 1.8075300000, 2.3947300000, 3.5760300000", \
"1.2918000000, 1.3643000000, 1.4129000000, 1.4949000000, 1.6468000000, 1.9404000000, 2.5272000000, 3.7073000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1162700000, 0.2013100000, 0.2611300000, 0.3640400000, 0.5659300000, 0.9887500000, 1.8810000000, 3.7127000000", \
"0.1163000000, 0.2013600000, 0.2611000000, 0.3639200000, 0.5658800000, 0.9885800000, 1.8801000000, 3.7119000000", \
"0.1162500000, 0.2013700000, 0.2610900000, 0.3639500000, 0.5658900000, 0.9885900000, 1.8806000000, 3.7144000000", \
"0.1162500000, 0.2013800000, 0.2610900000, 0.3639000000, 0.5657400000, 0.9885400000, 1.8800000000, 3.7119000000", \
"0.1162500000, 0.2013200000, 0.2611500000, 0.3642100000, 0.5659900000, 0.9888000000, 1.8804000000, 3.7074000000", \
"0.1162600000, 0.2010700000, 0.2606500000, 0.3636500000, 0.5655000000, 0.9885000000, 1.8810000000, 3.7097000000", \
"0.1125000000, 0.1955000000, 0.2540000000, 0.3570000000, 0.5608000000, 0.9867000000, 1.8798000000, 3.7132000000", \
"0.1135000000, 0.1964000000, 0.2553000000, 0.3578000000, 0.5597000000, 0.9849000000, 1.8803000000, 3.7117000000", \
"0.1159000000, 0.2002000000, 0.2594000000, 0.3638000000, 0.5688000000, 0.9913000000, 1.8766000000, 3.7085000000", \
"0.1173000000, 0.2017000000, 0.2617000000, 0.3677000000, 0.5727000000, 0.9938000000, 1.8753000000, 3.7071000000", \
"0.1187000000, 0.2034000000, 0.2640000000, 0.3711000000, 0.5754000000, 0.9952000000, 1.8765000000, 3.7031000000");
}
related_pin : "S0";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0576300000, 0.1170900000, 0.1658600000, 0.2663700000, 0.4756400000, 0.9089700000, 1.7924000000, 3.5688000000", \
"0.0575900000, 0.1170800000, 0.1658700000, 0.2663900000, 0.4759800000, 0.9090700000, 1.7929100000, 3.5654000000", \
"0.0576000000, 0.1171600000, 0.1658200000, 0.2662500000, 0.4755400000, 0.9087000000, 1.7923400000, 3.5645000000", \
"0.0575900000, 0.1170400000, 0.1659100000, 0.2662600000, 0.4753800000, 0.9092300000, 1.7915800000, 3.5665000000", \
"0.0576800000, 0.1172000000, 0.1659300000, 0.2662800000, 0.4760600000, 0.9091200000, 1.7925000000, 3.5669000000", \
"0.0582800000, 0.1177000000, 0.1664000000, 0.2666200000, 0.4757100000, 0.9088000000, 1.7921000000, 3.5674000000", \
"0.0590000000, 0.1180000000, 0.1666000000, 0.2668000000, 0.4759000000, 0.9090000000, 1.7948000000, 3.5656000000", \
"0.0614000000, 0.1206000000, 0.1689000000, 0.2682000000, 0.4765000000, 0.9093000000, 1.7929000000, 3.5674000000", \
"0.0693000000, 0.1290000000, 0.1764000000, 0.2744000000, 0.4812000000, 0.9113000000, 1.7925000000, 3.5660000000", \
"0.0733000000, 0.1335000000, 0.1806000000, 0.2784000000, 0.4842000000, 0.9128000000, 1.7917000000, 3.5648000000", \
"0.0771000000, 0.1377000000, 0.1848000000, 0.2823000000, 0.4872000000, 0.9149000000, 1.7920000000, 3.5655000000");
}
sdf_cond : "(A0&!A1&A2&!A3&S1)";
timing_sense : "negative_unate";
when : "(A0&!A1&A2&!A3&S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4604400000, 0.5526900000, 0.6194300000, 0.7318300000, 0.9249800000, 1.2825700000, 1.9811700000, 3.3829700000", \
"0.4652200000, 0.5574700000, 0.6242100000, 0.7366000000, 0.9297700000, 1.2872700000, 1.9858700000, 3.3878700000", \
"0.4665700000, 0.5588100000, 0.6255600000, 0.7379600000, 0.9311100000, 1.2886300000, 1.9872300000, 3.3890300000", \
"0.4734500000, 0.5656800000, 0.6324200000, 0.7448200000, 0.9379900000, 1.2956000000, 1.9943000000, 3.3961000000", \
"0.4865100000, 0.5787100000, 0.6454300000, 0.7578300000, 0.9510000000, 1.3085000000, 2.0073000000, 3.4089000000", \
"0.4890300000, 0.5813200000, 0.6482000000, 0.7607000000, 0.9540000000, 1.3117000000, 2.0105000000, 3.4126000000", \
"0.4838000000, 0.5746000000, 0.6401000000, 0.7508000000, 0.9431000000, 1.3005000000, 1.9994000000, 3.4010000000", \
"0.4602000000, 0.5507000000, 0.6161000000, 0.7269000000, 0.9189000000, 1.2756000000, 1.9744000000, 3.3764000000", \
"0.3577700000, 0.4488700000, 0.5146700000, 0.6262700000, 0.8213700000, 1.1812700000, 1.8787700000, 3.2787700000", \
"0.2953300000, 0.3866300000, 0.4526300000, 0.5650300000, 0.7611300000, 1.1207300000, 1.8181300000, 3.2164300000", \
"0.2292000000, 0.3209000000, 0.3870000000, 0.5000000000, 0.6965000000, 1.0560000000, 1.7534000000, 3.1504000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3186500000, 0.3837800000, 0.4299200000, 0.5109000000, 0.6621200000, 0.9575800000, 1.5480700000, 2.7338700000", \
"0.3247000000, 0.3898300000, 0.4359700000, 0.5169600000, 0.6681700000, 0.9636200000, 1.5546700000, 2.7392700000", \
"0.3307900000, 0.3959200000, 0.4420600000, 0.5230300000, 0.6742400000, 0.9697200000, 1.5604300000, 2.7457300000", \
"0.3417300000, 0.4068600000, 0.4530100000, 0.5339800000, 0.6851700000, 0.9807000000, 1.5712000000, 2.7568000000", \
"0.3918400000, 0.4569700000, 0.5031100000, 0.5840700000, 0.7352600000, 1.0307000000, 1.6218000000, 2.8063000000", \
"0.4647600000, 0.5299500000, 0.5760500000, 0.6569000000, 0.8081000000, 1.1034000000, 1.6944000000, 2.8797000000", \
"0.5946000000, 0.6596000000, 0.7055000000, 0.7860000000, 0.9369000000, 1.2319000000, 1.8234000000, 3.0080000000", \
"0.7093000000, 0.7748000000, 0.8208000000, 0.9012000000, 1.0516000000, 1.3460000000, 1.9363000000, 3.1205000000", \
"0.9881700000, 1.0561700000, 1.1029700000, 1.1835700000, 1.3345700000, 1.6288700000, 2.2173700000, 3.4008700000", \
"1.1205300000, 1.1899300000, 1.2372300000, 1.3183300000, 1.4697300000, 1.7636300000, 2.3515300000, 3.5333300000", \
"1.2479000000, 1.3186000000, 1.3665000000, 1.4481000000, 1.5999000000, 1.8934000000, 2.4807000000, 3.6615000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1073200000, 0.1890800000, 0.2469100000, 0.3484600000, 0.5519400000, 0.9783900000, 1.8771000000, 3.7098000000", \
"0.1073900000, 0.1890900000, 0.2469700000, 0.3483500000, 0.5517500000, 0.9781900000, 1.8769000000, 3.7074000000", \
"0.1073500000, 0.1891100000, 0.2469200000, 0.3484500000, 0.5518700000, 0.9785400000, 1.8771000000, 3.7104000000", \
"0.1073500000, 0.1889800000, 0.2470100000, 0.3484800000, 0.5516400000, 0.9787400000, 1.8771000000, 3.7128000000", \
"0.1073500000, 0.1890900000, 0.2470400000, 0.3484800000, 0.5514400000, 0.9783000000, 1.8747000000, 3.7099000000", \
"0.1074200000, 0.1889000000, 0.2466100000, 0.3481000000, 0.5515000000, 0.9781000000, 1.8770000000, 3.7114000000", \
"0.1052000000, 0.1852000000, 0.2420000000, 0.3439000000, 0.5486000000, 0.9777000000, 1.8758000000, 3.7111000000", \
"0.1050000000, 0.1853000000, 0.2428000000, 0.3446000000, 0.5482000000, 0.9769000000, 1.8753000000, 3.7113000000", \
"0.1070000000, 0.1881000000, 0.2461000000, 0.3504000000, 0.5572000000, 0.9834000000, 1.8743000000, 3.7112000000", \
"0.1083000000, 0.1895000000, 0.2484000000, 0.3539000000, 0.5602000000, 0.9843000000, 1.8727000000, 3.7066000000", \
"0.1094000000, 0.1910000000, 0.2506000000, 0.3569000000, 0.5626000000, 0.9860000000, 1.8745000000, 3.7075000000");
}
related_pin : "S0";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0545000000, 0.1131100000, 0.1620100000, 0.2630500000, 0.4734500000, 0.9082000000, 1.7915200000, 3.5696000000", \
"0.0545400000, 0.1131900000, 0.1620700000, 0.2632000000, 0.4736000000, 0.9094400000, 1.7920100000, 3.5662000000", \
"0.0545100000, 0.1131200000, 0.1620400000, 0.2631400000, 0.4735700000, 0.9088300000, 1.7927300000, 3.5664000000", \
"0.0545200000, 0.1130800000, 0.1620200000, 0.2632100000, 0.4735900000, 0.9080100000, 1.7915500000, 3.5670000000", \
"0.0545900000, 0.1131700000, 0.1621100000, 0.2631800000, 0.4736500000, 0.9088000000, 1.7923000000, 3.5677000000", \
"0.0552000000, 0.1137600000, 0.1625800000, 0.2634900000, 0.4737700000, 0.9081000000, 1.7923000000, 3.5657000000", \
"0.0561000000, 0.1145000000, 0.1633000000, 0.2642000000, 0.4740000000, 0.9084000000, 1.7934000000, 3.5674000000", \
"0.0583000000, 0.1169000000, 0.1655000000, 0.2658000000, 0.4747000000, 0.9083000000, 1.7944000000, 3.5671000000", \
"0.0658000000, 0.1250000000, 0.1725000000, 0.2715000000, 0.4800000000, 0.9110000000, 1.7927000000, 3.5661000000", \
"0.0695000000, 0.1290000000, 0.1765000000, 0.2753000000, 0.4824000000, 0.9122000000, 1.7916000000, 3.5652000000", \
"0.0732000000, 0.1331000000, 0.1806000000, 0.2789000000, 0.4846000000, 0.9131000000, 1.7927000000, 3.5641000000");
}
sdf_cond : "(A0&!A1&A2&A3&!S1)";
timing_sense : "negative_unate";
when : "(A0&!A1&A2&A3&!S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3825600000, 0.4776300000, 0.5464600000, 0.6621700000, 0.8589700000, 1.2192700000, 1.9188700000, 3.3201700000", \
"0.3970800000, 0.4922200000, 0.5610400000, 0.6767300000, 0.8735100000, 1.2338700000, 1.9334700000, 3.3345700000", \
"0.3999700000, 0.4950500000, 0.5638700000, 0.6795800000, 0.8763500000, 1.2367300000, 1.9365300000, 3.3369300000", \
"0.4058700000, 0.5009100000, 0.5697400000, 0.6854500000, 0.8822700000, 1.2426000000, 1.9421000000, 3.3434000000", \
"0.4463200000, 0.5413400000, 0.6101300000, 0.7257800000, 0.9226000000, 1.2829000000, 1.9828000000, 3.3838000000", \
"0.5262300000, 0.6210600000, 0.6896000000, 0.8047000000, 1.0009000000, 1.3609000000, 2.0602000000, 3.4611000000", \
"0.6995000000, 0.7950000000, 0.8628000000, 0.9766000000, 1.1710000000, 1.5288000000, 2.2260000000, 3.6255000000", \
"0.8673000000, 0.9642000000, 1.0329000000, 1.1475000000, 1.3414000000, 1.6971000000, 2.3918000000, 3.7882000000", \
"1.3053700000, 1.4104700000, 1.4834700000, 1.6021700000, 1.7996700000, 2.1535700000, 2.8414700000, 4.2308700000", \
"1.5174300000, 1.6268300000, 1.7026300000, 1.8253300000, 2.0282300000, 2.3838300000, 3.0698300000, 4.4551300000", \
"1.7229000000, 1.8360000000, 1.9144000000, 2.0409000000, 2.2495000000, 2.6100000000, 3.2958000000, 4.6766000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1959900000, 0.2621100000, 0.3091600000, 0.3911800000, 0.5433600000, 0.8393500000, 1.4309700000, 2.6158700000", \
"0.2009600000, 0.2670900000, 0.3141400000, 0.3961800000, 0.5483700000, 0.8444300000, 1.4352700000, 2.6203700000", \
"0.2022200000, 0.2683400000, 0.3154000000, 0.3974000000, 0.5496500000, 0.8456700000, 1.4364300000, 2.6210300000", \
"0.2080600000, 0.2741500000, 0.3211800000, 0.4031900000, 0.5553800000, 0.8512600000, 1.4425000000, 2.6276000000", \
"0.2294000000, 0.2950400000, 0.3416600000, 0.4231200000, 0.5748300000, 0.8704000000, 1.4621000000, 2.6459000000", \
"0.2426700000, 0.3117300000, 0.3593000000, 0.4407400000, 0.5914900000, 0.8858000000, 1.4752000000, 2.6576000000", \
"0.2238700000, 0.3014000000, 0.3524000000, 0.4361000000, 0.5878000000, 0.8807000000, 1.4679000000, 2.6488000000", \
"0.1775000000, 0.2623000000, 0.3170000000, 0.4042000000, 0.5579000000, 0.8523000000, 1.4373000000, 2.6162000000", \
"-0.0093300000, 0.0902700000, 0.1540700000, 0.2518700000, 0.4117700000, 0.7118700000, 1.3052700000, 2.4803700000", \
"-0.1233700000, -0.0174700000, 0.0502300000, 0.1534300000, 0.3175300000, 0.6193300000, 1.2175300000, 2.3981300000", \
"-0.2435000000, -0.1322000000, -0.0608000000, 0.0474000000, 0.2162000000, 0.5197000000, 1.1214000000, 2.3109000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1131000000, 0.1975100000, 0.2568900000, 0.3596000000, 0.5620300000, 0.9862000000, 1.8808000000, 3.7132000000", \
"0.1132700000, 0.1976500000, 0.2570000000, 0.3599300000, 0.5621500000, 0.9864000000, 1.8807000000, 3.7145000000", \
"0.1132100000, 0.1975300000, 0.2570500000, 0.3596400000, 0.5624500000, 0.9863000000, 1.8791000000, 3.7105000000", \
"0.1131300000, 0.1975100000, 0.2569400000, 0.3598200000, 0.5621900000, 0.9862300000, 1.8798000000, 3.7121000000", \
"0.1128400000, 0.1972000000, 0.2566800000, 0.3597300000, 0.5621100000, 0.9863600000, 1.8787000000, 3.7119000000", \
"0.1125500000, 0.1973800000, 0.2571300000, 0.3604200000, 0.5628000000, 0.9866000000, 1.8785000000, 3.7087000000", \
"0.1219000000, 0.2060000000, 0.2649000000, 0.3667000000, 0.5672000000, 0.9889000000, 1.8814000000, 3.7142000000", \
"0.1316000000, 0.2178000000, 0.2776000000, 0.3792000000, 0.5763000000, 0.9928000000, 1.8803000000, 3.7103000000", \
"0.1720000000, 0.2636000000, 0.3245000000, 0.4251000000, 0.6136000000, 1.0158000000, 1.8896000000, 3.7089000000", \
"0.1917000000, 0.2871000000, 0.3505000000, 0.4536000000, 0.6401000000, 1.0345000000, 1.8961000000, 3.7128000000", \
"0.2100000000, 0.3088000000, 0.3749000000, 0.4812000000, 0.6685000000, 1.0576000000, 1.9077000000, 3.7158000000");
}
related_pin : "S0";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0538200000, 0.1133000000, 0.1625800000, 0.2641500000, 0.4743500000, 0.9088200000, 1.7925900000, 3.5660000000", \
"0.0538100000, 0.1133200000, 0.1625400000, 0.2639700000, 0.4743500000, 0.9083200000, 1.7927500000, 3.5659000000", \
"0.0538200000, 0.1133200000, 0.1625900000, 0.2640700000, 0.4744700000, 0.9084400000, 1.7937200000, 3.5673000000", \
"0.0538200000, 0.1133300000, 0.1626000000, 0.2641700000, 0.4743000000, 0.9087700000, 1.7922500000, 3.5676000000", \
"0.0551800000, 0.1146800000, 0.1638600000, 0.2649000000, 0.4746600000, 0.9088900000, 1.7924100000, 3.5671000000", \
"0.0656900000, 0.1253600000, 0.1728900000, 0.2710000000, 0.4776400000, 0.9095600000, 1.7930000000, 3.5649000000", \
"0.0866800000, 0.1495200000, 0.1958200000, 0.2897000000, 0.4904000000, 0.9158000000, 1.7946000000, 3.5679000000", \
"0.1049000000, 0.1718000000, 0.2189000000, 0.3099000000, 0.5065000000, 0.9267000000, 1.7977000000, 3.5678000000", \
"0.1521000000, 0.2261000000, 0.2769000000, 0.3646000000, 0.5525000000, 0.9665000000, 1.8288000000, 3.5778000000", \
"0.1744000000, 0.2509000000, 0.3040000000, 0.3922000000, 0.5761000000, 0.9856000000, 1.8490000000, 3.5925000000", \
"0.1959000000, 0.2740000000, 0.3296000000, 0.4189000000, 0.5991000000, 1.0041000000, 1.8722000000, 3.6160000000");
}
sdf_cond : "(A0&A1&!A2&A3&S1)";
timing_sense : "positive_unate";
when : "(A0&A1&!A2&A3&S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.4834700000, 0.5790500000, 0.6479900000, 0.7638300000, 0.9608800000, 1.3212700000, 2.0207700000, 3.4213700000", \
"0.4886200000, 0.5842000000, 0.6531400000, 0.7689900000, 0.9660400000, 1.3263700000, 2.0258700000, 3.4265700000", \
"0.4900500000, 0.5856300000, 0.6545700000, 0.7704100000, 0.9674700000, 1.3278300000, 2.0273300000, 3.4279300000", \
"0.4971500000, 0.5927300000, 0.6616600000, 0.7775100000, 0.9746000000, 1.3350000000, 2.0343000000, 3.4351000000", \
"0.5096200000, 0.6051700000, 0.6741200000, 0.7899700000, 0.9870000000, 1.3474000000, 2.0469000000, 3.4474000000", \
"0.5114000000, 0.6070900000, 0.6762000000, 0.7923000000, 0.9896000000, 1.3503000000, 2.0498000000, 3.4505000000", \
"0.5088000000, 0.6026000000, 0.6701000000, 0.7838000000, 0.9794000000, 1.3396000000, 2.0393000000, 3.4408000000", \
"0.4881000000, 0.5817000000, 0.6491000000, 0.7629000000, 0.9578000000, 1.3163000000, 2.0157000000, 3.4163000000", \
"0.3887700000, 0.4831700000, 0.5510700000, 0.6655700000, 0.8631700000, 1.2254700000, 1.9224700000, 3.3213700000", \
"0.3272300000, 0.4219300000, 0.4900300000, 0.6051300000, 0.8043300000, 1.1665300000, 1.8636300000, 3.2595300000", \
"0.2620000000, 0.3570000000, 0.4252000000, 0.5410000000, 0.7409000000, 1.1030000000, 1.8003000000, 3.1944000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.3264300000, 0.3928900000, 0.4397600000, 0.5213900000, 0.6731600000, 0.9687900000, 1.5598700000, 2.7430700000", \
"0.3325400000, 0.3990000000, 0.4458800000, 0.5274900000, 0.6792700000, 0.9748700000, 1.5663700000, 2.7504700000", \
"0.3392900000, 0.4057500000, 0.4526200000, 0.5342400000, 0.6860200000, 0.9816300000, 1.5720300000, 2.7559300000", \
"0.3499600000, 0.4164200000, 0.4633100000, 0.5449300000, 0.6967100000, 0.9924000000, 1.5828000000, 2.7667000000", \
"0.4003100000, 0.4667800000, 0.5136600000, 0.5952900000, 0.7470700000, 1.0426000000, 1.6335000000, 2.8167000000", \
"0.4732400000, 0.5397900000, 0.5866600000, 0.6682000000, 0.8199000000, 1.1153000000, 1.7056000000, 2.8890000000", \
"0.6037000000, 0.6698000000, 0.7163000000, 0.7975000000, 0.9489000000, 1.2441000000, 1.8347000000, 3.0179000000", \
"0.7190000000, 0.7856000000, 0.8322000000, 0.9133000000, 1.0642000000, 1.3586000000, 1.9491000000, 3.1330000000", \
"0.9976700000, 1.0667700000, 1.1141700000, 1.1954700000, 1.3469700000, 1.6416700000, 2.2296700000, 3.4132700000", \
"1.1295300000, 1.1999300000, 1.2479300000, 1.3295300000, 1.4816300000, 1.7760300000, 2.3634300000, 3.5452300000", \
"1.2562000000, 1.3279000000, 1.3764000000, 1.4586000000, 1.6110000000, 1.9053000000, 2.4927000000, 3.6729000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1162500000, 0.2014200000, 0.2611800000, 0.3640900000, 0.5658300000, 0.9886500000, 1.8804000000, 3.7127000000", \
"0.1162700000, 0.2014300000, 0.2611600000, 0.3640300000, 0.5658800000, 0.9888100000, 1.8811000000, 3.7086000000", \
"0.1162900000, 0.2014200000, 0.2611700000, 0.3640600000, 0.5658000000, 0.9886300000, 1.8803000000, 3.7109000000", \
"0.1163200000, 0.2012800000, 0.2612200000, 0.3642200000, 0.5658900000, 0.9885500000, 1.8796000000, 3.7127000000", \
"0.1162600000, 0.2012900000, 0.2611500000, 0.3642700000, 0.5659200000, 0.9884000000, 1.8805000000, 3.7126000000", \
"0.1162200000, 0.2010400000, 0.2605100000, 0.3636500000, 0.5655000000, 0.9884000000, 1.8810000000, 3.7132000000", \
"0.1124000000, 0.1956000000, 0.2540000000, 0.3570000000, 0.5608000000, 0.9866000000, 1.8804000000, 3.7116000000", \
"0.1130000000, 0.1962000000, 0.2553000000, 0.3579000000, 0.5597000000, 0.9851000000, 1.8792000000, 3.7111000000", \
"0.1155000000, 0.1998000000, 0.2590000000, 0.3637000000, 0.5688000000, 0.9917000000, 1.8762000000, 3.7088000000", \
"0.1167000000, 0.2011000000, 0.2611000000, 0.3675000000, 0.5727000000, 0.9936000000, 1.8755000000, 3.7085000000", \
"0.1180000000, 0.2026000000, 0.2634000000, 0.3705000000, 0.5751000000, 0.9953000000, 1.8771000000, 3.7024000000");
}
related_pin : "S0";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0567900000, 0.1163800000, 0.1652000000, 0.2659800000, 0.4753000000, 0.9087000000, 1.7927500000, 3.5666000000", \
"0.0567700000, 0.1163400000, 0.1651300000, 0.2658500000, 0.4752300000, 0.9090000000, 1.7932200000, 3.5671000000", \
"0.0567700000, 0.1163200000, 0.1652000000, 0.2659600000, 0.4753900000, 0.9086900000, 1.7917200000, 3.5664000000", \
"0.0567600000, 0.1162900000, 0.1651700000, 0.2659100000, 0.4752800000, 0.9088600000, 1.7925900000, 3.5661000000", \
"0.0568300000, 0.1163500000, 0.1652900000, 0.2658900000, 0.4758900000, 0.9089800000, 1.7925000000, 3.5688000000", \
"0.0573500000, 0.1168600000, 0.1656200000, 0.2661800000, 0.4755400000, 0.9091000000, 1.7928000000, 3.5647000000", \
"0.0578000000, 0.1169000000, 0.1657000000, 0.2663000000, 0.4756000000, 0.9092000000, 1.7939000000, 3.5675000000", \
"0.0599000000, 0.1192000000, 0.1678000000, 0.2677000000, 0.4761000000, 0.9089000000, 1.7927000000, 3.5678000000", \
"0.0671000000, 0.1270000000, 0.1747000000, 0.2736000000, 0.4809000000, 0.9114000000, 1.7921000000, 3.5660000000", \
"0.0707000000, 0.1310000000, 0.1786000000, 0.2772000000, 0.4838000000, 0.9132000000, 1.7914000000, 3.5636000000", \
"0.0742000000, 0.1349000000, 0.1825000000, 0.2806000000, 0.4861000000, 0.9143000000, 1.7927000000, 3.5646000000");
}
sdf_cond : "(A0&A1&A2&!A3&S1)";
timing_sense : "negative_unate";
when : "(A0&A1&A2&!A3&S1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1953300000, 0.2924100000, 0.3619000000, 0.4769200000, 0.6725000000, 1.0324700000, 1.7335700000, 3.1368700000", \
"0.2043700000, 0.3005800000, 0.3700000000, 0.4849500000, 0.6804700000, 1.0403700000, 1.7414700000, 3.1447700000", \
"0.2070900000, 0.3032000000, 0.3726000000, 0.4875700000, 0.6830900000, 1.0430300000, 1.7443300000, 3.1478300000", \
"0.2180300000, 0.3140600000, 0.3834600000, 0.4984400000, 0.6940100000, 1.0540000000, 1.7551000000, 3.1594000000", \
"0.2617600000, 0.3569900000, 0.4260500000, 0.5407500000, 0.7362100000, 1.0963000000, 1.7976000000, 3.2009000000", \
"0.3304700000, 0.4255300000, 0.4938000000, 0.6077600000, 0.8026000000, 1.1620000000, 1.8629000000, 3.2658000000", \
"0.4675000000, 0.5621000000, 0.6307000000, 0.7461000000, 0.9410000000, 1.2990000000, 1.9973000000, 3.3982000000", \
"0.5953000000, 0.6954000000, 0.7672000000, 0.8847000000, 1.0816000000, 1.4393000000, 2.1352000000, 3.5335000000", \
"0.9206700000, 1.0339700000, 1.1157700000, 1.2493700000, 1.4646700000, 1.8307700000, 2.5236700000, 3.9133700000", \
"1.0801300000, 1.1989300000, 1.2849300000, 1.4255300000, 1.6522300000, 2.0296300000, 2.7253300000, 4.1103300000", \
"1.2358000000, 1.3596000000, 1.4494000000, 1.5964000000, 1.8333000000, 2.2234000000, 2.9259000000, 4.3079000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1128100000, 0.1786100000, 0.2257400000, 0.3080100000, 0.4605800000, 0.7569800000, 1.3481700000, 2.5334700000", \
"0.1159100000, 0.1816000000, 0.2287200000, 0.3109900000, 0.4634500000, 0.7599400000, 1.3513700000, 2.5363700000", \
"0.1177600000, 0.1834200000, 0.2305400000, 0.3128400000, 0.4653000000, 0.7618100000, 1.3529300000, 2.5377300000", \
"0.1256300000, 0.1910400000, 0.2381100000, 0.3204000000, 0.4729100000, 0.7692100000, 1.3614000000, 2.5465000000", \
"0.1426000000, 0.2074000000, 0.2540100000, 0.3356800000, 0.4876100000, 0.7835200000, 1.3741000000, 2.5577000000", \
"0.1427200000, 0.2094000000, 0.2565900000, 0.3388400000, 0.4914400000, 0.7866000000, 1.3770000000, 2.5604000000", \
"0.1087600000, 0.1828900000, 0.2329000000, 0.3171000000, 0.4716000000, 0.7683000000, 1.3565000000, 2.5380000000", \
"0.0563000000, 0.1359000000, 0.1888000000, 0.2754000000, 0.4318000000, 0.7329000000, 1.3218000000, 2.5011000000", \
"-0.1366300000, -0.0458300000, 0.0138700000, 0.1076700000, 0.2677700000, 0.5755700000, 1.1822700000, 2.3635700000", \
"-0.2520700000, -0.1561700000, -0.0936700000, 0.0039300000, 0.1665300000, 0.4757300000, 1.0899300000, 2.2823300000", \
"-0.3725000000, -0.2718000000, -0.2065000000, -0.1054000000, 0.0601000000, 0.3704000000, 0.9892000000, 2.1975000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0951200000, 0.1763300000, 0.2349000000, 0.3385300000, 0.5451700000, 0.9752100000, 1.8759500000, 3.7098000000", \
"0.0959400000, 0.1768000000, 0.2352600000, 0.3387200000, 0.5454500000, 0.9755700000, 1.8745700000, 3.7121000000", \
"0.0955400000, 0.1764700000, 0.2349600000, 0.3385500000, 0.5453500000, 0.9754400000, 1.8747600000, 3.7108000000", \
"0.0940700000, 0.1754200000, 0.2342700000, 0.3380300000, 0.5446600000, 0.9751900000, 1.8760600000, 3.7120000000", \
"0.0879400000, 0.1724800000, 0.2322600000, 0.3368200000, 0.5441900000, 0.9754400000, 1.8740000000, 3.7107000000", \
"0.0894400000, 0.1746700000, 0.2343000000, 0.3383000000, 0.5452200000, 0.9758000000, 1.8740000000, 3.7098000000", \
"0.1018000000, 0.1873000000, 0.2480000000, 0.3514000000, 0.5545000000, 0.9798000000, 1.8749000000, 3.7061000000", \
"0.1224000000, 0.2119000000, 0.2720000000, 0.3729000000, 0.5702000000, 0.9884000000, 1.8778000000, 3.7104000000", \
"0.1772000000, 0.2797000000, 0.3489000000, 0.4578000000, 0.6456000000, 1.0365000000, 1.8965000000, 3.7143000000", \
"0.2041000000, 0.3121000000, 0.3857000000, 0.5008000000, 0.6913000000, 1.0755000000, 1.9148000000, 3.7199000000", \
"0.2303000000, 0.3430000000, 0.4211000000, 0.5413000000, 0.7379000000, 1.1180000000, 1.9399000000, 3.7214000000");
}
related_pin : "S1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0475900000, 0.1080800000, 0.1586100000, 0.2614900000, 0.4732700000, 0.9084000000, 1.7913200000, 3.5658000000", \
"0.0473900000, 0.1080000000, 0.1585500000, 0.2614900000, 0.4732100000, 0.9085300000, 1.7917600000, 3.5682000000", \
"0.0473300000, 0.1080100000, 0.1585100000, 0.2614900000, 0.4732900000, 0.9079400000, 1.7927900000, 3.5679000000", \
"0.0468800000, 0.1078800000, 0.1584800000, 0.2615200000, 0.4731400000, 0.9094000000, 1.7927200000, 3.5664000000", \
"0.0502500000, 0.1114200000, 0.1613600000, 0.2632000000, 0.4740200000, 0.9082100000, 1.7920400000, 3.5656000000", \
"0.0607500000, 0.1204000000, 0.1697600000, 0.2708100000, 0.4790300000, 0.9101800000, 1.7923400000, 3.5658000000", \
"0.0793000000, 0.1422700000, 0.1907700000, 0.2887300000, 0.4940700000, 0.9201000000, 1.7966000000, 3.5705000000", \
"0.0954000000, 0.1622000000, 0.2112000000, 0.3070000000, 0.5115000000, 0.9368000000, 1.8035000000, 3.5682000000", \
"0.1455000000, 0.2134000000, 0.2636000000, 0.3560000000, 0.5549000000, 0.9840000000, 1.8507000000, 3.5883000000", \
"0.1693000000, 0.2364000000, 0.2880000000, 0.3794000000, 0.5749000000, 1.0049000000, 1.8794000000, 3.6125000000", \
"0.1917000000, 0.2586000000, 0.3113000000, 0.4024000000, 0.5944000000, 1.0223000000, 1.9082000000, 3.6425000000");
}
sdf_cond : "(!A0&!A1&!A2&A3&S0)";
timing_sense : "positive_unate";
when : "(!A0&!A1&!A2&A3&S0)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1922500000, 0.2875300000, 0.3560400000, 0.4697400000, 0.6640400000, 1.0230700000, 1.7240700000, 3.1278700000", \
"0.2010500000, 0.2955500000, 0.3639700000, 0.4776300000, 0.6718700000, 1.0308700000, 1.7314700000, 3.1349700000", \
"0.2038400000, 0.2982200000, 0.3666400000, 0.4803100000, 0.6745500000, 1.0335300000, 1.7342300000, 3.1384300000", \
"0.2148600000, 0.3091500000, 0.3775500000, 0.4912000000, 0.6854900000, 1.0446000000, 1.7456000000, 3.1493000000", \
"0.2588100000, 0.3521800000, 0.4201600000, 0.5335100000, 0.7276700000, 1.0867000000, 1.7877000000, 3.1915000000", \
"0.3274000000, 0.4206000000, 0.4878100000, 0.6003700000, 0.7939000000, 1.1523000000, 1.8528000000, 3.2565000000", \
"0.4634000000, 0.5564000000, 0.6241000000, 0.7380000000, 0.9316000000, 1.2885000000, 1.9867000000, 3.3885000000", \
"0.5896000000, 0.6884000000, 0.7594000000, 0.8758000000, 1.0712000000, 1.4280000000, 2.1237000000, 3.5226000000", \
"0.9103700000, 1.0224700000, 1.1035700000, 1.2359700000, 1.4500700000, 1.8155700000, 2.5083700000, 3.8981700000", \
"1.0674300000, 1.1851300000, 1.2704300000, 1.4099300000, 1.6350300000, 2.0118300000, 2.7075300000, 4.0927300000", \
"1.2209000000, 1.3435000000, 1.4326000000, 1.5784000000, 1.8136000000, 2.2028000000, 2.9056000000, 4.2882000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1128200000, 0.1785900000, 0.2257400000, 0.3080900000, 0.4607300000, 0.7570800000, 1.3488700000, 2.5332700000", \
"0.1159200000, 0.1815700000, 0.2287200000, 0.3110400000, 0.4636100000, 0.7601400000, 1.3517700000, 2.5373700000", \
"0.1177800000, 0.1834000000, 0.2305500000, 0.3128700000, 0.4655100000, 0.7620200000, 1.3534300000, 2.5370300000", \
"0.1256700000, 0.1910600000, 0.2381400000, 0.3204800000, 0.4731100000, 0.7695200000, 1.3607000000, 2.5468000000", \
"0.1428200000, 0.2076400000, 0.2542500000, 0.3359300000, 0.4879300000, 0.7839200000, 1.3750000000, 2.5597000000", \
"0.1434000000, 0.2101700000, 0.2574100000, 0.3397000000, 0.4923600000, 0.7876000000, 1.3779000000, 2.5619000000", \
"0.1106900000, 0.1850400000, 0.2351900000, 0.3196000000, 0.4742000000, 0.7709000000, 1.3591000000, 2.5405000000", \
"0.0598000000, 0.1398000000, 0.1930000000, 0.2799000000, 0.4365000000, 0.7376000000, 1.3265000000, 2.5051000000", \
"-0.1258300000, -0.0348300000, 0.0252700000, 0.1196700000, 0.2801700000, 0.5881700000, 1.1956700000, 2.3762700000", \
"-0.2375700000, -0.1413700000, -0.0785700000, 0.0196300000, 0.1828300000, 0.4925300000, 1.1071300000, 2.2989300000", \
"-0.3542000000, -0.2534000000, -0.1878000000, -0.0861000000, 0.0801000000, 0.3909000000, 1.0102000000, 2.2185000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0910100000, 0.1713800000, 0.2296500000, 0.3332800000, 0.5407300000, 0.9721400000, 1.8728200000, 3.7125000000", \
"0.0917300000, 0.1717000000, 0.2299200000, 0.3333700000, 0.5405700000, 0.9728600000, 1.8731700000, 3.7114000000", \
"0.0913500000, 0.1713500000, 0.2296500000, 0.3333500000, 0.5402900000, 0.9726700000, 1.8732100000, 3.7107000000", \
"0.0899600000, 0.1704400000, 0.2289300000, 0.3327700000, 0.5403400000, 0.9721000000, 1.8724900000, 3.7117000000", \
"0.0841700000, 0.1675100000, 0.2271200000, 0.3316500000, 0.5399700000, 0.9724300000, 1.8721000000, 3.7104000000", \
"0.0858500000, 0.1698900000, 0.2292100000, 0.3332200000, 0.5408300000, 0.9729000000, 1.8748000000, 3.7115000000", \
"0.0985000000, 0.1829000000, 0.2430000000, 0.3462000000, 0.5500000000, 0.9764000000, 1.8743000000, 3.7123000000", \
"0.1186000000, 0.2072000000, 0.2672000000, 0.3681000000, 0.5656000000, 0.9851000000, 1.8754000000, 3.7101000000", \
"0.1719000000, 0.2737000000, 0.3427000000, 0.4515000000, 0.6404000000, 1.0328000000, 1.8950000000, 3.7145000000", \
"0.1980000000, 0.3054000000, 0.3787000000, 0.4932000000, 0.6855000000, 1.0710000000, 1.9135000000, 3.7151000000", \
"0.2237000000, 0.3356000000, 0.4130000000, 0.5331000000, 0.7302000000, 1.1137000000, 1.9379000000, 3.7202000000");
}
related_pin : "S1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0474400000, 0.1080700000, 0.1586700000, 0.2618000000, 0.4735100000, 0.9083800000, 1.7921400000, 3.5686000000", \
"0.0473000000, 0.1080200000, 0.1585800000, 0.2615800000, 0.4735400000, 0.9083100000, 1.7924800000, 3.5659000000", \
"0.0472600000, 0.1079700000, 0.1586900000, 0.2618100000, 0.4735000000, 0.9082300000, 1.7917700000, 3.5665000000", \
"0.0468500000, 0.1079100000, 0.1585000000, 0.2616500000, 0.4734500000, 0.9084800000, 1.7938600000, 3.5660000000", \
"0.0503100000, 0.1114400000, 0.1614300000, 0.2633600000, 0.4742300000, 0.9083100000, 1.7930900000, 3.5666000000", \
"0.0609100000, 0.1206100000, 0.1698800000, 0.2710100000, 0.4791300000, 0.9105300000, 1.7926300000, 3.5658000000", \
"0.0798400000, 0.1427800000, 0.1913200000, 0.2891400000, 0.4944900000, 0.9203000000, 1.7966000000, 3.5669000000", \
"0.0959000000, 0.1628000000, 0.2118000000, 0.3077000000, 0.5123000000, 0.9372000000, 1.8046000000, 3.5672000000", \
"0.1452000000, 0.2141000000, 0.2649000000, 0.3572000000, 0.5558000000, 0.9851000000, 1.8512000000, 3.5890000000", \
"0.1694000000, 0.2373000000, 0.2894000000, 0.3812000000, 0.5765000000, 1.0061000000, 1.8807000000, 3.6132000000", \
"0.1918000000, 0.2594000000, 0.3126000000, 0.4041000000, 0.5964000000, 1.0241000000, 1.9067000000, 3.6422000000");
}
sdf_cond : "(!A0&!A1&A2&!A3&!S0)";
timing_sense : "positive_unate";
when : "(!A0&!A1&A2&!A3&!S0)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1922500000, 0.2875300000, 0.3560400000, 0.4697400000, 0.6640400000, 1.0230700000, 1.7240700000, 3.1278700000", \
"0.2010400000, 0.2955500000, 0.3639700000, 0.4776400000, 0.6718800000, 1.0307700000, 1.7316700000, 3.1348700000", \
"0.2038400000, 0.2982200000, 0.3666400000, 0.4802900000, 0.6745500000, 1.0335300000, 1.7342300000, 3.1386300000", \
"0.2148600000, 0.3091500000, 0.3775500000, 0.4912000000, 0.6854900000, 1.0446000000, 1.7456000000, 3.1489000000", \
"0.2588100000, 0.3521700000, 0.4201600000, 0.5335000000, 0.7276700000, 1.0867000000, 1.7877000000, 3.1920000000", \
"0.3274000000, 0.4206000000, 0.4878000000, 0.6003700000, 0.7939000000, 1.1523000000, 1.8528000000, 3.2565000000", \
"0.4634000000, 0.5564000000, 0.6241000000, 0.7380000000, 0.9316000000, 1.2885000000, 1.9867000000, 3.3885000000", \
"0.5896000000, 0.6884000000, 0.7594000000, 0.8758000000, 1.0712000000, 1.4280000000, 2.1237000000, 3.5226000000", \
"0.9103700000, 1.0224700000, 1.1035700000, 1.2359700000, 1.4500700000, 1.8155700000, 2.5083700000, 3.8981700000", \
"1.0674300000, 1.1851300000, 1.2704300000, 1.4099300000, 1.6350300000, 2.0118300000, 2.7075300000, 4.0927300000", \
"1.2209000000, 1.3435000000, 1.4326000000, 1.5784000000, 1.8136000000, 2.2028000000, 2.9056000000, 4.2882000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1128200000, 0.1785900000, 0.2257400000, 0.3080600000, 0.4607100000, 0.7569700000, 1.3482700000, 2.5339700000", \
"0.1159200000, 0.1815700000, 0.2287100000, 0.3110400000, 0.4636300000, 0.7601700000, 1.3511700000, 2.5364700000", \
"0.1177800000, 0.1834000000, 0.2305500000, 0.3128800000, 0.4655100000, 0.7620200000, 1.3534300000, 2.5382300000", \
"0.1256700000, 0.1910500000, 0.2381400000, 0.3204800000, 0.4731200000, 0.7695200000, 1.3607000000, 2.5468000000", \
"0.1428200000, 0.2076400000, 0.2542500000, 0.3359300000, 0.4879300000, 0.7839200000, 1.3750000000, 2.5591000000", \
"0.1434000000, 0.2101700000, 0.2574100000, 0.3397000000, 0.4923600000, 0.7876000000, 1.3779000000, 2.5619000000", \
"0.1106800000, 0.1850400000, 0.2351900000, 0.3196000000, 0.4742000000, 0.7709000000, 1.3591000000, 2.5405000000", \
"0.0598000000, 0.1398000000, 0.1930000000, 0.2799000000, 0.4365000000, 0.7376000000, 1.3265000000, 2.5051000000", \
"-0.1258300000, -0.0348300000, 0.0252700000, 0.1196700000, 0.2801700000, 0.5881700000, 1.1956700000, 2.3762700000", \
"-0.2375700000, -0.1413700000, -0.0785700000, 0.0196300000, 0.1828300000, 0.4925300000, 1.1071300000, 2.2989300000", \
"-0.3542000000, -0.2534000000, -0.1878000000, -0.0861000000, 0.0801000000, 0.3909000000, 1.0102000000, 2.2185000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0910000000, 0.1713800000, 0.2296500000, 0.3332900000, 0.5406900000, 0.9722400000, 1.8737200000, 3.7092000000", \
"0.0917200000, 0.1717000000, 0.2299200000, 0.3334900000, 0.5405900000, 0.9724200000, 1.8719000000, 3.7110000000", \
"0.0913400000, 0.1713400000, 0.2297000000, 0.3333100000, 0.5404700000, 0.9725700000, 1.8732100000, 3.7130000000", \
"0.0899600000, 0.1704300000, 0.2289300000, 0.3327700000, 0.5403400000, 0.9722000000, 1.8726900000, 3.7104000000", \
"0.0841700000, 0.1675100000, 0.2271200000, 0.3316500000, 0.5399700000, 0.9724300000, 1.8721000000, 3.7107000000", \
"0.0858500000, 0.1698900000, 0.2292100000, 0.3332200000, 0.5408300000, 0.9729000000, 1.8748000000, 3.7115000000", \
"0.0985000000, 0.1829000000, 0.2430000000, 0.3462000000, 0.5500000000, 0.9764000000, 1.8743000000, 3.7121000000", \
"0.1186000000, 0.2072000000, 0.2672000000, 0.3681000000, 0.5656000000, 0.9851000000, 1.8755000000, 3.7130000000", \
"0.1719000000, 0.2737000000, 0.3427000000, 0.4515000000, 0.6404000000, 1.0328000000, 1.8950000000, 3.7145000000", \
"0.1980000000, 0.3054000000, 0.3787000000, 0.4932000000, 0.6855000000, 1.0710000000, 1.9135000000, 3.7151000000", \
"0.2237000000, 0.3356000000, 0.4130000000, 0.5331000000, 0.7302000000, 1.1137000000, 1.9379000000, 3.7202000000");
}
related_pin : "S1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0474300000, 0.1080800000, 0.1587600000, 0.2619000000, 0.4731600000, 0.9083700000, 1.7934600000, 3.5668000000", \
"0.0473000000, 0.1080300000, 0.1585900000, 0.2615900000, 0.4733500000, 0.9083100000, 1.7930700000, 3.5692000000", \
"0.0472500000, 0.1079700000, 0.1586800000, 0.2618000000, 0.4735000000, 0.9082300000, 1.7917700000, 3.5687000000", \
"0.0468500000, 0.1079100000, 0.1585400000, 0.2616500000, 0.4734500000, 0.9084800000, 1.7938600000, 3.5660000000", \
"0.0503100000, 0.1114400000, 0.1614300000, 0.2633600000, 0.4742400000, 0.9083100000, 1.7919900000, 3.5669000000", \
"0.0609100000, 0.1206100000, 0.1698800000, 0.2710100000, 0.4791300000, 0.9105300000, 1.7926300000, 3.5658000000", \
"0.0798400000, 0.1427800000, 0.1913200000, 0.2891400000, 0.4944900000, 0.9203000000, 1.7965000000, 3.5670000000", \
"0.0959000000, 0.1628000000, 0.2118000000, 0.3077000000, 0.5123000000, 0.9372000000, 1.8046000000, 3.5672000000", \
"0.1452000000, 0.2141000000, 0.2649000000, 0.3572000000, 0.5558000000, 0.9851000000, 1.8512000000, 3.5892000000", \
"0.1694000000, 0.2373000000, 0.2894000000, 0.3812000000, 0.5765000000, 1.0061000000, 1.8807000000, 3.6132000000", \
"0.1918000000, 0.2594000000, 0.3126000000, 0.4041000000, 0.5964000000, 1.0241000000, 1.9067000000, 3.6422000000");
}
sdf_cond : "(!A0&!A1&A2&A3&!S0)";
timing_sense : "positive_unate";
when : "(!A0&!A1&A2&A3&!S0)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1953300000, 0.2924100000, 0.3619000000, 0.4769300000, 0.6725000000, 1.0324700000, 1.7334700000, 3.1373700000", \
"0.2043700000, 0.3005800000, 0.3700000000, 0.4849500000, 0.6804700000, 1.0403700000, 1.7413700000, 3.1446700000", \
"0.2071000000, 0.3032000000, 0.3726000000, 0.4875700000, 0.6830900000, 1.0430300000, 1.7440300000, 3.1476300000", \
"0.2180300000, 0.3140600000, 0.3834600000, 0.4984400000, 0.6940100000, 1.0540000000, 1.7553000000, 3.1583000000", \
"0.2617600000, 0.3569900000, 0.4260500000, 0.5407500000, 0.7362100000, 1.0963000000, 1.7976000000, 3.2008000000", \
"0.3304700000, 0.4255300000, 0.4938000000, 0.6077600000, 0.8026000000, 1.1620000000, 1.8629000000, 3.2658000000", \
"0.4675000000, 0.5621000000, 0.6307000000, 0.7461000000, 0.9410000000, 1.2990000000, 1.9973000000, 3.3981000000", \
"0.5953000000, 0.6954000000, 0.7672000000, 0.8847000000, 1.0816000000, 1.4393000000, 2.1352000000, 3.5335000000", \
"0.9206700000, 1.0339700000, 1.1157700000, 1.2493700000, 1.4646700000, 1.8307700000, 2.5236700000, 3.9133700000", \
"1.0801300000, 1.1989300000, 1.2849300000, 1.4255300000, 1.6521300000, 2.0296300000, 2.7253300000, 4.1103300000", \
"1.2358000000, 1.3596000000, 1.4494000000, 1.5964000000, 1.8333000000, 2.2234000000, 2.9259000000, 4.3079000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1128100000, 0.1786100000, 0.2257400000, 0.3080300000, 0.4605000000, 0.7569300000, 1.3480700000, 2.5322700000", \
"0.1159100000, 0.1816000000, 0.2287200000, 0.3110000000, 0.4635500000, 0.7598000000, 1.3510700000, 2.5355700000", \
"0.1177600000, 0.1834200000, 0.2305500000, 0.3128400000, 0.4653800000, 0.7617900000, 1.3528300000, 2.5381300000", \
"0.1256300000, 0.1910400000, 0.2381100000, 0.3204000000, 0.4729000000, 0.7692000000, 1.3606000000, 2.5442000000", \
"0.1426000000, 0.2074000000, 0.2540100000, 0.3356800000, 0.4876100000, 0.7835200000, 1.3741000000, 2.5577000000", \
"0.1427200000, 0.2094000000, 0.2565900000, 0.3388400000, 0.4914400000, 0.7866000000, 1.3770000000, 2.5604000000", \
"0.1087700000, 0.1828900000, 0.2329000000, 0.3171000000, 0.4716000000, 0.7683000000, 1.3565000000, 2.5380000000", \
"0.0563000000, 0.1359000000, 0.1888000000, 0.2754000000, 0.4318000000, 0.7329000000, 1.3218000000, 2.5007000000", \
"-0.1366300000, -0.0458300000, 0.0138700000, 0.1076700000, 0.2677700000, 0.5755700000, 1.1822700000, 2.3635700000", \
"-0.2520700000, -0.1561700000, -0.0936700000, 0.0039300000, 0.1665300000, 0.4757300000, 1.0899300000, 2.2823300000", \
"-0.3725000000, -0.2718000000, -0.2065000000, -0.1054000000, 0.0601000000, 0.3704000000, 0.9892000000, 2.1975000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0950800000, 0.1763400000, 0.2349000000, 0.3385300000, 0.5451500000, 0.9752100000, 1.8761500000, 3.7113000000", \
"0.0959400000, 0.1768000000, 0.2352500000, 0.3387400000, 0.5454000000, 0.9753700000, 1.8760300000, 3.7098000000", \
"0.0955500000, 0.1764700000, 0.2349600000, 0.3385500000, 0.5453500000, 0.9755400000, 1.8761400000, 3.7129000000", \
"0.0940700000, 0.1754100000, 0.2342700000, 0.3380100000, 0.5446600000, 0.9753000000, 1.8742100000, 3.7065000000", \
"0.0879400000, 0.1724800000, 0.2322600000, 0.3368200000, 0.5441900000, 0.9754400000, 1.8740000000, 3.7107000000", \
"0.0894400000, 0.1746700000, 0.2343000000, 0.3383000000, 0.5452200000, 0.9758000000, 1.8749000000, 3.7098000000", \
"0.1018000000, 0.1873000000, 0.2480000000, 0.3514000000, 0.5545000000, 0.9798000000, 1.8749000000, 3.7066000000", \
"0.1224000000, 0.2119000000, 0.2720000000, 0.3729000000, 0.5702000000, 0.9883000000, 1.8779000000, 3.7105000000", \
"0.1772000000, 0.2797000000, 0.3489000000, 0.4578000000, 0.6456000000, 1.0365000000, 1.8965000000, 3.7142000000", \
"0.2041000000, 0.3121000000, 0.3857000000, 0.5008000000, 0.6913000000, 1.0755000000, 1.9148000000, 3.7199000000", \
"0.2303000000, 0.3430000000, 0.4211000000, 0.5413000000, 0.7379000000, 1.1180000000, 1.9399000000, 3.7214000000");
}
related_pin : "S1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0475300000, 0.1080800000, 0.1586200000, 0.2616400000, 0.4733600000, 0.9089000000, 1.7916000000, 3.5667000000", \
"0.0473900000, 0.1080000000, 0.1587100000, 0.2615500000, 0.4733300000, 0.9082200000, 1.7929600000, 3.5670000000", \
"0.0473300000, 0.1080100000, 0.1586700000, 0.2616000000, 0.4731500000, 0.9084400000, 1.7915900000, 3.5669000000", \
"0.0468800000, 0.1078900000, 0.1584800000, 0.2615100000, 0.4731500000, 0.9084000000, 1.7914900000, 3.5650000000", \
"0.0502500000, 0.1114200000, 0.1613600000, 0.2632000000, 0.4738600000, 0.9082100000, 1.7920400000, 3.5659000000", \
"0.0607500000, 0.1204000000, 0.1697600000, 0.2708100000, 0.4790300000, 0.9101800000, 1.7922400000, 3.5658000000", \
"0.0793000000, 0.1422700000, 0.1907700000, 0.2887300000, 0.4940700000, 0.9201000000, 1.7966000000, 3.5707000000", \
"0.0954000000, 0.1622000000, 0.2112000000, 0.3070000000, 0.5115000000, 0.9368000000, 1.8035000000, 3.5706000000", \
"0.1455000000, 0.2134000000, 0.2636000000, 0.3560000000, 0.5549000000, 0.9840000000, 1.8507000000, 3.5879000000", \
"0.1693000000, 0.2364000000, 0.2880000000, 0.3794000000, 0.5749000000, 1.0049000000, 1.8794000000, 3.6125000000", \
"0.1917000000, 0.2586000000, 0.3113000000, 0.4024000000, 0.5944000000, 1.0223000000, 1.9082000000, 3.6425000000");
}
sdf_cond : "(!A0&!A1&A2&A3&S0)";
timing_sense : "positive_unate";
when : "(!A0&!A1&A2&A3&S0)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2009800000, 0.3000500000, 0.3716300000, 0.4903500000, 0.6899700000, 1.0530700000, 1.7549700000, 3.1572700000", \
"0.2068800000, 0.3057300000, 0.3772100000, 0.4958600000, 0.6954400000, 1.0583700000, 1.7602700000, 3.1629700000", \
"0.2091100000, 0.3079400000, 0.3794500000, 0.4981200000, 0.6977200000, 1.0607300000, 1.7626300000, 3.1652300000", \
"0.2174300000, 0.3164200000, 0.3880200000, 0.5067600000, 0.7064200000, 1.0695000000, 1.7715000000, 3.1740000000", \
"0.2325100000, 0.3316300000, 0.4031800000, 0.5218100000, 0.7214800000, 1.0848000000, 1.7872000000, 3.1901000000", \
"0.2700700000, 0.3600500000, 0.4259300000, 0.5400000000, 0.7371000000, 1.0994000000, 1.8014000000, 3.2045000000", \
"0.2878000000, 0.3780000000, 0.4431000000, 0.5524000000, 0.7426000000, 1.0999000000, 1.7996000000, 3.2019000000", \
"0.2637000000, 0.3531000000, 0.4180000000, 0.5274000000, 0.7184000000, 1.0738000000, 1.7709000000, 3.1722000000", \
"0.1586700000, 0.2483700000, 0.3134700000, 0.4237700000, 0.6214700000, 0.9983700000, 1.7156700000, 3.1153700000", \
"0.0964300000, 0.1863300000, 0.2516300000, 0.3631300000, 0.5648300000, 0.9513300000, 1.6904300000, 3.0943300000", \
"0.0314000000, 0.1217000000, 0.1874000000, 0.2999000000, 0.5048000000, 0.8987000000, 1.6562000000, 3.0757000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1586200000, 0.2226800000, 0.2686000000, 0.3496600000, 0.5010600000, 0.7970100000, 1.3878700000, 2.5739700000", \
"0.1649800000, 0.2289800000, 0.2748600000, 0.3559000000, 0.5073300000, 0.8030100000, 1.3945700000, 2.5781700000", \
"0.1687000000, 0.2326800000, 0.2785600000, 0.3595700000, 0.5109300000, 0.8068800000, 1.3978300000, 2.5832300000", \
"0.1814300000, 0.2454100000, 0.2912800000, 0.3723000000, 0.5237000000, 0.8193400000, 1.4105000000, 2.5957000000", \
"0.2280100000, 0.2919100000, 0.3376900000, 0.4184800000, 0.5697100000, 0.8652000000, 1.4562000000, 2.6405000000", \
"0.2947800000, 0.3568400000, 0.4016000000, 0.4815800000, 0.6319300000, 0.9270000000, 1.5179000000, 2.7030000000", \
"0.4034000000, 0.4652000000, 0.5096000000, 0.5887000000, 0.7372000000, 1.0302000000, 1.6195000000, 2.8040000000", \
"0.4975000000, 0.5597000000, 0.6042000000, 0.6832000000, 0.8321000000, 1.1237000000, 1.7117000000, 2.8941000000", \
"0.7302700000, 0.7957700000, 0.8411700000, 0.9210700000, 1.0740700000, 1.3760700000, 1.9666700000, 3.1451700000", \
"0.8424300000, 0.9098300000, 0.9559300000, 1.0365300000, 1.1908300000, 1.4967300000, 2.0951300000, 3.2722300000", \
"0.9511000000, 1.0204000000, 1.0672000000, 1.1485000000, 1.3039000000, 1.6124000000, 2.2195000000, 3.3984000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1079400000, 0.1907300000, 0.2503000000, 0.3544300000, 0.5588500000, 0.9852200000, 1.8807200000, 3.7122000000", \
"0.1087200000, 0.1913600000, 0.2506800000, 0.3548700000, 0.5590100000, 0.9855400000, 1.8806200000, 3.7125000000", \
"0.1087900000, 0.1913400000, 0.2507500000, 0.3548400000, 0.5589800000, 0.9855100000, 1.8791800000, 3.7129000000", \
"0.1082700000, 0.1909300000, 0.2504700000, 0.3545200000, 0.5592300000, 0.9850400000, 1.8807100000, 3.7107000000", \
"0.0947500000, 0.1834900000, 0.2452300000, 0.3509700000, 0.5569200000, 0.9843900000, 1.8781000000, 3.7083000000", \
"0.0958200000, 0.1744500000, 0.2346400000, 0.3420800000, 0.5525200000, 0.9825400000, 1.8784000000, 3.7122000000", \
"0.1089800000, 0.1867200000, 0.2422000000, 0.3422000000, 0.5471000000, 0.9784000000, 1.8764000000, 3.7124000000", \
"0.1074000000, 0.1863000000, 0.2430000000, 0.3445000000, 0.5494000000, 0.9766000000, 1.8742000000, 3.7103000000", \
"0.1077000000, 0.1879000000, 0.2462000000, 0.3534000000, 0.5731000000, 1.0216000000, 1.9043000000, 3.7141000000", \
"0.1087000000, 0.1895000000, 0.2487000000, 0.3594000000, 0.5864000000, 1.0498000000, 1.9400000000, 3.7288000000", \
"0.1100000000, 0.1914000000, 0.2518000000, 0.3653000000, 0.5975000000, 1.0728000000, 1.9805000000, 3.7528000000");
}
related_pin : "S1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0498100000, 0.1089000000, 0.1586400000, 0.2609200000, 0.4722600000, 0.9079800000, 1.7926300000, 3.5669000000", \
"0.0498200000, 0.1089300000, 0.1588600000, 0.2609700000, 0.4724400000, 0.9089800000, 1.7925300000, 3.5675000000", \
"0.0498500000, 0.1089700000, 0.1587400000, 0.2609300000, 0.4720600000, 0.9078400000, 1.7919600000, 3.5661000000", \
"0.0498000000, 0.1089300000, 0.1587700000, 0.2609900000, 0.4722700000, 0.9087600000, 1.7933900000, 3.5664000000", \
"0.0495900000, 0.1089400000, 0.1588800000, 0.2611100000, 0.4724800000, 0.9083700000, 1.7927700000, 3.5662000000", \
"0.0496900000, 0.1080100000, 0.1584300000, 0.2610100000, 0.4723400000, 0.9077700000, 1.7924000000, 3.5660000000", \
"0.0541000000, 0.1112000000, 0.1611000000, 0.2628000000, 0.4727000000, 0.9074000000, 1.7923000000, 3.5665000000", \
"0.0579000000, 0.1146000000, 0.1642000000, 0.2660000000, 0.4757000000, 0.9084000000, 1.7916000000, 3.5668000000", \
"0.0688000000, 0.1252000000, 0.1740000000, 0.2771000000, 0.4946000000, 0.9317000000, 1.8029000000, 3.5685000000", \
"0.0742000000, 0.1306000000, 0.1792000000, 0.2826000000, 0.5022000000, 0.9450000000, 1.8157000000, 3.5688000000", \
"0.0794000000, 0.1360000000, 0.1843000000, 0.2873000000, 0.5085000000, 0.9575000000, 1.8315000000, 3.5767000000");
}
sdf_cond : "(!A0&A1&!A2&!A3&S0)";
timing_sense : "negative_unate";
when : "(!A0&A1&!A2&!A3&S0)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1922500000, 0.2875400000, 0.3560400000, 0.4697400000, 0.6640400000, 1.0230700000, 1.7240700000, 3.1279700000", \
"0.2010500000, 0.2955600000, 0.3639800000, 0.4776300000, 0.6718800000, 1.0308700000, 1.7314700000, 3.1349700000", \
"0.2038400000, 0.2982300000, 0.3666400000, 0.4803100000, 0.6745600000, 1.0335300000, 1.7342300000, 3.1382300000", \
"0.2148600000, 0.3091600000, 0.3775600000, 0.4912100000, 0.6854900000, 1.0446000000, 1.7456000000, 3.1494000000", \
"0.2588100000, 0.3521800000, 0.4201700000, 0.5335100000, 0.7276800000, 1.0868000000, 1.7877000000, 3.1916000000", \
"0.3274000000, 0.4206000000, 0.4878100000, 0.6003700000, 0.7939000000, 1.1523000000, 1.8528000000, 3.2565000000", \
"0.4634000000, 0.5564000000, 0.6241000000, 0.7380000000, 0.9316000000, 1.2885000000, 1.9867000000, 3.3886000000", \
"0.5896000000, 0.6884000000, 0.7594000000, 0.8758000000, 1.0712000000, 1.4280000000, 2.1238000000, 3.5227000000", \
"0.9103700000, 1.0224700000, 1.1035700000, 1.2359700000, 1.4500700000, 1.8155700000, 2.5083700000, 3.8982700000", \
"1.0674300000, 1.1851300000, 1.2704300000, 1.4099300000, 1.6350300000, 2.0118300000, 2.7075300000, 4.0927300000", \
"1.2209000000, 1.3435000000, 1.4326000000, 1.5784000000, 1.8136000000, 2.2028000000, 2.9056000000, 4.2882000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1128200000, 0.1785900000, 0.2257400000, 0.3080900000, 0.4607200000, 0.7570700000, 1.3487700000, 2.5327700000", \
"0.1159200000, 0.1815700000, 0.2287100000, 0.3110400000, 0.4636200000, 0.7600000000, 1.3516700000, 2.5352700000", \
"0.1177800000, 0.1834000000, 0.2305500000, 0.3128700000, 0.4655200000, 0.7620200000, 1.3535300000, 2.5376300000", \
"0.1256700000, 0.1910500000, 0.2381400000, 0.3204800000, 0.4731100000, 0.7695200000, 1.3607000000, 2.5468000000", \
"0.1428200000, 0.2076400000, 0.2542500000, 0.3359300000, 0.4879300000, 0.7839200000, 1.3750000000, 2.5591000000", \
"0.1433900000, 0.2101700000, 0.2574100000, 0.3397300000, 0.4923500000, 0.7876000000, 1.3776000000, 2.5614000000", \
"0.1106800000, 0.1850400000, 0.2351900000, 0.3196000000, 0.4742000000, 0.7708000000, 1.3591000000, 2.5405000000", \
"0.0598000000, 0.1398000000, 0.1930000000, 0.2799000000, 0.4365000000, 0.7376000000, 1.3265000000, 2.5056000000", \
"-0.1259300000, -0.0348300000, 0.0252700000, 0.1196700000, 0.2801700000, 0.5881700000, 1.1956700000, 2.3762700000", \
"-0.2375700000, -0.1413700000, -0.0785700000, 0.0196300000, 0.1828300000, 0.4924300000, 1.1070300000, 2.2990300000", \
"-0.3542000000, -0.2534000000, -0.1878000000, -0.0861000000, 0.0801000000, 0.3909000000, 1.0102000000, 2.2185000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0910100000, 0.1713800000, 0.2296500000, 0.3332900000, 0.5407400000, 0.9720400000, 1.8728100000, 3.7087000000", \
"0.0917300000, 0.1717100000, 0.2299200000, 0.3333700000, 0.5405500000, 0.9728500000, 1.8731700000, 3.7114000000", \
"0.0913400000, 0.1713500000, 0.2296600000, 0.3333500000, 0.5403000000, 0.9726700000, 1.8732000000, 3.7091000000", \
"0.0899600000, 0.1704400000, 0.2289400000, 0.3327800000, 0.5403300000, 0.9721900000, 1.8726800000, 3.7117000000", \
"0.0841700000, 0.1675100000, 0.2271200000, 0.3316400000, 0.5399600000, 0.9724200000, 1.8721000000, 3.7120000000", \
"0.0858500000, 0.1698900000, 0.2292100000, 0.3332200000, 0.5408300000, 0.9729000000, 1.8748000000, 3.7117000000", \
"0.0985000000, 0.1829000000, 0.2430000000, 0.3462000000, 0.5500000000, 0.9764000000, 1.8743000000, 3.7120000000", \
"0.1186000000, 0.2072000000, 0.2672000000, 0.3681000000, 0.5656000000, 0.9851000000, 1.8754000000, 3.7101000000", \
"0.1719000000, 0.2736000000, 0.3427000000, 0.4515000000, 0.6404000000, 1.0328000000, 1.8950000000, 3.7145000000", \
"0.1980000000, 0.3054000000, 0.3787000000, 0.4932000000, 0.6855000000, 1.0711000000, 1.9135000000, 3.7152000000", \
"0.2237000000, 0.3356000000, 0.4130000000, 0.5331000000, 0.7302000000, 1.1137000000, 1.9379000000, 3.7203000000");
}
related_pin : "S1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0474300000, 0.1080700000, 0.1586700000, 0.2617800000, 0.4732600000, 0.9083800000, 1.7925600000, 3.5667000000", \
"0.0473000000, 0.1080200000, 0.1585700000, 0.2616000000, 0.4733400000, 0.9080000000, 1.7921700000, 3.5680000000", \
"0.0472500000, 0.1079700000, 0.1586800000, 0.2618600000, 0.4734900000, 0.9081300000, 1.7917700000, 3.5675000000", \
"0.0468500000, 0.1079000000, 0.1585400000, 0.2616500000, 0.4734500000, 0.9084800000, 1.7938600000, 3.5660000000", \
"0.0503100000, 0.1114400000, 0.1614300000, 0.2633700000, 0.4742300000, 0.9083100000, 1.7927900000, 3.5669000000", \
"0.0609100000, 0.1206300000, 0.1699100000, 0.2709100000, 0.4791200000, 0.9104300000, 1.7934300000, 3.5660000000", \
"0.0798400000, 0.1427900000, 0.1913200000, 0.2891400000, 0.4945000000, 0.9199000000, 1.7949000000, 3.5676000000", \
"0.0959000000, 0.1627000000, 0.2119000000, 0.3077000000, 0.5122000000, 0.9374000000, 1.8046000000, 3.5672000000", \
"0.1452000000, 0.2141000000, 0.2649000000, 0.3572000000, 0.5559000000, 0.9851000000, 1.8514000000, 3.5891000000", \
"0.1694000000, 0.2373000000, 0.2894000000, 0.3811000000, 0.5765000000, 1.0062000000, 1.8807000000, 3.6132000000", \
"0.1918000000, 0.2594000000, 0.3126000000, 0.4042000000, 0.5964000000, 1.0242000000, 1.9066000000, 3.6423000000");
}
sdf_cond : "(!A0&A1&A2&!A3&!S0)";
timing_sense : "positive_unate";
when : "(!A0&A1&A2&!A3&!S0)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2009700000, 0.3000500000, 0.3716400000, 0.4903600000, 0.6899800000, 1.0530700000, 1.7549700000, 3.1569700000", \
"0.2068700000, 0.3057200000, 0.3772100000, 0.4958600000, 0.6954400000, 1.0583700000, 1.7602700000, 3.1627700000", \
"0.2091100000, 0.3079400000, 0.3794400000, 0.4981200000, 0.6977200000, 1.0607300000, 1.7627300000, 3.1649300000", \
"0.2174300000, 0.3164200000, 0.3880200000, 0.5067600000, 0.7064200000, 1.0695000000, 1.7715000000, 3.1738000000", \
"0.2325100000, 0.3316300000, 0.4031800000, 0.5218000000, 0.7214800000, 1.0848000000, 1.7871000000, 3.1902000000", \
"0.2700700000, 0.3600500000, 0.4259300000, 0.5400000000, 0.7371000000, 1.0994000000, 1.8014000000, 3.2046000000", \
"0.2878000000, 0.3780000000, 0.4431000000, 0.5524000000, 0.7426000000, 1.0999000000, 1.7996000000, 3.2019000000", \
"0.2637000000, 0.3531000000, 0.4180000000, 0.5274000000, 0.7184000000, 1.0738000000, 1.7709000000, 3.1723000000", \
"0.1586700000, 0.2483700000, 0.3134700000, 0.4237700000, 0.6214700000, 0.9983700000, 1.7156700000, 3.1153700000", \
"0.0964300000, 0.1863300000, 0.2516300000, 0.3631300000, 0.5648300000, 0.9513300000, 1.6904300000, 3.0944300000", \
"0.0314000000, 0.1217000000, 0.1874000000, 0.2999000000, 0.5048000000, 0.8987000000, 1.6562000000, 3.0757000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1586200000, 0.2226800000, 0.2686000000, 0.3496600000, 0.5011100000, 0.7969300000, 1.3887700000, 2.5721700000", \
"0.1649800000, 0.2289800000, 0.2748600000, 0.3559000000, 0.5073300000, 0.8030100000, 1.3945700000, 2.5780700000", \
"0.1687000000, 0.2326800000, 0.2785600000, 0.3595800000, 0.5109300000, 0.8068800000, 1.3977300000, 2.5835300000", \
"0.1814300000, 0.2454100000, 0.2912800000, 0.3723000000, 0.5237400000, 0.8194100000, 1.4106000000, 2.5958000000", \
"0.2280100000, 0.2919100000, 0.3376900000, 0.4184800000, 0.5697100000, 0.8652000000, 1.4562000000, 2.6405000000", \
"0.2947800000, 0.3568400000, 0.4016000000, 0.4815800000, 0.6319300000, 0.9270000000, 1.5179000000, 2.7030000000", \
"0.4034000000, 0.4652000000, 0.5096000000, 0.5887000000, 0.7372000000, 1.0302000000, 1.6195000000, 2.8040000000", \
"0.4975000000, 0.5597000000, 0.6042000000, 0.6832000000, 0.8321000000, 1.1237000000, 1.7117000000, 2.8941000000", \
"0.7302700000, 0.7957700000, 0.8411700000, 0.9210700000, 1.0740700000, 1.3760700000, 1.9666700000, 3.1451700000", \
"0.8424300000, 0.9098300000, 0.9559300000, 1.0365300000, 1.1908300000, 1.4967300000, 2.0951300000, 3.2722300000", \
"0.9511000000, 1.0204000000, 1.0672000000, 1.1485000000, 1.3039000000, 1.6124000000, 2.2194000000, 3.3984000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1079100000, 0.1906700000, 0.2502900000, 0.3542900000, 0.5587400000, 0.9854200000, 1.8806100000, 3.7076000000", \
"0.1087200000, 0.1913600000, 0.2508800000, 0.3548700000, 0.5590100000, 0.9856400000, 1.8786200000, 3.7145000000", \
"0.1087700000, 0.1913400000, 0.2507400000, 0.3548400000, 0.5589800000, 0.9855100000, 1.8790700000, 3.7123000000", \
"0.1082900000, 0.1908800000, 0.2504600000, 0.3544400000, 0.5589300000, 0.9850500000, 1.8808100000, 3.7121000000", \
"0.0947900000, 0.1834900000, 0.2452000000, 0.3509700000, 0.5569200000, 0.9843900000, 1.8781000000, 3.7083000000", \
"0.0958200000, 0.1744400000, 0.2346400000, 0.3421800000, 0.5525200000, 0.9825400000, 1.8784000000, 3.7123000000", \
"0.1089800000, 0.1867200000, 0.2422000000, 0.3422000000, 0.5471000000, 0.9784000000, 1.8764000000, 3.7123000000", \
"0.1074000000, 0.1863000000, 0.2430000000, 0.3445000000, 0.5494000000, 0.9767000000, 1.8742000000, 3.7103000000", \
"0.1077000000, 0.1879000000, 0.2462000000, 0.3534000000, 0.5731000000, 1.0218000000, 1.9043000000, 3.7142000000", \
"0.1087000000, 0.1896000000, 0.2487000000, 0.3594000000, 0.5864000000, 1.0498000000, 1.9400000000, 3.7288000000", \
"0.1100000000, 0.1915000000, 0.2518000000, 0.3653000000, 0.5974000000, 1.0728000000, 1.9805000000, 3.7528000000");
}
related_pin : "S1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0498300000, 0.1089100000, 0.1587000000, 0.2609600000, 0.4726500000, 0.9075700000, 1.7940000000, 3.5671000000", \
"0.0498200000, 0.1089300000, 0.1588700000, 0.2609700000, 0.4724400000, 0.9088800000, 1.7930400000, 3.5673000000", \
"0.0498700000, 0.1089800000, 0.1587400000, 0.2609300000, 0.4720500000, 0.9078400000, 1.7920500000, 3.5672000000", \
"0.0498000000, 0.1089300000, 0.1587700000, 0.2610000000, 0.4724000000, 0.9085600000, 1.7925700000, 3.5672000000", \
"0.0495900000, 0.1089400000, 0.1588800000, 0.2611100000, 0.4724800000, 0.9084800000, 1.7916600000, 3.5671000000", \
"0.0496900000, 0.1080100000, 0.1582900000, 0.2610100000, 0.4723400000, 0.9077700000, 1.7920000000, 3.5660000000", \
"0.0541000000, 0.1112000000, 0.1611000000, 0.2628000000, 0.4727000000, 0.9074000000, 1.7925000000, 3.5666000000", \
"0.0579000000, 0.1146000000, 0.1642000000, 0.2660000000, 0.4757000000, 0.9084000000, 1.7916000000, 3.5684000000", \
"0.0688000000, 0.1252000000, 0.1740000000, 0.2771000000, 0.4946000000, 0.9317000000, 1.8029000000, 3.5686000000", \
"0.0742000000, 0.1306000000, 0.1792000000, 0.2826000000, 0.5022000000, 0.9450000000, 1.8157000000, 3.5689000000", \
"0.0794000000, 0.1360000000, 0.1843000000, 0.2873000000, 0.5085000000, 0.9575000000, 1.8315000000, 3.5768000000");
}
sdf_cond : "(!A0&A1&A2&!A3&S0)";
timing_sense : "negative_unate";
when : "(!A0&A1&A2&!A3&S0)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1922500000, 0.2875300000, 0.3560400000, 0.4697400000, 0.6640400000, 1.0230700000, 1.7240700000, 3.1279700000", \
"0.2010400000, 0.2955500000, 0.3639800000, 0.4776400000, 0.6718800000, 1.0308700000, 1.7316700000, 3.1350700000", \
"0.2038400000, 0.2982200000, 0.3666400000, 0.4803000000, 0.6745600000, 1.0335300000, 1.7342300000, 3.1385300000", \
"0.2148600000, 0.3091500000, 0.3775500000, 0.4912100000, 0.6854900000, 1.0446000000, 1.7456000000, 3.1489000000", \
"0.2588100000, 0.3521800000, 0.4201700000, 0.5335100000, 0.7276800000, 1.0868000000, 1.7877000000, 3.1921000000", \
"0.3274000000, 0.4206000000, 0.4878100000, 0.6003700000, 0.7939000000, 1.1523000000, 1.8528000000, 3.2564000000", \
"0.4634000000, 0.5564000000, 0.6241000000, 0.7380000000, 0.9316000000, 1.2885000000, 1.9867000000, 3.3886000000", \
"0.5896000000, 0.6884000000, 0.7594000000, 0.8758000000, 1.0712000000, 1.4280000000, 2.1237000000, 3.5226000000", \
"0.9103700000, 1.0224700000, 1.1035700000, 1.2359700000, 1.4500700000, 1.8155700000, 2.5083700000, 3.8982700000", \
"1.0674300000, 1.1851300000, 1.2704300000, 1.4099300000, 1.6350300000, 2.0118300000, 2.7075300000, 4.0927300000", \
"1.2209000000, 1.3435000000, 1.4326000000, 1.5784000000, 1.8136000000, 2.2028000000, 2.9056000000, 4.2882000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1128200000, 0.1785900000, 0.2257400000, 0.3080600000, 0.4607100000, 0.7571800000, 1.3482700000, 2.5333700000", \
"0.1159200000, 0.1815700000, 0.2287100000, 0.3110400000, 0.4636200000, 0.7600700000, 1.3516700000, 2.5364700000", \
"0.1177800000, 0.1834000000, 0.2305500000, 0.3128700000, 0.4655200000, 0.7620200000, 1.3535300000, 2.5392300000", \
"0.1256700000, 0.1910500000, 0.2381400000, 0.3204800000, 0.4731200000, 0.7695200000, 1.3607000000, 2.5468000000", \
"0.1428200000, 0.2076400000, 0.2542500000, 0.3359300000, 0.4879300000, 0.7839200000, 1.3750000000, 2.5588000000", \
"0.1433900000, 0.2101700000, 0.2574100000, 0.3397300000, 0.4923500000, 0.7876000000, 1.3776000000, 2.5614000000", \
"0.1106800000, 0.1850400000, 0.2351900000, 0.3196000000, 0.4742000000, 0.7708000000, 1.3591000000, 2.5405000000", \
"0.0598000000, 0.1398000000, 0.1930000000, 0.2799000000, 0.4365000000, 0.7376000000, 1.3265000000, 2.5056000000", \
"-0.1259300000, -0.0348300000, 0.0252700000, 0.1196700000, 0.2801700000, 0.5881700000, 1.1956700000, 2.3761700000", \
"-0.2375700000, -0.1413700000, -0.0785700000, 0.0196300000, 0.1828300000, 0.4924300000, 1.1070300000, 2.2990300000", \
"-0.3542000000, -0.2534000000, -0.1878000000, -0.0861000000, 0.0801000000, 0.3909000000, 1.0102000000, 2.2185000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0910000000, 0.1713800000, 0.2296500000, 0.3332900000, 0.5407000000, 0.9721300000, 1.8725100000, 3.7122000000", \
"0.0917200000, 0.1717000000, 0.2299200000, 0.3335000000, 0.5405900000, 0.9724100000, 1.8720000000, 3.7116000000", \
"0.0913400000, 0.1713500000, 0.2297000000, 0.3333200000, 0.5405000000, 0.9725600000, 1.8732100000, 3.7107000000", \
"0.0899600000, 0.1704400000, 0.2289300000, 0.3327700000, 0.5403400000, 0.9722000000, 1.8729800000, 3.7098000000", \
"0.0841700000, 0.1675100000, 0.2271200000, 0.3316500000, 0.5399600000, 0.9724300000, 1.8721000000, 3.7108000000", \
"0.0858500000, 0.1698900000, 0.2292100000, 0.3332200000, 0.5408300000, 0.9729000000, 1.8748000000, 3.7119000000", \
"0.0985000000, 0.1829000000, 0.2430000000, 0.3462000000, 0.5500000000, 0.9764000000, 1.8743000000, 3.7118000000", \
"0.1186000000, 0.2072000000, 0.2672000000, 0.3681000000, 0.5656000000, 0.9851000000, 1.8754000000, 3.7130000000", \
"0.1719000000, 0.2737000000, 0.3427000000, 0.4515000000, 0.6404000000, 1.0328000000, 1.8950000000, 3.7145000000", \
"0.1980000000, 0.3054000000, 0.3787000000, 0.4932000000, 0.6855000000, 1.0711000000, 1.9135000000, 3.7152000000", \
"0.2237000000, 0.3356000000, 0.4130000000, 0.5331000000, 0.7302000000, 1.1137000000, 1.9379000000, 3.7203000000");
}
related_pin : "S1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0474300000, 0.1080800000, 0.1587400000, 0.2618600000, 0.4732100000, 0.9083900000, 1.7916500000, 3.5671000000", \
"0.0473000000, 0.1080300000, 0.1585700000, 0.2616000000, 0.4733300000, 0.9083100000, 1.7921600000, 3.5670000000", \
"0.0472500000, 0.1079700000, 0.1586900000, 0.2618500000, 0.4734900000, 0.9082300000, 1.7917700000, 3.5663000000", \
"0.0468500000, 0.1078900000, 0.1585400000, 0.2616500000, 0.4734500000, 0.9084800000, 1.7938600000, 3.5661000000", \
"0.0503100000, 0.1114400000, 0.1614300000, 0.2633600000, 0.4742300000, 0.9083100000, 1.7918900000, 3.5652000000", \
"0.0609100000, 0.1206300000, 0.1699100000, 0.2709100000, 0.4791200000, 0.9104300000, 1.7935300000, 3.5660000000", \
"0.0798400000, 0.1427900000, 0.1913300000, 0.2891400000, 0.4945000000, 0.9199000000, 1.7949000000, 3.5677000000", \
"0.0959000000, 0.1627000000, 0.2119000000, 0.3077000000, 0.5122000000, 0.9374000000, 1.8046000000, 3.5672000000", \
"0.1452000000, 0.2141000000, 0.2649000000, 0.3572000000, 0.5559000000, 0.9851000000, 1.8514000000, 3.5891000000", \
"0.1694000000, 0.2373000000, 0.2894000000, 0.3811000000, 0.5765000000, 1.0062000000, 1.8807000000, 3.6132000000", \
"0.1918000000, 0.2594000000, 0.3126000000, 0.4042000000, 0.5964000000, 1.0242000000, 1.9066000000, 3.6423000000");
}
sdf_cond : "(!A0&A1&A2&A3&!S0)";
timing_sense : "positive_unate";
when : "(!A0&A1&A2&A3&!S0)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2013800000, 0.2994100000, 0.3704000000, 0.4883300000, 0.6871200000, 1.0495700000, 1.7510700000, 3.1541700000", \
"0.2072400000, 0.3050500000, 0.3759600000, 0.4938300000, 0.6925600000, 1.0549700000, 1.7563700000, 3.1587700000", \
"0.2094400000, 0.3072500000, 0.3781700000, 0.4960600000, 0.6948100000, 1.0572300000, 1.7586300000, 3.1614300000", \
"0.2176100000, 0.3155800000, 0.3865900000, 0.5045600000, 0.7034100000, 1.0659000000, 1.7674000000, 3.1706000000", \
"0.2320900000, 0.3303000000, 0.4012900000, 0.5191600000, 0.7180300000, 1.0808000000, 1.7828000000, 3.1863000000", \
"0.2690800000, 0.3585700000, 0.4240200000, 0.5373500000, 0.7336000000, 1.0954000000, 1.7971000000, 3.2002000000", \
"0.2855000000, 0.3752000000, 0.4399000000, 0.5486000000, 0.7383000000, 1.0951000000, 1.7947000000, 3.1971000000", \
"0.2610000000, 0.3499000000, 0.4145000000, 0.5233000000, 0.7137000000, 1.0688000000, 1.7658000000, 3.1666000000", \
"0.1554700000, 0.2446700000, 0.3093700000, 0.4192700000, 0.6166700000, 0.9936700000, 1.7111700000, 3.1109700000", \
"0.0929300000, 0.1824300000, 0.2474300000, 0.3584300000, 0.5598300000, 0.9464300000, 1.6857300000, 3.0897300000", \
"0.0277000000, 0.1176000000, 0.1829000000, 0.2951000000, 0.4997000000, 0.8935000000, 1.6510000000, 3.0707000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1587000000, 0.2228100000, 0.2687900000, 0.3499500000, 0.5015200000, 0.7972800000, 1.3882700000, 2.5729700000", \
"0.1650700000, 0.2291300000, 0.2750800000, 0.3562000000, 0.5077400000, 0.8036800000, 1.3950700000, 2.5794700000", \
"0.1688000000, 0.2328300000, 0.2787700000, 0.3598900000, 0.5114500000, 0.8072300000, 1.3985300000, 2.5834300000", \
"0.1815700000, 0.2456000000, 0.2915200000, 0.3726400000, 0.5241900000, 0.8201000000, 1.4110000000, 2.5944000000", \
"0.2283100000, 0.2922500000, 0.3380800000, 0.4189800000, 0.5702700000, 0.8659000000, 1.4573000000, 2.6425000000", \
"0.2951900000, 0.3573200000, 0.4021300000, 0.4821900000, 0.6326900000, 0.9277000000, 1.5184000000, 2.7033000000", \
"0.4041000000, 0.4659000000, 0.5104000000, 0.5895000000, 0.7382000000, 1.0313000000, 1.6206000000, 2.8049000000", \
"0.4983000000, 0.5606000000, 0.6052000000, 0.6842000000, 0.8332000000, 1.1248000000, 1.7128000000, 2.8951000000", \
"0.7314700000, 0.7970700000, 0.8425700000, 0.9225700000, 1.0755700000, 1.3776700000, 1.9682700000, 3.1462700000", \
"0.8438300000, 0.9113300000, 0.9575300000, 1.0381300000, 1.1925300000, 1.4985300000, 2.0970300000, 3.2741300000", \
"0.9528000000, 1.0221000000, 1.0690000000, 1.1503000000, 1.3058000000, 1.6145000000, 2.2216000000, 3.4006000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1064000000, 0.1884600000, 0.2477000000, 0.3515500000, 0.5564600000, 0.9832700000, 1.8773900000, 3.7126000000", \
"0.1070900000, 0.1891700000, 0.2483900000, 0.3521100000, 0.5566500000, 0.9838400000, 1.8797000000, 3.7108000000", \
"0.1070900000, 0.1891600000, 0.2483800000, 0.3521300000, 0.5569900000, 0.9832700000, 1.8773700000, 3.7114000000", \
"0.1066000000, 0.1885900000, 0.2478200000, 0.3517800000, 0.5564700000, 0.9833700000, 1.8773300000, 3.7116000000", \
"0.0934300000, 0.1813100000, 0.2426200000, 0.3483100000, 0.5547600000, 0.9828400000, 1.8790000000, 3.7130000000", \
"0.0947800000, 0.1727900000, 0.2327400000, 0.3397100000, 0.5499800000, 0.9808300000, 1.8766000000, 3.7120000000", \
"0.1075100000, 0.1847600000, 0.2400000000, 0.3399000000, 0.5451000000, 0.9765000000, 1.8758000000, 3.7121000000", \
"0.1059000000, 0.1844000000, 0.2408000000, 0.3424000000, 0.5472000000, 0.9749000000, 1.8734000000, 3.7119000000", \
"0.1063000000, 0.1863000000, 0.2441000000, 0.3513000000, 0.5717000000, 1.0215000000, 1.9042000000, 3.7131000000", \
"0.1074000000, 0.1877000000, 0.2468000000, 0.3576000000, 0.5849000000, 1.0501000000, 1.9399000000, 3.7284000000", \
"0.1088000000, 0.1897000000, 0.2499000000, 0.3635000000, 0.5960000000, 1.0719000000, 1.9799000000, 3.7532000000");
}
related_pin : "S1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0498200000, 0.1090200000, 0.1589400000, 0.2611600000, 0.4727200000, 0.9082200000, 1.7917700000, 3.5664000000", \
"0.0498200000, 0.1091500000, 0.1588900000, 0.2611500000, 0.4724000000, 0.9079200000, 1.7920600000, 3.5694000000", \
"0.0498400000, 0.1090700000, 0.1589400000, 0.2612000000, 0.4729200000, 0.9086800000, 1.7921900000, 3.5700000000", \
"0.0498300000, 0.1090600000, 0.1589500000, 0.2611000000, 0.4724300000, 0.9076800000, 1.7937300000, 3.5647000000", \
"0.0496100000, 0.1090400000, 0.1591000000, 0.2613300000, 0.4726600000, 0.9089700000, 1.7925400000, 3.5672000000", \
"0.0498500000, 0.1081700000, 0.1584600000, 0.2612400000, 0.4728200000, 0.9091100000, 1.7922000000, 3.5667000000", \
"0.0542000000, 0.1115000000, 0.1612000000, 0.2630000000, 0.4729000000, 0.9074000000, 1.7923000000, 3.5676000000", \
"0.0580000000, 0.1148000000, 0.1645000000, 0.2662000000, 0.4758000000, 0.9085000000, 1.7917000000, 3.5674000000", \
"0.0689000000, 0.1252000000, 0.1743000000, 0.2774000000, 0.4946000000, 0.9319000000, 1.8028000000, 3.5663000000", \
"0.0742000000, 0.1307000000, 0.1792000000, 0.2826000000, 0.5024000000, 0.9447000000, 1.8159000000, 3.5690000000", \
"0.0794000000, 0.1360000000, 0.1845000000, 0.2875000000, 0.5086000000, 0.9575000000, 1.8315000000, 3.5776000000");
}
sdf_cond : "(A0&!A1&!A2&!A3&!S0)";
timing_sense : "negative_unate";
when : "(A0&!A1&!A2&!A3&!S0)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2013800000, 0.2994000000, 0.3704000000, 0.4883500000, 0.6871300000, 1.0495700000, 1.7510700000, 3.1537700000", \
"0.2072300000, 0.3050500000, 0.3759500000, 0.4938300000, 0.6925700000, 1.0549700000, 1.7563700000, 3.1584700000", \
"0.2094400000, 0.3072400000, 0.3781700000, 0.4960700000, 0.6948100000, 1.0572300000, 1.7586300000, 3.1610300000", \
"0.2176100000, 0.3155800000, 0.3865900000, 0.5045700000, 0.7034100000, 1.0659000000, 1.7676000000, 3.1703000000", \
"0.2320900000, 0.3303000000, 0.4013000000, 0.5191700000, 0.7180400000, 1.0808000000, 1.7828000000, 3.1863000000", \
"0.2690800000, 0.3585700000, 0.4240200000, 0.5373600000, 0.7336000000, 1.0954000000, 1.7971000000, 3.2004000000", \
"0.2855000000, 0.3752000000, 0.4399000000, 0.5486000000, 0.7383000000, 1.0951000000, 1.7947000000, 3.1971000000", \
"0.2610000000, 0.3499000000, 0.4145000000, 0.5233000000, 0.7137000000, 1.0688000000, 1.7658000000, 3.1666000000", \
"0.1554700000, 0.2446700000, 0.3093700000, 0.4192700000, 0.6166700000, 0.9937700000, 1.7111700000, 3.1109700000", \
"0.0929300000, 0.1824300000, 0.2474300000, 0.3584300000, 0.5598300000, 0.9464300000, 1.6857300000, 3.0897300000", \
"0.0277000000, 0.1176000000, 0.1829000000, 0.2951000000, 0.4997000000, 0.8935000000, 1.6511000000, 3.0707000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1587000000, 0.2228100000, 0.2687900000, 0.3499500000, 0.5015200000, 0.7972700000, 1.3881700000, 2.5727700000", \
"0.1650700000, 0.2291200000, 0.2750800000, 0.3562000000, 0.5077400000, 0.8036700000, 1.3950700000, 2.5803700000", \
"0.1688000000, 0.2328300000, 0.2787700000, 0.3598900000, 0.5114500000, 0.8072300000, 1.3985300000, 2.5829300000", \
"0.1815700000, 0.2455900000, 0.2915200000, 0.3726400000, 0.5241900000, 0.8201000000, 1.4110000000, 2.5947000000", \
"0.2283100000, 0.2922500000, 0.3380800000, 0.4189800000, 0.5702700000, 0.8659000000, 1.4573000000, 2.6425000000", \
"0.2951900000, 0.3573200000, 0.4021300000, 0.4821900000, 0.6326900000, 0.9277000000, 1.5184000000, 2.7033000000", \
"0.4041000000, 0.4659000000, 0.5104000000, 0.5895000000, 0.7382000000, 1.0313000000, 1.6205000000, 2.8048000000", \
"0.4983000000, 0.5606000000, 0.6052000000, 0.6842000000, 0.8332000000, 1.1248000000, 1.7128000000, 2.8952000000", \
"0.7314700000, 0.7970700000, 0.8425700000, 0.9225700000, 1.0755700000, 1.3776700000, 1.9682700000, 3.1462700000", \
"0.8438300000, 0.9113300000, 0.9575300000, 1.0381300000, 1.1925300000, 1.4985300000, 2.0970300000, 3.2741300000", \
"0.9528000000, 1.0221000000, 1.0690000000, 1.1503000000, 1.3058000000, 1.6145000000, 2.2216000000, 3.4006000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1063900000, 0.1884600000, 0.2477400000, 0.3516700000, 0.5567000000, 0.9831600000, 1.8773800000, 3.7082000000", \
"0.1070900000, 0.1891700000, 0.2482400000, 0.3521500000, 0.5566400000, 0.9838400000, 1.8797000000, 3.7138000000", \
"0.1071000000, 0.1891800000, 0.2483900000, 0.3521400000, 0.5570100000, 0.9832700000, 1.8773600000, 3.7118000000", \
"0.1066000000, 0.1886000000, 0.2478100000, 0.3517300000, 0.5564700000, 0.9834700000, 1.8797400000, 3.7106000000", \
"0.0934200000, 0.1813800000, 0.2427900000, 0.3483200000, 0.5547600000, 0.9828400000, 1.8791000000, 3.7130000000", \
"0.0947800000, 0.1727900000, 0.2327400000, 0.3397100000, 0.5499800000, 0.9808300000, 1.8766000000, 3.7120000000", \
"0.1075100000, 0.1847500000, 0.2400000000, 0.3399000000, 0.5451000000, 0.9765000000, 1.8759000000, 3.7122000000", \
"0.1059000000, 0.1844000000, 0.2408000000, 0.3424000000, 0.5472000000, 0.9749000000, 1.8734000000, 3.7119000000", \
"0.1063000000, 0.1863000000, 0.2441000000, 0.3514000000, 0.5717000000, 1.0215000000, 1.9042000000, 3.7132000000", \
"0.1074000000, 0.1878000000, 0.2468000000, 0.3576000000, 0.5849000000, 1.0501000000, 1.9399000000, 3.7285000000", \
"0.1088000000, 0.1897000000, 0.2499000000, 0.3635000000, 0.5960000000, 1.0719000000, 1.9799000000, 3.7533000000");
}
related_pin : "S1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0498400000, 0.1090200000, 0.1588900000, 0.2611600000, 0.4727000000, 0.9082200000, 1.7917800000, 3.5671000000", \
"0.0498200000, 0.1091500000, 0.1588800000, 0.2611400000, 0.4724100000, 0.9079200000, 1.7918700000, 3.5666000000", \
"0.0498400000, 0.1090700000, 0.1589400000, 0.2612400000, 0.4729200000, 0.9086800000, 1.7921900000, 3.5663000000", \
"0.0498300000, 0.1090600000, 0.1589500000, 0.2611000000, 0.4724300000, 0.9076800000, 1.7937300000, 3.5647000000", \
"0.0496100000, 0.1090500000, 0.1591000000, 0.2613300000, 0.4726600000, 0.9088700000, 1.7924500000, 3.5672000000", \
"0.0498400000, 0.1081700000, 0.1584500000, 0.2612400000, 0.4728200000, 0.9091100000, 1.7923000000, 3.5669000000", \
"0.0542000000, 0.1115000000, 0.1612000000, 0.2630000000, 0.4729000000, 0.9074000000, 1.7932000000, 3.5666000000", \
"0.0580000000, 0.1148000000, 0.1645000000, 0.2662000000, 0.4758000000, 0.9085000000, 1.7917000000, 3.5675000000", \
"0.0689000000, 0.1252000000, 0.1743000000, 0.2774000000, 0.4945000000, 0.9319000000, 1.8028000000, 3.5659000000", \
"0.0742000000, 0.1307000000, 0.1792000000, 0.2826000000, 0.5024000000, 0.9447000000, 1.8159000000, 3.5690000000", \
"0.0794000000, 0.1360000000, 0.1845000000, 0.2876000000, 0.5086000000, 0.9576000000, 1.8315000000, 3.5778000000");
}
sdf_cond : "(A0&!A1&!A2&A3&!S0)";
timing_sense : "negative_unate";
when : "(A0&!A1&!A2&A3&!S0)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1953300000, 0.2924000000, 0.3619000000, 0.4769200000, 0.6725000000, 1.0324700000, 1.7335700000, 3.1368700000", \
"0.2043600000, 0.3005800000, 0.3700000000, 0.4849500000, 0.6804600000, 1.0403700000, 1.7414700000, 3.1447700000", \
"0.2070900000, 0.3032000000, 0.3726000000, 0.4875700000, 0.6830900000, 1.0430300000, 1.7442300000, 3.1475300000", \
"0.2180300000, 0.3140500000, 0.3834600000, 0.4984400000, 0.6940100000, 1.0540000000, 1.7551000000, 3.1589000000", \
"0.2617600000, 0.3569900000, 0.4260500000, 0.5407500000, 0.7362100000, 1.0963000000, 1.7976000000, 3.2005000000", \
"0.3304800000, 0.4255300000, 0.4938000000, 0.6077600000, 0.8026000000, 1.1620000000, 1.8629000000, 3.2658000000", \
"0.4675000000, 0.5621000000, 0.6307000000, 0.7461000000, 0.9410000000, 1.2990000000, 1.9973000000, 3.3982000000", \
"0.5953000000, 0.6954000000, 0.7672000000, 0.8847000000, 1.0816000000, 1.4393000000, 2.1352000000, 3.5334000000", \
"0.9206700000, 1.0339700000, 1.1157700000, 1.2493700000, 1.4646700000, 1.8307700000, 2.5237700000, 3.9133700000", \
"1.0801300000, 1.1989300000, 1.2849300000, 1.4255300000, 1.6522300000, 2.0296300000, 2.7253300000, 4.1103300000", \
"1.2358000000, 1.3596000000, 1.4494000000, 1.5964000000, 1.8333000000, 2.2234000000, 2.9259000000, 4.3079000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1128100000, 0.1786100000, 0.2257400000, 0.3080300000, 0.4604900000, 0.7568900000, 1.3480700000, 2.5325700000", \
"0.1159100000, 0.1816000000, 0.2287200000, 0.3110000000, 0.4635300000, 0.7597300000, 1.3520700000, 2.5364700000", \
"0.1177600000, 0.1834200000, 0.2305500000, 0.3128300000, 0.4653700000, 0.7615600000, 1.3529300000, 2.5383300000", \
"0.1256300000, 0.1910400000, 0.2381100000, 0.3204000000, 0.4728900000, 0.7691500000, 1.3608000000, 2.5457000000", \
"0.1426000000, 0.2074000000, 0.2540000000, 0.3356800000, 0.4876100000, 0.7835200000, 1.3741000000, 2.5577000000", \
"0.1427200000, 0.2094000000, 0.2565900000, 0.3388400000, 0.4914300000, 0.7866000000, 1.3770000000, 2.5609000000", \
"0.1087600000, 0.1828900000, 0.2329000000, 0.3171000000, 0.4716000000, 0.7682000000, 1.3564000000, 2.5380000000", \
"0.0563000000, 0.1358000000, 0.1888000000, 0.2754000000, 0.4318000000, 0.7329000000, 1.3218000000, 2.5010000000", \
"-0.1366300000, -0.0458300000, 0.0138700000, 0.1076700000, 0.2677700000, 0.5755700000, 1.1821700000, 2.3635700000", \
"-0.2520700000, -0.1561700000, -0.0936700000, 0.0038300000, 0.1665300000, 0.4757300000, 1.0899300000, 2.2823300000", \
"-0.3725000000, -0.2718000000, -0.2065000000, -0.1054000000, 0.0601000000, 0.3704000000, 0.9892000000, 2.1975000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0951100000, 0.1763300000, 0.2349000000, 0.3385300000, 0.5451900000, 0.9752200000, 1.8758600000, 3.7098000000", \
"0.0959400000, 0.1767900000, 0.2352500000, 0.3387200000, 0.5454600000, 0.9755700000, 1.8745700000, 3.7123000000", \
"0.0955400000, 0.1764700000, 0.2349500000, 0.3385500000, 0.5453400000, 0.9754400000, 1.8757500000, 3.7103000000", \
"0.0940600000, 0.1754100000, 0.2342700000, 0.3380300000, 0.5446600000, 0.9751900000, 1.8760600000, 3.7102000000", \
"0.0879400000, 0.1724800000, 0.2322600000, 0.3368200000, 0.5442000000, 0.9754400000, 1.8740000000, 3.7121000000", \
"0.0894300000, 0.1746700000, 0.2342200000, 0.3383000000, 0.5452200000, 0.9758000000, 1.8749000000, 3.7099000000", \
"0.1018000000, 0.1873000000, 0.2480000000, 0.3514000000, 0.5545000000, 0.9798000000, 1.8750000000, 3.7061000000", \
"0.1224000000, 0.2118000000, 0.2720000000, 0.3730000000, 0.5702000000, 0.9884000000, 1.8778000000, 3.7103000000", \
"0.1772000000, 0.2797000000, 0.3490000000, 0.4578000000, 0.6456000000, 1.0365000000, 1.8965000000, 3.7143000000", \
"0.2041000000, 0.3121000000, 0.3857000000, 0.5008000000, 0.6913000000, 1.0755000000, 1.9148000000, 3.7199000000", \
"0.2303000000, 0.3430000000, 0.4211000000, 0.5413000000, 0.7378000000, 1.1180000000, 1.9399000000, 3.7215000000");
}
related_pin : "S1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0475500000, 0.1080800000, 0.1586200000, 0.2616500000, 0.4732000000, 0.9085900000, 1.7912900000, 3.5679000000", \
"0.0473900000, 0.1080000000, 0.1585200000, 0.2615400000, 0.4732900000, 0.9084200000, 1.7925400000, 3.5662000000", \
"0.0473100000, 0.1080400000, 0.1586000000, 0.2615200000, 0.4733000000, 0.9084200000, 1.7938900000, 3.5661000000", \
"0.0469500000, 0.1079200000, 0.1584700000, 0.2615100000, 0.4732300000, 0.9084000000, 1.7926300000, 3.5668000000", \
"0.0502500000, 0.1114200000, 0.1613700000, 0.2632000000, 0.4739400000, 0.9082100000, 1.7920400000, 3.5656000000", \
"0.0607500000, 0.1203800000, 0.1696400000, 0.2708500000, 0.4790200000, 0.9100800000, 1.7922400000, 3.5664000000", \
"0.0793600000, 0.1422600000, 0.1907700000, 0.2886300000, 0.4940700000, 0.9201000000, 1.7948000000, 3.5653000000", \
"0.0954000000, 0.1622000000, 0.2112000000, 0.3070000000, 0.5115000000, 0.9368000000, 1.8042000000, 3.5672000000", \
"0.1455000000, 0.2134000000, 0.2636000000, 0.3560000000, 0.5549000000, 0.9840000000, 1.8508000000, 3.5891000000", \
"0.1693000000, 0.2364000000, 0.2881000000, 0.3795000000, 0.5749000000, 1.0049000000, 1.8794000000, 3.6125000000", \
"0.1917000000, 0.2587000000, 0.3113000000, 0.4024000000, 0.5944000000, 1.0224000000, 1.9081000000, 3.6426000000");
}
sdf_cond : "(A0&!A1&!A2&A3&S0)";
timing_sense : "positive_unate";
when : "(A0&!A1&!A2&A3&S0)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1953300000, 0.2924000000, 0.3619000000, 0.4769200000, 0.6725000000, 1.0324700000, 1.7335700000, 3.1369700000", \
"0.2043700000, 0.3005800000, 0.3700000000, 0.4849500000, 0.6804700000, 1.0403700000, 1.7414700000, 3.1446700000", \
"0.2070900000, 0.3032000000, 0.3726000000, 0.4875700000, 0.6830900000, 1.0430300000, 1.7440300000, 3.1476300000", \
"0.2180300000, 0.3140500000, 0.3834600000, 0.4984400000, 0.6940100000, 1.0540000000, 1.7555000000, 3.1583000000", \
"0.2617600000, 0.3569900000, 0.4260500000, 0.5407500000, 0.7362100000, 1.0963000000, 1.7976000000, 3.2005000000", \
"0.3304800000, 0.4255300000, 0.4938000000, 0.6077600000, 0.8026000000, 1.1620000000, 1.8629000000, 3.2658000000", \
"0.4675000000, 0.5621000000, 0.6307000000, 0.7461000000, 0.9410000000, 1.2990000000, 1.9973000000, 3.3981000000", \
"0.5953000000, 0.6954000000, 0.7672000000, 0.8847000000, 1.0816000000, 1.4393000000, 2.1352000000, 3.5334000000", \
"0.9206700000, 1.0339700000, 1.1157700000, 1.2493700000, 1.4646700000, 1.8307700000, 2.5237700000, 3.9133700000", \
"1.0801300000, 1.1989300000, 1.2849300000, 1.4255300000, 1.6522300000, 2.0296300000, 2.7253300000, 4.1103300000", \
"1.2358000000, 1.3596000000, 1.4494000000, 1.5964000000, 1.8333000000, 2.2234000000, 2.9259000000, 4.3079000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1128100000, 0.1786100000, 0.2257400000, 0.3080300000, 0.4605500000, 0.7569300000, 1.3484700000, 2.5326700000", \
"0.1159100000, 0.1816000000, 0.2287200000, 0.3109900000, 0.4634800000, 0.7599200000, 1.3509700000, 2.5363700000", \
"0.1177600000, 0.1834200000, 0.2305400000, 0.3128300000, 0.4653800000, 0.7615900000, 1.3533300000, 2.5373300000", \
"0.1256300000, 0.1910400000, 0.2381100000, 0.3204000000, 0.4728900000, 0.7691500000, 1.3604000000, 2.5457000000", \
"0.1426000000, 0.2074000000, 0.2540000000, 0.3356800000, 0.4876100000, 0.7835200000, 1.3741000000, 2.5577000000", \
"0.1427200000, 0.2094000000, 0.2565900000, 0.3388400000, 0.4914300000, 0.7866000000, 1.3770000000, 2.5609000000", \
"0.1087600000, 0.1828900000, 0.2329000000, 0.3171000000, 0.4716000000, 0.7682000000, 1.3564000000, 2.5380000000", \
"0.0563000000, 0.1358000000, 0.1888000000, 0.2754000000, 0.4318000000, 0.7329000000, 1.3218000000, 2.5009000000", \
"-0.1366300000, -0.0458300000, 0.0138700000, 0.1076700000, 0.2677700000, 0.5755700000, 1.1821700000, 2.3635700000", \
"-0.2520700000, -0.1561700000, -0.0936700000, 0.0038300000, 0.1665300000, 0.4757300000, 1.0899300000, 2.2823300000", \
"-0.3725000000, -0.2718000000, -0.2065000000, -0.1054000000, 0.0601000000, 0.3704000000, 0.9892000000, 2.1975000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0950700000, 0.1763300000, 0.2349000000, 0.3385300000, 0.5451600000, 0.9752100000, 1.8760500000, 3.7099000000", \
"0.0959400000, 0.1767800000, 0.2352400000, 0.3387300000, 0.5454200000, 0.9754700000, 1.8759300000, 3.7098000000", \
"0.0955400000, 0.1764600000, 0.2349500000, 0.3385400000, 0.5453500000, 0.9756400000, 1.8761400000, 3.7129000000", \
"0.0940300000, 0.1754100000, 0.2342700000, 0.3380000000, 0.5446600000, 0.9753000000, 1.8734200000, 3.7066000000", \
"0.0879400000, 0.1724800000, 0.2322600000, 0.3368200000, 0.5441900000, 0.9754400000, 1.8740000000, 3.7121000000", \
"0.0894300000, 0.1746700000, 0.2343000000, 0.3383000000, 0.5452200000, 0.9758000000, 1.8741000000, 3.7099000000", \
"0.1018000000, 0.1873000000, 0.2480000000, 0.3514000000, 0.5545000000, 0.9798000000, 1.8749000000, 3.7066000000", \
"0.1224000000, 0.2118000000, 0.2720000000, 0.3729000000, 0.5702000000, 0.9884000000, 1.8779000000, 3.7104000000", \
"0.1772000000, 0.2797000000, 0.3490000000, 0.4578000000, 0.6456000000, 1.0365000000, 1.8965000000, 3.7143000000", \
"0.2041000000, 0.3121000000, 0.3857000000, 0.5008000000, 0.6913000000, 1.0755000000, 1.9148000000, 3.7199000000", \
"0.2303000000, 0.3430000000, 0.4211000000, 0.5413000000, 0.7378000000, 1.1180000000, 1.9399000000, 3.7215000000");
}
related_pin : "S1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0475300000, 0.1080800000, 0.1587200000, 0.2616400000, 0.4733500000, 0.9083000000, 1.7918400000, 3.5677000000", \
"0.0473900000, 0.1080100000, 0.1585500000, 0.2615400000, 0.4732200000, 0.9083200000, 1.7937600000, 3.5659000000", \
"0.0473100000, 0.1080800000, 0.1585300000, 0.2615100000, 0.4732600000, 0.9090200000, 1.7917700000, 3.5675000000", \
"0.0469500000, 0.1079200000, 0.1584700000, 0.2615100000, 0.4730500000, 0.9084000000, 1.7915500000, 3.5669000000", \
"0.0502500000, 0.1114200000, 0.1614000000, 0.2632000000, 0.4738400000, 0.9082100000, 1.7920400000, 3.5648000000", \
"0.0607500000, 0.1203800000, 0.1696400000, 0.2708500000, 0.4790200000, 0.9100800000, 1.7922400000, 3.5664000000", \
"0.0793600000, 0.1422600000, 0.1907700000, 0.2886300000, 0.4940700000, 0.9201000000, 1.7948000000, 3.5653000000", \
"0.0954000000, 0.1622000000, 0.2112000000, 0.3070000000, 0.5115000000, 0.9368000000, 1.8042000000, 3.5671000000", \
"0.1455000000, 0.2134000000, 0.2636000000, 0.3560000000, 0.5549000000, 0.9840000000, 1.8508000000, 3.5891000000", \
"0.1693000000, 0.2364000000, 0.2881000000, 0.3795000000, 0.5749000000, 1.0049000000, 1.8794000000, 3.6125000000", \
"0.1917000000, 0.2587000000, 0.3113000000, 0.4024000000, 0.5944000000, 1.0224000000, 1.9081000000, 3.6425000000");
}
sdf_cond : "(A0&!A1&A2&A3&S0)";
timing_sense : "positive_unate";
when : "(A0&!A1&A2&A3&S0)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2013800000, 0.2994100000, 0.3703900000, 0.4883400000, 0.6871200000, 1.0495700000, 1.7511700000, 3.1539700000", \
"0.2072300000, 0.3050500000, 0.3759500000, 0.4938200000, 0.6925600000, 1.0548700000, 1.7562700000, 3.1594700000", \
"0.2094400000, 0.3072400000, 0.3781600000, 0.4960600000, 0.6948100000, 1.0572300000, 1.7586300000, 3.1614300000", \
"0.2176100000, 0.3155700000, 0.3865900000, 0.5045600000, 0.7034000000, 1.0659000000, 1.7674000000, 3.1703000000", \
"0.2320900000, 0.3303000000, 0.4012900000, 0.5191600000, 0.7180300000, 1.0808000000, 1.7828000000, 3.1863000000", \
"0.2690800000, 0.3585700000, 0.4240200000, 0.5373500000, 0.7336000000, 1.0954000000, 1.7971000000, 3.2005000000", \
"0.2855000000, 0.3752000000, 0.4399000000, 0.5486000000, 0.7383000000, 1.0951000000, 1.7947000000, 3.1971000000", \
"0.2610000000, 0.3499000000, 0.4145000000, 0.5233000000, 0.7137000000, 1.0688000000, 1.7658000000, 3.1666000000", \
"0.1554700000, 0.2446700000, 0.3093700000, 0.4192700000, 0.6166700000, 0.9936700000, 1.7111700000, 3.1109700000", \
"0.0929300000, 0.1824300000, 0.2474300000, 0.3584300000, 0.5598300000, 0.9464300000, 1.6857300000, 3.0897300000", \
"0.0277000000, 0.1176000000, 0.1829000000, 0.2951000000, 0.4997000000, 0.8935000000, 1.6510000000, 3.0707000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1587000000, 0.2228100000, 0.2687900000, 0.3499500000, 0.5015200000, 0.7973900000, 1.3888700000, 2.5743700000", \
"0.1650700000, 0.2291300000, 0.2750800000, 0.3562000000, 0.5077400000, 0.8036700000, 1.3950700000, 2.5782700000", \
"0.1688000000, 0.2328300000, 0.2787700000, 0.3599000000, 0.5114500000, 0.8072300000, 1.3985300000, 2.5830300000", \
"0.1815700000, 0.2456000000, 0.2915300000, 0.3726100000, 0.5241800000, 0.8200800000, 1.4118000000, 2.5965000000", \
"0.2283100000, 0.2922500000, 0.3380800000, 0.4189800000, 0.5702600000, 0.8659000000, 1.4572000000, 2.6426000000", \
"0.2951900000, 0.3573200000, 0.4021300000, 0.4821700000, 0.6326700000, 0.9278000000, 1.5185000000, 2.7035000000", \
"0.4041000000, 0.4659000000, 0.5104000000, 0.5895000000, 0.7382000000, 1.0313000000, 1.6205000000, 2.8049000000", \
"0.4983000000, 0.5606000000, 0.6052000000, 0.6842000000, 0.8332000000, 1.1248000000, 1.7128000000, 2.8951000000", \
"0.7314700000, 0.7970700000, 0.8425700000, 0.9225700000, 1.0755700000, 1.3776700000, 1.9682700000, 3.1462700000", \
"0.8438300000, 0.9113300000, 0.9575300000, 1.0381300000, 1.1925300000, 1.4985300000, 2.0970300000, 3.2741300000", \
"0.9528000000, 1.0221000000, 1.0690000000, 1.1503000000, 1.3058000000, 1.6145000000, 2.2216000000, 3.4006000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1063500000, 0.1884500000, 0.2477000000, 0.3516700000, 0.5564800000, 0.9832700000, 1.8775000000, 3.7136000000", \
"0.1070500000, 0.1891800000, 0.2482900000, 0.3521200000, 0.5567700000, 0.9838300000, 1.8793000000, 3.7123000000", \
"0.1071000000, 0.1891700000, 0.2483800000, 0.3521300000, 0.5570000000, 0.9832700000, 1.8773700000, 3.7079000000", \
"0.1066000000, 0.1885900000, 0.2478300000, 0.3517600000, 0.5564500000, 0.9834800000, 1.8791300000, 3.7106000000", \
"0.0934300000, 0.1813100000, 0.2426200000, 0.3483100000, 0.5547700000, 0.9828500000, 1.8790000000, 3.7130000000", \
"0.0947800000, 0.1727800000, 0.2327300000, 0.3397100000, 0.5499800000, 0.9807300000, 1.8766000000, 3.7121000000", \
"0.1074100000, 0.1847600000, 0.2400000000, 0.3399000000, 0.5451000000, 0.9765000000, 1.8758000000, 3.7121000000", \
"0.1059000000, 0.1844000000, 0.2408000000, 0.3424000000, 0.5472000000, 0.9749000000, 1.8734000000, 3.7120000000", \
"0.1063000000, 0.1863000000, 0.2441000000, 0.3513000000, 0.5717000000, 1.0215000000, 1.9041000000, 3.7141000000", \
"0.1074000000, 0.1877000000, 0.2468000000, 0.3576000000, 0.5849000000, 1.0501000000, 1.9399000000, 3.7285000000", \
"0.1088000000, 0.1897000000, 0.2499000000, 0.3635000000, 0.5960000000, 1.0719000000, 1.9799000000, 3.7532000000");
}
related_pin : "S1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0498300000, 0.1090400000, 0.1588900000, 0.2611600000, 0.4725300000, 0.9079200000, 1.7925400000, 3.5663000000", \
"0.0498200000, 0.1091500000, 0.1589100000, 0.2612400000, 0.4724200000, 0.9078200000, 1.7923600000, 3.5647000000", \
"0.0498400000, 0.1090700000, 0.1589400000, 0.2612300000, 0.4729200000, 0.9086800000, 1.7921900000, 3.5664000000", \
"0.0498000000, 0.1090600000, 0.1589100000, 0.2611100000, 0.4725600000, 0.9082800000, 1.7927500000, 3.5665000000", \
"0.0496100000, 0.1090500000, 0.1591000000, 0.2613500000, 0.4726600000, 0.9084700000, 1.7921600000, 3.5664000000", \
"0.0498000000, 0.1081800000, 0.1585600000, 0.2613500000, 0.4727100000, 0.9078100000, 1.7924000000, 3.5684000000", \
"0.0541000000, 0.1115000000, 0.1612000000, 0.2630000000, 0.4730000000, 0.9075000000, 1.7928000000, 3.5659000000", \
"0.0580000000, 0.1148000000, 0.1645000000, 0.2662000000, 0.4758000000, 0.9085000000, 1.7917000000, 3.5674000000", \
"0.0689000000, 0.1252000000, 0.1743000000, 0.2774000000, 0.4945000000, 0.9319000000, 1.8028000000, 3.5658000000", \
"0.0742000000, 0.1307000000, 0.1792000000, 0.2826000000, 0.5024000000, 0.9447000000, 1.8159000000, 3.5690000000", \
"0.0794000000, 0.1360000000, 0.1845000000, 0.2876000000, 0.5086000000, 0.9574000000, 1.8315000000, 3.5765000000");
}
sdf_cond : "(A0&A1&!A2&!A3&!S0)";
timing_sense : "negative_unate";
when : "(A0&A1&!A2&!A3&!S0)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2009800000, 0.3000500000, 0.3716400000, 0.4903600000, 0.6899800000, 1.0529700000, 1.7549700000, 3.1571700000", \
"0.2068800000, 0.3057300000, 0.3772100000, 0.4958600000, 0.6954400000, 1.0583700000, 1.7600700000, 3.1628700000", \
"0.2091100000, 0.3079400000, 0.3794500000, 0.4981200000, 0.6977200000, 1.0607300000, 1.7626300000, 3.1647300000", \
"0.2174400000, 0.3164200000, 0.3880200000, 0.5067600000, 0.7064200000, 1.0695000000, 1.7715000000, 3.1738000000", \
"0.2325100000, 0.3316300000, 0.4031800000, 0.5218100000, 0.7214800000, 1.0848000000, 1.7871000000, 3.1902000000", \
"0.2700700000, 0.3600500000, 0.4259300000, 0.5400000000, 0.7371000000, 1.0994000000, 1.8014000000, 3.2045000000", \
"0.2878000000, 0.3780000000, 0.4431000000, 0.5524000000, 0.7426000000, 1.0999000000, 1.7996000000, 3.2019000000", \
"0.2637000000, 0.3531000000, 0.4180000000, 0.5274000000, 0.7184000000, 1.0738000000, 1.7709000000, 3.1722000000", \
"0.1586700000, 0.2483700000, 0.3134700000, 0.4237700000, 0.6214700000, 0.9983700000, 1.7156700000, 3.1153700000", \
"0.0964300000, 0.1863300000, 0.2516300000, 0.3631300000, 0.5648300000, 0.9513300000, 1.6904300000, 3.0943300000", \
"0.0314000000, 0.1217000000, 0.1874000000, 0.2999000000, 0.5048000000, 0.8987000000, 1.6562000000, 3.0757000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1586200000, 0.2226800000, 0.2686000000, 0.3496500000, 0.5010400000, 0.7970000000, 1.3878700000, 2.5727700000", \
"0.1649800000, 0.2289800000, 0.2748600000, 0.3559000000, 0.5073300000, 0.8030200000, 1.3939700000, 2.5788700000", \
"0.1687000000, 0.2326800000, 0.2785600000, 0.3595800000, 0.5109400000, 0.8068600000, 1.3986300000, 2.5838300000", \
"0.1814300000, 0.2454100000, 0.2912800000, 0.3723000000, 0.5237300000, 0.8194100000, 1.4105000000, 2.5957000000", \
"0.2280100000, 0.2919100000, 0.3376900000, 0.4184800000, 0.5697200000, 0.8651000000, 1.4564000000, 2.6404000000", \
"0.2947800000, 0.3568400000, 0.4015800000, 0.4815800000, 0.6319300000, 0.9270000000, 1.5180000000, 2.7030000000", \
"0.4034000000, 0.4652000000, 0.5096000000, 0.5887000000, 0.7372000000, 1.0301000000, 1.6195000000, 2.8040000000", \
"0.4975000000, 0.5597000000, 0.6042000000, 0.6832000000, 0.8321000000, 1.1237000000, 1.7117000000, 2.8941000000", \
"0.7302700000, 0.7957700000, 0.8412700000, 0.9210700000, 1.0740700000, 1.3760700000, 1.9666700000, 3.1451700000", \
"0.8424300000, 0.9098300000, 0.9559300000, 1.0365300000, 1.1908300000, 1.4967300000, 2.0951300000, 3.2722300000", \
"0.9511000000, 1.0204000000, 1.0672000000, 1.1485000000, 1.3039000000, 1.6124000000, 2.2195000000, 3.3984000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1079100000, 0.1906900000, 0.2502800000, 0.3543600000, 0.5587300000, 0.9851900000, 1.8806100000, 3.7120000000", \
"0.1087100000, 0.1913600000, 0.2507300000, 0.3548700000, 0.5590100000, 0.9855400000, 1.8796900000, 3.7081000000", \
"0.1087800000, 0.1913400000, 0.2507500000, 0.3548400000, 0.5589800000, 0.9856000000, 1.8791800000, 3.7127000000", \
"0.1082700000, 0.1909300000, 0.2504700000, 0.3545200000, 0.5592300000, 0.9850400000, 1.8807100000, 3.7121000000", \
"0.0947500000, 0.1834900000, 0.2452200000, 0.3509700000, 0.5569200000, 0.9843800000, 1.8781000000, 3.7083000000", \
"0.0958200000, 0.1744400000, 0.2346400000, 0.3420800000, 0.5525200000, 0.9825400000, 1.8784000000, 3.7122000000", \
"0.1089800000, 0.1867200000, 0.2422000000, 0.3422000000, 0.5471000000, 0.9784000000, 1.8764000000, 3.7124000000", \
"0.1074000000, 0.1863000000, 0.2430000000, 0.3445000000, 0.5494000000, 0.9766000000, 1.8742000000, 3.7103000000", \
"0.1077000000, 0.1879000000, 0.2462000000, 0.3534000000, 0.5731000000, 1.0216000000, 1.9043000000, 3.7143000000", \
"0.1087000000, 0.1895000000, 0.2487000000, 0.3594000000, 0.5864000000, 1.0498000000, 1.9400000000, 3.7288000000", \
"0.1100000000, 0.1914000000, 0.2518000000, 0.3653000000, 0.5975000000, 1.0728000000, 1.9805000000, 3.7528000000");
}
related_pin : "S1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0498600000, 0.1088900000, 0.1586800000, 0.2607900000, 0.4722400000, 0.9087800000, 1.7926300000, 3.5674000000", \
"0.0498200000, 0.1089800000, 0.1587500000, 0.2609800000, 0.4724400000, 0.9086800000, 1.7935000000, 3.5663000000", \
"0.0498600000, 0.1089800000, 0.1587200000, 0.2609300000, 0.4720800000, 0.9079400000, 1.7928700000, 3.5671000000", \
"0.0498100000, 0.1089300000, 0.1587700000, 0.2610000000, 0.4723900000, 0.9085600000, 1.7917800000, 3.5668000000", \
"0.0495900000, 0.1089400000, 0.1588800000, 0.2611100000, 0.4727800000, 0.9086800000, 1.7928900000, 3.5662000000", \
"0.0497300000, 0.1080100000, 0.1584000000, 0.2610100000, 0.4726600000, 0.9077700000, 1.7929000000, 3.5661000000", \
"0.0540000000, 0.1112000000, 0.1610000000, 0.2628000000, 0.4727000000, 0.9076000000, 1.7925000000, 3.5689000000", \
"0.0579000000, 0.1146000000, 0.1642000000, 0.2660000000, 0.4757000000, 0.9084000000, 1.7918000000, 3.5662000000", \
"0.0688000000, 0.1252000000, 0.1740000000, 0.2771000000, 0.4946000000, 0.9317000000, 1.8029000000, 3.5689000000", \
"0.0742000000, 0.1306000000, 0.1792000000, 0.2826000000, 0.5022000000, 0.9450000000, 1.8157000000, 3.5688000000", \
"0.0794000000, 0.1360000000, 0.1844000000, 0.2873000000, 0.5085000000, 0.9574000000, 1.8315000000, 3.5772000000");
}
sdf_cond : "(A0&A1&!A2&!A3&S0)";
timing_sense : "negative_unate";
when : "(A0&A1&!A2&!A3&S0)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2013800000, 0.2994000000, 0.3704000000, 0.4883500000, 0.6871300000, 1.0495700000, 1.7510700000, 3.1538700000", \
"0.2072300000, 0.3050500000, 0.3759500000, 0.4938200000, 0.6925600000, 1.0549700000, 1.7562700000, 3.1593700000", \
"0.2094400000, 0.3072400000, 0.3781700000, 0.4960700000, 0.6948100000, 1.0572300000, 1.7586300000, 3.1609300000", \
"0.2176100000, 0.3155700000, 0.3865900000, 0.5045600000, 0.7034100000, 1.0659000000, 1.7676000000, 3.1703000000", \
"0.2320900000, 0.3303000000, 0.4013000000, 0.5191600000, 0.7180400000, 1.0808000000, 1.7828000000, 3.1863000000", \
"0.2690800000, 0.3585700000, 0.4240200000, 0.5373500000, 0.7336000000, 1.0954000000, 1.7971000000, 3.2007000000", \
"0.2855000000, 0.3752000000, 0.4399000000, 0.5486000000, 0.7383000000, 1.0951000000, 1.7947000000, 3.1971000000", \
"0.2610000000, 0.3499000000, 0.4145000000, 0.5233000000, 0.7137000000, 1.0688000000, 1.7658000000, 3.1666000000", \
"0.1554700000, 0.2446700000, 0.3093700000, 0.4192700000, 0.6166700000, 0.9936700000, 1.7111700000, 3.1109700000", \
"0.0929300000, 0.1824300000, 0.2474300000, 0.3584300000, 0.5598300000, 0.9464300000, 1.6857300000, 3.0897300000", \
"0.0277000000, 0.1176000000, 0.1829000000, 0.2951000000, 0.4997000000, 0.8935000000, 1.6511000000, 3.0707000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1587000000, 0.2228100000, 0.2688000000, 0.3499500000, 0.5015000000, 0.7973500000, 1.3887700000, 2.5717700000", \
"0.1650700000, 0.2291200000, 0.2750800000, 0.3562000000, 0.5077400000, 0.8036700000, 1.3950700000, 2.5788700000", \
"0.1688000000, 0.2328300000, 0.2787700000, 0.3598900000, 0.5114500000, 0.8072300000, 1.3985300000, 2.5830300000", \
"0.1815700000, 0.2456000000, 0.2915200000, 0.3726100000, 0.5241800000, 0.8200800000, 1.4113000000, 2.5959000000", \
"0.2283100000, 0.2922500000, 0.3380800000, 0.4189800000, 0.5702600000, 0.8659000000, 1.4573000000, 2.6423000000", \
"0.2951900000, 0.3573200000, 0.4021300000, 0.4821700000, 0.6326700000, 0.9278000000, 1.5186000000, 2.7035000000", \
"0.4041000000, 0.4659000000, 0.5104000000, 0.5895000000, 0.7382000000, 1.0313000000, 1.6205000000, 2.8045000000", \
"0.4983000000, 0.5606000000, 0.6052000000, 0.6842000000, 0.8332000000, 1.1248000000, 1.7128000000, 2.8952000000", \
"0.7314700000, 0.7970700000, 0.8425700000, 0.9225700000, 1.0755700000, 1.3776700000, 1.9682700000, 3.1462700000", \
"0.8438300000, 0.9113300000, 0.9575300000, 1.0381300000, 1.1925300000, 1.4985300000, 2.0970300000, 3.2741300000", \
"0.9528000000, 1.0221000000, 1.0690000000, 1.1503000000, 1.3058000000, 1.6145000000, 2.2216000000, 3.4006000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1063600000, 0.1884600000, 0.2477100000, 0.3516700000, 0.5564200000, 0.9836900000, 1.8773800000, 3.7113000000", \
"0.1070600000, 0.1891800000, 0.2482700000, 0.3521500000, 0.5567600000, 0.9838300000, 1.8794900000, 3.7122000000", \
"0.1071000000, 0.1891800000, 0.2483900000, 0.3521200000, 0.5570100000, 0.9833600000, 1.8798600000, 3.7114000000", \
"0.1065900000, 0.1886200000, 0.2478100000, 0.3517500000, 0.5564700000, 0.9834700000, 1.8797400000, 3.7103000000", \
"0.0934200000, 0.1813700000, 0.2427900000, 0.3483200000, 0.5547600000, 0.9828400000, 1.8791000000, 3.7130000000", \
"0.0947800000, 0.1727900000, 0.2327400000, 0.3397100000, 0.5499800000, 0.9808300000, 1.8766000000, 3.7132000000", \
"0.1075100000, 0.1847600000, 0.2400000000, 0.3399000000, 0.5451000000, 0.9765000000, 1.8759000000, 3.7122000000", \
"0.1059000000, 0.1844000000, 0.2408000000, 0.3424000000, 0.5472000000, 0.9749000000, 1.8734000000, 3.7119000000", \
"0.1063000000, 0.1863000000, 0.2441000000, 0.3514000000, 0.5717000000, 1.0215000000, 1.9042000000, 3.7133000000", \
"0.1074000000, 0.1878000000, 0.2468000000, 0.3576000000, 0.5849000000, 1.0501000000, 1.9399000000, 3.7285000000", \
"0.1088000000, 0.1897000000, 0.2499000000, 0.3635000000, 0.5960000000, 1.0719000000, 1.9799000000, 3.7533000000");
}
related_pin : "S1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0498300000, 0.1090300000, 0.1588300000, 0.2611700000, 0.4723400000, 0.9087200000, 1.7937600000, 3.5647000000", \
"0.0498200000, 0.1091500000, 0.1589000000, 0.2612300000, 0.4724300000, 0.9078200000, 1.7923600000, 3.5662000000", \
"0.0498400000, 0.1090700000, 0.1589400000, 0.2612300000, 0.4729200000, 0.9086800000, 1.7921900000, 3.5667000000", \
"0.0498000000, 0.1090600000, 0.1589200000, 0.2611100000, 0.4725500000, 0.9082800000, 1.7931800000, 3.5656000000", \
"0.0496100000, 0.1090500000, 0.1591000000, 0.2613500000, 0.4726600000, 0.9085700000, 1.7922600000, 3.5688000000", \
"0.0498000000, 0.1081800000, 0.1584600000, 0.2613700000, 0.4727200000, 0.9078100000, 1.7922000000, 3.5670000000", \
"0.0541000000, 0.1115000000, 0.1612000000, 0.2630000000, 0.4730000000, 0.9075000000, 1.7928000000, 3.5695000000", \
"0.0580000000, 0.1148000000, 0.1645000000, 0.2662000000, 0.4758000000, 0.9085000000, 1.7917000000, 3.5677000000", \
"0.0689000000, 0.1252000000, 0.1743000000, 0.2774000000, 0.4945000000, 0.9319000000, 1.8028000000, 3.5653000000", \
"0.0742000000, 0.1307000000, 0.1792000000, 0.2826000000, 0.5024000000, 0.9447000000, 1.8159000000, 3.5689000000", \
"0.0794000000, 0.1360000000, 0.1845000000, 0.2876000000, 0.5086000000, 0.9575000000, 1.8315000000, 3.5764000000");
}
sdf_cond : "(A0&A1&!A2&A3&!S0)";
timing_sense : "negative_unate";
when : "(A0&A1&!A2&A3&!S0)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.2009800000, 0.3000500000, 0.3716400000, 0.4903500000, 0.6899700000, 1.0530700000, 1.7549700000, 3.1572700000", \
"0.2068800000, 0.3057300000, 0.3772100000, 0.4958600000, 0.6954400000, 1.0583700000, 1.7600700000, 3.1631700000", \
"0.2091100000, 0.3079400000, 0.3794500000, 0.4981200000, 0.6977200000, 1.0607300000, 1.7627300000, 3.1650300000", \
"0.2174400000, 0.3164200000, 0.3880200000, 0.5067700000, 0.7064200000, 1.0695000000, 1.7715000000, 3.1741000000", \
"0.2325100000, 0.3316300000, 0.4031800000, 0.5218000000, 0.7214800000, 1.0848000000, 1.7871000000, 3.1902000000", \
"0.2700700000, 0.3600500000, 0.4259300000, 0.5400000000, 0.7371000000, 1.0994000000, 1.8014000000, 3.2046000000", \
"0.2878000000, 0.3780000000, 0.4431000000, 0.5524000000, 0.7426000000, 1.0999000000, 1.7996000000, 3.2019000000", \
"0.2637000000, 0.3531000000, 0.4180000000, 0.5274000000, 0.7184000000, 1.0738000000, 1.7709000000, 3.1723000000", \
"0.1586700000, 0.2483700000, 0.3134700000, 0.4237700000, 0.6214700000, 0.9983700000, 1.7156700000, 3.1153700000", \
"0.0964300000, 0.1863300000, 0.2516300000, 0.3631300000, 0.5648300000, 0.9513300000, 1.6904300000, 3.0943300000", \
"0.0314000000, 0.1217000000, 0.1874000000, 0.2999000000, 0.5048000000, 0.8987000000, 1.6562000000, 3.0757000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1586200000, 0.2226800000, 0.2686000000, 0.3496400000, 0.5010400000, 0.7970000000, 1.3878700000, 2.5720700000", \
"0.1649800000, 0.2289800000, 0.2748600000, 0.3559000000, 0.5073300000, 0.8030200000, 1.3940700000, 2.5792700000", \
"0.1687000000, 0.2326800000, 0.2785600000, 0.3595800000, 0.5109400000, 0.8068600000, 1.3986300000, 2.5817300000", \
"0.1814300000, 0.2454100000, 0.2912800000, 0.3723000000, 0.5237400000, 0.8194600000, 1.4105000000, 2.5961000000", \
"0.2280100000, 0.2919100000, 0.3376900000, 0.4184800000, 0.5697200000, 0.8651000000, 1.4564000000, 2.6405000000", \
"0.2947800000, 0.3568400000, 0.4015800000, 0.4815700000, 0.6319300000, 0.9270000000, 1.5177000000, 2.7030000000", \
"0.4034000000, 0.4652000000, 0.5096000000, 0.5887000000, 0.7372000000, 1.0301000000, 1.6195000000, 2.8040000000", \
"0.4975000000, 0.5597000000, 0.6042000000, 0.6832000000, 0.8321000000, 1.1237000000, 1.7117000000, 2.8941000000", \
"0.7302700000, 0.7957700000, 0.8411700000, 0.9210700000, 1.0740700000, 1.3760700000, 1.9666700000, 3.1451700000", \
"0.8424300000, 0.9098300000, 0.9559300000, 1.0365300000, 1.1908300000, 1.4967300000, 2.0951300000, 3.2722300000", \
"0.9511000000, 1.0204000000, 1.0672000000, 1.1485000000, 1.3039000000, 1.6124000000, 2.2194000000, 3.3984000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.1079200000, 0.1907200000, 0.2502700000, 0.3544300000, 0.5590400000, 0.9854200000, 1.8805100000, 3.7120000000", \
"0.1087100000, 0.1913600000, 0.2507200000, 0.3548700000, 0.5590200000, 0.9856400000, 1.8795900000, 3.7146000000", \
"0.1087800000, 0.1913300000, 0.2507500000, 0.3548400000, 0.5589800000, 0.9855100000, 1.8791800000, 3.7119000000", \
"0.1082900000, 0.1908800000, 0.2504600000, 0.3544400000, 0.5591300000, 0.9851500000, 1.8808100000, 3.7106000000", \
"0.0947900000, 0.1834900000, 0.2452000000, 0.3509700000, 0.5569200000, 0.9843900000, 1.8803000000, 3.7083000000", \
"0.0958200000, 0.1744300000, 0.2346400000, 0.3421800000, 0.5525200000, 0.9825400000, 1.8784000000, 3.7123000000", \
"0.1089800000, 0.1867200000, 0.2422000000, 0.3421000000, 0.5471000000, 0.9784000000, 1.8764000000, 3.7123000000", \
"0.1074000000, 0.1864000000, 0.2430000000, 0.3445000000, 0.5494000000, 0.9767000000, 1.8742000000, 3.7103000000", \
"0.1077000000, 0.1879000000, 0.2462000000, 0.3534000000, 0.5731000000, 1.0218000000, 1.9043000000, 3.7143000000", \
"0.1087000000, 0.1896000000, 0.2487000000, 0.3594000000, 0.5864000000, 1.0498000000, 1.9400000000, 3.7289000000", \
"0.1100000000, 0.1915000000, 0.2518000000, 0.3653000000, 0.5974000000, 1.0728000000, 1.9805000000, 3.7528000000");
}
related_pin : "S1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083900000, 0.0167800000, 0.0335500000, 0.0671000000, 0.1342100000, 0.2684200000, 0.5368400000");
values("0.0498300000, 0.1088900000, 0.1586800000, 0.2607800000, 0.4722400000, 0.9087800000, 1.7924600000, 3.5681000000", \
"0.0498200000, 0.1089800000, 0.1587400000, 0.2609800000, 0.4724400000, 0.9085800000, 1.7920000000, 3.5671000000", \
"0.0498400000, 0.1089800000, 0.1587300000, 0.2609300000, 0.4720900000, 0.9079400000, 1.7923700000, 3.5654000000", \
"0.0497900000, 0.1089300000, 0.1587700000, 0.2609900000, 0.4724300000, 0.9084600000, 1.7938000000, 3.5670000000", \
"0.0495900000, 0.1089400000, 0.1588800000, 0.2611000000, 0.4722800000, 0.9086800000, 1.7928900000, 3.5653000000", \
"0.0497300000, 0.1080000000, 0.1584000000, 0.2610100000, 0.4726600000, 0.9077700000, 1.7920000000, 3.5660000000", \
"0.0540000000, 0.1112000000, 0.1610000000, 0.2628000000, 0.4727000000, 0.9076000000, 1.7925000000, 3.5690000000", \
"0.0579000000, 0.1146000000, 0.1642000000, 0.2660000000, 0.4757000000, 0.9084000000, 1.7918000000, 3.5685000000", \
"0.0688000000, 0.1252000000, 0.1740000000, 0.2771000000, 0.4946000000, 0.9317000000, 1.8029000000, 3.5689000000", \
"0.0742000000, 0.1306000000, 0.1792000000, 0.2826000000, 0.5022000000, 0.9450000000, 1.8157000000, 3.5689000000", \
"0.0794000000, 0.1360000000, 0.1843000000, 0.2873000000, 0.5085000000, 0.9574000000, 1.8315000000, 3.5772000000");
}
sdf_cond : "(A0&A1&A2&!A3&S0)";
timing_sense : "negative_unate";
when : "(A0&A1&A2&!A3&S0)";
}
}
}
cell ("sky130_fd_sc_hvl__nand2_1") {
leakage_power () {
value : 1.9439310000;
when : "!A&B";
}
leakage_power () {
value : 0.1738690000;
when : "!A&!B";
}
leakage_power () {
value : 2.5946045000;
when : "A&B";
}
leakage_power () {
value : 1.3973507000;
when : "A&!B";
}
area : 9.768000000;
cell_footprint : "sky130_fd_sc_hvl__nand2";
cell_leakage_power : 1.5274390000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0047900000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0835677000, 0.0836285000, 0.0836945000, 0.0836777000, 0.0836305000, 0.0837281000, 0.0839258000, 0.0839486000, 0.0840165000, 0.0840505000, 0.0840846000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0779088000, -0.0778721000, -0.0778323000, -0.0777789000, -0.0776110000, -0.0774689000, -0.0771845000, -0.0770901000, -0.0768265000, -0.0766898000, -0.0765532000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("B") {
capacitance : 0.0047300000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0776330000, 0.0774242000, 0.0771982000, 0.0766078000, 0.0747668000, 0.0746583000, 0.0744445000, 0.0744913000, 0.0746279000, 0.0746971000, 0.0747664000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0738484000, -0.0738933000, -0.0739418000, -0.0739895000, -0.0741382000, -0.0740460000, -0.0738613000, -0.0737913000, -0.0735957000, -0.0734943000, -0.0733930000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("Y") {
direction : "output";
function : "(!A) | (!B)";
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0051700000, 0.0103300000, 0.0206500000, 0.0413000000, 0.0826000000, 0.1652000000, 0.3303900000");
values("0.0370997000, -0.0207278000, -0.0976869000, -0.2530014000, -0.5653919000, -1.1900229000, -2.4391973000, -4.9379072000", \
"0.0465629000, -0.0247874000, -0.1005171000, -0.2552689000, -0.5663907000, -1.1906893000, -2.4396885000, -4.9381053000", \
"0.0456775000, -0.0270450000, -0.1024633000, -0.2564202000, -0.5672667000, -1.1910771000, -2.4399644000, -4.9380488000", \
"0.0597061000, -0.0215050000, -0.0988550000, -0.2548015000, -0.5668183000, -1.1909934000, -2.4398024000, -4.9380732000", \
"0.1294132000, 0.0319405000, -0.0559488000, -0.2237586000, -0.5426810000, -1.1769112000, -2.4336091000, -4.9351388000", \
"0.2442082000, 0.1344685000, 0.0362405000, -0.1463908000, -0.4858713000, -1.1360319000, -2.4092597000, -4.9239944000", \
"0.4811761000, 0.3598799000, 0.2512686000, 0.0489982000, -0.3210505000, -1.0116966000, -2.3178816000, -4.8584825000", \
"0.7201809000, 0.5936342000, 0.4786536000, 0.2628877000, -0.1290655000, -0.8501949000, -2.2000830000, -4.7837739000", \
"1.3845966000, 1.2502741000, 1.1247767000, 0.8889401000, 0.4543412000, -0.3314142000, -1.7739791000, -4.4679282000", \
"1.7332994000, 1.5939682000, 1.4654901000, 1.2219354000, 0.7754766000, -0.0389929000, -1.5179655000, -4.2740625000", \
"2.0796052000, 1.9376489000, 1.8071468000, 1.5590061000, 1.1011283000, 0.2645931000, -1.2541262000, -4.0569511000");
}
related_pin : "A";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0051700000, 0.0103300000, 0.0206500000, 0.0413000000, 0.0826000000, 0.1652000000, 0.3303900000");
values("0.0258933000, 0.1232647000, 0.2070715000, 0.3593681000, 0.6702113000, 1.2871697000, 2.5265175000, 5.0023709000", \
"0.0391395000, 0.1279160000, 0.2078048000, 0.3622981000, 0.6738938000, 1.2919108000, 2.5320633000, 5.0025542000", \
"0.0446139000, 0.1268734000, 0.2059409000, 0.3635573000, 0.6732086000, 1.2948195000, 2.5356662000, 5.0043937000", \
"0.0619593000, 0.1352370000, 0.2105639000, 0.3648440000, 0.6715025000, 1.2944070000, 2.5362775000, 4.9999571000", \
"0.1341961000, 0.1923063000, 0.2583663000, 0.4007897000, 0.6962453000, 1.3062564000, 2.5372613000, 5.0075625000", \
"0.2513345000, 0.2973979000, 0.3553372000, 0.4831361000, 0.7622790000, 1.3522097000, 2.5688374000, 5.0281868000", \
"0.4843074000, 0.5228578000, 0.5718465000, 0.6841829000, 0.9348239000, 1.4882146000, 2.6661991000, 5.0941159000", \
"0.7228857000, 0.7572036000, 0.8018646000, 0.8978283000, 1.1273444000, 1.6533470000, 2.7920514000, 5.1779529000", \
"1.3893656000, 1.4186162000, 1.4590051000, 1.5386034000, 1.7297944000, 2.1842352000, 3.2312302000, 5.5154010000", \
"1.7366868000, 1.7653423000, 1.7984968000, 1.8769624000, 2.0572494000, 2.4891483000, 3.4943235000, 5.7277509000", \
"2.0832646000, 2.1118828000, 2.1412891000, 2.2158003000, 2.3912309000, 2.7958952000, 3.7646520000, 5.9498042000");
}
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0051700000, 0.0103300000, 0.0206500000, 0.0413000000, 0.0826000000, 0.1652000000, 0.3303900000");
values("0.0394836000, -0.0249477000, -0.1016321000, -0.2565280000, -0.5698084000, -1.1959903000, -2.4506274000, -4.9374823000", \
"0.0418501000, -0.0285460000, -0.1045022000, -0.2595107000, -0.5709582000, -1.1951231000, -2.4440582000, -4.9421928000", \
"0.0397704000, -0.0314922000, -0.1068279000, -0.2608135000, -0.5717063000, -1.1956570000, -2.4443809000, -4.9429167000", \
"0.0511264000, -0.0283751000, -0.1058258000, -0.2608008000, -0.5719980000, -1.1957148000, -2.4445290000, -4.9427143000", \
"0.1258870000, 0.0271793000, -0.0612218000, -0.2295287000, -0.5505153000, -1.1830402000, -2.4375494000, -4.9383746000", \
"0.2526573000, 0.1398153000, 0.0395837000, -0.1445433000, -0.4879665000, -1.1404818000, -2.4109276000, -4.9235372000", \
"0.5126288000, 0.3892855000, 0.2753744000, 0.0680064000, -0.3082053000, -1.0013741000, -2.3148821000, -4.8633388000", \
"0.7745885000, 0.6448193000, 0.5261525000, 0.3036935000, -0.0984588000, -0.8284219000, -2.1836498000, -4.7746272000", \
"1.5067991000, 1.3668235000, 1.2388625000, 0.9980962000, 0.5520376000, -0.2561154000, -1.7178240000, -4.4318240000", \
"1.8903450000, 1.7480903000, 1.6158976000, 1.3663215000, 0.9070435000, 0.0689405000, -1.4382310000, -4.2140802000", \
"2.2705336000, 2.1280506000, 1.9933248000, 1.7386374000, 1.2672516000, 0.4042035000, -1.1457479000, -3.9728411000");
}
related_pin : "B";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0051700000, 0.0103300000, 0.0206500000, 0.0413000000, 0.0826000000, 0.1652000000, 0.3303900000");
values("0.0727467000, 0.1581916000, 0.2358469000, 0.3906033000, 0.7011574000, 1.3203119000, 2.5604724000, 5.0301705000", \
"0.0802454000, 0.1607167000, 0.2392000000, 0.3951700000, 0.7032276000, 1.3263484000, 2.5649787000, 5.0343583000", \
"0.0803411000, 0.1599415000, 0.2383740000, 0.3941185000, 0.7027920000, 1.3249024000, 2.5643431000, 5.0328634000", \
"0.0983128000, 0.1694464000, 0.2449614000, 0.3980128000, 0.7046815000, 1.3255806000, 2.5657071000, 5.0339160000", \
"0.1783190000, 0.2364957000, 0.3007267000, 0.4400030000, 0.7350412000, 1.3438793000, 2.5751306000, 5.0425060000", \
"0.3064145000, 0.3521843000, 0.4090447000, 0.5340074000, 0.8082249000, 1.3957931000, 2.6081248000, 5.0606555000", \
"0.5627779000, 0.6025595000, 0.6514605000, 0.7598167000, 1.0033482000, 1.5480394000, 2.7133581000, 5.1304954000", \
"0.8259712000, 0.8610474000, 0.9011580000, 0.9998193000, 1.2224299000, 1.7298075000, 2.8554648000, 5.2282594000", \
"1.5616444000, 1.5877236000, 1.6231202000, 1.7039796000, 1.8913736000, 2.3344145000, 3.3490531000, 5.5985253000", \
"1.9414438000, 1.9694431000, 2.0015364000, 2.0781405000, 2.2547178000, 2.6691267000, 3.6461678000, 5.8332453000", \
"2.3252656000, 2.3483745000, 2.3798200000, 2.4526101000, 2.6227069000, 3.0193199000, 3.9521913000, 6.0825306000");
}
}
max_capacitance : 0.3303900000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0051700000, 0.0103300000, 0.0206500000, 0.0413000000, 0.0826000000, 0.1652000000, 0.3303900000");
values("0.0306700000, 0.0799800000, 0.1196900000, 0.1980600000, 0.3544700000, 0.6671000000, 1.2922700000, 2.5425700000", \
"0.0356700000, 0.0787900000, 0.1190500000, 0.1980300000, 0.3548500000, 0.6677300000, 1.2929700000, 2.5433700000", \
"0.0366400000, 0.0789400000, 0.1193500000, 0.1986000000, 0.3556500000, 0.6686700000, 1.2940300000, 2.5444300000", \
"0.0455000000, 0.0864600000, 0.1254200000, 0.2037200000, 0.3603200000, 0.6731900000, 1.2985000000, 2.5489000000", \
"0.0659000000, 0.1192400000, 0.1604800000, 0.2343400000, 0.3852600000, 0.6937300000, 1.3161000000, 2.5648000000", \
"0.0898800000, 0.1577700000, 0.2077000000, 0.2906700000, 0.4376000000, 0.7363000000, 1.3506000000, 2.5934000000", \
"0.1299000000, 0.2174800000, 0.2814000000, 0.3846000000, 0.5518000000, 0.8443000000, 1.4385000000, 2.6643000000", \
"0.1657000000, 0.2674000000, 0.3417000000, 0.4611000000, 0.6512000000, 0.9640000000, 1.5428000000, 2.7485000000", \
"0.2571700000, 0.3857700000, 0.4809700000, 0.6336700000, 0.8741700000, 1.2528700000, 1.8738700000, 3.0300700000", \
"0.3023300000, 0.4412300000, 0.5447300000, 0.7108300000, 0.9722300000, 1.3817300000, 2.0367300000, 3.1944300000", \
"0.3467000000, 0.4943000000, 0.6050000000, 0.7830000000, 1.0630000000, 1.5003000000, 2.1915000000, 3.3673000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0051700000, 0.0103300000, 0.0206500000, 0.0413000000, 0.0826000000, 0.1652000000, 0.3303900000");
values("0.0157400000, 0.0450300000, 0.0703500000, 0.1174700000, 0.2116300000, 0.3995800000, 0.7752900000, 1.5268700000", \
"0.0216900000, 0.0485600000, 0.0727300000, 0.1201900000, 0.2145000000, 0.4024900000, 0.7786300000, 1.5304700000", \
"0.0258600000, 0.0509700000, 0.0750900000, 0.1225700000, 0.2169900000, 0.4051500000, 0.7811500000, 1.5329300000", \
"0.0316800000, 0.0624600000, 0.0856700000, 0.1324500000, 0.2264500000, 0.4144500000, 0.7902300000, 1.5419000000", \
"0.0354900000, 0.0830900000, 0.1166400000, 0.1699500000, 0.2615100000, 0.4468100000, 0.8211000000, 1.5709000000", \
"0.0321000000, 0.0953000000, 0.1402000000, 0.2104200000, 0.3187900000, 0.5017300000, 0.8712000000, 1.6182000000", \
"0.0161500000, 0.0999100000, 0.1596200000, 0.2536200000, 0.3977000000, 0.6165000000, 0.9822000000, 1.7198000000", \
"-0.0046000000, 0.0941000000, 0.1645000000, 0.2756000000, 0.4467000000, 0.7048000000, 1.1010000000, 1.8287000000", \
"-0.0718300000, 0.0560700000, 0.1481700000, 0.2933700000, 0.5179700000, 0.8593700000, 1.3710700000, 2.1554700000", \
"-0.1093700000, 0.0299300000, 0.1307300000, 0.2898300000, 0.5357300000, 0.9106300000, 1.4738300000, 2.3227300000", \
"-0.1479000000, 0.0013000000, 0.1097000000, 0.2811000000, 0.5461000000, 0.9502000000, 1.5591000000, 2.4695000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0051700000, 0.0103300000, 0.0206500000, 0.0413000000, 0.0826000000, 0.1652000000, 0.3303900000");
values("0.0261100000, 0.0806000000, 0.1350900000, 0.2439900000, 0.4618800000, 0.8978000000, 1.7699100000, 3.5109000000", \
"0.0261000000, 0.0805700000, 0.1349600000, 0.2438000000, 0.4615700000, 0.8968500000, 1.7681900000, 3.5099000000", \
"0.0260900000, 0.0805300000, 0.1350900000, 0.2440100000, 0.4615000000, 0.8977000000, 1.7681500000, 3.5102000000", \
"0.0367200000, 0.0821100000, 0.1349800000, 0.2437900000, 0.4619200000, 0.8970800000, 1.7682300000, 3.5099000000", \
"0.0678300000, 0.1158300000, 0.1575800000, 0.2518700000, 0.4615600000, 0.8971200000, 1.7696800000, 3.5112000000", \
"0.1111800000, 0.1687000000, 0.2122200000, 0.2965200000, 0.4814700000, 0.8974400000, 1.7681700000, 3.5133000000", \
"0.1899000000, 0.2668700000, 0.3204400000, 0.4074700000, 0.5742100000, 0.9415000000, 1.7713000000, 3.5088000000", \
"0.2645000000, 0.3554000000, 0.4196000000, 0.5190000000, 0.6858000000, 1.0264000000, 1.8022000000, 3.5101000000", \
"0.4683000000, 0.5798000000, 0.6663000000, 0.7992000000, 0.9994000000, 1.3309000000, 2.0130000000, 3.5672000000", \
"0.5756000000, 0.6898000000, 0.7849000000, 0.9322000000, 1.1528000000, 1.4952000000, 2.1591000000, 3.6446000000", \
"0.6839000000, 0.7975000000, 0.8999000000, 1.0602000000, 1.2994000000, 1.6619000000, 2.3170000000, 3.7475000000");
}
related_pin : "A";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0051700000, 0.0103300000, 0.0206500000, 0.0413000000, 0.0826000000, 0.1652000000, 0.3303900000");
values("0.0162500000, 0.0516700000, 0.0871000000, 0.1578400000, 0.2995900000, 0.5832500000, 1.1506500000, 2.2827400000", \
"0.0162400000, 0.0517000000, 0.0870500000, 0.1579200000, 0.2996100000, 0.5829000000, 1.1499600000, 2.2840900000", \
"0.0174400000, 0.0516500000, 0.0870400000, 0.1579200000, 0.2997300000, 0.5831000000, 1.1499400000, 2.2857700000", \
"0.0312500000, 0.0579900000, 0.0891700000, 0.1578800000, 0.2996900000, 0.5831600000, 1.1499000000, 2.2838600000", \
"0.0640900000, 0.1003700000, 0.1279700000, 0.1805400000, 0.3056200000, 0.5832500000, 1.1501400000, 2.2841600000", \
"0.1067200000, 0.1579100000, 0.1911200000, 0.2453100000, 0.3501600000, 0.5968600000, 1.1502800000, 2.2860000000", \
"0.1833000000, 0.2554900000, 0.3029900000, 0.3728700000, 0.4812000000, 0.6896300000, 1.1794000000, 2.2830000000", \
"0.2558300000, 0.3423700000, 0.4012000000, 0.4876000000, 0.6128000000, 0.8185000000, 1.2596000000, 2.2973000000", \
"0.4592000000, 0.5605000000, 0.6432000000, 0.7660000000, 0.9407000000, 1.1897000000, 1.5984000000, 2.4865000000", \
"0.5681000000, 0.6677000000, 0.7591000000, 0.8967000000, 1.0942000000, 1.3714000000, 1.7950000000, 2.6395000000", \
"0.6790000000, 0.7729000000, 0.8711000000, 1.0220000000, 1.2397000000, 1.5449000000, 1.9893000000, 2.8105000000");
}
timing_sense : "negative_unate";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0051700000, 0.0103300000, 0.0206500000, 0.0413000000, 0.0826000000, 0.1652000000, 0.3303900000");
values("0.0376700000, 0.0837700000, 0.1232700000, 0.2015300000, 0.3579100000, 0.6705300000, 1.2956700000, 2.5459700000", \
"0.0406400000, 0.0838900000, 0.1239500000, 0.2027500000, 0.3594500000, 0.6722700000, 1.2975700000, 2.5477700000", \
"0.0404700000, 0.0831700000, 0.1235700000, 0.2027800000, 0.3597700000, 0.6727700000, 1.2981300000, 2.5483300000", \
"0.0439400000, 0.0851500000, 0.1245500000, 0.2032400000, 0.3601000000, 0.6731400000, 1.2985000000, 2.5488000000", \
"0.0554500000, 0.1043000000, 0.1437600000, 0.2184100000, 0.3700000000, 0.6790200000, 1.3018000000, 2.5506000000", \
"0.0672400000, 0.1274700000, 0.1740600000, 0.2533800000, 0.4001100000, 0.6996000000, 1.3145000000, 2.5577000000", \
"0.0824900000, 0.1585400000, 0.2169800000, 0.3134000000, 0.4735000000, 0.7640000000, 1.3589000000, 2.5856000000", \
"0.0931000000, 0.1805000000, 0.2479000000, 0.3584000000, 0.5380000000, 0.8399000000, 1.4200000000, 2.6266000000", \
"0.1128700000, 0.2222700000, 0.3075700000, 0.4472700000, 0.6711700000, 1.0297700000, 1.6297700000, 2.7878700000", \
"0.1203300000, 0.2382300000, 0.3306300000, 0.4821300000, 0.7245300000, 1.1100300000, 1.7396300000, 2.8903300000", \
"0.1267000000, 0.2519000000, 0.3505000000, 0.5124000000, 0.7713000000, 1.1815000000, 1.8426000000, 3.0017000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0051700000, 0.0103300000, 0.0206500000, 0.0413000000, 0.0826000000, 0.1652000000, 0.3303900000");
values("0.0229300000, 0.0492400000, 0.0730100000, 0.1202400000, 0.2140700000, 0.4020100000, 0.7775500000, 1.5284700000", \
"0.0271200000, 0.0520300000, 0.0758100000, 0.1230000000, 0.2171200000, 0.4048300000, 0.7802500000, 1.5314700000", \
"0.0298700000, 0.0544600000, 0.0782600000, 0.1253800000, 0.2194300000, 0.4072700000, 0.7826400000, 1.5338300000", \
"0.0392400000, 0.0662700000, 0.0892000000, 0.1356100000, 0.2291700000, 0.4163800000, 0.7918200000, 1.5429000000", \
"0.0536300000, 0.0938600000, 0.1243800000, 0.1749000000, 0.2651600000, 0.4496200000, 0.8228000000, 1.5728000000", \
"0.0650900000, 0.1173000000, 0.1573300000, 0.2221000000, 0.3255000000, 0.5059100000, 0.8739000000, 1.6202000000", \
"0.0779000000, 0.1454600000, 0.1975800000, 0.2827000000, 0.4169000000, 0.6266000000, 0.9875000000, 1.7225000000", \
"0.0855000000, 0.1640000000, 0.2247000000, 0.3240000000, 0.4816000000, 0.7254000000, 1.1106000000, 1.8330000000", \
"0.0961700000, 0.1959700000, 0.2735700000, 0.4006700000, 0.6035700000, 0.9204700000, 1.4057700000, 2.1705700000", \
"0.0987300000, 0.2068300000, 0.2911300000, 0.4293300000, 0.6500300000, 0.9957300000, 1.5265300000, 2.3469300000", \
"0.1003000000, 0.2156000000, 0.3057000000, 0.4536000000, 0.6900000000, 1.0607000000, 1.6319000000, 2.5052000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0051700000, 0.0103300000, 0.0206500000, 0.0413000000, 0.0826000000, 0.1652000000, 0.3303900000");
values("0.0261200000, 0.0805900000, 0.1350300000, 0.2439400000, 0.4615600000, 0.8974000000, 1.7690100000, 3.5103000000", \
"0.0261300000, 0.0805500000, 0.1349600000, 0.2440200000, 0.4615600000, 0.8972800000, 1.7682100000, 3.5100000000", \
"0.0262400000, 0.0805500000, 0.1349800000, 0.2437400000, 0.4619400000, 0.8970700000, 1.7681800000, 3.5136000000", \
"0.0358600000, 0.0822700000, 0.1351400000, 0.2437800000, 0.4620000000, 0.8971200000, 1.7696000000, 3.5102000000", \
"0.0731200000, 0.1130200000, 0.1546400000, 0.2507400000, 0.4620400000, 0.8976000000, 1.7684800000, 3.5101000000", \
"0.1238400000, 0.1709300000, 0.2096100000, 0.2903800000, 0.4781200000, 0.8989600000, 1.7678700000, 3.5135000000", \
"0.2160700000, 0.2769500000, 0.3245300000, 0.4044800000, 0.5626600000, 0.9332000000, 1.7732000000, 3.5096000000", \
"0.3049700000, 0.3735000000, 0.4295000000, 0.5209000000, 0.6748000000, 1.0082000000, 1.7965000000, 3.5101000000", \
"0.5593000000, 0.6251000000, 0.6961000000, 0.8144000000, 1.0012000000, 1.3102000000, 1.9771000000, 3.5603000000", \
"0.6964000000, 0.7523000000, 0.8267000000, 0.9558000000, 1.1597000000, 1.4830000000, 2.1161000000, 3.6239000000", \
"0.8359000000, 0.8796000000, 0.9550000000, 1.0929000000, 1.3132000000, 1.6561000000, 2.2723000000, 3.7111000000");
}
related_pin : "B";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0051700000, 0.0103300000, 0.0206500000, 0.0413000000, 0.0826000000, 0.1652000000, 0.3303900000");
values("0.0255000000, 0.0608400000, 0.0962500000, 0.1671000000, 0.3086000000, 0.5919500000, 1.1585700000, 2.2910000000", \
"0.0255000000, 0.0608800000, 0.0962300000, 0.1670300000, 0.3087600000, 0.5919500000, 1.1583000000, 2.2911000000", \
"0.0262200000, 0.0608700000, 0.0963200000, 0.1670200000, 0.3088600000, 0.5921100000, 1.1583600000, 2.2909700000", \
"0.0419400000, 0.0669600000, 0.0983100000, 0.1670500000, 0.3087200000, 0.5918600000, 1.1583500000, 2.2908900000", \
"0.0823300000, 0.1123200000, 0.1370400000, 0.1893900000, 0.3145100000, 0.5919200000, 1.1580700000, 2.2905600000", \
"0.1353300000, 0.1771500000, 0.2060400000, 0.2559500000, 0.3586400000, 0.6052200000, 1.1580400000, 2.2907000000", \
"0.2308100000, 0.2912500000, 0.3319400000, 0.3934200000, 0.4930900000, 0.6973000000, 1.1872000000, 2.2902000000", \
"0.3218600000, 0.3948200000, 0.4458000000, 0.5211000000, 0.6328000000, 0.8277000000, 1.2662000000, 2.3038000000", \
"0.5748000000, 0.6623000000, 0.7332000000, 0.8406000000, 0.9947000000, 1.2185000000, 1.6067000000, 2.4901000000", \
"0.7095000000, 0.7954000000, 0.8732000000, 0.9936000000, 1.1677000000, 1.4154000000, 1.8095000000, 2.6402000000", \
"0.8475000000, 0.9264000000, 1.0100000000, 1.1425000000, 1.3345000000, 1.6050000000, 2.0127000000, 2.8119000000");
}
timing_sense : "negative_unate";
}
}
}
cell ("sky130_fd_sc_hvl__nand3_1") {
leakage_power () {
value : 0.2014005000;
when : "!A&!B&C";
}
leakage_power () {
value : 0.1120835000;
when : "!A&!B&!C";
}
leakage_power () {
value : 2.0445912000;
when : "!A&B&C";
}
leakage_power () {
value : 0.1854190000;
when : "!A&B&!C";
}
leakage_power () {
value : 1.6478918000;
when : "A&!B&C";
}
leakage_power () {
value : 0.1534686000;
when : "A&!B&!C";
}
leakage_power () {
value : 3.0949630000;
when : "A&B&C";
}
leakage_power () {
value : 1.4368643000;
when : "A&B&!C";
}
area : 13.675200000;
cell_footprint : "sky130_fd_sc_hvl__nand3";
cell_leakage_power : 1.1095850000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0046600000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0812247000, 0.0816227000, 0.0820540000, 0.0820718000, 0.0821314000, 0.0822306000, 0.0824309000, 0.0822568000, 0.0817748000, 0.0815236000, 0.0812726000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0735634000, -0.0735275000, -0.0734886000, -0.0733726000, -0.0730088000, -0.0729065000, -0.0727014000, -0.0726057000, -0.0723383000, -0.0721997000, -0.0720610000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("B") {
capacitance : 0.0043100000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0768952000, 0.0780365000, 0.0792730000, 0.0819984000, 0.0905347000, 0.0947626000, 0.1032209000, 0.1044501000, 0.1078799000, 0.1096593000, 0.1114387000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0721687000, -0.0722046000, -0.0722433000, -0.0722122000, -0.0721141000, -0.0720625000, -0.0719591000, -0.0719517000, -0.0719307000, -0.0719199000, -0.0719091000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("C") {
capacitance : 0.0046400000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0725828000, 0.0725497000, 0.0725141000, 0.0725198000, 0.0725428000, 0.0726559000, 0.0728845000, 0.0728647000, 0.0728136000, 0.0727859000, 0.0727583000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0737040000, -0.0731319000, -0.0725122000, -0.0724925000, -0.0724304000, -0.0724242000, -0.0724117000, -0.0723792000, -0.0722882000, -0.0722410000, -0.0721940000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("Y") {
direction : "output";
function : "(!A) | (!B) | (!C)";
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076000000, 0.0152000000, 0.0304100000, 0.0608200000, 0.1216300000, 0.2432700000");
values("0.0701763000, -0.0384957000, -0.1533144000, -0.3832132000, -0.8432581000, -1.7628544000, -3.6026172000", \
"0.0674724000, -0.0418922000, -0.1558454000, -0.3850375000, -0.8444489000, -1.7640943000, -3.6034803000", \
"0.0631130000, -0.0452016000, -0.1581072000, -0.3866096000, -0.8457143000, -1.7646197000, -3.6044777000", \
"0.0633345000, -0.0479064000, -0.1607094000, -0.3886047000, -0.8469130000, -1.7655547000, -3.6047993000", \
"0.1209443000, -0.0119348000, -0.1340944000, -0.3707269000, -0.8364085000, -1.7600285000, -3.6014594000", \
"0.2373553000, 0.0842115000, -0.0513687000, -0.3062275000, -0.7909961000, -1.7301310000, -3.5838358000", \
"0.4865585000, 0.3134367000, 0.1586961000, -0.1253746000, -0.6479495000, -1.6284693000, -3.5183257000", \
"0.7386802000, 0.5578151000, 0.3908651000, 0.0869999000, -0.4664857000, -1.4873362000, -3.4181669000", \
"1.4459312000, 1.2522225000, 1.0720283000, 0.7331862000, 0.1177878000, -0.9957869000, -3.0413048000", \
"1.8186503000, 1.6189886000, 1.4326473000, 1.0829638000, 0.4441852000, -0.7083882000, -2.8064154000", \
"2.1861273000, 1.9843172000, 1.7941160000, 1.4383287000, 0.7806915000, -0.4051365000, -2.5546378000");
}
related_pin : "C";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076000000, 0.0152000000, 0.0304100000, 0.0608200000, 0.1216300000, 0.2432700000");
values("0.1295302000, 0.2452476000, 0.3603432000, 0.5888959000, 1.0456274000, 1.9596818000, 3.7784142000", \
"0.1314357000, 0.2472746000, 0.3622657000, 0.5913333000, 1.0489699000, 1.9624289000, 3.7813256000", \
"0.1301834000, 0.2463177000, 0.3615500000, 0.5900990000, 1.0475822000, 1.9611565000, 3.7811391000", \
"0.1400442000, 0.2504570000, 0.3643181000, 0.5909690000, 1.0466697000, 1.9610392000, 3.7800698000", \
"0.2048668000, 0.2974257000, 0.4001779000, 0.6161606000, 1.0636203000, 1.9682185000, 3.7885722000", \
"0.3235821000, 0.3983154000, 0.4885574000, 0.6861298000, 1.1125848000, 2.0016468000, 3.8021714000", \
"0.5701457000, 0.6293405000, 0.7022239000, 0.8740073000, 1.2594992000, 2.1052060000, 3.8722397000", \
"0.8215909000, 0.8689406000, 0.9324727000, 1.0862112000, 1.4450929000, 2.2459466000, 3.9694321000", \
"1.5301767000, 1.5664977000, 1.6158148000, 1.7332973000, 2.0321097000, 2.7377440000, 4.3391317000", \
"1.9006319000, 1.9345597000, 1.9780284000, 2.0863087000, 2.3599179000, 3.0283234000, 4.5723812000", \
"2.2698169000, 2.3000674000, 2.3427433000, 2.4450615000, 2.6962568000, 3.3328858000, 4.8222454000");
}
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076000000, 0.0152000000, 0.0304100000, 0.0608200000, 0.1216300000, 0.2432700000");
values("0.0647926000, -0.0376376000, -0.1519290000, -0.3818329000, -0.8416708000, -1.7610722000, -3.6007083000", \
"0.0683787000, -0.0405718000, -0.1539382000, -0.3829319000, -0.8422113000, -1.7615822000, -3.6011337000", \
"0.0657764000, -0.0428059000, -0.1554551000, -0.3839841000, -0.8425353000, -1.7622422000, -3.6011809000", \
"0.0742496000, -0.0407204000, -0.1549452000, -0.3840931000, -0.8432309000, -1.7621689000, -3.6015923000", \
"0.1299127000, -0.0029539000, -0.1261291000, -0.3659126000, -0.8337041000, -1.7569812000, -3.5985520000", \
"0.2268410000, 0.0791316000, -0.0550900000, -0.3087310000, -0.7908844000, -1.7296756000, -3.5835379000", \
"0.4295783000, 0.2658994000, 0.1187013000, -0.1560468000, -0.6706679000, -1.6444865000, -3.5281137000", \
"0.6357553000, 0.4628034000, 0.3064216000, 0.0168699000, -0.5208865000, -1.5282010000, -3.4444344000", \
"1.2151092000, 1.0285436000, 0.8569848000, 0.5367314000, -0.0468280000, -1.1267501000, -3.1368042000", \
"1.5179173000, 1.3254658000, 1.1490027000, 0.8182300000, 0.2169026000, -0.8910513000, -2.9468528000", \
"1.8181435000, 1.6227162000, 1.4424517000, 1.1063743000, 0.4875680000, -0.6451048000, -2.7400366000");
}
related_pin : "A";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076000000, 0.0152000000, 0.0304100000, 0.0608200000, 0.1216300000, 0.2432700000");
values("0.0391123000, 0.1750347000, 0.2890183000, 0.5171749000, 0.9744547000, 1.8845811000, 3.7070144000", \
"0.0526031000, 0.1766271000, 0.2913809000, 0.5185974000, 0.9782184000, 1.8886543000, 3.7112602000", \
"0.0578679000, 0.1760991000, 0.2916042000, 0.5204770000, 0.9757026000, 1.8935055000, 3.7088439000", \
"0.0700273000, 0.1817067000, 0.2948966000, 0.5209650000, 0.9778478000, 1.8943149000, 3.7061368000", \
"0.1266127000, 0.2217798000, 0.3257233000, 0.5438529000, 0.9913219000, 1.8981372000, 3.7198951000", \
"0.2246206000, 0.3035648000, 0.3995501000, 0.6023452000, 1.0350545000, 1.9276287000, 3.7379989000", \
"0.4251519000, 0.4917198000, 0.5723732000, 0.7570814000, 1.1582329000, 2.0177840000, 3.7955713000", \
"0.6329451000, 0.6916820000, 0.7589585000, 0.9290247000, 1.3082952000, 2.1330920000, 3.8757100000", \
"1.2134664000, 1.2648449000, 1.3224515000, 1.4569501000, 1.7822767000, 2.5317949000, 4.1911290000", \
"1.5172830000, 1.5632438000, 1.6162553000, 1.7438657000, 2.0475781000, 2.7637365000, 4.3808926000", \
"1.8176926000, 1.8630883000, 1.9156537000, 2.0377737000, 2.3252501000, 3.0116943000, 4.5827208000");
}
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076000000, 0.0152000000, 0.0304100000, 0.0608200000, 0.1216300000, 0.2432700000");
values("0.0695267000, -0.0384929000, -0.1522035000, -0.3822882000, -0.8432034000, -1.7613515000, -3.6000712000", \
"0.0686209000, -0.0406677000, -0.1545545000, -0.3837926000, -0.8433859000, -1.7625095000, -3.6023815000", \
"0.0649657000, -0.0435053000, -0.1562645000, -0.3848872000, -0.8438708000, -1.7630465000, -3.6024566000", \
"0.0678533000, -0.0447248000, -0.1577606000, -0.3860577000, -0.8448028000, -1.7635136000, -3.6027691000", \
"0.1220390000, -0.0104212000, -0.1330821000, -0.3698068000, -0.8347273000, -1.7591651000, -3.6010243000", \
"0.2229359000, 0.0732133000, -0.0620492000, -0.3164893000, -0.7968374000, -1.7350524000, -3.5858791000", \
"0.4371101000, 0.2683799000, 0.1178998000, -0.1602281000, -0.6756098000, -1.6483997000, -3.5315131000", \
"0.6546579000, 0.4764956000, 0.3149541000, 0.0190200000, -0.5238661000, -1.5310372000, -3.4515024000", \
"1.2658784000, 1.0730265000, 0.8975430000, 0.5681826000, -0.0299322000, -1.1198371000, -3.1393941000", \
"1.5836940000, 1.3870957000, 1.2059802000, 0.8658239000, 0.2463643000, -0.8781358000, -2.9457544000", \
"1.9021837000, 1.7026540000, 1.5173484000, 1.1700278000, 0.5315436000, -0.6227701000, -2.7343696000");
}
related_pin : "B";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076000000, 0.0152000000, 0.0304100000, 0.0608200000, 0.1216300000, 0.2432700000");
values("0.0870319000, 0.2080233000, 0.3227482000, 0.5505841000, 1.0089145000, 1.9191026000, 3.7409996000", \
"0.0946478000, 0.2133794000, 0.3287127000, 0.5576973000, 1.0135001000, 1.9277797000, 3.7470200000", \
"0.0944092000, 0.2110895000, 0.3267700000, 0.5559186000, 1.0124614000, 1.9247469000, 3.7445253000", \
"0.1009467000, 0.2126400000, 0.3263458000, 0.5534535000, 1.0109056000, 1.9241078000, 3.7442788000", \
"0.1502581000, 0.2447519000, 0.3492670000, 0.5671959000, 1.0158175000, 1.9199187000, 3.7380860000", \
"0.2486782000, 0.3289099000, 0.4232784000, 0.6248781000, 1.0544734000, 1.9467319000, 3.7534724000", \
"0.4507163000, 0.5184343000, 0.5984371000, 0.7794902000, 1.1776287000, 2.0286861000, 3.8022594000", \
"0.6685168000, 0.7261014000, 0.7970052000, 0.9629110000, 1.3361095000, 2.1515176000, 3.8896392000", \
"1.2755953000, 1.3262666000, 1.3819130000, 1.5182542000, 1.8407004000, 2.5810630000, 4.2152368000", \
"1.5930681000, 1.6393603000, 1.6928698000, 1.8212962000, 2.1208472000, 2.8293202000, 4.4166482000", \
"1.9114968000, 1.9530035000, 2.0061918000, 2.1256794000, 2.4090267000, 3.0919085000, 4.6353017000");
}
}
max_capacitance : 0.2432700000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076000000, 0.0152000000, 0.0304100000, 0.0608200000, 0.1216300000, 0.2432700000");
values("0.0528100000, 0.1362400000, 0.2114500000, 0.3613000000, 0.6604900000, 1.2584700000, 2.4547700000", \
"0.0559000000, 0.1350600000, 0.2110000000, 0.3614300000, 0.6610000000, 1.2592700000, 2.4555700000", \
"0.0556400000, 0.1347500000, 0.2110400000, 0.3618200000, 0.6616500000, 1.2600300000, 2.4565300000", \
"0.0650200000, 0.1396700000, 0.2150200000, 0.3653800000, 0.6651300000, 1.2636000000, 2.4600000000", \
"0.0957900000, 0.1759600000, 0.2456200000, 0.3897600000, 0.6844400000, 1.2794000000, 2.4738000000", \
"0.1352200000, 0.2307500000, 0.3057100000, 0.4442700000, 0.7281000000, 1.3135000000, 2.5007000000", \
"0.2026400000, 0.3248000000, 0.4153000000, 0.5668000000, 0.8421000000, 1.4051000000, 2.5719000000", \
"0.2637000000, 0.4058000000, 0.5102000000, 0.6804000000, 0.9688000000, 1.5158000000, 2.6597000000", \
"0.4207700000, 0.6025700000, 0.7360700000, 0.9506700000, 1.2928700000, 1.8664700000, 2.9586700000", \
"0.4986300000, 0.6960300000, 0.8413300000, 1.0745300000, 1.4440300000, 2.0437300000, 3.1339300000", \
"0.5750000000, 0.7860000000, 0.9418000000, 1.1916000000, 1.5860000000, 2.2158000000, 3.3178000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076000000, 0.0152000000, 0.0304100000, 0.0608200000, 0.1216300000, 0.2432700000");
values("0.0212500000, 0.0629500000, 0.0984300000, 0.1690600000, 0.3097800000, 0.5917000000, 1.1552700000", \
"0.0273500000, 0.0657700000, 0.1014600000, 0.1722300000, 0.3132500000, 0.5950900000, 1.1588700000", \
"0.0315600000, 0.0683900000, 0.1041300000, 0.1748900000, 0.3159300000, 0.5981000000, 1.1616300000", \
"0.0394800000, 0.0791600000, 0.1142600000, 0.1846500000, 0.3255100000, 0.6071900000, 1.1707000000", \
"0.0421900000, 0.1047300000, 0.1485000000, 0.2196000000, 0.3580700000, 0.6381500000, 1.2003000000", \
"0.0330400000, 0.1171300000, 0.1766400000, 0.2685200000, 0.4127000000, 0.6887000000, 1.2473000000", \
"0.0026300000, 0.1137500000, 0.1938700000, 0.3192000000, 0.5082000000, 0.7990000000, 1.3498000000", \
"-0.0340000000, 0.0963000000, 0.1907000000, 0.3399000000, 0.5669000000, 0.9053000000, 1.4584000000", \
"-0.1487300000, 0.0195700000, 0.1418700000, 0.3367700000, 0.6382700000, 1.0915700000, 1.7631700000", \
"-0.2119700000, -0.0284700000, 0.1049300000, 0.3177300000, 0.6483300000, 1.1486300000, 1.8880300000", \
"-0.2766000000, -0.0798000000, 0.0635000000, 0.2920000000, 0.6480000000, 1.1894000000, 1.9920000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076000000, 0.0152000000, 0.0304100000, 0.0608200000, 0.1216300000, 0.2432700000");
values("0.0544200000, 0.1623800000, 0.2703400000, 0.4863400000, 0.9179300000, 1.7809900000, 3.5092200000", \
"0.0543600000, 0.1622900000, 0.2703500000, 0.4862600000, 0.9182400000, 1.7816200000, 3.5079300000", \
"0.0543000000, 0.1624100000, 0.2702200000, 0.4862200000, 0.9178600000, 1.7820300000, 3.5095000000", \
"0.0581200000, 0.1622900000, 0.2701400000, 0.4860400000, 0.9182300000, 1.7811900000, 3.5080000000", \
"0.0886100000, 0.1786000000, 0.2759800000, 0.4859300000, 0.9182500000, 1.7818300000, 3.5092000000", \
"0.1338200000, 0.2259800000, 0.3128400000, 0.5029400000, 0.9182300000, 1.7811300000, 3.5069000000", \
"0.2166600000, 0.3235000000, 0.4088400000, 0.5823200000, 0.9564000000, 1.7827000000, 3.5074000000", \
"0.2937000000, 0.4180000000, 0.5099000000, 0.6791000000, 1.0311000000, 1.8124000000, 3.5095000000", \
"0.4999000000, 0.6547000000, 0.7731000000, 0.9618000000, 1.2958000000, 1.9993000000, 3.5656000000", \
"0.6077000000, 0.7694000000, 0.8990000000, 1.1044000000, 1.4415000000, 2.1275000000, 3.6345000000", \
"0.7160000000, 0.8802000000, 1.0199000000, 1.2409000000, 1.5909000000, 2.2644000000, 3.7281000000");
}
related_pin : "A";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076000000, 0.0152000000, 0.0304100000, 0.0608200000, 0.1216300000, 0.2432700000");
values("0.0239000000, 0.0765100000, 0.1293200000, 0.2350700000, 0.4462300000, 0.8687800000, 1.7139500000", \
"0.0238900000, 0.0765500000, 0.1292500000, 0.2349200000, 0.4464100000, 0.8688100000, 1.7143200000", \
"0.0239500000, 0.0765200000, 0.1292800000, 0.2350100000, 0.4462000000, 0.8687200000, 1.7145300000", \
"0.0375700000, 0.0793400000, 0.1292900000, 0.2348700000, 0.4462700000, 0.8691600000, 1.7143300000", \
"0.0739300000, 0.1204600000, 0.1585200000, 0.2464300000, 0.4463600000, 0.8687700000, 1.7136700000", \
"0.1238000000, 0.1852200000, 0.2273500000, 0.3030100000, 0.4738100000, 0.8699700000, 1.7130800000", \
"0.2122200000, 0.3004200000, 0.3568100000, 0.4429900000, 0.5927700000, 0.9293000000, 1.7173000000", \
"0.2937500000, 0.4032200000, 0.4731000000, 0.5761000000, 0.7352000000, 1.0394000000, 1.7615000000", \
"0.5088000000, 0.6597000000, 0.7602000000, 0.9054000000, 1.1132000000, 1.4283000000, 2.0371000000", \
"0.6184000000, 0.7830000000, 0.8969000000, 1.0616000000, 1.2936000000, 1.6336000000, 2.2252000000", \
"0.7277000000, 0.9025000000, 1.0279000000, 1.2102000000, 1.4667000000, 1.8339000000, 2.4301000000");
}
timing_sense : "negative_unate";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076000000, 0.0152000000, 0.0304100000, 0.0608200000, 0.1216300000, 0.2432700000");
values("0.0677700000, 0.1475600000, 0.2225800000, 0.3723100000, 0.6714500000, 1.2694700000, 2.4656700000", \
"0.0681900000, 0.1468700000, 0.2224900000, 0.3726600000, 0.6720600000, 1.2702700000, 2.4665700000", \
"0.0666400000, 0.1459700000, 0.2221200000, 0.3727300000, 0.6724200000, 1.2708300000, 2.4671300000", \
"0.0688500000, 0.1456200000, 0.2215700000, 0.3723600000, 0.6723400000, 1.2709000000, 2.4674000000", \
"0.0879300000, 0.1657800000, 0.2370500000, 0.3824300000, 0.6782600000, 1.2741000000, 2.4691000000", \
"0.1140100000, 0.2034500000, 0.2762200000, 0.4159900000, 0.7015000000, 1.2886000000, 2.4772000000", \
"0.1552000000, 0.2666300000, 0.3529000000, 0.5000000000, 0.7750000000, 1.3402000000, 2.5096000000", \
"0.1898000000, 0.3180000000, 0.4164000000, 0.5802000000, 0.8612000000, 1.4108000000, 2.5581000000", \
"0.2721700000, 0.4338700000, 0.5579700000, 0.7613700000, 1.0915700000, 1.6506700000, 2.7461700000", \
"0.3107300000, 0.4856300000, 0.6203300000, 0.8404300000, 1.1951300000, 1.7792300000, 2.8645300000", \
"0.3477000000, 0.5341000000, 0.6781000000, 0.9133000000, 1.2903000000, 1.9029000000, 2.9912000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076000000, 0.0152000000, 0.0304100000, 0.0608200000, 0.1216300000, 0.2432700000");
values("0.0310600000, 0.0703000000, 0.1073300000, 0.1810800000, 0.3281600000, 0.6221700000, 1.2100700000", \
"0.0358600000, 0.0745600000, 0.1116200000, 0.1853900000, 0.3325700000, 0.6265900000, 1.2146700000", \
"0.0389000000, 0.0770400000, 0.1141300000, 0.1880500000, 0.3350800000, 0.6291500000, 1.2172300000", \
"0.0493300000, 0.0877600000, 0.1243600000, 0.1977700000, 0.3446500000, 0.6383900000, 1.2262000000", \
"0.0630800000, 0.1196400000, 0.1618100000, 0.2339600000, 0.3783300000, 0.6704200000, 1.2567000000", \
"0.0694400000, 0.1441800000, 0.2001900000, 0.2891700000, 0.4350300000, 0.7225000000, 1.3061000000", \
"0.0688200000, 0.1656300000, 0.2399000000, 0.3592000000, 0.5433000000, 0.8374000000, 1.4113000000", \
"0.0615000000, 0.1735000000, 0.2602000000, 0.4010000000, 0.6199000000, 0.9543000000, 1.5243000000", \
"0.0273700000, 0.1691700000, 0.2792700000, 0.4600700000, 0.7470700000, 1.1864700000, 1.8521700000", \
"0.0058300000, 0.1592300000, 0.2785300000, 0.4747300000, 0.7877300000, 1.2707300000, 1.9971300000", \
"-0.0173000000, 0.1463000000, 0.2737000000, 0.4834000000, 0.8187000000, 1.3395000000, 2.1241000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076000000, 0.0152000000, 0.0304100000, 0.0608200000, 0.1216300000, 0.2432700000");
values("0.0545600000, 0.1623000000, 0.2702900000, 0.4860900000, 0.9175700000, 1.7819800000, 3.5068000000", \
"0.0545700000, 0.1624000000, 0.2703300000, 0.4863400000, 0.9180100000, 1.7809700000, 3.5066000000", \
"0.0546100000, 0.1622800000, 0.2701600000, 0.4863500000, 0.9178900000, 1.7813300000, 3.5079000000", \
"0.0594600000, 0.1625100000, 0.2701000000, 0.4863300000, 0.9182600000, 1.7812000000, 3.5080000000", \
"0.0943100000, 0.1790300000, 0.2770500000, 0.4867500000, 0.9178200000, 1.7806300000, 3.5081000000", \
"0.1486100000, 0.2282700000, 0.3123500000, 0.5022100000, 0.9201800000, 1.7813400000, 3.5076000000", \
"0.2472500000, 0.3393600000, 0.4162700000, 0.5805200000, 0.9531000000, 1.7858000000, 3.5076000000", \
"0.3397000000, 0.4449000000, 0.5295000000, 0.6835000000, 1.0246000000, 1.8091000000, 3.5080000000", \
"0.5958000000, 0.7143000000, 0.8208000000, 0.9970000000, 1.3033000000, 1.9846000000, 3.5568000000", \
"0.7316000000, 0.8475000000, 0.9618000000, 1.1522000000, 1.4673000000, 2.1142000000, 3.6179000000", \
"0.8714000000, 0.9787000000, 1.0989000000, 1.3024000000, 1.6331000000, 2.2599000000, 3.7063000000");
}
related_pin : "B";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076000000, 0.0152000000, 0.0304100000, 0.0608200000, 0.1216300000, 0.2432700000");
values("0.0354700000, 0.0912500000, 0.1470800000, 0.2589000000, 0.4823200000, 0.9289700000, 1.8224500000", \
"0.0354700000, 0.0912500000, 0.1470900000, 0.2588400000, 0.4822900000, 0.9289800000, 1.8224000000", \
"0.0354400000, 0.0913300000, 0.1471100000, 0.2589400000, 0.4822800000, 0.9288700000, 1.8223200000", \
"0.0467100000, 0.0932600000, 0.1471100000, 0.2590200000, 0.4822500000, 0.9292500000, 1.8226100000", \
"0.0925700000, 0.1342500000, 0.1737200000, 0.2684700000, 0.4822400000, 0.9289500000, 1.8223400000", \
"0.1525700000, 0.2053000000, 0.2448400000, 0.3221600000, 0.5062300000, 0.9295300000, 1.8219600000", \
"0.2596600000, 0.3353300000, 0.3860900000, 0.4670400000, 0.6197000000, 0.9817000000, 1.8236000000", \
"0.3594100000, 0.4537900000, 0.5162000000, 0.6105000000, 0.7647000000, 1.0854000000, 1.8614000000", \
"0.6237000000, 0.7549000000, 0.8445000000, 0.9764000000, 1.1681000000, 1.4748000000, 2.1187000000", \
"0.7590000000, 0.9021000000, 1.0033000000, 1.1523000000, 1.3662000000, 1.6882000000, 2.3012000000", \
"0.8950000000, 1.0460000000, 1.1575000000, 1.3225000000, 1.5568000000, 1.9008000000, 2.5020000000");
}
timing_sense : "negative_unate";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076000000, 0.0152000000, 0.0304100000, 0.0608200000, 0.1216300000, 0.2432700000");
values("0.0725300000, 0.1516400000, 0.2266500000, 0.3763800000, 0.6755100000, 1.2735700000, 2.4697700000", \
"0.0731100000, 0.1518300000, 0.2274300000, 0.3776000000, 0.6770000000, 1.2751700000, 2.4714700000", \
"0.0712600000, 0.1506800000, 0.2268600000, 0.3774700000, 0.6771700000, 1.2755300000, 2.4719300000", \
"0.0696700000, 0.1471200000, 0.2233800000, 0.3744300000, 0.6745800000, 1.2733000000, 2.4699000000", \
"0.0802300000, 0.1560700000, 0.2271700000, 0.3723900000, 0.6681200000, 1.2639000000, 2.4587000000", \
"0.0957200000, 0.1819200000, 0.2536500000, 0.3912700000, 0.6749000000, 1.2604000000, 2.4476000000", \
"0.1181900000, 0.2224100000, 0.3064000000, 0.4521000000, 0.7218000000, 1.2812000000, 2.4457000000", \
"0.1349000000, 0.2529000000, 0.3471000000, 0.5079000000, 0.7853000000, 1.3254000000, 2.4634000000", \
"0.1686700000, 0.3143700000, 0.4301700000, 0.6244700000, 0.9489700000, 1.5017700000, 2.5770700000", \
"0.1823300000, 0.3389300000, 0.4636300000, 0.6721300000, 1.0176300000, 1.5976300000, 2.6607300000", \
"0.1947000000, 0.3606000000, 0.4931000000, 0.7144000000, 1.0790000000, 1.6858000000, 2.7530000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076000000, 0.0152000000, 0.0304100000, 0.0608200000, 0.1216300000, 0.2432700000");
values("0.0341800000, 0.0721500000, 0.1080600000, 0.1789800000, 0.3201800000, 0.6018500000, 1.1652700000", \
"0.0369200000, 0.0748900000, 0.1108400000, 0.1819200000, 0.3230800000, 0.6052200000, 1.1680700000", \
"0.0392400000, 0.0771500000, 0.1130400000, 0.1840500000, 0.3251200000, 0.6070100000, 1.1706300000", \
"0.0502800000, 0.0876100000, 0.1230400000, 0.1936100000, 0.3345600000, 0.6161000000, 1.1795000000", \
"0.0671200000, 0.1203900000, 0.1604700000, 0.2294600000, 0.3675600000, 0.6472100000, 1.2094000000", \
"0.0786300000, 0.1475400000, 0.2002400000, 0.2847100000, 0.4241400000, 0.6988000000, 1.2574000000", \
"0.0896700000, 0.1763000000, 0.2450400000, 0.3571000000, 0.5319000000, 0.8132000000, 1.3610000000", \
"0.0950000000, 0.1932000000, 0.2722000000, 0.4033000000, 0.6099000000, 0.9288000000, 1.4732000000", \
"0.0991700000, 0.2183700000, 0.3158700000, 0.4805700000, 0.7477700000, 1.1630700000, 1.7985700000", \
"0.0983300000, 0.2254300000, 0.3298300000, 0.5068300000, 0.7963300000, 1.2510300000, 1.9425300000", \
"0.0964000000, 0.2303000000, 0.3405000000, 0.5280000000, 0.8364000000, 1.3248000000, 2.0700000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076000000, 0.0152000000, 0.0304100000, 0.0608200000, 0.1216300000, 0.2432700000");
values("0.0545700000, 0.1624000000, 0.2702700000, 0.4860700000, 0.9177200000, 1.7807300000, 3.5083700000", \
"0.0545900000, 0.1623300000, 0.2703100000, 0.4863000000, 0.9182600000, 1.7813700000, 3.5077000000", \
"0.0546600000, 0.1622800000, 0.2703000000, 0.4861800000, 0.9176100000, 1.7817600000, 3.5091000000", \
"0.0576300000, 0.1624700000, 0.2701900000, 0.4863500000, 0.9179000000, 1.7814600000, 3.5087000000", \
"0.0933600000, 0.1773000000, 0.2761600000, 0.4869200000, 0.9182700000, 1.7812400000, 3.5089000000", \
"0.1562100000, 0.2305400000, 0.3133600000, 0.5029500000, 0.9204000000, 1.7817600000, 3.5092000000", \
"0.2740900000, 0.3568300000, 0.4304700000, 0.5886300000, 0.9585000000, 1.7869000000, 3.5080000000", \
"0.3907000000, 0.4777000000, 0.5577000000, 0.7049000000, 1.0383000000, 1.8151000000, 3.5107000000", \
"0.7289000000, 0.8005000000, 0.8918000000, 1.0576000000, 1.3529000000, 2.0161000000, 3.5723000000", \
"0.9097000000, 0.9680000000, 1.0589000000, 1.2338000000, 1.5389000000, 2.1607000000, 3.6480000000", \
"1.0913000000, 1.1380000000, 1.2258000000, 1.4062000000, 1.7231000000, 2.3231000000, 3.7454000000");
}
related_pin : "C";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076000000, 0.0152000000, 0.0304100000, 0.0608200000, 0.1216300000, 0.2432700000");
values("0.0431400000, 0.0964200000, 0.1498000000, 0.2567400000, 0.4706000000, 0.8978200000, 1.7529500000", \
"0.0430500000, 0.0964200000, 0.1497900000, 0.2568500000, 0.4706700000, 0.8981900000, 1.7526900000", \
"0.0425500000, 0.0964000000, 0.1497600000, 0.2568700000, 0.4705200000, 0.8981100000, 1.7531200000", \
"0.0548400000, 0.0988900000, 0.1500000000, 0.2568200000, 0.4706800000, 0.8981600000, 1.7529800000", \
"0.1072200000, 0.1433600000, 0.1795300000, 0.2680000000, 0.4708400000, 0.8979100000, 1.7528700000", \
"0.1775100000, 0.2216300000, 0.2563700000, 0.3264100000, 0.4978400000, 0.8993000000, 1.7529100000", \
"0.3068100000, 0.3685100000, 0.4113400000, 0.4820200000, 0.6203600000, 0.9579000000, 1.7561000000", \
"0.4299300000, 0.5058100000, 0.5580000000, 0.6384000000, 0.7749000000, 1.0690000000, 1.7989000000", \
"0.7651000000, 0.8652000000, 0.9387000000, 1.0488000000, 1.2125000000, 1.4847000000, 2.0762000000", \
"0.9402000000, 1.0452000000, 1.1269000000, 1.2506000000, 1.4318000000, 1.7136000000, 2.2715000000", \
"1.1162000000, 1.2226000000, 1.3120000000, 1.4477000000, 1.6456000000, 1.9435000000, 2.4858000000");
}
timing_sense : "negative_unate";
}
}
}
cell ("sky130_fd_sc_hvl__nor2_1") {
leakage_power () {
value : 1.3211888000;
when : "!A&B";
}
leakage_power () {
value : 3.9040948000;
when : "!A&!B";
}
leakage_power () {
value : 0.3323368000;
when : "A&B";
}
leakage_power () {
value : 0.8785515000;
when : "A&!B";
}
area : 9.768000000;
cell_footprint : "sky130_fd_sc_hvl__nor2";
cell_leakage_power : 1.6090430000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0045000000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0725116000, 0.0734100000, 0.0743832000, 0.0744350000, 0.0745982000, 0.0745308000, 0.0743965000, 0.0744484000, 0.0745943000, 0.0746698000, 0.0747452000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0649863000, -0.0651498000, -0.0653268000, -0.0669730000, -0.0721211000, -0.0723968000, -0.0729458000, -0.0729309000, -0.0728850000, -0.0728624000, -0.0728397000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("B") {
capacitance : 0.0046900000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0259583000, 0.0259112000, 0.0258601000, 0.0259232000, 0.0261221000, 0.0260642000, 0.0259488000, 0.0259890000, 0.0261020000, 0.0261603000, 0.0262187000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0181668000, -0.0182194000, -0.0182762000, -0.0183083000, -0.0184056000, -0.0183380000, -0.0182014000, -0.0182093000, -0.0182284000, -0.0182391000, -0.0182498000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("Y") {
direction : "output";
function : "(!A&!B)";
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088000000, 0.0175900000, 0.0351900000, 0.0703900000, 0.1407800000, 0.2815600000");
values("0.0467726000, -0.0921292000, -0.2172416000, -0.4837565000, -1.0224693000, -2.0860980000, -4.2164452000", \
"0.0507414000, -0.0809539000, -0.2135284000, -0.4797732000, -1.0117977000, -2.0762375000, -4.2055789000", \
"0.0496743000, -0.0825576000, -0.2151461000, -0.4810674000, -1.0128474000, -2.0775696000, -4.2069000000", \
"0.0613949000, -0.0768259000, -0.2111629000, -0.4778041000, -1.0110990000, -2.0765784000, -4.2053945000", \
"0.1334786000, -0.0262623000, -0.1709951000, -0.4489622000, -0.9908602000, -2.0618015000, -4.1957938000", \
"0.2568578000, 0.0734382000, -0.0868571000, -0.3834100000, -0.9451727000, -2.0327843000, -4.1794389000", \
"0.5134260000, 0.3092587000, 0.1279614000, -0.2032823000, -0.8059435000, -1.9354259000, -4.1160964000", \
"0.7713162000, 0.5573426000, 0.3637963000, 0.0084274000, -0.6313622000, -1.8046286000, -4.0271651000", \
"1.4982049000, 1.2654858000, 1.0539214000, 0.6606530000, -0.0548242000, -1.3377286000, -3.6823317000", \
"1.8733995000, 1.6381391000, 1.4202799000, 1.0147175000, 0.2748091000, -1.0564159000, -3.4651965000", \
"2.2513568000, 2.0149134000, 1.7895908000, 1.3739529000, 0.6117364000, -0.7597438000, -3.2295657000");
}
related_pin : "A";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088000000, 0.0175900000, 0.0351900000, 0.0703900000, 0.1407800000, 0.2815600000");
values("0.0980903000, 0.2429330000, 0.3706039000, 0.6424330000, 1.1570979000, 2.2023345000, 4.3439019000", \
"0.0966044000, 0.2381323000, 0.3707068000, 0.6340288000, 1.1620540000, 2.2147030000, 4.3242394000", \
"0.0961938000, 0.2346425000, 0.3678681000, 0.6331757000, 1.1602798000, 2.2149911000, 4.3228720000", \
"0.1052533000, 0.2354548000, 0.3682370000, 0.6331582000, 1.1606000000, 2.2141635000, 4.3219566000", \
"0.1796273000, 0.2850746000, 0.4068746000, 0.6584911000, 1.1753309000, 2.2231105000, 4.3269845000", \
"0.3053526000, 0.3950568000, 0.5011735000, 0.7349668000, 1.2331676000, 2.2591452000, 4.3492518000", \
"0.5592903000, 0.6358899000, 0.7284676000, 0.9359241000, 1.3987275000, 2.3813543000, 4.4314374000", \
"0.8191407000, 0.8852121000, 0.9713900000, 1.1641049000, 1.5930093000, 2.5446061000, 4.5487912000", \
"1.5469018000, 1.6030655000, 1.6709820000, 1.8347533000, 2.2159021000, 3.0819587000, 4.9765597000", \
"1.9249644000, 1.9787148000, 2.0434966000, 2.1983977000, 2.5580940000, 3.3922296000, 5.2344778000", \
"2.3032127000, 2.3546134000, 2.4178447000, 2.5589947000, 2.9058626000, 3.7127266000, 5.5098221000");
}
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088000000, 0.0175900000, 0.0351900000, 0.0703900000, 0.1407800000, 0.2815600000");
values("-0.0244617000, -0.1337816000, -0.2666236000, -0.5327247000, -1.0649615000, -2.1292653000, -4.2585991000", \
"-0.0072669000, -0.1309544000, -0.2623273000, -0.5275860000, -1.0594428000, -2.1237958000, -4.2529217000", \
"-0.0043889000, -0.1306673000, -0.2617659000, -0.5267036000, -1.0583239000, -2.1224574000, -4.2514443000", \
"0.0095087000, -0.1256439000, -0.2587236000, -0.5238150000, -1.0573969000, -2.1218889000, -4.2507358000", \
"0.0732985000, -0.0849239000, -0.2274090000, -0.5032105000, -1.0438249000, -2.1115614000, -4.2445297000", \
"0.1834258000, 0.0029793000, -0.1530507000, -0.4448331000, -1.0017002000, -2.0858861000, -4.2289510000", \
"0.4072992000, 0.2085440000, 0.0330887000, -0.2876866000, -0.8782819000, -1.9978756000, -4.1725723000", \
"0.6340117000, 0.4252345000, 0.2382385000, -0.1039806000, -0.7262198000, -1.8797836000, -4.0925152000", \
"1.2658113000, 1.0440640000, 0.8381349000, 0.4599297000, -0.2274619000, -1.4694190000, -3.7810154000", \
"1.5942276000, 1.3647454000, 1.1569850000, 0.7668562000, 0.0565030000, -1.2267737000, -3.5875415000", \
"1.9227515000, 1.6914452000, 1.4772692000, 1.0789737000, 0.3473508000, -0.9722373000, -3.3804912000");
}
related_pin : "B";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088000000, 0.0175900000, 0.0351900000, 0.0703900000, 0.1407800000, 0.2815600000");
values("0.0799438000, 0.2345456000, 0.3656321000, 0.6317629000, 1.1554427000, 2.2144374000, 4.3247974000", \
"0.0891012000, 0.2309321000, 0.3638734000, 0.6290248000, 1.1552459000, 2.2098542000, 4.3189981000", \
"0.0907722000, 0.2287532000, 0.3631427000, 0.6283220000, 1.1558052000, 2.2100451000, 4.3174107000", \
"0.1081140000, 0.2338087000, 0.3646591000, 0.6284437000, 1.1555611000, 2.2093961000, 4.3186212000", \
"0.1782099000, 0.2832463000, 0.4039567000, 0.6545755000, 1.1710401000, 2.2172284000, 4.3219060000", \
"0.2884881000, 0.3800935000, 0.4881698000, 0.7249705000, 1.2252463000, 2.2536113000, 4.3443653000", \
"0.5141876000, 0.5896778000, 0.6865096000, 0.8969318000, 1.3702260000, 2.3585546000, 4.4117774000", \
"0.7399103000, 0.8072853000, 0.8946694000, 1.0946526000, 1.5377338000, 2.4964454000, 4.5095425000", \
"1.3694932000, 1.4240990000, 1.4975034000, 1.6682461000, 2.0674431000, 2.9566701000, 4.8754946000", \
"1.6962513000, 1.7494462000, 1.8149165000, 1.9778267000, 2.3577397000, 3.2200177000, 5.0954374000", \
"2.0250432000, 2.0762941000, 2.1394866000, 2.2908886000, 2.6565122000, 3.4958234000, 5.3265915000");
}
}
max_capacitance : 0.2815600000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088000000, 0.0175900000, 0.0351900000, 0.0703900000, 0.1407800000, 0.2815600000");
values("0.0337400000, 0.0827500000, 0.1291200000, 0.2210800000, 0.4043400000, 0.7705300000, 1.5025700000", \
"0.0364800000, 0.0853800000, 0.1317600000, 0.2237600000, 0.4070700000, 0.7730400000, 1.5053700000", \
"0.0378700000, 0.0865600000, 0.1329300000, 0.2249100000, 0.4082000000, 0.7741500000, 1.5067300000", \
"0.0461900000, 0.0942300000, 0.1398600000, 0.2312100000, 0.4140100000, 0.7799300000, 1.5116000000", \
"0.0557000000, 0.1224400000, 0.1713400000, 0.2590500000, 0.4381500000, 0.8013500000, 1.5316000000", \
"0.0584000000, 0.1439300000, 0.2070600000, 0.3085400000, 0.4834600000, 0.8402000000, 1.5649000000", \
"0.0540200000, 0.1614300000, 0.2429600000, 0.3747000000, 0.5821000000, 0.9322000000, 1.6439000000", \
"0.0450000000, 0.1669000000, 0.2605000000, 0.4137000000, 0.6553000000, 1.0364000000, 1.7329000000", \
"0.0117700000, 0.1597700000, 0.2754700000, 0.4674700000, 0.7765700000, 1.2589700000, 2.0170700000", \
"-0.0076700000, 0.1499300000, 0.2738300000, 0.4804300000, 0.8148300000, 1.3404300000, 2.1554300000", \
"-0.0279000000, 0.1377000000, 0.2688000000, 0.4878000000, 0.8439000000, 1.4066000000, 2.2766000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088000000, 0.0175900000, 0.0351900000, 0.0703900000, 0.1407800000, 0.2815600000");
values("0.0396500000, 0.1185400000, 0.1905300000, 0.3343300000, 0.6217200000, 1.1963700000, 2.3454700000", \
"0.0422900000, 0.1198900000, 0.1923600000, 0.3364600000, 0.6240800000, 1.1986700000, 2.3476700000", \
"0.0444600000, 0.1207600000, 0.1936000000, 0.3380300000, 0.6258000000, 1.2006300000, 2.3498300000", \
"0.0494700000, 0.1237100000, 0.1959600000, 0.3402400000, 0.6280900000, 1.2029000000, 2.3520000000", \
"0.0688400000, 0.1495500000, 0.2179700000, 0.3560400000, 0.6388400000, 1.2102000000, 2.3575000000", \
"0.0913500000, 0.1902000000, 0.2635100000, 0.3980300000, 0.6700000000, 1.2315000000, 2.3712000000", \
"0.1253100000, 0.2522100000, 0.3435000000, 0.4927000000, 0.7587000000, 1.2985000000, 2.4177000000", \
"0.1530000000, 0.3009000000, 0.4068000000, 0.5770000000, 0.8564000000, 1.3837000000, 2.4809000000", \
"0.2171700000, 0.4068700000, 0.5432700000, 0.7599700000, 1.1016700000, 1.6573700000, 2.7094700000", \
"0.2466300000, 0.4529300000, 0.6018300000, 0.8380300000, 1.2082300000, 1.7973300000, 2.8476300000", \
"0.2748000000, 0.4953000000, 0.6554000000, 0.9090000000, 1.3051000000, 1.9292000000, 2.9926000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088000000, 0.0175900000, 0.0351900000, 0.0703900000, 0.1407800000, 0.2815600000");
values("0.0300700000, 0.0909400000, 0.1510500000, 0.2710300000, 0.5106300000, 0.9904500000, 1.9491500000", \
"0.0300600000, 0.0909300000, 0.1510500000, 0.2710200000, 0.5109300000, 0.9903500000, 1.9493200000", \
"0.0299200000, 0.0909100000, 0.1509800000, 0.2709600000, 0.5108600000, 0.9902600000, 1.9496700000", \
"0.0426600000, 0.0924500000, 0.1509800000, 0.2709700000, 0.5108600000, 0.9904300000, 1.9495800000", \
"0.0897700000, 0.1347700000, 0.1758800000, 0.2780500000, 0.5108200000, 0.9904900000, 1.9495200000", \
"0.1533300000, 0.2115100000, 0.2516600000, 0.3306700000, 0.5285000000, 0.9902100000, 1.9492000000", \
"0.2701600000, 0.3535600000, 0.4044300000, 0.4853600000, 0.6405600000, 1.0294000000, 1.9495000000", \
"0.3813200000, 0.4855600000, 0.5478600000, 0.6405000000, 0.7949000000, 1.1277000000, 1.9717000000", \
"0.6796000000, 0.8279000000, 0.9175000000, 1.0454000000, 1.2305000000, 1.5369000000, 2.2063000000", \
"0.8319000000, 0.9972000000, 1.0991000000, 1.2436000000, 1.4482000000, 1.7651000000, 2.3914000000", \
"0.9832000000, 1.1634000000, 1.2759000000, 1.4360000000, 1.6602000000, 1.9938000000, 2.6025000000");
}
related_pin : "A";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088000000, 0.0175900000, 0.0351900000, 0.0703900000, 0.1407800000, 0.2815600000");
values("0.0365100000, 0.1451600000, 0.2543000000, 0.4727900000, 0.9101600000, 1.7835900000, 3.5313300000", \
"0.0364900000, 0.1450800000, 0.2542800000, 0.4728100000, 0.9096000000, 1.7841500000, 3.5331600000", \
"0.0368100000, 0.1451600000, 0.2542600000, 0.4728500000, 0.9095300000, 1.7836000000, 3.5313000000", \
"0.0463900000, 0.1456300000, 0.2542600000, 0.4726100000, 0.9095000000, 1.7844800000, 3.5331600000", \
"0.0854400000, 0.1667300000, 0.2636300000, 0.4738900000, 0.9102100000, 1.7843800000, 3.5303000000", \
"0.1395900000, 0.2186300000, 0.3027100000, 0.4935500000, 0.9126700000, 1.7843800000, 3.5323000000", \
"0.2388400000, 0.3297600000, 0.4090500000, 0.5747600000, 0.9531000000, 1.7890000000, 3.5311000000", \
"0.3377000000, 0.4324000000, 0.5209000000, 0.6788000000, 1.0261000000, 1.8185000000, 3.5328000000", \
"0.6254000000, 0.6982000000, 0.8041000000, 0.9882000000, 1.3060000000, 2.0023000000, 3.5917000000", \
"0.7781000000, 0.8349000000, 0.9424000000, 1.1397000000, 1.4683000000, 2.1321000000, 3.6624000000", \
"0.9315000000, 0.9732000000, 1.0776000000, 1.2853000000, 1.6310000000, 2.2770000000, 3.7502000000");
}
timing_sense : "negative_unate";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088000000, 0.0175900000, 0.0351900000, 0.0703900000, 0.1407800000, 0.2815600000");
values("0.0189500000, 0.0730900000, 0.1191900000, 0.2110300000, 0.3944600000, 0.7607000000, 1.4932700000", \
"0.0253800000, 0.0753400000, 0.1218100000, 0.2138000000, 0.3973800000, 0.7638600000, 1.4963700000", \
"0.0285100000, 0.0770600000, 0.1235800000, 0.2156600000, 0.3991900000, 0.7658700000, 1.4984300000", \
"0.0332200000, 0.0850300000, 0.1307200000, 0.2223000000, 0.4057200000, 0.7717000000, 1.5047000000", \
"0.0287500000, 0.1075000000, 0.1601800000, 0.2493000000, 0.4293600000, 0.7933900000, 1.5248000000", \
"0.0128100000, 0.1166200000, 0.1865400000, 0.2947000000, 0.4730500000, 0.8312000000, 1.5580000000", \
"-0.0266100000, 0.1080600000, 0.2003500000, 0.3443000000, 0.5636000000, 0.9202000000, 1.6346000000", \
"-0.0697000000, 0.0864000000, 0.1940000000, 0.3634000000, 0.6228000000, 1.0190000000, 1.7216000000", \
"-0.1966300000, 0.0003700000, 0.1374700000, 0.3548700000, 0.6933700000, 1.2082700000, 1.9928700000", \
"-0.2645700000, -0.0517700000, 0.0969300000, 0.3331300000, 0.7020300000, 1.2665300000, 2.1188300000", \
"-0.3332000000, -0.1067000000, 0.0521000000, 0.3046000000, 0.7000000000, 1.3079000000, 2.2247000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088000000, 0.0175900000, 0.0351900000, 0.0703900000, 0.1407800000, 0.2815600000");
values("0.0257000000, 0.1096800000, 0.1818500000, 0.3257200000, 0.6131400000, 1.1877700000, 2.3368700000", \
"0.0316300000, 0.1093000000, 0.1821300000, 0.3265100000, 0.6142600000, 1.1889700000, 2.3378700000", \
"0.0343400000, 0.1101700000, 0.1831800000, 0.3278100000, 0.6157100000, 1.1906300000, 2.3398300000", \
"0.0462600000, 0.1193500000, 0.1909200000, 0.3347200000, 0.6222600000, 1.1969000000, 2.3462000000", \
"0.0764700000, 0.1630300000, 0.2309600000, 0.3686500000, 0.6509500000, 1.2219000000, 2.3690000000", \
"0.1134000000, 0.2226500000, 0.2985000000, 0.4340700000, 0.7070000000, 1.2691000000, 2.4089000000", \
"0.1770600000, 0.3221000000, 0.4176000000, 0.5705000000, 0.8403000000, 1.3836000000, 2.5053000000", \
"0.2353000000, 0.4071000000, 0.5197000000, 0.6947000000, 0.9814000000, 1.5137000000, 2.6161000000", \
"0.3869700000, 0.6120700000, 0.7603700000, 0.9883700000, 1.3377700000, 1.9063700000, 2.9696700000", \
"0.4627300000, 0.7088300000, 0.8718300000, 1.1221300000, 1.5034300000, 2.1023300000, 3.1690300000", \
"0.5375000000, 0.8018000000, 0.9778000000, 1.2481000000, 1.6586000000, 2.2917000000, 3.3742000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088000000, 0.0175900000, 0.0351900000, 0.0703900000, 0.1407800000, 0.2815600000");
values("0.0140200000, 0.0738700000, 0.1338800000, 0.2539400000, 0.4939500000, 0.9746800000, 1.9350600000", \
"0.0140100000, 0.0739300000, 0.1338600000, 0.2539400000, 0.4941000000, 0.9744400000, 1.9357100000", \
"0.0141200000, 0.0738700000, 0.1338700000, 0.2539500000, 0.4942000000, 0.9741000000, 1.9354000000", \
"0.0256000000, 0.0755600000, 0.1338100000, 0.2542100000, 0.4942100000, 0.9747600000, 1.9357000000", \
"0.0585400000, 0.1155900000, 0.1586500000, 0.2609600000, 0.4940300000, 0.9744200000, 1.9354600000", \
"0.1051900000, 0.1820700000, 0.2289200000, 0.3129400000, 0.5120300000, 0.9742100000, 1.9351000000", \
"0.1911500000, 0.3016500000, 0.3640900000, 0.4575800000, 0.6224400000, 1.0144000000, 1.9356000000", \
"0.2725000000, 0.4097100000, 0.4866200000, 0.5973000000, 0.7698000000, 1.1119000000, 1.9586000000", \
"0.4908000000, 0.6811000000, 0.7927000000, 0.9481000000, 1.1673000000, 1.5076000000, 2.1931000000", \
"0.6018000000, 0.8127000000, 0.9390000000, 1.1155000000, 1.3598000000, 1.7208000000, 2.3758000000", \
"0.7126000000, 0.9403000000, 1.0799000000, 1.2756000000, 1.5450000000, 1.9319000000, 2.5805000000");
}
related_pin : "B";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088000000, 0.0175900000, 0.0351900000, 0.0703900000, 0.1407800000, 0.2815600000");
values("0.0358900000, 0.1451400000, 0.2542500000, 0.4728600000, 0.9098800000, 1.7840300000, 3.5312900000", \
"0.0357300000, 0.1450900000, 0.2542600000, 0.4728400000, 0.9098100000, 1.7836300000, 3.5329900000", \
"0.0356000000, 0.1451500000, 0.2542500000, 0.4729100000, 0.9100600000, 1.7835400000, 3.5305400000", \
"0.0463600000, 0.1451300000, 0.2543000000, 0.4726600000, 0.9101300000, 1.7843800000, 3.5313100000", \
"0.0748800000, 0.1653400000, 0.2623200000, 0.4726700000, 0.9101600000, 1.7844700000, 3.5312000000", \
"0.1144500000, 0.2115700000, 0.2988600000, 0.4910100000, 0.9104100000, 1.7843500000, 3.5329000000", \
"0.1874300000, 0.3023700000, 0.3904300000, 0.5657000000, 0.9481000000, 1.7858000000, 3.5318000000", \
"0.2585000000, 0.3876000000, 0.4844000000, 0.6581000000, 1.0163000000, 1.8134000000, 3.5301000000", \
"0.4647000000, 0.5984000000, 0.7240000000, 0.9208000000, 1.2644000000, 1.9825000000, 3.5844000000", \
"0.5756000000, 0.7001000000, 0.8372000000, 1.0523000000, 1.3997000000, 2.1009000000, 3.6484000000", \
"0.6872000000, 0.7999000000, 0.9455000000, 1.1774000000, 1.5387000000, 2.2269000000, 3.7311000000");
}
timing_sense : "negative_unate";
}
}
}
cell ("sky130_fd_sc_hvl__nor3_1") {
leakage_power () {
value : 1.1790056000;
when : "!A&!B&C";
}
leakage_power () {
value : 6.2901395000;
when : "!A&!B&!C";
}
leakage_power () {
value : 0.2689010000;
when : "!A&B&C";
}
leakage_power () {
value : 0.5497948000;
when : "!A&B&!C";
}
leakage_power () {
value : 0.3116820000;
when : "A&!B&C";
}
leakage_power () {
value : 0.7586202000;
when : "A&!B&!C";
}
leakage_power () {
value : 0.2309011000;
when : "A&B&C";
}
leakage_power () {
value : 0.1502908000;
when : "A&B&!C";
}
area : 13.675200000;
cell_footprint : "sky130_fd_sc_hvl__nor3";
cell_leakage_power : 1.2174170000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0045900000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0738081000, 0.0736537000, 0.0734864000, 0.0735216000, 0.0736327000, 0.0734847000, 0.0731890000, 0.0732668000, 0.0734846000, 0.0735974000, 0.0737103000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0583598000, -0.0591373000, -0.0599796000, -0.0619970000, -0.0683101000, -0.0689510000, -0.0702317000, -0.0704061000, -0.0708900000, -0.0711418000, -0.0713935000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("B") {
capacitance : 0.0050000000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0723884000, 0.0727374000, 0.0731155000, 0.0730781000, 0.0729616000, 0.0730592000, 0.0732548000, 0.0732382000, 0.0731928000, 0.0731691000, 0.0731453000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0635844000, -0.0628836000, -0.0621244000, -0.0641059000, -0.0703056000, -0.0706881000, -0.0714517000, -0.0715125000, -0.0716791000, -0.0717664000, -0.0718536000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("C") {
capacitance : 0.0045500000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0237802000, 0.0237803000, 0.0237805000, 0.0238219000, 0.0239527000, 0.0240657000, 0.0242922000, 0.0243056000, 0.0243440000, 0.0243637000, 0.0243834000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0179325000, -0.0172656000, -0.0165430000, -0.0165558000, -0.0165938000, -0.0166212000, -0.0166750000, -0.0166461000, -0.0165638000, -0.0165216000, -0.0164793000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("Y") {
direction : "output";
function : "(!A&!B&!C)";
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0058100000, 0.0116200000, 0.0232500000, 0.0465000000, 0.0930000000, 0.1860000000");
values("0.0459150000, -0.0406947000, -0.1280296000, -0.3036162000, -0.6553378000, -1.3583482000, -2.7649551000", \
"0.0552655000, -0.0311860000, -0.1186707000, -0.2942230000, -0.6457298000, -1.3488694000, -2.7553652000", \
"0.0545072000, -0.0322725000, -0.1196648000, -0.2951500000, -0.6465036000, -1.3496231000, -2.7561446000", \
"0.0628865000, -0.0269475000, -0.1148283000, -0.2911555000, -0.6435362000, -1.3470629000, -2.7538254000", \
"0.1147514000, 0.0142787000, -0.0807589000, -0.2642417000, -0.6231999000, -1.3323616000, -2.7419384000", \
"0.2065771000, 0.0920192000, -0.0123336000, -0.2088037000, -0.5807498000, -1.3038191000, -2.7247328000", \
"0.4049251000, 0.2768916000, 0.1595220000, -0.0594483000, -0.4600536000, -1.2139318000, -2.6634319000", \
"0.6092514000, 0.4715317000, 0.3465673000, 0.1144682000, -0.3123007000, -1.0972581000, -2.5801503000", \
"1.1812823000, 1.0331999000, 0.8962547000, 0.6369074000, 0.1662195000, -0.6941770000, -2.2681948000", \
"1.4803054000, 1.3295013000, 1.1870184000, 0.9207540000, 0.4314356000, -0.4564026000, -2.0742311000", \
"1.7804275000, 1.6255664000, 1.4822521000, 1.2078621000, 0.7034012000, -0.2073784000, -1.8685181000");
}
related_pin : "B";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0058100000, 0.0116200000, 0.0232500000, 0.0465000000, 0.0930000000, 0.1860000000");
values("0.1194796000, 0.2159401000, 0.3005361000, 0.4771346000, 0.8257257000, 1.5192074000, 2.9059186000", \
"0.1185874000, 0.2135454000, 0.3017862000, 0.4771450000, 0.8253188000, 1.5223797000, 2.9142855000", \
"0.1179151000, 0.2104516000, 0.2991994000, 0.4753247000, 0.8245442000, 1.5208310000, 2.9151560000", \
"0.1196392000, 0.2081680000, 0.2966921000, 0.4724745000, 0.8226598000, 1.5201701000, 2.9132566000", \
"0.1738821000, 0.2470359000, 0.3270352000, 0.4941067000, 0.8362983000, 1.5268329000, 2.9169571000", \
"0.2710801000, 0.3340811000, 0.4051062000, 0.5582757000, 0.8841593000, 1.5590666000, 2.9369848000", \
"0.4721380000, 0.5253222000, 0.5881419000, 0.7262325000, 1.0277469000, 1.6690820000, 3.0121467000", \
"0.6771375000, 0.7246011000, 0.7816562000, 0.9101741000, 1.1955397000, 1.8107299000, 3.1173829000", \
"1.2494533000, 1.2901804000, 1.3383638000, 1.4467205000, 1.7011568000, 2.2667905000, 3.4938084000", \
"1.5496669000, 1.5852335000, 1.6308232000, 1.7337729000, 1.9763421000, 2.5213645000, 3.7217290000", \
"1.8501812000, 1.8840438000, 1.9237285000, 2.0253770000, 2.2556089000, 2.7854916000, 3.9525548000");
}
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0058100000, 0.0116200000, 0.0232500000, 0.0465000000, 0.0930000000, 0.1860000000");
values("-0.0217157000, -0.0886375000, -0.1744254000, -0.3517929000, -0.7012279000, -1.4044138000, -2.8110708000", \
"-0.0041254000, -0.0862958000, -0.1724293000, -0.3471022000, -0.6979531000, -1.4008514000, -2.8073096000", \
"-0.0011556000, -0.0859319000, -0.1716344000, -0.3460583000, -0.6967098000, -1.3994349000, -2.8057542000", \
"0.0105407000, -0.0784094000, -0.1660016000, -0.3424519000, -0.6935408000, -1.3961016000, -2.8026904000", \
"0.0579047000, -0.0435808000, -0.1371777000, -0.3200662000, -0.6778955000, -1.3858951000, -2.7960580000", \
"0.1449059000, 0.0305889000, -0.0738366000, -0.2678144000, -0.6387379000, -1.3592094000, -2.7795720000", \
"0.3239280000, 0.1987991000, 0.0835331000, -0.1310768000, -0.5271030000, -1.2747088000, -2.7219336000", \
"0.5092505000, 0.3755759000, 0.2525033000, 0.0265470000, -0.3934410000, -1.1674108000, -2.6430720000", \
"1.0272668000, 0.8826610000, 0.7490636000, 0.4989060000, 0.0378627000, -0.8010295000, -2.3547767000", \
"1.2995703000, 1.1499423000, 1.0126604000, 0.7546011000, 0.2791190000, -0.5901765000, -2.1784195000", \
"1.5693398000, 1.4204183000, 1.2778016000, 1.0130990000, 0.5255776000, -0.3669519000, -1.9925560000");
}
related_pin : "C";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0058100000, 0.0116200000, 0.0232500000, 0.0465000000, 0.0930000000, 0.1860000000");
values("0.1030991000, 0.2090756000, 0.2995676000, 0.4730867000, 0.8209930000, 1.5160867000, 2.9108706000", \
"0.1120708000, 0.2064484000, 0.2956529000, 0.4708680000, 0.8194539000, 1.5173219000, 2.9105985000", \
"0.1120674000, 0.2042579000, 0.2951533000, 0.4706616000, 0.8184186000, 1.5170910000, 2.9104008000", \
"0.1245480000, 0.2084249000, 0.2950667000, 0.4695746000, 0.8188187000, 1.5167523000, 2.9101135000", \
"0.1805684000, 0.2525932000, 0.3319010000, 0.4962642000, 0.8331629000, 1.5238684000, 2.9136720000", \
"0.2698705000, 0.3336583000, 0.4057037000, 0.5598903000, 0.8859549000, 1.5560451000, 2.9328294000", \
"0.4525826000, 0.5069909000, 0.5715431000, 0.7116146000, 1.0197107000, 1.6629291000, 3.0074990000", \
"0.6369633000, 0.6860478000, 0.7452152000, 0.8765120000, 1.1668269000, 1.7872603000, 3.1012298000", \
"1.1603058000, 1.1980325000, 1.2455124000, 1.3599834000, 1.6220433000, 2.1991139000, 3.4446914000", \
"1.4255547000, 1.4617871000, 1.5107665000, 1.6170202000, 1.8686045000, 2.4279255000, 3.6458330000", \
"1.6967028000, 1.7313854000, 1.7750698000, 1.8774846000, 2.1176217000, 2.6634328000, 3.8601041000");
}
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0058100000, 0.0116200000, 0.0232500000, 0.0465000000, 0.0930000000, 0.1860000000");
values("0.0399845000, -0.0326750000, -0.1296731000, -0.2965528000, -0.6474002000, -1.3427662000, -2.7589488000", \
"0.0624152000, -0.0251494000, -0.1124773000, -0.2879590000, -0.6391637000, -1.3423201000, -2.7486485000", \
"0.0607533000, -0.0269052000, -0.1143166000, -0.2896074000, -0.6408848000, -1.3438240000, -2.7501535000", \
"0.0665394000, -0.0236593000, -0.1126524000, -0.2889816000, -0.6405616000, -1.3440723000, -2.7506249000", \
"0.1213049000, 0.0187238000, -0.0774693000, -0.2616430000, -0.6207304000, -1.3296158000, -2.7399170000", \
"0.2252212000, 0.1070959000, 0.0000760000, -0.1993769000, -0.5760841000, -1.3006926000, -2.7216263000", \
"0.4601261000, 0.3229037000, 0.1991798000, -0.0276927000, -0.4381708000, -1.2010477000, -2.6569498000", \
"0.7000020000, 0.5548144000, 0.4201921000, 0.1748482000, -0.2676392000, -1.0689547000, -2.5646289000", \
"1.3783764000, 1.2205599000, 1.0694917000, 0.7926033000, 0.2924827000, -0.6022447000, -2.2105410000", \
"1.7343546000, 1.5714646000, 1.4153121000, 1.1276415000, 0.6053384000, -0.3271128000, -1.9907859000", \
"2.0899787000, 1.9214178000, 1.7642022000, 1.4676858000, 0.9284792000, -0.0364087000, -1.7526089000");
}
related_pin : "A";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0058100000, 0.0116200000, 0.0232500000, 0.0465000000, 0.0930000000, 0.1860000000");
values("0.1698112000, 0.2654940000, 0.3523895000, 0.5272981000, 0.8757454000, 1.5682582000, 2.9578703000", \
"0.1698542000, 0.2634288000, 0.3515648000, 0.5265998000, 0.8753888000, 1.5706320000, 2.9643085000", \
"0.1691451000, 0.2612221000, 0.3499902000, 0.5258203000, 0.8741811000, 1.5715418000, 2.9646137000", \
"0.1674525000, 0.2569444000, 0.3459836000, 0.5226438000, 0.8729217000, 1.5708862000, 2.9648845000", \
"0.2157347000, 0.2896235000, 0.3703826000, 0.5386474000, 0.8824125000, 1.5757394000, 2.9671735000", \
"0.3258875000, 0.3874031000, 0.4567203000, 0.6098463000, 0.9354842000, 1.6110056000, 2.9880414000", \
"0.5643877000, 0.6140879000, 0.6741303000, 0.8071509000, 1.1016302000, 1.7400419000, 3.0780337000", \
"0.8023333000, 0.8500641000, 0.9038918000, 1.0256245000, 1.3010961000, 1.9072896000, 3.2056310000", \
"1.4846034000, 1.5205583000, 1.5668194000, 1.6693016000, 1.9073813000, 2.4526135000, 3.6593046000", \
"1.8396318000, 1.8740188000, 1.9133992000, 2.0122007000, 2.2365252000, 2.7590881000, 3.9292161000", \
"2.1950331000, 2.2286080000, 2.2662186000, 2.3588739000, 2.5704659000, 3.0745657000, 4.2116627000");
}
}
max_capacitance : 0.1860000000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0058100000, 0.0116200000, 0.0232500000, 0.0465000000, 0.0930000000, 0.1860000000");
values("0.0422500000, 0.0787100000, 0.1115300000, 0.1743600000, 0.2969100000, 0.5396500000, 1.0227700000", \
"0.0446800000, 0.0811200000, 0.1139400000, 0.1768000000, 0.2993500000, 0.5417600000, 1.0251700000", \
"0.0457900000, 0.0820100000, 0.1148000000, 0.1776300000, 0.3001400000, 0.5426700000, 1.0260300000", \
"0.0546800000, 0.0887200000, 0.1209800000, 0.1833400000, 0.3055500000, 0.5478000000, 1.0310000000", \
"0.0628400000, 0.1118600000, 0.1489500000, 0.2102600000, 0.3294200000, 0.5691500000, 1.0506000000", \
"0.0548300000, 0.1192700000, 0.1693400000, 0.2492100000, 0.3745900000, 0.6085300000, 1.0850000000", \
"0.0231500000, 0.1043900000, 0.1704800000, 0.2785200000, 0.4454000000, 0.7017000000, 1.1656000000", \
"-0.0148000000, 0.0764000000, 0.1524000000, 0.2795000000, 0.4790000000, 0.7802000000, 1.2568000000", \
"-0.1304300000, -0.0232300000, 0.0690700000, 0.2284700000, 0.4893700000, 0.8915700000, 1.4923700000", \
"-0.1928700000, -0.0802700000, 0.0175300000, 0.1880300000, 0.4708300000, 0.9132300000, 1.5756300000", \
"-0.2561000000, -0.1392000000, -0.0369000000, 0.1427000000, 0.4436000000, 0.9204000000, 1.6385000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0058100000, 0.0116200000, 0.0232500000, 0.0465000000, 0.0930000000, 0.1860000000");
values("0.0842000000, 0.1636000000, 0.2349500000, 0.3767900000, 0.6595800000, 1.2249700000, 2.3552700000", \
"0.0867600000, 0.1640600000, 0.2360800000, 0.3784500000, 0.6616400000, 1.2271700000, 2.3574700000", \
"0.0881700000, 0.1643700000, 0.2368200000, 0.3796400000, 0.6632400000, 1.2288300000, 2.3595300000", \
"0.0885200000, 0.1632000000, 0.2358300000, 0.3792900000, 0.6636200000, 1.2298000000, 2.3609000000", \
"0.1087900000, 0.1795500000, 0.2465100000, 0.3834600000, 0.6626000000, 1.2255000000, 2.3546000000", \
"0.1464500000, 0.2250300000, 0.2903100000, 0.4188600000, 0.6838000000, 1.2331000000, 2.3515000000", \
"0.2117600000, 0.3102000000, 0.3882000000, 0.5203000000, 0.7693000000, 1.2888000000, 2.3766000000", \
"0.2696000000, 0.3828000000, 0.4728000000, 0.6220000000, 0.8739000000, 1.3733000000, 2.4302000000", \
"0.4146700000, 0.5557700000, 0.6702700000, 0.8593700000, 1.1632700000, 1.6654700000, 2.6577700000", \
"0.4854300000, 0.6368300000, 0.7609300000, 0.9665300000, 1.2957300000, 1.8251300000, 2.8013300000", \
"0.5546000000, 0.7144000000, 0.8469000000, 1.0670000000, 1.4192000000, 1.9795000000, 2.9551000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0058100000, 0.0116200000, 0.0232500000, 0.0465000000, 0.0930000000, 0.1860000000");
values("0.0480100000, 0.0902400000, 0.1306600000, 0.2103400000, 0.3697000000, 0.6867900000, 1.3203700000", \
"0.0480100000, 0.0903700000, 0.1306400000, 0.2103900000, 0.3695300000, 0.6864900000, 1.3205000000", \
"0.0467300000, 0.0901100000, 0.1306400000, 0.2104100000, 0.3693600000, 0.6865500000, 1.3210100000", \
"0.0559900000, 0.0919600000, 0.1304100000, 0.2105100000, 0.3692900000, 0.6865700000, 1.3206900000", \
"0.1094900000, 0.1404400000, 0.1661400000, 0.2270900000, 0.3713300000, 0.6862700000, 1.3210700000", \
"0.1830300000, 0.2223400000, 0.2509900000, 0.3008500000, 0.4127700000, 0.6929800000, 1.3207900000", \
"0.3221500000, 0.3754800000, 0.4121600000, 0.4704200000, 0.5691900000, 0.7840000000, 1.3362000000", \
"0.4561800000, 0.5215400000, 0.5654700000, 0.6330300000, 0.7400000000, 0.9337000000, 1.4108000000", \
"0.8195000000, 0.9101000000, 0.9721000000, 1.0633000000, 1.1981000000, 1.4092000000, 1.7956000000", \
"1.0053000000, 1.1059000000, 1.1754000000, 1.2784000000, 1.4272000000, 1.6534000000, 2.0401000000", \
"1.1907000000, 1.2994000000, 1.3758000000, 1.4892000000, 1.6519000000, 1.8930000000, 2.2865000000");
}
related_pin : "A";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0058100000, 0.0116200000, 0.0232500000, 0.0465000000, 0.0930000000, 0.1860000000");
values("0.0799500000, 0.1864200000, 0.2943600000, 0.5105000000, 0.9416100000, 1.8053100000, 3.5345200000", \
"0.0798800000, 0.1865200000, 0.2940900000, 0.5105400000, 0.9417600000, 1.8057500000, 3.5330700000", \
"0.0800200000, 0.1865100000, 0.2943900000, 0.5101300000, 0.9418400000, 1.8047900000, 3.5321800000", \
"0.0831200000, 0.1868100000, 0.2944100000, 0.5104600000, 0.9418400000, 1.8054400000, 3.5346700000", \
"0.1146000000, 0.2004600000, 0.3007400000, 0.5110000000, 0.9418300000, 1.8057900000, 3.5346000000", \
"0.1776100000, 0.2495400000, 0.3340900000, 0.5265200000, 0.9447300000, 1.8055900000, 3.5319000000", \
"0.3039200000, 0.3698700000, 0.4404500000, 0.6042400000, 0.9811000000, 1.8116000000, 3.5318000000", \
"0.4266000000, 0.4934000000, 0.5631000000, 0.7093000000, 1.0523000000, 1.8365000000, 3.5345000000", \
"0.7746000000, 0.8259000000, 0.8990000000, 1.0462000000, 1.3354000000, 2.0198000000, 3.5901000000", \
"0.9595000000, 1.0000000000, 1.0693000000, 1.2215000000, 1.5057000000, 2.1496000000, 3.6602000000", \
"1.1452000000, 1.1759000000, 1.2414000000, 1.3931000000, 1.6835000000, 2.2950000000, 3.7494000000");
}
timing_sense : "negative_unate";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0058100000, 0.0116200000, 0.0232500000, 0.0465000000, 0.0930000000, 0.1860000000");
values("0.0376900000, 0.0698800000, 0.1003200000, 0.1602800000, 0.2794400000, 0.5170600000, 0.9924100000", \
"0.0422100000, 0.0743700000, 0.1048400000, 0.1648500000, 0.2840500000, 0.5216600000, 0.9970700000", \
"0.0440100000, 0.0759300000, 0.1063900000, 0.1663900000, 0.2855300000, 0.5232700000, 0.9986300000", \
"0.0517200000, 0.0833100000, 0.1132700000, 0.1728400000, 0.2917100000, 0.5291100000, 1.0043000000", \
"0.0527400000, 0.1014100000, 0.1385400000, 0.1995100000, 0.3154900000, 0.5507500000, 1.0238000000", \
"0.0348400000, 0.0999100000, 0.1505400000, 0.2316900000, 0.3590300000, 0.5889900000, 1.0579000000", \
"-0.0167000000, 0.0670500000, 0.1344100000, 0.2446400000, 0.4158000000, 0.6771000000, 1.1359000000", \
"-0.0750000000, 0.0206000000, 0.0986000000, 0.2286000000, 0.4333000000, 0.7430000000, 1.2230000000", \
"-0.2491300000, -0.1328300000, -0.0361300000, 0.1285700000, 0.3959700000, 0.8102700000, 1.4292700000", \
"-0.3426700000, -0.2187700000, -0.1151700000, 0.0619300000, 0.3524300000, 0.8075300000, 1.4914300000", \
"-0.4373000000, -0.3070000000, -0.1977000000, -0.0100000000, 0.2998000000, 0.7898000000, 1.5314000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0058100000, 0.0116200000, 0.0232500000, 0.0465000000, 0.0930000000, 0.1860000000");
values("0.0736200000, 0.1541700000, 0.2255400000, 0.3674100000, 0.6502500000, 1.2155700000, 2.3458700000", \
"0.0753500000, 0.1534700000, 0.2256000000, 0.3680800000, 0.6513600000, 1.2167700000, 2.3472700000", \
"0.0768200000, 0.1533100000, 0.2259300000, 0.3689500000, 0.6526100000, 1.2183300000, 2.3489300000", \
"0.0811900000, 0.1546900000, 0.2269600000, 0.3702200000, 0.6544300000, 1.2207000000, 2.3516000000", \
"0.1156000000, 0.1882800000, 0.2542800000, 0.3902600000, 0.6683300000, 1.2305000000, 2.3590000000", \
"0.1693100000, 0.2489600000, 0.3162100000, 0.4460200000, 0.7116000000, 1.2613000000, 2.3800000000", \
"0.2632500000, 0.3641000000, 0.4422000000, 0.5758000000, 0.8307000000, 1.3556000000, 2.4484000000", \
"0.3490000000, 0.4663000000, 0.5567000000, 0.7055000000, 0.9630000000, 1.4722000000, 2.5392000000", \
"0.5700700000, 0.7209700000, 0.8375700000, 1.0267700000, 1.3284700000, 1.8401700000, 2.8551700000", \
"0.6796300000, 0.8438300000, 0.9712300000, 1.1777300000, 1.5046300000, 2.0351300000, 3.0395300000", \
"0.7871000000, 0.9629000000, 1.0999000000, 1.3219000000, 1.6721000000, 2.2288000000, 3.2317000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0058100000, 0.0116200000, 0.0232500000, 0.0465000000, 0.0930000000, 0.1860000000");
values("0.0338300000, 0.0733600000, 0.1124700000, 0.1904300000, 0.3462500000, 0.6576700000, 1.2805900000", \
"0.0338600000, 0.0733400000, 0.1124100000, 0.1903800000, 0.3463900000, 0.6577100000, 1.2808200000", \
"0.0339000000, 0.0733600000, 0.1124200000, 0.1906000000, 0.3461800000, 0.6579100000, 1.2804900000", \
"0.0432900000, 0.0757200000, 0.1125900000, 0.1904700000, 0.3463700000, 0.6579400000, 1.2806100000", \
"0.0893100000, 0.1215600000, 0.1477700000, 0.2072100000, 0.3484500000, 0.6578100000, 1.2804400000", \
"0.1510100000, 0.1938300000, 0.2250900000, 0.2784900000, 0.3896400000, 0.6650700000, 1.2804300000", \
"0.2650500000, 0.3254300000, 0.3670700000, 0.4325100000, 0.5395000000, 0.7558700000, 1.2984000000", \
"0.3728200000, 0.4482800000, 0.4989000000, 0.5764900000, 0.6967000000, 0.9017000000, 1.3736000000", \
"0.6587000000, 0.7674000000, 0.8402000000, 0.9473000000, 1.1044000000, 1.3435000000, 1.7516000000", \
"0.8028000000, 0.9255000000, 1.0079000000, 1.1290000000, 1.3038000000, 1.5642000000, 1.9837000000", \
"0.9452000000, 1.0803000000, 1.1718000000, 1.3056000000, 1.4973000000, 1.7782000000, 2.2150000000");
}
related_pin : "B";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0058100000, 0.0116200000, 0.0232500000, 0.0465000000, 0.0930000000, 0.1860000000");
values("0.0797900000, 0.1864300000, 0.2943500000, 0.5102700000, 0.9417700000, 1.8052800000, 3.5320500000", \
"0.0797600000, 0.1864300000, 0.2942700000, 0.5100700000, 0.9425700000, 1.8050800000, 3.5306800000", \
"0.0798500000, 0.1865100000, 0.2943700000, 0.5104100000, 0.9425800000, 1.8066200000, 3.5338800000", \
"0.0855800000, 0.1870900000, 0.2943300000, 0.5105000000, 0.9426200000, 1.8065500000, 3.5345000000", \
"0.1176700000, 0.2029000000, 0.3028500000, 0.5113600000, 0.9416800000, 1.8061400000, 3.5318000000", \
"0.1626000000, 0.2429100000, 0.3299300000, 0.5260100000, 0.9449600000, 1.8062400000, 3.5347000000", \
"0.2581000000, 0.3314200000, 0.4110500000, 0.5845000000, 0.9722000000, 1.8099000000, 3.5348000000", \
"0.3486000000, 0.4260000000, 0.5018000000, 0.6649000000, 1.0251000000, 1.8285000000, 3.5334000000", \
"0.5993000000, 0.6728000000, 0.7588000000, 0.9180000000, 1.2375000000, 1.9595000000, 3.5706000000", \
"0.7343000000, 0.7967000000, 0.8855000000, 1.0527000000, 1.3624000000, 2.0566000000, 3.6187000000", \
"0.8709000000, 0.9215000000, 1.0107000000, 1.1842000000, 1.4956000000, 2.1646000000, 3.6842000000");
}
timing_sense : "negative_unate";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0058100000, 0.0116200000, 0.0232500000, 0.0465000000, 0.0930000000, 0.1860000000");
values("0.0228000000, 0.0603100000, 0.0909500000, 0.1514000000, 0.2719200000, 0.5128800000, 0.9942900000", \
"0.0294300000, 0.0627800000, 0.0936700000, 0.1545100000, 0.2751800000, 0.5162300000, 0.9980700000", \
"0.0327500000, 0.0649400000, 0.0958000000, 0.1566200000, 0.2774700000, 0.5186100000, 0.9999300000", \
"0.0394100000, 0.0736700000, 0.1037200000, 0.1639900000, 0.2844000000, 0.5251300000, 1.0069000000", \
"0.0315500000, 0.0871300000, 0.1272400000, 0.1912300000, 0.3088000000, 0.5472700000, 1.0273000000", \
"0.0027800000, 0.0780900000, 0.1332700000, 0.2195500000, 0.3520400000, 0.5857600000, 1.0613000000", \
"-0.0678200000, 0.0313100000, 0.1055400000, 0.2235600000, 0.4031000000, 0.6729000000, 1.1395000000", \
"-0.1438100000, -0.0288000000, 0.0582000000, 0.1981000000, 0.4138000000, 0.7352000000, 1.2260000000", \
"-0.3652300000, -0.2202300000, -0.1099300000, 0.0701700000, 0.3544700000, 0.7870700000, 1.4266700000", \
"-0.4826700000, -0.3262700000, -0.2070700000, -0.0118700000, 0.2982300000, 0.7747300000, 1.4828300000", \
"-0.6012000000, -0.4349000000, -0.3078000000, -0.0997000000, 0.2325000000, 0.7468000000, 1.5160000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0058100000, 0.0116200000, 0.0232500000, 0.0465000000, 0.0930000000, 0.1860000000");
values("0.0405700000, 0.1267700000, 0.1997100000, 0.3417100000, 0.6246700000, 1.1898700000, 2.3202700000", \
"0.0483800000, 0.1259900000, 0.1987000000, 0.3416600000, 0.6252600000, 1.1908700000, 2.3213700000", \
"0.0501900000, 0.1258800000, 0.1988400000, 0.3422200000, 0.6262000000, 1.1921300000, 2.3228300000", \
"0.0659500000, 0.1348000000, 0.2057300000, 0.3478700000, 0.6313800000, 1.1973000000, 2.3279000000", \
"0.1094300000, 0.1842200000, 0.2490500000, 0.3836400000, 0.6599500000, 1.2204000000, 2.3477000000", \
"0.1694000000, 0.2554100000, 0.3253300000, 0.4555200000, 0.7208000000, 1.2693000000, 2.3870000000", \
"0.2761600000, 0.3882000000, 0.4700000000, 0.6090000000, 0.8678000000, 1.3948000000, 2.4881000000", \
"0.3755000000, 0.5079000000, 0.6033000000, 0.7572000000, 1.0246000000, 1.5388000000, 2.6090000000", \
"0.6368700000, 0.8106700000, 0.9357700000, 1.1332700000, 1.4432700000, 1.9735700000, 3.0005700000", \
"0.7680300000, 0.9584300000, 1.0958300000, 1.3123300000, 1.6487300000, 2.1969300000, 3.2208300000", \
"0.8976000000, 1.1024000000, 1.2508000000, 1.4844000000, 1.8455000000, 2.4172000000, 3.4471000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0058100000, 0.0116200000, 0.0232500000, 0.0465000000, 0.0930000000, 0.1860000000");
values("0.0182100000, 0.0573500000, 0.0966400000, 0.1755500000, 0.3325600000, 0.6478800000, 1.2772900000", \
"0.0182800000, 0.0573500000, 0.0967200000, 0.1754000000, 0.3327100000, 0.6478400000, 1.2777500000", \
"0.0182400000, 0.0573400000, 0.0966300000, 0.1752600000, 0.3328500000, 0.6476300000, 1.2775900000", \
"0.0287800000, 0.0602100000, 0.0967300000, 0.1751900000, 0.3325100000, 0.6476700000, 1.2772500000", \
"0.0616400000, 0.1014700000, 0.1315300000, 0.1919400000, 0.3347600000, 0.6474700000, 1.2776200000", \
"0.1099100000, 0.1641200000, 0.2004800000, 0.2597700000, 0.3750800000, 0.6543700000, 1.2774900000", \
"0.2007100000, 0.2782000000, 0.3276600000, 0.4020800000, 0.5188000000, 0.7429700000, 1.2941000000", \
"0.2867900000, 0.3834100000, 0.4441600000, 0.5337200000, 0.6668000000, 0.8841000000, 1.3676000000", \
"0.5152000000, 0.6526000000, 0.7398000000, 0.8650000000, 1.0435000000, 1.3063000000, 1.7351000000", \
"0.6302000000, 0.7839000000, 0.8833000000, 1.0248000000, 1.2244000000, 1.5127000000, 1.9607000000", \
"0.7440000000, 0.9119000000, 1.0216000000, 1.1796000000, 1.3992000000, 1.7117000000, 2.1827000000");
}
related_pin : "C";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0058100000, 0.0116200000, 0.0232500000, 0.0465000000, 0.0930000000, 0.1860000000");
values("0.0747900000, 0.1860200000, 0.2942900000, 0.5103900000, 0.9425500000, 1.8048300000, 3.5339500000", \
"0.0755000000, 0.1860200000, 0.2943700000, 0.5101700000, 0.9425600000, 1.8050500000, 3.5327000000", \
"0.0747900000, 0.1859100000, 0.2942800000, 0.5101800000, 0.9425800000, 1.8066300000, 3.5346100000", \
"0.0795300000, 0.1850300000, 0.2941700000, 0.5100000000, 0.9418600000, 1.8053000000, 3.5334400000", \
"0.1104600000, 0.1977800000, 0.2984900000, 0.5100100000, 0.9426200000, 1.8047800000, 3.5307000000", \
"0.1428900000, 0.2341300000, 0.3239500000, 0.5221200000, 0.9419300000, 1.8049300000, 3.5321000000", \
"0.2189600000, 0.3074400000, 0.3967000000, 0.5766000000, 0.9680000000, 1.8077000000, 3.5345000000", \
"0.2911000000, 0.3867000000, 0.4717000000, 0.6495000000, 1.0181000000, 1.8274000000, 3.5347000000", \
"0.4906000000, 0.5898000000, 0.6905000000, 0.8640000000, 1.2101000000, 1.9529000000, 3.5720000000", \
"0.5962000000, 0.6895000000, 0.7962000000, 0.9810000000, 1.3174000000, 2.0384000000, 3.6177000000", \
"0.7043000000, 0.7871000000, 0.8993000000, 1.0947000000, 1.4300000000, 2.1365000000, 3.6790000000");
}
timing_sense : "negative_unate";
}
}
}
cell ("sky130_fd_sc_hvl__o21a_1") {
leakage_power () {
value : 4.1538382000;
when : "!A1&!A2&B1";
}
leakage_power () {
value : 1.4235161000;
when : "!A1&!A2&!B1";
}
leakage_power () {
value : 3.1319782000;
when : "!A1&A2&B1";
}
leakage_power () {
value : 3.1258853000;
when : "!A1&A2&!B1";
}
leakage_power () {
value : 3.0833551000;
when : "A1&!A2&B1";
}
leakage_power () {
value : 3.1258811000;
when : "A1&!A2&!B1";
}
leakage_power () {
value : 2.6735811000;
when : "A1&A2&B1";
}
leakage_power () {
value : 3.1258978000;
when : "A1&A2&!B1";
}
area : 17.582400000;
cell_footprint : "sky130_fd_sc_hvl__o21a";
cell_leakage_power : 2.9804920000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0046300000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0776808000, 0.0773526000, 0.0769974000, 0.0761916000, 0.0736811000, 0.0735825000, 0.0733905000, 0.0735672000, 0.0740693000, 0.0743273000, 0.0745854000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0731356000, -0.0731678000, -0.0732021000, -0.0731612000, -0.0730230000, -0.0730224000, -0.0730165000, -0.0730106000, -0.0729859000, -0.0729752000, -0.0729646000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("A2") {
capacitance : 0.0048900000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0811825000, 0.0807948000, 0.0803751000, 0.0796090000, 0.0772225000, 0.0773842000, 0.0777130000, 0.0777123000, 0.0777201000, 0.0777215000, 0.0777229000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0775178000, -0.0772740000, -0.0770096000, -0.0770159000, -0.0770257000, -0.0770037000, -0.0769549000, -0.0769330000, -0.0768634000, -0.0768296000, -0.0767957000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("B1") {
capacitance : 0.0050700000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0876886000, 0.0873851000, 0.0870571000, 0.0870251000, 0.0869414000, 0.0870642000, 0.0873174000, 0.0872714000, 0.0871564000, 0.0870931000, 0.0870298000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0600953000, -0.0602454000, -0.0604077000, -0.0602118000, -0.0595933000, -0.0592638000, -0.0586022000, -0.0584525000, -0.0580306000, -0.0578129000, -0.0575951000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("X") {
direction : "output";
function : "(A1&B1) | (A2&B1)";
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0082800000, 0.0165600000, 0.0331200000, 0.0662400000, 0.1324900000, 0.2649800000, 0.5299600000");
values("0.3096177000, 0.1731604000, 0.0441027000, -0.2092628000, -0.7138623000, -1.7176298000, -3.7220000000, -7.7300138000", \
"0.3112597000, 0.1755520000, 0.0465446000, -0.2068363000, -0.7112818000, -1.7148590000, -3.7193139000, -7.7260011000", \
"0.3103106000, 0.1736814000, 0.0455106000, -0.2084211000, -0.7120467000, -1.7164644000, -3.7216038000, -7.7296966000", \
"0.3117917000, 0.1748064000, 0.0457809000, -0.2074587000, -0.7120968000, -1.7152610000, -3.7212015000, -7.7291953000", \
"0.3776654000, 0.2359401000, 0.1036717000, -0.1535511000, -0.6598816000, -1.6654762000, -3.6715660000, -7.6802754000", \
"0.5188557000, 0.3715748000, 0.2342416000, -0.0307159000, -0.5443247000, -1.5551466000, -3.5644699000, -7.5752907000", \
"0.8447507000, 0.6703954000, 0.5196255000, 0.2478387000, -0.2787151000, -1.3018632000, -3.3199753000, -7.3367578000", \
"1.1604684000, 0.9802476000, 0.8217180000, 0.5361676000, -0.0003994000, -1.0356858000, -3.0647063000, -7.0886686000", \
"2.0331697000, 1.8428901000, 1.6747317000, 1.3653203000, 0.7946075000, -0.2682062000, -2.3285855000, -6.3768019000", \
"2.4833098000, 2.2903292000, 2.1199842000, 1.8061846000, 1.2211275000, 0.1412564000, -1.9356022000, -5.9981470000", \
"2.9365558000, 2.7411697000, 2.5659468000, 2.2424133000, 1.6486518000, 0.5524674000, -1.5394304000, -5.6182861000");
}
related_pin : "A1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0082800000, 0.0165600000, 0.0331200000, 0.0662400000, 0.1324900000, 0.2649800000, 0.5299600000");
values("0.2135009000, 0.3188905000, 0.4377867000, 0.6805213000, 1.1729074000, 2.1639892000, 4.1447937000, 8.110865100", \
"0.2164685000, 0.3217037000, 0.4405701000, 0.6834342000, 1.1764432000, 2.1671917000, 4.1521636000, 8.114131600", \
"0.2137971000, 0.3201176000, 0.4385164000, 0.6822174000, 1.1756046000, 2.1660826000, 4.1450891000, 8.111536000", \
"0.2132953000, 0.3185439000, 0.4371201000, 0.6792514000, 1.1739485000, 2.1639756000, 4.1422339000, 8.110009200", \
"0.2707847000, 0.3710639000, 0.4863008000, 0.7269363000, 1.2174693000, 2.2056243000, 4.1842073000, 8.154095500", \
"0.4108364000, 0.5024268000, 0.6116917000, 0.8423302000, 1.3263205000, 2.3090152000, 4.2870078000, 8.248915700", \
"0.7245446000, 0.8033044000, 0.9006146000, 1.1211848000, 1.5882437000, 2.5565516000, 4.5284441000, 8.478691400", \
"1.0382602000, 1.1134805000, 1.2070943000, 1.4142220000, 1.8708778000, 2.8234476000, 4.7773158000, 8.731250000", \
"1.9184141000, 1.9837579000, 2.0674513000, 2.2589080000, 2.6801578000, 3.6022280000, 5.5236685000, 9.441029000", \
"2.3756729000, 2.4390216000, 2.5196253000, 2.7023526000, 3.1235738000, 4.0172209000, 5.9237166000, 9.822851100", \
"2.8312678000, 2.8923786000, 2.9732609000, 3.1486551000, 3.5594893000, 4.4376008000, 6.3254265000, 10.210457800");
}
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0082800000, 0.0165600000, 0.0331200000, 0.0662400000, 0.1324900000, 0.2649800000, 0.5299600000");
values("0.2549745000, 0.1187288000, -0.0105288000, -0.2622271000, -0.7715174000, -1.7713119000, -3.7832318000, -7.7698983000", \
"0.2573523000, 0.1207592000, -0.0078084000, -0.2610022000, -0.7658466000, -1.7697682000, -3.7745824000, -7.7817257000", \
"0.2551936000, 0.1186551000, -0.0102729000, -0.2637465000, -0.7680138000, -1.7716242000, -3.7779536000, -7.7844972000", \
"0.2606928000, 0.1239493000, -0.0050421000, -0.2586540000, -0.7629418000, -1.7669676000, -3.7719228000, -7.7798030000", \
"0.3256766000, 0.1842682000, 0.0524457000, -0.2047446000, -0.7105523000, -1.7159636000, -3.7213510000, -7.7303624000", \
"0.4482204000, 0.2993489000, 0.1632390000, -0.0997363000, -0.6113767000, -1.6207499000, -3.6286633000, -7.6392871000", \
"0.7157444000, 0.5434526000, 0.4027197000, 0.1318959000, -0.3900201000, -1.4087242000, -3.4235515000, -7.4378708000", \
"0.9813458000, 0.8029663000, 0.6490930000, 0.3718604000, -0.1595723000, -1.1876012000, -3.2108830000, -7.2306474000", \
"1.7039613000, 1.5154636000, 1.3511111000, 1.0497996000, 0.4965941000, -0.5540177000, -2.5997605000, -6.6361592000", \
"2.0727873000, 1.8825541000, 1.7144387000, 1.4078662000, 0.8393706000, -0.2198746000, -2.2768118000, -6.3230372000", \
"2.4431168000, 2.2488553000, 2.0789114000, 1.7656980000, 1.1891608000, 0.1169040000, -1.9508775000, -6.0072278000");
}
related_pin : "A2";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0082800000, 0.0165600000, 0.0331200000, 0.0662400000, 0.1324900000, 0.2649800000, 0.5299600000");
values("0.1877634000, 0.3014830000, 0.4229989000, 0.6687100000, 1.1640757000, 2.1582011000, 4.1420753000, 8.106829800", \
"0.1893093000, 0.3030171000, 0.4245727000, 0.6702886000, 1.1677319000, 2.1592670000, 4.1399145000, 8.108745500", \
"0.1875947000, 0.3007528000, 0.4225586000, 0.6695968000, 1.1633830000, 2.1547173000, 4.1397036000, 8.101871000", \
"0.1886012000, 0.3007738000, 0.4224215000, 0.6680718000, 1.1630842000, 2.1573116000, 4.1358412000, 8.102224100", \
"0.2464831000, 0.3507337000, 0.4691715000, 0.7126016000, 1.2036037000, 2.1952899000, 4.1756143000, 8.146790400", \
"0.3726525000, 0.4633606000, 0.5766017000, 0.8116878000, 1.2991959000, 2.2871992000, 4.2684303000, 8.225618800", \
"0.6347984000, 0.7170255000, 0.8191309000, 1.0433719000, 1.5187895000, 2.4908153000, 4.4630812000, 8.422709400", \
"0.8974432000, 0.9728971000, 1.0695040000, 1.2838697000, 1.7483943000, 2.7142831000, 4.6768928000, 8.634353600", \
"1.6228925000, 1.6900181000, 1.7747766000, 1.9760563000, 2.4175577000, 3.3476204000, 5.2916863000, 9.231478200", \
"1.9975395000, 2.0597402000, 2.1437897000, 2.3384708000, 2.7752559000, 3.6908401000, 5.6201141000, 9.546505500", \
"2.3660448000, 2.4298204000, 2.5080831000, 2.6980024000, 3.1232635000, 4.0271481000, 5.9457797000, 9.858130800");
}
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0082800000, 0.0165600000, 0.0331200000, 0.0662400000, 0.1324900000, 0.2649800000, 0.5299600000");
values("0.1504682000, 0.0268969000, -0.0980264000, -0.3487277000, -0.8499364000, -1.8521379000, -3.8561932000, -7.8635308000", \
"0.1631699000, 0.0391325000, -0.0866190000, -0.3373824000, -0.8390412000, -1.8424908000, -3.8444575000, -7.8537409000", \
"0.1637573000, 0.0395910000, -0.0858729000, -0.3372075000, -0.8379761000, -1.8405579000, -3.8447674000, -7.8519836000", \
"0.1760504000, 0.0485929000, -0.0769621000, -0.3280455000, -0.8291092000, -1.8318898000, -3.8354955000, -7.8435027000", \
"0.2540228000, 0.1155000000, -0.0141994000, -0.2679147000, -0.7718212000, -1.7757412000, -3.7806606000, -7.7889778000", \
"0.3881478000, 0.2370481000, 0.1049596000, -0.1550705000, -0.6635997000, -1.6710336000, -3.6781051000, -7.6878272000", \
"0.6643202000, 0.4963470000, 0.3523036000, 0.0871316000, -0.4298971000, -1.4450190000, -3.4582168000, -7.4710486000", \
"0.9405054000, 0.7639115000, 0.6128365000, 0.3340064000, -0.1883758000, -1.2106115000, -3.2306507000, -7.2480702000", \
"1.6998309000, 1.5134706000, 1.3495349000, 1.0549392000, 0.5027934000, -0.5398198000, -2.5778963000, -6.6118146000", \
"2.0897107000, 1.8973784000, 1.7299147000, 1.4284274000, 0.8677540000, -0.1870166000, -2.2348991000, -6.2765268000", \
"2.4788897000, 2.2819548000, 2.1139002000, 1.8052333000, 1.2364285000, 0.1662155000, -1.8907579000, -5.9413792000");
}
related_pin : "B1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0082800000, 0.0165600000, 0.0331200000, 0.0662400000, 0.1324900000, 0.2649800000, 0.5299600000");
values("0.1619254000, 0.2757901000, 0.3972374000, 0.6425199000, 1.1388910000, 2.1320966000, 4.1089371000, 8.067352500", \
"0.1657195000, 0.2790772000, 0.4006266000, 0.6462950000, 1.1432315000, 2.1307392000, 4.1136339000, 8.081566900", \
"0.1638121000, 0.2766684000, 0.3983829000, 0.6437895000, 1.1386786000, 2.1308082000, 4.1145976000, 8.080887900", \
"0.1715552000, 0.2833119000, 0.4046634000, 0.6500895000, 1.1457703000, 2.1391861000, 4.1174165000, 8.084139600", \
"0.2435496000, 0.3477410000, 0.4649709000, 0.7067100000, 1.2000023000, 2.1911433000, 4.1766424000, 8.143324200", \
"0.3738100000, 0.4662356000, 0.5790732000, 0.8153759000, 1.3042012000, 2.2917202000, 4.2763980000, 8.237713600", \
"0.6448187000, 0.7241158000, 0.8246775000, 1.0500325000, 1.5292461000, 2.5052956000, 4.4799168000, 8.445708300", \
"0.9141411000, 0.9863887000, 1.0822607000, 1.2899638000, 1.7599764000, 2.7273769000, 4.7014760000, 8.653767600", \
"1.6648203000, 1.7244814000, 1.8092141000, 2.0037777000, 2.4353174000, 3.3730186000, 5.3191708000, 9.266275500", \
"2.0510489000, 2.1083540000, 2.1898072000, 2.3770817000, 2.8017405000, 3.7110550000, 5.6512301000, 9.583962200", \
"2.4371888000, 2.4907669000, 2.5689329000, 2.7508173000, 3.1692070000, 4.0653060000, 5.9811271000, 9.907689100");
}
when : "(!A1&A2)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0082800000, 0.0165600000, 0.0331200000, 0.0662400000, 0.1324900000, 0.2649800000, 0.5299600000");
values("0.2057934000, 0.0834226000, -0.0427676000, -0.2942695000, -0.7963210000, -1.7989847000, -3.8037215000, -7.8131131000", \
"0.2186100000, 0.0952681000, -0.0308198000, -0.2824732000, -0.7844350000, -1.7881034000, -3.7926003000, -7.8007559000", \
"0.2198092000, 0.0953681000, -0.0307923000, -0.2820088000, -0.7853558000, -1.7879856000, -3.7925868000, -7.8007030000", \
"0.2315712000, 0.1057313000, -0.0211029000, -0.2733590000, -0.7757763000, -1.7785967000, -3.7837126000, -7.7915091000", \
"0.3121261000, 0.1746541000, 0.0433176000, -0.2131244000, -0.7190905000, -1.7243810000, -3.7302025000, -7.7390710000", \
"0.4510278000, 0.2992493000, 0.1629165000, -0.1000324000, -0.6121576000, -1.6219702000, -3.6305718000, -7.6417039000", \
"0.7352051000, 0.5641173000, 0.4159652000, 0.1476479000, -0.3771133000, -1.3975338000, -3.4137897000, -7.4299143000", \
"1.0119371000, 0.8331561000, 0.6785384000, 0.3951012000, -0.1353705000, -1.1643728000, -3.1888836000, -7.2092206000", \
"1.7708972000, 1.5791858000, 1.4155663000, 1.1144838000, 0.5561028000, -0.4969503000, -2.5428120000, -6.5842207000", \
"2.1559012000, 1.9626044000, 1.7927642000, 1.4865062000, 0.9176787000, -0.1469820000, -2.2068305000, -6.2551150000", \
"2.5416309000, 2.3419700000, 2.1711444000, 1.8583586000, 1.2820580000, 0.2020444000, -1.8658949000, -5.9229266000");
}
related_pin : "B1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0082800000, 0.0165600000, 0.0331200000, 0.0662400000, 0.1324900000, 0.2649800000, 0.5299600000");
values("0.2041491000, 0.3075362000, 0.4265677000, 0.6416948000, 1.1437728000, 2.1307493000, 4.1145537000, 8.097197700", \
"0.2056872000, 0.3111488000, 0.4300769000, 0.6726564000, 1.1640646000, 2.1552965000, 4.1408555000, 8.103623900", \
"0.2037489000, 0.3094120000, 0.4285215000, 0.6708868000, 1.1657692000, 2.1545003000, 4.1405715000, 8.100895300", \
"0.2082882000, 0.3136211000, 0.4324060000, 0.6760148000, 1.1696244000, 2.1597967000, 4.1399023000, 8.105501800", \
"0.2671560000, 0.3681318000, 0.4841475000, 0.7234728000, 1.2162743000, 2.2046426000, 4.1833428000, 8.152007500", \
"0.3851935000, 0.4802370000, 0.5914864000, 0.8257311000, 1.3113102000, 2.3001728000, 4.2790117000, 8.242337300", \
"0.6500010000, 0.7292578000, 0.8274653000, 1.0544783000, 1.5282599000, 2.5027157000, 4.4754233000, 8.435681500", \
"0.9157867000, 0.9879159000, 1.0824901000, 1.2913691000, 1.7597298000, 2.7214723000, 4.6873483000, 8.642868900", \
"1.6525621000, 1.7139676000, 1.7985680000, 1.9924125000, 2.4186166000, 3.3578163000, 5.2979175000, 9.236680000", \
"2.0327829000, 2.0916100000, 2.1687677000, 2.3580707000, 2.7824931000, 3.6886255000, 5.6221620000, 9.555444800", \
"2.4121984000, 2.4679821000, 2.5437293000, 2.7262948000, 3.1420942000, 4.0350882000, 5.9485362000, 9.865431800");
}
when : "(A1&!A2)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0082800000, 0.0165600000, 0.0331200000, 0.0662400000, 0.1324900000, 0.2649800000, 0.5299600000");
values("0.1498563000, 0.0268797000, -0.0982072000, -0.3494040000, -0.8504642000, -1.8523338000, -3.8566184000, -7.8659504000", \
"0.1618922000, 0.0376630000, -0.0875409000, -0.3388961000, -0.8404953000, -1.8427382000, -3.8480750000, -7.8548751000", \
"0.1614692000, 0.0374861000, -0.0880207000, -0.3393319000, -0.8404860000, -1.8436875000, -3.8467817000, -7.8547449000", \
"0.1754814000, 0.0479283000, -0.0774253000, -0.3284164000, -0.8298246000, -1.8318633000, -3.8366486000, -7.8443237000", \
"0.2588406000, 0.1205824000, -0.0088517000, -0.2626500000, -0.7665185000, -1.7704087000, -3.7753621000, -7.7836700000", \
"0.3992554000, 0.2494874000, 0.1166038000, -0.1429436000, -0.6511926000, -1.6583486000, -3.6654189000, -7.6749377000", \
"0.6907244000, 0.5237789000, 0.3798737000, 0.1163264000, -0.4012404000, -1.4160196000, -3.4285577000, -7.4411839000", \
"0.9776667000, 0.8037451000, 0.6550757000, 0.3763063000, -0.1446860000, -1.1660335000, -3.1860039000, -7.2038500000", \
"1.7723614000, 1.5851707000, 1.4250801000, 1.1321959000, 0.5824137000, -0.4589781000, -2.4946928000, -6.5269954000", \
"2.1815243000, 1.9891816000, 1.8259428000, 1.5259226000, 0.9657002000, -0.0857836000, -2.1334794000, -6.1738390000", \
"2.5899262000, 2.3959419000, 2.2276466000, 1.9221910000, 1.3547713000, 0.2899714000, -1.7641640000, -5.8143081000");
}
related_pin : "B1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0082800000, 0.0165600000, 0.0331200000, 0.0662400000, 0.1324900000, 0.2649800000, 0.5299600000");
values("0.1529920000, 0.2717525000, 0.3941988000, 0.6415694000, 1.1368654000, 2.1281246000, 4.1154854000, 8.077996000", \
"0.1569889000, 0.2749738000, 0.3979744000, 0.6461828000, 1.1400024000, 2.1312653000, 4.1146596000, 8.080013500", \
"0.1553331000, 0.2729464000, 0.3956388000, 0.6429472000, 1.1380427000, 2.1291816000, 4.1154143000, 8.079128000", \
"0.1652931000, 0.2813123000, 0.4036255000, 0.6517340000, 1.1485095000, 2.1394105000, 4.1226858000, 8.086544800", \
"0.2440193000, 0.3496055000, 0.4679424000, 0.7110704000, 1.2050438000, 2.1948494000, 4.1799845000, 8.142571500", \
"0.3834264000, 0.4743558000, 0.5874923000, 0.8257914000, 1.3160655000, 2.3022388000, 4.2869604000, 8.253129200", \
"0.6695122000, 0.7501401000, 0.8504770000, 1.0757523000, 1.5544739000, 2.5290260000, 4.5058082000, 8.465713500", \
"0.9542907000, 1.0265079000, 1.1228182000, 1.3345972000, 1.8000013000, 2.7679681000, 4.7374024000, 8.694721900", \
"1.7489665000, 1.8098313000, 1.8938513000, 2.0894390000, 2.5264258000, 3.4540814000, 5.4008163000, 9.343287900", \
"2.1546203000, 2.2125699000, 2.2918957000, 2.4844667000, 2.9076909000, 3.8168457000, 5.7505677000, 9.681440200", \
"2.5621234000, 2.6157546000, 2.6972356000, 2.8796637000, 3.2959305000, 4.1867806000, 6.1023717000, 10.029704800");
}
when : "(A1&A2)";
}
max_capacitance : 0.5299600000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0082800000, 0.0165600000, 0.0331200000, 0.0662400000, 0.1324900000, 0.2649800000, 0.5299600000");
values("0.1407400000, 0.2034400000, 0.2518700000, 0.3418000000, 0.5172400000, 0.8682700000, 1.5712700000, 2.9780700000", \
"0.1443800000, 0.2070900000, 0.2555300000, 0.3454600000, 0.5209300000, 0.8718400000, 1.5745700000, 2.9820700000", \
"0.1453400000, 0.2080400000, 0.2564800000, 0.3464200000, 0.5218400000, 0.8728700000, 1.5760300000, 2.9832300000", \
"0.1480800000, 0.2105800000, 0.2589600000, 0.3488800000, 0.5243700000, 0.8751600000, 1.5784000000, 2.9847000000", \
"0.1716800000, 0.2340300000, 0.2816500000, 0.3706700000, 0.5453000000, 0.8956000000, 1.5983000000, 3.0056000000", \
"0.2081900000, 0.2745700000, 0.3229400000, 0.4115300000, 0.5842800000, 0.9327000000, 1.6342000000, 3.0406000000", \
"0.2630800000, 0.3392000000, 0.3930000000, 0.4860000000, 0.6597000000, 1.0046000000, 1.7022000000, 3.1059000000", \
"0.3061000000, 0.3893000000, 0.4480000000, 0.5473000000, 0.7282000000, 1.0737000000, 1.7679000000, 3.1683000000", \
"0.4005700000, 0.4975700000, 0.5660700000, 0.6803700000, 0.8804700000, 1.2505700000, 1.9473700000, 3.3387700000", \
"0.4420300000, 0.5443300000, 0.6168300000, 0.7374300000, 0.9467300000, 1.3289300000, 2.0401300000, 3.4266300000", \
"0.4804000000, 0.5875000000, 0.6635000000, 0.7898000000, 1.0076000000, 1.4011000000, 2.1295000000, 3.5158000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0082800000, 0.0165600000, 0.0331200000, 0.0662400000, 0.1324900000, 0.2649800000, 0.5299600000");
values("0.1317100000, 0.1848300000, 0.2263000000, 0.3041000000, 0.4569900000, 0.7626700000, 1.3744700000, 2.5993700000", \
"0.1351800000, 0.1883000000, 0.2297800000, 0.3075700000, 0.4605100000, 0.7664000000, 1.3784700000, 2.6034700000", \
"0.1352400000, 0.1883600000, 0.2298300000, 0.3075900000, 0.4606000000, 0.7661400000, 1.3788300000, 2.6023300000", \
"0.1360700000, 0.1891100000, 0.2305400000, 0.3083000000, 0.4612600000, 0.7668600000, 1.3796000000, 2.6047000000", \
"0.1506200000, 0.2041900000, 0.2451100000, 0.3221600000, 0.4743200000, 0.7799200000, 1.3914000000, 2.6178000000", \
"0.1694300000, 0.2278200000, 0.2697400000, 0.3470100000, 0.4979900000, 0.8019000000, 1.4128000000, 2.6386000000", \
"0.1887900000, 0.2567600000, 0.3028000000, 0.3841000000, 0.5383000000, 0.8402000000, 1.4487000000, 2.6710000000", \
"0.1972000000, 0.2729000000, 0.3229000000, 0.4082000000, 0.5682000000, 0.8749000000, 1.4804000000, 2.7013000000", \
"0.1977700000, 0.2898700000, 0.3489700000, 0.4446700000, 0.6162700000, 0.9439700000, 1.5649700000, 2.7778700000", \
"0.1915300000, 0.2903300000, 0.3535300000, 0.4541300000, 0.6312300000, 0.9668300000, 1.6031300000, 2.8175300000", \
"0.1828000000, 0.2876000000, 0.3545000000, 0.4597000000, 0.6420000000, 0.9844000000, 1.6343000000, 2.8578000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0082800000, 0.0165600000, 0.0331200000, 0.0662400000, 0.1324900000, 0.2649800000, 0.5299600000");
values("0.0388900000, 0.0940200000, 0.1455400000, 0.2533600000, 0.4785900000, 0.9383500000, 1.8620100000, 3.7006000000", \
"0.0388900000, 0.0940500000, 0.1456100000, 0.2534600000, 0.4787400000, 0.9382800000, 1.8604000000, 3.7043000000", \
"0.0389000000, 0.0940400000, 0.1455300000, 0.2533800000, 0.4785500000, 0.9387700000, 1.8602300000, 3.7049000000", \
"0.0389100000, 0.0941700000, 0.1456200000, 0.2535300000, 0.4787100000, 0.9380700000, 1.8587000000, 3.7045000000", \
"0.0434900000, 0.0979600000, 0.1481500000, 0.2545500000, 0.4788800000, 0.9382200000, 1.8612900000, 3.7054000000", \
"0.0557300000, 0.1110500000, 0.1587700000, 0.2608400000, 0.4812600000, 0.9381100000, 1.8596000000, 3.7058000000", \
"0.0778100000, 0.1410400000, 0.1888000000, 0.2860000000, 0.4945000000, 0.9422000000, 1.8608000000, 3.7057000000", \
"0.0960000000, 0.1664000000, 0.2172000000, 0.3156000000, 0.5199000000, 0.9541000000, 1.8611000000, 3.7042000000", \
"0.1385000000, 0.2234000000, 0.2833000000, 0.3908000000, 0.6024000000, 1.0255000000, 1.8927000000, 3.7060000000", \
"0.1580000000, 0.2488000000, 0.3130000000, 0.4261000000, 0.6421000000, 1.0714000000, 1.9249000000, 3.7129000000", \
"0.1766000000, 0.2725000000, 0.3404000000, 0.4588000000, 0.6805000000, 1.1157000000, 1.9646000000, 3.7300000000");
}
related_pin : "A1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0082800000, 0.0165600000, 0.0331200000, 0.0662400000, 0.1324900000, 0.2649800000, 0.5299600000");
values("0.0366700000, 0.0888600000, 0.1411000000, 0.2505700000, 0.4772300000, 0.9351200000, 1.8546800000, 3.6916000000", \
"0.0366600000, 0.0889500000, 0.1412200000, 0.2506300000, 0.4767100000, 0.9352300000, 1.8546700000, 3.6915000000", \
"0.0366600000, 0.0888700000, 0.1411300000, 0.2504600000, 0.4767100000, 0.9347300000, 1.8535300000, 3.6905000000", \
"0.0366600000, 0.0889600000, 0.1412000000, 0.2504500000, 0.4765900000, 0.9347800000, 1.8534000000, 3.6897000000", \
"0.0404900000, 0.0921700000, 0.1432900000, 0.2514600000, 0.4767800000, 0.9354400000, 1.8533500000, 3.6920000000", \
"0.0516200000, 0.1035100000, 0.1527900000, 0.2575500000, 0.4797200000, 0.9351300000, 1.8548000000, 3.6914000000", \
"0.0721500000, 0.1280600000, 0.1763000000, 0.2791800000, 0.4942000000, 0.9407000000, 1.8551000000, 3.6915000000", \
"0.0902000000, 0.1501000000, 0.1989000000, 0.3013000000, 0.5168000000, 0.9570000000, 1.8571000000, 3.6941000000", \
"0.1343000000, 0.2029000000, 0.2552000000, 0.3585000000, 0.5771000000, 1.0220000000, 1.9026000000, 3.6987000000", \
"0.1556000000, 0.2274000000, 0.2817000000, 0.3866000000, 0.6059000000, 1.0570000000, 1.9361000000, 3.7140000000", \
"0.1758000000, 0.2503000000, 0.3067000000, 0.4131000000, 0.6334000000, 1.0890000000, 1.9747000000, 3.7378000000");
}
timing_sense : "positive_unate";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0082800000, 0.0165600000, 0.0331200000, 0.0662400000, 0.1324900000, 0.2649800000, 0.5299600000");
values("0.1311800000, 0.1939900000, 0.2424300000, 0.3323700000, 0.5078200000, 0.8588800000, 1.5617700000, 2.9694700000", \
"0.1336300000, 0.1963600000, 0.2448000000, 0.3347500000, 0.5102300000, 0.8612400000, 1.5643700000, 2.9706700000", \
"0.1343100000, 0.1970200000, 0.2454600000, 0.3354000000, 0.5109200000, 0.8619300000, 1.5648300000, 2.9722300000", \
"0.1435200000, 0.2060100000, 0.2543700000, 0.3443000000, 0.5197900000, 0.8707900000, 1.5738000000, 2.9812000000", \
"0.1873800000, 0.2490900000, 0.2966100000, 0.3856200000, 0.5603200000, 0.9107000000, 1.6133000000, 3.0191000000", \
"0.2466200000, 0.3104000000, 0.3583700000, 0.4470200000, 0.6200700000, 0.9689000000, 1.6710000000, 3.0766000000", \
"0.3481000000, 0.4173000000, 0.4676000000, 0.5574000000, 0.7302000000, 1.0763000000, 1.7754000000, 3.1795000000", \
"0.4366000000, 0.5107000000, 0.5638000000, 0.6567000000, 0.8323000000, 1.1778000000, 1.8736000000, 3.2757000000", \
"0.6545700000, 0.7391700000, 0.7985700000, 0.8998700000, 1.0857700000, 1.4441700000, 2.1385700000, 3.5342700000", \
"0.7590300000, 0.8481300000, 0.9102300000, 1.0152300000, 1.2058300000, 1.5722300000, 2.2727300000, 3.6654300000", \
"0.8601000000, 0.9533000000, 1.0178000000, 1.1262000000, 1.3213000000, 1.6944000000, 2.4057000000, 3.7962000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0082800000, 0.0165600000, 0.0331200000, 0.0662400000, 0.1324900000, 0.2649800000, 0.5299600000");
values("0.1084700000, 0.1584300000, 0.1993400000, 0.2769200000, 0.4300700000, 0.7360000000, 1.3488700000, 2.5728700000", \
"0.1110100000, 0.1608400000, 0.2017400000, 0.2793200000, 0.4324600000, 0.7386600000, 1.3511700000, 2.5750700000", \
"0.1115400000, 0.1613300000, 0.2022200000, 0.2797800000, 0.4330300000, 0.7391300000, 1.3519300000, 2.5762300000", \
"0.1132100000, 0.1627800000, 0.2036100000, 0.2811800000, 0.4345400000, 0.7407600000, 1.3531000000, 2.5789000000", \
"0.1232000000, 0.1737800000, 0.2144400000, 0.2914300000, 0.4441300000, 0.7497500000, 1.3624000000, 2.5886000000", \
"0.1264400000, 0.1818900000, 0.2236300000, 0.3014400000, 0.4533600000, 0.7582000000, 1.3697000000, 2.5944000000", \
"0.1111700000, 0.1751600000, 0.2200300000, 0.3015000000, 0.4583000000, 0.7627000000, 1.3723000000, 2.5962000000", \
"0.0838000000, 0.1545000000, 0.2024000000, 0.2867000000, 0.4481000000, 0.7606000000, 1.3687000000, 2.5904000000", \
"-0.0182300000, 0.0663700000, 0.1217700000, 0.2133700000, 0.3823700000, 0.7127700000, 1.3458700000, 2.5647700000", \
"-0.0787700000, 0.0114300000, 0.0702300000, 0.1655300000, 0.3378300000, 0.6739300000, 1.3214300000, 2.5494300000", \
"-0.1422000000, -0.0471000000, 0.0148000000, 0.1137000000, 0.2892000000, 0.6299000000, 1.2891000000, 2.5324000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0082800000, 0.0165600000, 0.0331200000, 0.0662400000, 0.1324900000, 0.2649800000, 0.5299600000");
values("0.0389000000, 0.0940500000, 0.1456200000, 0.2535000000, 0.4784500000, 0.9384800000, 1.8600000000, 3.7055000000", \
"0.0389000000, 0.0940400000, 0.1456200000, 0.2535000000, 0.4785900000, 0.9379000000, 1.8602600000, 3.7048000000", \
"0.0388900000, 0.0940600000, 0.1455500000, 0.2534000000, 0.4785500000, 0.9378700000, 1.8599800000, 3.7058000000", \
"0.0388900000, 0.0941500000, 0.1457000000, 0.2535300000, 0.4785900000, 0.9383300000, 1.8599500000, 3.7057000000", \
"0.0426600000, 0.0968800000, 0.1474700000, 0.2542400000, 0.4787000000, 0.9383900000, 1.8613600000, 3.7069000000", \
"0.0496900000, 0.1058200000, 0.1553200000, 0.2589800000, 0.4801900000, 0.9380800000, 1.8608000000, 3.7039000000", \
"0.0665000000, 0.1253000000, 0.1733000000, 0.2734000000, 0.4888000000, 0.9411000000, 1.8609000000, 3.7042000000", \
"0.0814000000, 0.1434000000, 0.1919000000, 0.2920000000, 0.5029000000, 0.9466000000, 1.8626000000, 3.7059000000", \
"0.1162000000, 0.1860000000, 0.2381000000, 0.3395000000, 0.5537000000, 0.9883000000, 1.8772000000, 3.7066000000", \
"0.1322000000, 0.2055000000, 0.2592000000, 0.3621000000, 0.5785000000, 1.0172000000, 1.8963000000, 3.7098000000", \
"0.1473000000, 0.2238000000, 0.2792000000, 0.3838000000, 0.6016000000, 1.0463000000, 1.9195000000, 3.7152000000");
}
related_pin : "A2";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0082800000, 0.0165600000, 0.0331200000, 0.0662400000, 0.1324900000, 0.2649800000, 0.5299600000");
values("0.0296900000, 0.0828000000, 0.1367200000, 0.2479800000, 0.4758700000, 0.9350700000, 1.8531600000, 3.6910000000", \
"0.0297200000, 0.0828700000, 0.1367100000, 0.2480300000, 0.4759800000, 0.9350100000, 1.8543600000, 3.6915000000", \
"0.0297500000, 0.0827800000, 0.1367700000, 0.2481900000, 0.4756900000, 0.9350600000, 1.8538100000, 3.6918000000", \
"0.0297600000, 0.0828500000, 0.1367600000, 0.2480600000, 0.4757000000, 0.9352500000, 1.8538800000, 3.6936000000", \
"0.0352800000, 0.0871600000, 0.1396100000, 0.2491200000, 0.4759400000, 0.9349600000, 1.8535700000, 3.6922000000", \
"0.0462500000, 0.0980000000, 0.1489300000, 0.2557700000, 0.4786900000, 0.9350500000, 1.8540300000, 3.6935000000", \
"0.0643000000, 0.1188400000, 0.1686600000, 0.2750400000, 0.4940900000, 0.9414000000, 1.8534000000, 3.6951000000", \
"0.0794000000, 0.1370000000, 0.1864000000, 0.2923000000, 0.5148000000, 0.9589000000, 1.8589000000, 3.6921000000", \
"0.1147000000, 0.1798000000, 0.2305000000, 0.3343000000, 0.5593000000, 1.0174000000, 1.9089000000, 3.7036000000", \
"0.1310000000, 0.1991000000, 0.2510000000, 0.3548000000, 0.5792000000, 1.0443000000, 1.9413000000, 3.7273000000", \
"0.1464000000, 0.2170000000, 0.2704000000, 0.3745000000, 0.5988000000, 1.0673000000, 1.9759000000, 3.7517000000");
}
timing_sense : "positive_unate";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0082800000, 0.0165600000, 0.0331200000, 0.0662400000, 0.1324900000, 0.2649800000, 0.5299600000");
values("0.0682800000, 0.1206800000, 0.1656100000, 0.2536800000, 0.4294700000, 0.7813200000, 1.4844700000, 2.8922700000", \
"0.0742600000, 0.1263400000, 0.1712000000, 0.2591400000, 0.4348900000, 0.7866400000, 1.4901700000, 2.8976700000", \
"0.0772000000, 0.1291600000, 0.1740100000, 0.2620100000, 0.4377900000, 0.7896800000, 1.4925300000, 2.8994300000", \
"0.0892700000, 0.1403500000, 0.1850800000, 0.2729900000, 0.4487300000, 0.8004600000, 1.5042000000, 2.9110000000", \
"0.1160800000, 0.1681300000, 0.2125700000, 0.2993200000, 0.4740700000, 0.8253000000, 1.5286000000, 2.9347000000", \
"0.1383000000, 0.1936600000, 0.2388300000, 0.3258500000, 0.4991500000, 0.8487000000, 1.5513000000, 2.9569000000", \
"0.1613400000, 0.2228900000, 0.2699600000, 0.3598000000, 0.5361000000, 0.8836000000, 1.5827000000, 2.9877000000", \
"0.1729000000, 0.2396000000, 0.2886000000, 0.3801000000, 0.5608000000, 0.9126000000, 1.6098000000, 3.0125000000", \
"0.1813700000, 0.2587700000, 0.3131700000, 0.4092700000, 0.5956700000, 0.9650700000, 1.6752700000, 3.0718700000", \
"0.1787300000, 0.2605300000, 0.3175300000, 0.4161300000, 0.6047300000, 0.9793300000, 1.7036300000, 3.1008300000", \
"0.1735000000, 0.2591000000, 0.3185000000, 0.4197000000, 0.6105000000, 0.9891000000, 1.7249000000, 3.1293000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0082800000, 0.0165600000, 0.0331200000, 0.0662400000, 0.1324900000, 0.2649800000, 0.5299600000");
values("0.0941700000, 0.1442800000, 0.1851700000, 0.2627000000, 0.4159400000, 0.7221800000, 1.3351700000, 2.5589700000", \
"0.0962900000, 0.1460900000, 0.1869500000, 0.2644600000, 0.4177800000, 0.7237900000, 1.3360700000, 2.5607700000", \
"0.0965900000, 0.1463300000, 0.1871800000, 0.2647000000, 0.4179100000, 0.7242700000, 1.3362300000, 2.5628300000", \
"0.1048000000, 0.1542100000, 0.1949600000, 0.2724500000, 0.4257100000, 0.7320600000, 1.3438000000, 2.5695000000", \
"0.1358800000, 0.1856500000, 0.2261100000, 0.3029000000, 0.4553900000, 0.7608800000, 1.3728000000, 2.5996000000", \
"0.1708400000, 0.2229900000, 0.2634500000, 0.3403000000, 0.4921900000, 0.7970000000, 1.4091000000, 2.6328000000", \
"0.2214900000, 0.2801000000, 0.3225000000, 0.4014000000, 0.5541000000, 0.8578000000, 1.4677000000, 2.6925000000", \
"0.2609000000, 0.3251000000, 0.3696000000, 0.4506000000, 0.6075000000, 0.9122000000, 1.5211000000, 2.7430000000", \
"0.3472700000, 0.4232700000, 0.4734700000, 0.5595700000, 0.7237700000, 1.0444700000, 1.6591700000, 2.8777700000", \
"0.3851300000, 0.4659300000, 0.5189300000, 0.6076300000, 0.7744300000, 1.1013300000, 1.7273300000, 2.9447300000", \
"0.4203000000, 0.5054000000, 0.5609000000, 0.6523000000, 0.8215000000, 1.1533000000, 1.7901000000, 3.0113000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0082800000, 0.0165600000, 0.0331200000, 0.0662400000, 0.1324900000, 0.2649800000, 0.5299600000");
values("0.0217900000, 0.0758800000, 0.1313000000, 0.2447700000, 0.4752200000, 0.9366400000, 1.8591800000, 3.7062000000", \
"0.0218200000, 0.0759000000, 0.1313000000, 0.2449000000, 0.4752500000, 0.9365300000, 1.8591200000, 3.7058000000", \
"0.0218100000, 0.0759000000, 0.1312300000, 0.2449600000, 0.4750400000, 0.9366700000, 1.8587600000, 3.7035000000", \
"0.0222000000, 0.0762000000, 0.1314200000, 0.2449200000, 0.4753900000, 0.9363800000, 1.8585400000, 3.7047000000", \
"0.0296100000, 0.0817700000, 0.1346600000, 0.2459200000, 0.4752200000, 0.9362900000, 1.8594800000, 3.7033000000", \
"0.0403500000, 0.0920900000, 0.1435900000, 0.2516300000, 0.4770600000, 0.9366500000, 1.8584000000, 3.7048000000", \
"0.0579900000, 0.1111600000, 0.1616500000, 0.2696200000, 0.4900000000, 0.9410000000, 1.8588000000, 3.7027000000", \
"0.0727000000, 0.1285000000, 0.1780000000, 0.2858000000, 0.5093000000, 0.9538000000, 1.8604000000, 3.7028000000", \
"0.1074000000, 0.1706000000, 0.2203000000, 0.3252000000, 0.5530000000, 1.0100000000, 1.8957000000, 3.7069000000", \
"0.1233000000, 0.1902000000, 0.2406000000, 0.3448000000, 0.5721000000, 1.0360000000, 1.9253000000, 3.7232000000", \
"0.1386000000, 0.2086000000, 0.2602000000, 0.3643000000, 0.5907000000, 1.0598000000, 1.9591000000, 3.7377000000");
}
related_pin : "B1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0082800000, 0.0165600000, 0.0331200000, 0.0662400000, 0.1324900000, 0.2649800000, 0.5299600000");
values("0.0297200000, 0.0828400000, 0.1367400000, 0.2482100000, 0.4756700000, 0.9348900000, 1.8536800000, 3.6899000000", \
"0.0297400000, 0.0827800000, 0.1368100000, 0.2479200000, 0.4756800000, 0.9351700000, 1.8526600000, 3.6905000000", \
"0.0296900000, 0.0827700000, 0.1367400000, 0.2482100000, 0.4757600000, 0.9345500000, 1.8535700000, 3.6920000000", \
"0.0297200000, 0.0829100000, 0.1368800000, 0.2481800000, 0.4756900000, 0.9346900000, 1.8538100000, 3.6906000000", \
"0.0341900000, 0.0867800000, 0.1395600000, 0.2493000000, 0.4757600000, 0.9349800000, 1.8524700000, 3.6914000000", \
"0.0427500000, 0.0932400000, 0.1447200000, 0.2529900000, 0.4775700000, 0.9348100000, 1.8544000000, 3.6909000000", \
"0.0577500000, 0.1087600000, 0.1590100000, 0.2653000000, 0.4856000000, 0.9380000000, 1.8547000000, 3.6906000000", \
"0.0705000000, 0.1232000000, 0.1725000000, 0.2791000000, 0.4987000000, 0.9453000000, 1.8552000000, 3.6917000000", \
"0.1006000000, 0.1581000000, 0.2069000000, 0.3117000000, 0.5372000000, 0.9860000000, 1.8797000000, 3.6918000000", \
"0.1145000000, 0.1742000000, 0.2237000000, 0.3275000000, 0.5539000000, 1.0098000000, 1.8986000000, 3.6994000000", \
"0.1278000000, 0.1894000000, 0.2394000000, 0.3430000000, 0.5691000000, 1.0319000000, 1.9221000000, 3.7105000000");
}
sdf_cond : "(!A1&A2)";
timing_sense : "positive_unate";
when : "(!A1&A2)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0082800000, 0.0165600000, 0.0331200000, 0.0662400000, 0.1324900000, 0.2649800000, 0.5299600000");
values("0.0756300000, 0.1328400000, 0.1792200000, 0.2677100000, 0.4431700000, 0.7944000000, 1.4984700000, 2.9047700000", \
"0.0816900000, 0.1385900000, 0.1849000000, 0.2733400000, 0.4487400000, 0.8001300000, 1.5037700000, 2.9098700000", \
"0.0847000000, 0.1414800000, 0.1877900000, 0.2762000000, 0.4515800000, 0.8030200000, 1.5068300000, 2.9137300000", \
"0.0967700000, 0.1527400000, 0.1989300000, 0.2873100000, 0.4626500000, 0.8141200000, 1.5178000000, 2.9232000000", \
"0.1283000000, 0.1844600000, 0.2299200000, 0.3170300000, 0.4910500000, 0.8414000000, 1.5438000000, 2.9510000000", \
"0.1562600000, 0.2158900000, 0.2619700000, 0.3489400000, 0.5211900000, 0.8694000000, 1.5712000000, 2.9772000000", \
"0.1865000000, 0.2529900000, 0.3016000000, 0.3910000000, 0.5647000000, 0.9102000000, 1.6083000000, 3.0131000000", \
"0.2025000000, 0.2746000000, 0.3256000000, 0.4170000000, 0.5945000000, 0.9427000000, 1.6376000000, 3.0391000000", \
"0.2167700000, 0.3003700000, 0.3577700000, 0.4550700000, 0.6377700000, 1.0008700000, 1.7058700000, 3.1001700000", \
"0.2152300000, 0.3033300000, 0.3636300000, 0.4640300000, 0.6491300000, 1.0166300000, 1.7343300000, 3.1277300000", \
"0.2101000000, 0.3022000000, 0.3650000000, 0.4686000000, 0.6561000000, 1.0269000000, 1.7551000000, 3.1552000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0082800000, 0.0165600000, 0.0331200000, 0.0662400000, 0.1324900000, 0.2649800000, 0.5299600000");
values("0.1247700000, 0.1782000000, 0.2197000000, 0.2975200000, 0.4503700000, 0.7562000000, 1.3686700000, 2.5922700000", \
"0.1262500000, 0.1794100000, 0.2208900000, 0.2986500000, 0.4515100000, 0.7571700000, 1.3694700000, 2.5948700000", \
"0.1266800000, 0.1797900000, 0.2212700000, 0.2990800000, 0.4520100000, 0.7577800000, 1.3696300000, 2.5949300000", \
"0.1335000000, 0.1865500000, 0.2279700000, 0.3057500000, 0.4587300000, 0.7643400000, 1.3771000000, 2.6017000000", \
"0.1676200000, 0.2208100000, 0.2616100000, 0.3386300000, 0.4910200000, 0.7962300000, 1.4079000000, 2.6328000000", \
"0.2100700000, 0.2650600000, 0.3058500000, 0.3827700000, 0.5340300000, 0.8385000000, 1.4496000000, 2.6742000000", \
"0.2739800000, 0.3360000000, 0.3787000000, 0.4563000000, 0.6069000000, 0.9097000000, 1.5192000000, 2.7423000000", \
"0.3242000000, 0.3924000000, 0.4376000000, 0.5174000000, 0.6710000000, 0.9734000000, 1.5813000000, 2.8029000000", \
"0.4347700000, 0.5159700000, 0.5679700000, 0.6534700000, 0.8140700000, 1.1292700000, 1.7392700000, 2.9576700000", \
"0.4833300000, 0.5697300000, 0.6250300000, 0.7136300000, 0.8768300000, 1.1979300000, 1.8172300000, 3.0332300000", \
"0.5285000000, 0.6195000000, 0.6777000000, 0.7695000000, 0.9350000000, 1.2609000000, 1.8909000000, 3.1081000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0082800000, 0.0165600000, 0.0331200000, 0.0662400000, 0.1324900000, 0.2649800000, 0.5299600000");
values("0.0275200000, 0.0819200000, 0.1357000000, 0.2470800000, 0.4750500000, 0.9365100000, 1.8584900000, 3.7058000000", \
"0.0275100000, 0.0818900000, 0.1357200000, 0.2469900000, 0.4751200000, 0.9363100000, 1.8593400000, 3.7042000000", \
"0.0275500000, 0.0819100000, 0.1357400000, 0.2472600000, 0.4754000000, 0.9366800000, 1.8594600000, 3.7050000000", \
"0.0278600000, 0.0823200000, 0.1359300000, 0.2473000000, 0.4754100000, 0.9368400000, 1.8588000000, 3.7039000000", \
"0.0366600000, 0.0886100000, 0.1398300000, 0.2485000000, 0.4756000000, 0.9364500000, 1.8587100000, 3.7043000000", \
"0.0492400000, 0.1009600000, 0.1501800000, 0.2548900000, 0.4777000000, 0.9369100000, 1.8589000000, 3.7042000000", \
"0.0682600000, 0.1236800000, 0.1715400000, 0.2741100000, 0.4905000000, 0.9406000000, 1.8583000000, 3.7031000000", \
"0.0837000000, 0.1429000000, 0.1906000000, 0.2924000000, 0.5096000000, 0.9517000000, 1.8601000000, 3.7032000000", \
"0.1193000000, 0.1879000000, 0.2373000000, 0.3366000000, 0.5548000000, 1.0056000000, 1.8933000000, 3.7063000000", \
"0.1357000000, 0.2083000000, 0.2591000000, 0.3581000000, 0.5753000000, 1.0323000000, 1.9212000000, 3.7176000000", \
"0.1511000000, 0.2274000000, 0.2796000000, 0.3790000000, 0.5949000000, 1.0545000000, 1.9531000000, 3.7360000000");
}
related_pin : "B1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0082800000, 0.0165600000, 0.0331200000, 0.0662400000, 0.1324900000, 0.2649800000, 0.5299600000");
values("0.0367000000, 0.0888900000, 0.1411400000, 0.2505300000, 0.4767200000, 0.9358300000, 1.8536900000, 3.6913000000", \
"0.0366700000, 0.0888500000, 0.1411200000, 0.2505500000, 0.4766400000, 0.9357600000, 1.8534600000, 3.6898000000", \
"0.0366700000, 0.0888600000, 0.1411700000, 0.2505500000, 0.4769900000, 0.9353200000, 1.8534600000, 3.6905000000", \
"0.0366700000, 0.0889200000, 0.1411900000, 0.2506200000, 0.4767100000, 0.9350500000, 1.8530800000, 3.6920000000", \
"0.0398500000, 0.0912200000, 0.1425400000, 0.2510800000, 0.4768200000, 0.9348900000, 1.8549700000, 3.6906000000", \
"0.0468500000, 0.0972600000, 0.1477500000, 0.2545300000, 0.4780400000, 0.9351000000, 1.8535000000, 3.6924000000", \
"0.0622000000, 0.1136200000, 0.1613000000, 0.2641000000, 0.4841000000, 0.9371000000, 1.8544000000, 3.6926000000", \
"0.0753000000, 0.1291000000, 0.1760000000, 0.2781000000, 0.4948000000, 0.9428000000, 1.8558000000, 3.6913000000", \
"0.1060000000, 0.1669000000, 0.2134000000, 0.3129000000, 0.5319000000, 0.9780000000, 1.8741000000, 3.6922000000", \
"0.1201000000, 0.1840000000, 0.2312000000, 0.3296000000, 0.5486000000, 1.0011000000, 1.8914000000, 3.6996000000", \
"0.1336000000, 0.1998000000, 0.2483000000, 0.3459000000, 0.5646000000, 1.0219000000, 1.9130000000, 3.7083000000");
}
sdf_cond : "(A1&!A2)";
timing_sense : "positive_unate";
when : "(A1&!A2)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0082800000, 0.0165600000, 0.0331200000, 0.0662400000, 0.1324900000, 0.2649800000, 0.5299600000");
values("0.0681900000, 0.1205800000, 0.1655000000, 0.2536000000, 0.4293800000, 0.7810400000, 1.4848700000, 2.8921700000", \
"0.0738800000, 0.1259300000, 0.1707800000, 0.2587300000, 0.4345000000, 0.7864000000, 1.4899700000, 2.8976700000", \
"0.0765800000, 0.1285100000, 0.1733900000, 0.2613900000, 0.4372200000, 0.7887200000, 1.4921300000, 2.8999300000", \
"0.0890400000, 0.1400900000, 0.1848000000, 0.2727400000, 0.4484500000, 0.8003000000, 1.5039000000, 2.9114000000", \
"0.1189300000, 0.1708800000, 0.2152600000, 0.3020300000, 0.4768000000, 0.8278000000, 1.5308000000, 2.9376000000", \
"0.1470600000, 0.2023000000, 0.2474500000, 0.3343500000, 0.5077000000, 0.8573000000, 1.5599000000, 2.9663000000", \
"0.1825600000, 0.2438900000, 0.2911000000, 0.3812000000, 0.5572000000, 0.9046000000, 1.6040000000, 3.0084000000", \
"0.2068000000, 0.2731000000, 0.3224000000, 0.4144000000, 0.5954000000, 0.9465000000, 1.6436000000, 3.0469000000", \
"0.2508700000, 0.3278700000, 0.3823700000, 0.4794700000, 0.6675700000, 1.0377700000, 1.7456700000, 3.1425700000", \
"0.2668300000, 0.3482300000, 0.4053300000, 0.5050300000, 0.6958300000, 1.0721300000, 1.7945300000, 3.1902300000", \
"0.2802000000, 0.3654000000, 0.4249000000, 0.5273000000, 0.7207000000, 1.1017000000, 1.8368000000, 3.2383000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0082800000, 0.0165600000, 0.0331200000, 0.0662400000, 0.1324900000, 0.2649800000, 0.5299600000");
values("0.0819400000, 0.1293500000, 0.1692600000, 0.2463400000, 0.3997200000, 0.7058100000, 1.3176700000, 2.5427700000", \
"0.0841400000, 0.1313100000, 0.1711800000, 0.2482100000, 0.4013400000, 0.7075900000, 1.3200700000, 2.5453700000", \
"0.0850500000, 0.1321400000, 0.1720200000, 0.2490900000, 0.4023900000, 0.7084100000, 1.3210300000, 2.5462300000", \
"0.0942500000, 0.1408300000, 0.1805400000, 0.2575900000, 0.4106600000, 0.7170000000, 1.3293000000, 2.5553000000", \
"0.1223100000, 0.1693300000, 0.2088100000, 0.2850300000, 0.4374800000, 0.7437200000, 1.3559000000, 2.5807000000", \
"0.1497000000, 0.1999700000, 0.2395700000, 0.3155800000, 0.4673700000, 0.7722000000, 1.3844000000, 2.6090000000", \
"0.1850100000, 0.2422000000, 0.2835000000, 0.3617000000, 0.5146000000, 0.8183000000, 1.4282000000, 2.6517000000", \
"0.2095000000, 0.2725000000, 0.3158000000, 0.3957000000, 0.5525000000, 0.8583000000, 1.4670000000, 2.6898000000", \
"0.2552700000, 0.3305700000, 0.3797700000, 0.4638700000, 0.6266700000, 0.9472700000, 1.5640700000, 2.7823700000", \
"0.2724300000, 0.3527300000, 0.4048300000, 0.4914300000, 0.6561300000, 0.9818300000, 1.6100300000, 2.8284300000", \
"0.2871000000, 0.3718000000, 0.4266000000, 0.5158000000, 0.6823000000, 1.0123000000, 1.6501000000, 2.8737000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0082800000, 0.0165600000, 0.0331200000, 0.0662400000, 0.1324900000, 0.2649800000, 0.5299600000");
values("0.0217800000, 0.0759000000, 0.1312600000, 0.2448000000, 0.4753700000, 0.9363100000, 1.8586300000, 3.7051000000", \
"0.0218200000, 0.0759100000, 0.1312600000, 0.2448800000, 0.4755600000, 0.9362500000, 1.8586800000, 3.7049000000", \
"0.0218100000, 0.0759300000, 0.1312900000, 0.2447700000, 0.4754000000, 0.9361100000, 1.8592000000, 3.7050000000", \
"0.0222000000, 0.0761900000, 0.1313300000, 0.2448200000, 0.4751100000, 0.9363800000, 1.8594700000, 3.7050000000", \
"0.0293400000, 0.0815200000, 0.1345100000, 0.2458000000, 0.4752400000, 0.9363000000, 1.8586700000, 3.7053000000", \
"0.0396100000, 0.0914700000, 0.1429600000, 0.2511100000, 0.4767400000, 0.9365800000, 1.8585000000, 3.7047000000", \
"0.0565400000, 0.1100500000, 0.1608300000, 0.2688600000, 0.4889000000, 0.9406000000, 1.8588000000, 3.7031000000", \
"0.0708000000, 0.1268000000, 0.1769000000, 0.2853000000, 0.5081000000, 0.9519000000, 1.8598000000, 3.7029000000", \
"0.1042000000, 0.1677000000, 0.2183000000, 0.3248000000, 0.5537000000, 1.0078000000, 1.8933000000, 3.7046000000", \
"0.1197000000, 0.1868000000, 0.2383000000, 0.3446000000, 0.5735000000, 1.0351000000, 1.9204000000, 3.7173000000", \
"0.1345000000, 0.2049000000, 0.2575000000, 0.3638000000, 0.5930000000, 1.0604000000, 1.9539000000, 3.7335000000");
}
related_pin : "B1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0082800000, 0.0165600000, 0.0331200000, 0.0662400000, 0.1324900000, 0.2649800000, 0.5299600000");
values("0.0258400000, 0.0787300000, 0.1334200000, 0.2459400000, 0.4748400000, 0.9350100000, 1.8535200000, 3.6895000000", \
"0.0258500000, 0.0787600000, 0.1334300000, 0.2460100000, 0.4747100000, 0.9347100000, 1.8526700000, 3.6899000000", \
"0.0258600000, 0.0787600000, 0.1335900000, 0.2463300000, 0.4751000000, 0.9344300000, 1.8527600000, 3.6903000000", \
"0.0259100000, 0.0789500000, 0.1335300000, 0.2460400000, 0.4747000000, 0.9344600000, 1.8535400000, 3.6896000000", \
"0.0318800000, 0.0830400000, 0.1360800000, 0.2472000000, 0.4748400000, 0.9348800000, 1.8532900000, 3.6901000000", \
"0.0411600000, 0.0901600000, 0.1415600000, 0.2508300000, 0.4766000000, 0.9339500000, 1.8535200000, 3.6911000000", \
"0.0564300000, 0.1057000000, 0.1556000000, 0.2629200000, 0.4848000000, 0.9369000000, 1.8535000000, 3.6911000000", \
"0.0692000000, 0.1199000000, 0.1687000000, 0.2759000000, 0.4978000000, 0.9459000000, 1.8545000000, 3.6900000000", \
"0.0992000000, 0.1553000000, 0.2024000000, 0.3066000000, 0.5336000000, 0.9857000000, 1.8795000000, 3.6937000000", \
"0.1131000000, 0.1716000000, 0.2192000000, 0.3218000000, 0.5488000000, 1.0080000000, 1.8995000000, 3.6999000000", \
"0.1264000000, 0.1871000000, 0.2351000000, 0.3365000000, 0.5628000000, 1.0274000000, 1.9254000000, 3.7176000000");
}
sdf_cond : "(A1&A2)";
timing_sense : "positive_unate";
when : "(A1&A2)";
}
}
}
cell ("sky130_fd_sc_hvl__o21ai_1") {
leakage_power () {
value : 3.0644640000;
when : "!A1&!A2&B1";
}
leakage_power () {
value : 0.3341929000;
when : "!A1&!A2&!B1";
}
leakage_power () {
value : 2.0306024000;
when : "!A1&A2&B1";
}
leakage_power () {
value : 2.0365463000;
when : "!A1&A2&!B1";
}
leakage_power () {
value : 1.9279551000;
when : "A1&!A2&B1";
}
leakage_power () {
value : 2.0365420000;
when : "A1&!A2&!B1";
}
leakage_power () {
value : 1.4716383000;
when : "A1&A2&B1";
}
leakage_power () {
value : 2.0365587000;
when : "A1&A2&!B1";
}
area : 13.675200000;
cell_footprint : "sky130_fd_sc_hvl__o21ai";
cell_leakage_power : 1.8673120000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0046000000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0768508000, 0.0766903000, 0.0765166000, 0.0757289000, 0.0732700000, 0.0730879000, 0.0727271000, 0.0728323000, 0.0731315000, 0.0732851000, 0.0734387000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0726460000, -0.0726770000, -0.0727103000, -0.0726330000, -0.0723850000, -0.0723854000, -0.0723835000, -0.0723877000, -0.0723948000, -0.0723996000, -0.0724046000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("A2") {
capacitance : 0.0047100000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0757906000, 0.0754701000, 0.0751232000, 0.0743353000, 0.0718758000, 0.0720507000, 0.0724041000, 0.0724349000, 0.0725263000, 0.0725722000, 0.0726181000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0720164000, -0.0718755000, -0.0717227000, -0.0717424000, -0.0717983000, -0.0717068000, -0.0715212000, -0.0715063000, -0.0714603000, -0.0714378000, -0.0714151000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("B1") {
capacitance : 0.0048300000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0860295000, 0.0858796000, 0.0857177000, 0.0857458000, 0.0858459000, 0.0856721000, 0.0853302000, 0.0853199000, 0.0853012000, 0.0852888000, 0.0852764000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0592487000, -0.0590755000, -0.0588878000, -0.0587752000, -0.0584215000, -0.0580700000, -0.0573664000, -0.0571732000, -0.0566336000, -0.0563539000, -0.0560741000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("Y") {
direction : "output";
function : "(!A1&!A2) | (!B1)";
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081900000, 0.0163800000, 0.0327500000, 0.0654900000, 0.1309900000, 0.2619800000");
values("0.0405146000, -0.0658753000, -0.1893305000, -0.4367142000, -0.9320745000, -1.9223644000, -3.9037928000", \
"0.0414299000, -0.0633158000, -0.1856612000, -0.4324271000, -0.9270785000, -1.9174520000, -3.8985162000", \
"0.0469164000, -0.0650681000, -0.1864002000, -0.4322748000, -0.9263854000, -1.9165325000, -3.8974622000", \
"0.0515123000, -0.0664882000, -0.1876104000, -0.4328458000, -0.9264116000, -1.9160773000, -3.8968328000", \
"0.1019609000, -0.0373185000, -0.1660660000, -0.4187059000, -0.9175623000, -1.9108744000, -3.8937010000", \
"0.1984787000, 0.0371769000, -0.1047971000, -0.3717877000, -0.8852472000, -1.8894115000, -3.8810885000", \
"0.4029011000, 0.2217765000, 0.0587180000, -0.2355437000, -0.7795441000, -1.8158119000, -3.8347847000", \
"0.6110780000, 0.4180499000, 0.2458040000, -0.0716608000, -0.6448786000, -1.7146906000, -3.7658733000", \
"1.1936759000, 0.9859289000, 0.7966124000, 0.4445151000, -0.1954825000, -1.3486095000, -3.4945235000", \
"1.4973709000, 1.2865355000, 1.0896943000, 0.7269887000, 0.0625135000, -1.1302722000, -3.3230361000", \
"1.8002235000, 1.5854170000, 1.3852532000, 1.0140577000, 0.3308164000, -0.8973922000, -3.1365128000");
}
related_pin : "A2";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081900000, 0.0163800000, 0.0327500000, 0.0654900000, 0.1309900000, 0.2619800000");
values("0.0986733000, 0.2305661000, 0.3540275000, 0.6010240000, 1.0904417000, 2.0730469000, 4.0321984000", \
"0.1050776000, 0.2326585000, 0.3559543000, 0.6022824000, 1.0947883000, 2.0743071000, 4.0360506000", \
"0.1039062000, 0.2305119000, 0.3548515000, 0.6010652000, 1.0939338000, 2.0742435000, 4.0357986000", \
"0.1128362000, 0.2339039000, 0.3563485000, 0.6018910000, 1.0949863000, 2.0748661000, 4.0360786000", \
"0.1727942000, 0.2753056000, 0.3886381000, 0.6245482000, 1.1086667000, 2.0828666000, 4.0418064000", \
"0.2714753000, 0.3601059000, 0.4613846000, 0.6808159000, 1.1478307000, 2.1078972000, 4.0560532000", \
"0.4769839000, 0.5517388000, 0.6389971000, 0.8355668000, 1.2680357000, 2.1928698000, 4.1107282000", \
"0.6863683000, 0.7530522000, 0.8327288000, 1.0116558000, 1.4187890000, 2.3076351000, 4.1857658000", \
"1.2655324000, 1.3235458000, 1.3922142000, 1.5488314000, 1.9034155000, 2.7129820000, 4.4879225000", \
"1.5704820000, 1.6245089000, 1.6865720000, 1.8362988000, 2.1750611000, 2.9497534000, 4.6782884000", \
"1.8742814000, 1.9258560000, 1.9862225000, 2.1279651000, 2.4521823000, 3.1987535000, 4.8844155000");
}
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081900000, 0.0163800000, 0.0327500000, 0.0654900000, 0.1309900000, 0.2619800000");
values("0.0167920000, -0.0845456000, -0.2074292000, -0.4562003000, -0.9532920000, -1.9411106000, -3.9264483000", \
"0.0265252000, -0.0885966000, -0.2111473000, -0.4572660000, -0.9518774000, -1.9420075000, -3.9231981000", \
"0.0250957000, -0.0913965000, -0.2128703000, -0.4585479000, -0.9526932000, -1.9429715000, -3.9233247000", \
"0.0392406000, -0.0873463000, -0.2108762000, -0.4578258000, -0.9524394000, -1.9426859000, -3.9236434000", \
"0.1079233000, -0.0411522000, -0.1756599000, -0.4332367000, -0.9355614000, -1.9351019000, -3.9200846000", \
"0.2217156000, 0.0542489000, -0.0929062000, -0.3688400000, -0.8904400000, -1.9049011000, -3.9033696000", \
"0.4567754000, 0.2716893000, 0.1070708000, -0.1945941000, -0.7519659000, -1.8075278000, -3.8308938000", \
"0.6941244000, 0.5001032000, 0.3241735000, 0.0038617000, -0.5808050000, -1.6733211000, -3.7460822000", \
"1.3549258000, 1.1459185000, 0.9553701000, 0.6021657000, -0.0411802000, -1.2160815000, -3.3950961000", \
"1.7016852000, 1.4857156000, 1.2898209000, 0.9259273000, 0.2606748000, -0.9484977000, -3.1771310000", \
"2.0457445000, 1.8274521000, 1.6254839000, 1.2538361000, 0.5695839000, -0.6680974000, -2.9481024000");
}
related_pin : "B1";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081900000, 0.0163800000, 0.0327500000, 0.0654900000, 0.1309900000, 0.2619800000");
values("0.0265200000, 0.1746155000, 0.3009709000, 0.5433581000, 1.0346498000, 2.0183557000, 3.9715257000", \
"0.0411013000, 0.1758152000, 0.2999939000, 0.5462422000, 1.0371883000, 2.0187357000, 3.9830311000", \
"0.0468918000, 0.1753318000, 0.2997177000, 0.5464236000, 1.0393856000, 2.0206616000, 3.9865007000", \
"0.0638358000, 0.1816409000, 0.3026688000, 0.5478144000, 1.0388166000, 2.0242834000, 3.9853275000", \
"0.1348676000, 0.2317787000, 0.3424795000, 0.5746806000, 1.0557206000, 2.0270171000, 3.9855138000", \
"0.2510013000, 0.3299434000, 0.4294736000, 0.6460105000, 1.1097363000, 2.0692349000, 4.0107968000", \
"0.4832660000, 0.5480013000, 0.6342155000, 0.8272667000, 1.2540548000, 2.1712379000, 4.0801017000", \
"0.7204525000, 0.7796998000, 0.8538130000, 1.0282275000, 1.4312275000, 2.3114358000, 4.1828635000", \
"1.3838139000, 1.4372336000, 1.4959555000, 1.6417806000, 1.9862627000, 2.7840746000, 4.5519228000", \
"1.7301808000, 1.7764923000, 1.8367085000, 1.9736024000, 2.2931738000, 3.0548720000, 4.7783810000", \
"2.0735638000, 2.1179645000, 2.1771929000, 2.3059487000, 2.6076860000, 3.3420736000, 5.0111703000");
}
when : "(!A1&A2)";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081900000, 0.0163800000, 0.0327500000, 0.0654900000, 0.1309900000, 0.2619800000");
values("0.0380758000, -0.0670920000, -0.1909044000, -0.4400150000, -0.9343971000, -1.9234293000, -3.9048009000", \
"0.0482396000, -0.0702379000, -0.1925545000, -0.4391573000, -0.9335776000, -1.9239526000, -3.9050674000", \
"0.0467444000, -0.0722664000, -0.1944146000, -0.4403126000, -0.9343674000, -1.9241213000, -3.9049528000", \
"0.0546693000, -0.0689719000, -0.1927535000, -0.4397951000, -0.9343150000, -1.9245264000, -3.9053721000", \
"0.1111170000, -0.0298148000, -0.1620735000, -0.4172080000, -0.9187020000, -1.9182922000, -3.9025542000", \
"0.2151149000, 0.0578785000, -0.0857182000, -0.3576637000, -0.8755293000, -1.8896634000, -3.8888696000", \
"0.4401590000, 0.2638740000, 0.1046570000, -0.1915115000, -0.7442229000, -1.7936252000, -3.8161554000", \
"0.6689034000, 0.4847300000, 0.3135364000, 0.0005953000, -0.5799700000, -1.6665998000, -3.7325779000", \
"1.3119991000, 1.1121685000, 0.9262201000, 0.5805723000, -0.0556097000, -1.2202461000, -3.3957311000", \
"1.6497666000, 1.4446185000, 1.2515673000, 0.8952982000, 0.2386240000, -0.9620530000, -3.1837275000", \
"1.9854518000, 1.7782934000, 1.5809122000, 1.2148641000, 0.5393913000, -0.6897673000, -2.9571505000");
}
related_pin : "B1";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081900000, 0.0163800000, 0.0327500000, 0.0654900000, 0.1309900000, 0.2619800000");
values("0.0790393000, 0.2276493000, 0.3501091000, 0.5954560000, 1.0841571000, 2.0661493000, 4.0305892000", \
"0.0940180000, 0.2290121000, 0.3530471000, 0.5981498000, 1.0880123000, 2.0682275000, 4.0283608000", \
"0.1001804000, 0.2288409000, 0.3527019000, 0.5987431000, 1.0890182000, 2.0685544000, 4.0373016000", \
"0.1159612000, 0.2350113000, 0.3556781000, 0.5993369000, 1.0897880000, 2.0700526000, 4.0328087000", \
"0.1834329000, 0.2823535000, 0.3932966000, 0.6263360000, 1.1069978000, 2.0792674000, 4.0406733000", \
"0.2948759000, 0.3767744000, 0.4774148000, 0.6943627000, 1.1571077000, 2.1137091000, 4.0635559000", \
"0.5208611000, 0.5922796000, 0.6765100000, 0.8703391000, 1.2988364000, 2.2183072000, 4.1278896000", \
"0.7529232000, 0.8149217000, 0.8905742000, 1.0665336000, 1.4692162000, 2.3531903000, 4.2276078000", \
"1.4011549000, 1.4555341000, 1.5200681000, 1.6657981000, 2.0091829000, 2.8130898000, 4.5838689000", \
"1.7393734000, 1.7929709000, 1.8522670000, 1.9885001000, 2.3111246000, 3.0780074000, 4.7996883000", \
"2.0796185000, 2.1256741000, 2.1846313000, 2.3163809000, 2.6191333000, 3.3539677000, 5.0324029000");
}
when : "(A1&!A2)";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081900000, 0.0163800000, 0.0327500000, 0.0654900000, 0.1309900000, 0.2619800000");
values("0.0179644000, -0.0849850000, -0.2081494000, -0.4554946000, -0.9507766000, -1.9430306000, -3.9278175000", \
"0.0269408000, -0.0887775000, -0.2107861000, -0.4572514000, -0.9518969000, -1.9422356000, -3.9230064000", \
"0.0263635000, -0.0910602000, -0.2127538000, -0.4585992000, -0.9525729000, -1.9427161000, -3.9238838000", \
"0.0417688000, -0.0865563000, -0.2102429000, -0.4572760000, -0.9520739000, -1.9424861000, -3.9235685000", \
"0.1161389000, -0.0352779000, -0.1713257000, -0.4289588000, -0.9322986000, -1.9304045000, -3.9177368000", \
"0.2381906000, 0.0686400000, -0.0810329000, -0.3591503000, -0.8842185000, -1.8946575000, -3.8953027000", \
"0.4909881000, 0.3044360000, 0.1358371000, -0.1698583000, -0.7322511000, -1.7901665000, -3.8253563000", \
"0.7459022000, 0.5485798000, 0.3696759000, 0.0438019000, -0.5486702000, -1.6450390000, -3.7247391000", \
"1.4559297000, 1.2412188000, 1.0485797000, 0.6905142000, 0.0349307000, -1.1525047000, -3.3459960000", \
"1.8242919000, 1.6029226000, 1.4082338000, 1.0381091000, 0.3610036000, -0.8630793000, -3.1080968000", \
"2.1937112000, 1.9743184000, 1.7664423000, 1.3906905000, 0.6971283000, -0.5604921000, -2.8574604000");
}
related_pin : "B1";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081900000, 0.0163800000, 0.0327500000, 0.0654900000, 0.1309900000, 0.2619800000");
values("0.0263386000, 0.1745930000, 0.3003707000, 0.5451279000, 1.0370510000, 2.0225470000, 3.9847566000", \
"0.0402503000, 0.1741116000, 0.2997706000, 0.5448801000, 1.0373603000, 2.0191074000, 3.9817640000", \
"0.0450391000, 0.1733273000, 0.2992799000, 0.5434471000, 1.0373271000, 2.0230874000, 3.9845059000", \
"0.0641567000, 0.1806640000, 0.3016287000, 0.5478624000, 1.0386819000, 2.0238527000, 3.9783541000", \
"0.1420951000, 0.2380820000, 0.3470982000, 0.5766759000, 1.0560291000, 2.0279198000, 3.9844034000", \
"0.2673757000, 0.3441184000, 0.4417947000, 0.6577727000, 1.1153942000, 2.0701575000, 4.0074036000", \
"0.5167766000, 0.5843104000, 0.6670469000, 0.8572459000, 1.2805536000, 2.1936199000, 4.0949820000", \
"0.7711662000, 0.8312854000, 0.9051994000, 1.0770612000, 1.4747232000, 2.3479951000, 4.2041217000", \
"1.4825017000, 1.5321998000, 1.5965857000, 1.7359039000, 2.0768817000, 2.8691242000, 4.6150719000", \
"1.8549980000, 1.8983563000, 1.9607651000, 2.0942220000, 2.4114240000, 3.1701379000, 4.8679794000", \
"2.2212275000, 2.2664197000, 2.3237250000, 2.4549681000, 2.7518120000, 3.4769993000, 5.1329872000");
}
when : "(A1&A2)";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081900000, 0.0163800000, 0.0327500000, 0.0654900000, 0.1309900000, 0.2619800000");
values("0.0583533000, -0.0673831000, -0.1916605000, -0.4372258000, -0.9413022000, -1.9371729000, -3.9068966000", \
"0.0625691000, -0.0602545000, -0.1839546000, -0.4311722000, -0.9265771000, -1.9171962000, -3.8980050000", \
"0.0594835000, -0.0622168000, -0.1857054000, -0.4332220000, -0.9281087000, -1.9183921000, -3.8995282000", \
"0.0595249000, -0.0637657000, -0.1872126000, -0.4344931000, -0.9293628000, -1.9197799000, -3.9008708000", \
"0.1091848000, -0.0324680000, -0.1641552000, -0.4191375000, -0.9201784000, -1.9151264000, -3.8985943000", \
"0.2171142000, 0.0533359000, -0.0935931000, -0.3661349000, -0.8833141000, -1.8918654000, -3.8850093000", \
"0.4542803000, 0.2658079000, 0.0981534000, -0.2058924000, -0.7634528000, -1.8090901000, -3.8335015000", \
"0.6959102000, 0.4970588000, 0.3151112000, -0.0133846000, -0.6058929000, -1.6954395000, -3.7591596000", \
"1.3769961000, 1.1630543000, 0.9627875000, 0.5933524000, -0.0753845000, -1.2695814000, -3.4501064000", \
"1.7324587000, 1.5139527000, 1.3063639000, 0.9254100000, 0.2299128000, -1.0117555000, -3.2513561000", \
"2.0867193000, 1.8634864000, 1.6540506000, 1.2616160000, 0.5456658000, -0.7361750000, -3.0341461000");
}
related_pin : "A1";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081900000, 0.0163800000, 0.0327500000, 0.0654900000, 0.1309900000, 0.2619800000");
values("0.1593132000, 0.2835265000, 0.4067402000, 0.6527963000, 1.1445349000, 2.1244737000, 4.0863828000", \
"0.1609576000, 0.2860772000, 0.4096217000, 0.6563533000, 1.1469859000, 2.1277300000, 4.0892738000", \
"0.1589383000, 0.2848578000, 0.4086069000, 0.6545474000, 1.1470120000, 2.1267824000, 4.0888671000", \
"0.1615608000, 0.2851103000, 0.4086398000, 0.6552705000, 1.1469413000, 2.1267052000, 4.0876291000", \
"0.2227127000, 0.3251368000, 0.4387851000, 0.6760753000, 1.1596462000, 2.1358519000, 4.0954250000", \
"0.3359494000, 0.4202420000, 0.5205257000, 0.7385150000, 1.2045199000, 2.1638429000, 4.1112942000", \
"0.5760866000, 0.6435831000, 0.7276729000, 0.9161358000, 1.3417255000, 2.2610372000, 4.1742336000", \
"0.8152840000, 0.8793102000, 0.9528783000, 1.1252412000, 1.5166827000, 2.3954784000, 4.2655540000", \
"1.4992227000, 1.5477784000, 1.6076850000, 1.7520606000, 2.0849752000, 2.8683047000, 4.6200434000", \
"1.8552294000, 1.9011462000, 1.9572706000, 2.0913718000, 2.4062326000, 3.1469912000, 4.8438822000", \
"2.2102375000, 2.2546397000, 2.3073510000, 2.4326712000, 2.7325060000, 3.4435710000, 5.0856874000");
}
}
max_capacitance : 0.2619800000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081900000, 0.0163800000, 0.0327500000, 0.0654900000, 0.1309900000, 0.2619800000");
values("0.0661800000, 0.1327800000, 0.1972700000, 0.3252600000, 0.5805400000, 1.0908700000, 2.1110700000", \
"0.0700200000, 0.1369000000, 0.2014500000, 0.3295000000, 0.5848200000, 1.0951700000, 2.1153700000", \
"0.0698100000, 0.1371500000, 0.2018700000, 0.3299900000, 0.5854200000, 1.0957300000, 2.1159300000", \
"0.0712200000, 0.1378200000, 0.2024700000, 0.3306400000, 0.5860600000, 1.0964000000, 2.1167000000", \
"0.0796700000, 0.1504100000, 0.2127000000, 0.3370800000, 0.5894700000, 1.0978000000, 2.1168000000", \
"0.0862200000, 0.1702300000, 0.2380800000, 0.3607800000, 0.6049400000, 1.1065000000, 2.1207000000", \
"0.0894000000, 0.1912900000, 0.2739800000, 0.4137000000, 0.6577000000, 1.1415000000, 2.1407000000", \
"0.0867000000, 0.2010000000, 0.2944000000, 0.4522000000, 0.7153000000, 1.1925000000, 2.1730000000", \
"0.0673700000, 0.2048700000, 0.3184700000, 0.5118700000, 0.8315700000, 1.3573700000, 2.3079700000", \
"0.0540300000, 0.2002300000, 0.3215300000, 0.5284300000, 0.8715300000, 1.4316300000, 2.3951300000", \
"0.0395000000, 0.1930000000, 0.3209000000, 0.5396000000, 0.9030000000, 1.4950000000, 2.4829000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081900000, 0.0163800000, 0.0327500000, 0.0654900000, 0.1309900000, 0.2619800000");
values("0.0646300000, 0.1366800000, 0.2068900000, 0.3465700000, 0.6254100000, 1.1829700000, 2.2977700000", \
"0.0680200000, 0.1405800000, 0.2108800000, 0.3505900000, 0.6294300000, 1.1870700000, 2.3019700000", \
"0.0688500000, 0.1417500000, 0.2121600000, 0.3520400000, 0.6309400000, 1.1886300000, 2.3032300000", \
"0.0726700000, 0.1440500000, 0.2143400000, 0.3541400000, 0.6330700000, 1.1907000000, 2.3056000000", \
"0.0915600000, 0.1651400000, 0.2314700000, 0.3663000000, 0.6412600000, 1.1961000000, 2.3091000000", \
"0.1153100000, 0.2008900000, 0.2708300000, 0.4012400000, 0.6660000000, 1.2125000000, 2.3195000000", \
"0.1509600000, 0.2558200000, 0.3403000000, 0.4838000000, 0.7419000000, 1.2664000000, 2.3551000000", \
"0.1795000000, 0.2988000000, 0.3944000000, 0.5560000000, 0.8274000000, 1.3390000000, 2.4058000000", \
"0.2436700000, 0.3920700000, 0.5105700000, 0.7091700000, 1.0367700000, 1.5790700000, 2.5987700000", \
"0.2725300000, 0.4325300000, 0.5602300000, 0.7739300000, 1.1253300000, 1.7008300000, 2.7200300000", \
"0.2996000000, 0.4698000000, 0.6057000000, 0.8328000000, 1.2053000000, 1.8130000000, 2.8474000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081900000, 0.0163800000, 0.0327500000, 0.0654900000, 0.1309900000, 0.2619800000");
values("0.0562100000, 0.1466800000, 0.2368000000, 0.4167300000, 0.7761100000, 1.4949200000, 2.9328700000", \
"0.0562000000, 0.1466500000, 0.2367700000, 0.4165300000, 0.7761300000, 1.4951700000, 2.9329700000", \
"0.0562100000, 0.1466600000, 0.2367900000, 0.4166000000, 0.7760100000, 1.4948100000, 2.9324200000", \
"0.0596400000, 0.1467200000, 0.2367500000, 0.4166900000, 0.7761300000, 1.4948900000, 2.9333600000", \
"0.1042700000, 0.1680100000, 0.2457400000, 0.4179800000, 0.7760100000, 1.4947900000, 2.9335000000", \
"0.1772100000, 0.2363500000, 0.2971300000, 0.4423300000, 0.7803200000, 1.4951300000, 2.9325000000", \
"0.3120100000, 0.3848600000, 0.4428600000, 0.5574100000, 0.8369400000, 1.5047000000, 2.9331000000", \
"0.4403700000, 0.5266500000, 0.5915000000, 0.7042000000, 0.9451000000, 1.5494000000, 2.9367000000", \
"0.7941000000, 0.8990000000, 0.9838000000, 1.1195000000, 1.3455000000, 1.8242000000, 3.0339000000", \
"0.9809000000, 1.0858000000, 1.1786000000, 1.3272000000, 1.5656000000, 2.0160000000, 3.1396000000", \
"1.1716000000, 1.2711000000, 1.3694000000, 1.5300000000, 1.7820000000, 2.2226000000, 3.2755000000");
}
related_pin : "A1";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081900000, 0.0163800000, 0.0327500000, 0.0654900000, 0.1309900000, 0.2619800000");
values("0.0678800000, 0.1736800000, 0.2797500000, 0.4917400000, 0.9154800000, 1.7625200000, 3.4571800000", \
"0.0679100000, 0.1737500000, 0.2798100000, 0.4916900000, 0.9154700000, 1.7627200000, 3.4568800000", \
"0.0679100000, 0.1736700000, 0.2799100000, 0.4917100000, 0.9154700000, 1.7626800000, 3.4570500000", \
"0.0732200000, 0.1738400000, 0.2797800000, 0.4916700000, 0.9154200000, 1.7626700000, 3.4566300000", \
"0.1183500000, 0.1954000000, 0.2893700000, 0.4930500000, 0.9153800000, 1.7629100000, 3.4571000000", \
"0.1902000000, 0.2599700000, 0.3361500000, 0.5158400000, 0.9191300000, 1.7627600000, 3.4568000000", \
"0.3218500000, 0.4041300000, 0.4726500000, 0.6192200000, 0.9698000000, 1.7708000000, 3.4571000000", \
"0.4487000000, 0.5414000000, 0.6179000000, 0.7542000000, 1.0650000000, 1.8088000000, 3.4587000000", \
"0.8091000000, 0.9016000000, 0.9966000000, 1.1564000000, 1.4301000000, 2.0496000000, 3.5407000000", \
"1.0028000000, 1.0845000000, 1.1841000000, 1.3573000000, 1.6413000000, 2.2200000000, 3.6342000000", \
"1.1967000000, 1.2679000000, 1.3685000000, 1.5524000000, 1.8521000000, 2.4084000000, 3.7513000000");
}
timing_sense : "negative_unate";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081900000, 0.0163800000, 0.0327500000, 0.0654900000, 0.1309900000, 0.2619800000");
values("0.0442700000, 0.1151700000, 0.1771400000, 0.3006100000, 0.5473800000, 1.0409700000, 2.0280700000", \
"0.0465700000, 0.1178300000, 0.1804600000, 0.3043500000, 0.5513300000, 1.0450700000, 2.0321700000", \
"0.0505300000, 0.1184100000, 0.1815000000, 0.3057700000, 0.5530300000, 1.0468300000, 2.0341300000", \
"0.0529900000, 0.1192900000, 0.1824000000, 0.3069400000, 0.5544600000, 1.0486000000, 2.0358000000", \
"0.0502900000, 0.1289400000, 0.1918900000, 0.3130900000, 0.5581300000, 1.0506000000, 2.0369000000", \
"0.0378500000, 0.1358800000, 0.2085400000, 0.3332000000, 0.5721900000, 1.0590000000, 2.0414000000", \
"0.0029700000, 0.1261300000, 0.2182700000, 0.3675000000, 0.6163000000, 1.0908000000, 2.0608000000", \
"-0.0378000000, 0.1032000000, 0.2094000000, 0.3814000000, 0.6572000000, 1.1353000000, 2.0906000000", \
"-0.1639300000, 0.0119700000, 0.1455700000, 0.3629700000, 0.7090700000, 1.2584700000, 2.2091700000", \
"-0.2330700000, -0.0434700000, 0.1009300000, 0.3362300000, 0.7113300000, 1.3028300000, 2.2809300000", \
"-0.3037000000, -0.1021000000, 0.0518000000, 0.3027000000, 0.7034000000, 1.3338000000, 2.3492000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081900000, 0.0163800000, 0.0327500000, 0.0654900000, 0.1309900000, 0.2619800000");
values("0.0524900000, 0.1287400000, 0.1992100000, 0.3389500000, 0.6178400000, 1.1753700000, 2.2901700000", \
"0.0571200000, 0.1307900000, 0.2012500000, 0.3411300000, 0.6200300000, 1.1776700000, 2.2924700000", \
"0.0581400000, 0.1316000000, 0.2022700000, 0.3422400000, 0.6212700000, 1.1790300000, 2.2937300000", \
"0.0695500000, 0.1395900000, 0.2095500000, 0.3491200000, 0.6279100000, 1.1855000000, 2.3000000000", \
"0.1059400000, 0.1815800000, 0.2466700000, 0.3811700000, 0.6558100000, 1.2105000000, 2.3233000000", \
"0.1519500000, 0.2418200000, 0.3128900000, 0.4432700000, 0.7090000000, 1.2558000000, 2.3633000000", \
"0.2297600000, 0.3455000000, 0.4320000000, 0.5763000000, 0.8366000000, 1.3648000000, 2.4558000000", \
"0.2997000000, 0.4349000000, 0.5354000000, 0.6988000000, 0.9734000000, 1.4892000000, 2.5612000000", \
"0.4780700000, 0.6529700000, 0.7825700000, 0.9907700000, 1.3214700000, 1.8691700000, 2.8997700000", \
"0.5659300000, 0.7567300000, 0.8982300000, 1.1251300000, 1.4837300000, 2.0594300000, 3.0919300000", \
"0.6519000000, 0.8566000000, 1.0087000000, 1.2525000000, 1.6363000000, 2.2438000000, 3.2913000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081900000, 0.0163800000, 0.0327500000, 0.0654900000, 0.1309900000, 0.2619800000");
values("0.0278100000, 0.1139300000, 0.2002600000, 0.3732300000, 0.7186600000, 1.4081100000, 2.7896100000", \
"0.0277700000, 0.1139500000, 0.2002900000, 0.3728400000, 0.7178400000, 1.4081000000, 2.7902400000", \
"0.0277800000, 0.1140100000, 0.2004800000, 0.3728500000, 0.7179000000, 1.4095500000, 2.7886400000", \
"0.0342200000, 0.1140900000, 0.2004800000, 0.3731900000, 0.7177900000, 1.4090800000, 2.7916900000", \
"0.0730900000, 0.1371500000, 0.2102100000, 0.3749700000, 0.7180300000, 1.4081500000, 2.7916000000", \
"0.1302600000, 0.1986500000, 0.2603700000, 0.3995600000, 0.7228700000, 1.4087800000, 2.7910000000", \
"0.2319100000, 0.3220400000, 0.3887800000, 0.5092100000, 0.7808400000, 1.4196000000, 2.7916000000", \
"0.3253600000, 0.4346000000, 0.5126200000, 0.6401000000, 0.8847000000, 1.4657000000, 2.7935000000", \
"0.5702000000, 0.7179000000, 0.8237000000, 0.9870000000, 1.2450000000, 1.7315000000, 2.8960000000", \
"0.6944000000, 0.8548000000, 0.9728000000, 1.1539000000, 1.4323000000, 1.9096000000, 3.0012000000", \
"0.8186000000, 0.9876000000, 1.1167000000, 1.3146000000, 1.6139000000, 2.0985000000, 3.1329000000");
}
related_pin : "A2";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081900000, 0.0163800000, 0.0327500000, 0.0654900000, 0.1309900000, 0.2619800000");
values("0.0678300000, 0.1737400000, 0.2797700000, 0.4918400000, 0.9154000000, 1.7629000000, 3.4570700000", \
"0.0678400000, 0.1736900000, 0.2799000000, 0.4917000000, 0.9154200000, 1.7628600000, 3.4568700000", \
"0.0678000000, 0.1736600000, 0.2797600000, 0.4918400000, 0.9154300000, 1.7625800000, 3.4570800000", \
"0.0729400000, 0.1737600000, 0.2797600000, 0.4917400000, 0.9152700000, 1.7625600000, 3.4570600000", \
"0.1120400000, 0.1929900000, 0.2873800000, 0.4918100000, 0.9153900000, 1.7625300000, 3.4572000000", \
"0.1635900000, 0.2490200000, 0.3282200000, 0.5113000000, 0.9163900000, 1.7627700000, 3.4570000000", \
"0.2585100000, 0.3609300000, 0.4402600000, 0.5994300000, 0.9597000000, 1.7661000000, 3.4567000000", \
"0.3464000000, 0.4688000000, 0.5556000000, 0.7117000000, 1.0412000000, 1.7983000000, 3.4572000000", \
"0.5823000000, 0.7394000000, 0.8555000000, 1.0346000000, 1.3424000000, 2.0029000000, 3.5214000000", \
"0.7058000000, 0.8700000000, 0.9993000000, 1.1965000000, 1.5094000000, 2.1449000000, 3.5990000000", \
"0.8325000000, 0.9978000000, 1.1377000000, 1.3522000000, 1.6803000000, 2.3003000000, 3.6994000000");
}
timing_sense : "negative_unate";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081900000, 0.0163800000, 0.0327500000, 0.0654900000, 0.1309900000, 0.2619800000");
values("0.0301800000, 0.1028500000, 0.1649400000, 0.2884700000, 0.5352800000, 1.0288700000, 2.0159700000", \
"0.0356200000, 0.1021300000, 0.1649000000, 0.2889400000, 0.5360200000, 1.0297700000, 2.0169700000", \
"0.0366200000, 0.1024100000, 0.1654100000, 0.2897000000, 0.5369900000, 1.0308300000, 2.0180300000", \
"0.0455800000, 0.1089000000, 0.1707700000, 0.2944800000, 0.5415200000, 1.0353000000, 2.0225000000", \
"0.0656500000, 0.1432800000, 0.2032000000, 0.3210500000, 0.5633200000, 1.0538000000, 2.0388000000", \
"0.0888300000, 0.1866800000, 0.2563800000, 0.3762300000, 0.6087600000, 1.0905000000, 2.0692000000", \
"0.1269800000, 0.2530900000, 0.3412000000, 0.4830000000, 0.7225000000, 1.1844000000, 2.1449000000", \
"0.1608000000, 0.3073000000, 0.4096000000, 0.5723000000, 0.8345000000, 1.2949000000, 2.2351000000", \
"0.2462700000, 0.4324700000, 0.5634700000, 0.7705700000, 1.0959700000, 1.6169700000, 2.5339700000", \
"0.2882300000, 0.4898300000, 0.6323300000, 0.8576300000, 1.2103300000, 1.7676300000, 2.7065300000", \
"0.3294000000, 0.5441000000, 0.6967000000, 0.9381000000, 1.3154000000, 1.9078000000, 2.8810000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081900000, 0.0163800000, 0.0327500000, 0.0654900000, 0.1309900000, 0.2619800000");
values("0.0159100000, 0.0608800000, 0.0988800000, 0.1740600000, 0.3242100000, 0.6249800000, 1.2254700000", \
"0.0221500000, 0.0633600000, 0.1014600000, 0.1768900000, 0.3273600000, 0.6278000000, 1.2290700000", \
"0.0264300000, 0.0658800000, 0.1040200000, 0.1795000000, 0.3301000000, 0.6305400000, 1.2318300000", \
"0.0328700000, 0.0769300000, 0.1143200000, 0.1893000000, 0.3393200000, 0.6399900000, 1.2408000000", \
"0.0377500000, 0.1054500000, 0.1510200000, 0.2256700000, 0.3729200000, 0.6712000000, 1.2704000000", \
"0.0357900000, 0.1259100000, 0.1866000000, 0.2800400000, 0.4300100000, 0.7235000000, 1.3193000000", \
"0.0225400000, 0.1420900000, 0.2231100000, 0.3484000000, 0.5381000000, 0.8388000000, 1.4244000000", \
"0.0044000000, 0.1453000000, 0.2410000000, 0.3894000000, 0.6148000000, 0.9565000000, 1.5377000000", \
"-0.0558300000, 0.1273700000, 0.2523700000, 0.4467700000, 0.7441700000, 1.1922700000, 1.8687700000", \
"-0.0897700000, 0.1100300000, 0.2469300000, 0.4599300000, 0.7860300000, 1.2788300000, 2.0161300000", \
"-0.1247000000, 0.0896000000, 0.2369000000, 0.4663000000, 0.8178000000, 1.3502000000, 2.1457000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081900000, 0.0163800000, 0.0327500000, 0.0654900000, 0.1309900000, 0.2619800000");
values("0.0274300000, 0.1140200000, 0.2003900000, 0.3731700000, 0.7179000000, 1.4082100000, 2.7889300000", \
"0.0274200000, 0.1140200000, 0.2004700000, 0.3728200000, 0.7178300000, 1.4081000000, 2.7903300000", \
"0.0273300000, 0.1139900000, 0.2004700000, 0.3731900000, 0.7176400000, 1.4086300000, 2.7910500000", \
"0.0374900000, 0.1139500000, 0.2002200000, 0.3728100000, 0.7184300000, 1.4082000000, 2.7883500000", \
"0.0687000000, 0.1411400000, 0.2130200000, 0.3744300000, 0.7178900000, 1.4088100000, 2.7887000000", \
"0.1121700000, 0.1954000000, 0.2631900000, 0.4031400000, 0.7223800000, 1.4081400000, 2.7900000000", \
"0.1910200000, 0.3003800000, 0.3739000000, 0.5070400000, 0.7850000000, 1.4205000000, 2.7918000000", \
"0.2655000000, 0.3952000000, 0.4812000000, 0.6184000000, 0.8831000000, 1.4688000000, 2.7895000000", \
"0.4687000000, 0.6324000000, 0.7480000000, 0.9228000000, 1.1957000000, 1.7234000000, 2.9002000000", \
"0.5756000000, 0.7473000000, 0.8754000000, 1.0682000000, 1.3609000000, 1.8826000000, 3.0060000000", \
"0.6833000000, 0.8585000000, 0.9977000000, 1.2077000000, 1.5217000000, 2.0456000000, 3.1333000000");
}
related_pin : "B1";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081900000, 0.0163800000, 0.0327500000, 0.0654900000, 0.1309900000, 0.2619800000");
values("0.0170300000, 0.0732200000, 0.1295300000, 0.2421500000, 0.4670800000, 0.9178000000, 1.8195700000", \
"0.0170100000, 0.0732300000, 0.1296200000, 0.2420100000, 0.4672500000, 0.9174700000, 1.8207500000", \
"0.0179500000, 0.0732300000, 0.1295900000, 0.2420400000, 0.4675100000, 0.9176900000, 1.8200200000", \
"0.0316900000, 0.0764200000, 0.1297000000, 0.2420900000, 0.4672800000, 0.9182800000, 1.8185100000", \
"0.0646400000, 0.1169600000, 0.1578000000, 0.2524000000, 0.4671500000, 0.9177600000, 1.8185700000", \
"0.1075700000, 0.1785600000, 0.2237000000, 0.3052400000, 0.4909800000, 0.9179700000, 1.8183800000", \
"0.1843200000, 0.2856300000, 0.3468100000, 0.4382100000, 0.6001500000, 0.9686000000, 1.8196000000", \
"0.2571700000, 0.3798200000, 0.4558000000, 0.5655000000, 0.7345000000, 1.0672000000, 1.8553000000", \
"0.4597000000, 0.6133000000, 0.7213000000, 0.8765000000, 1.0959000000, 1.4314000000, 2.0996000000", \
"0.5677000000, 0.7261000000, 0.8467000000, 1.0220000000, 1.2682000000, 1.6268000000, 2.2721000000", \
"0.6779000000, 0.8348000000, 0.9668000000, 1.1606000000, 1.4316000000, 1.8175000000, 2.4601000000");
}
sdf_cond : "(!A1&A2)";
timing_sense : "negative_unate";
when : "(!A1&A2)";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081900000, 0.0163800000, 0.0327500000, 0.0654900000, 0.1309900000, 0.2619800000");
values("0.0542900000, 0.1292700000, 0.1939800000, 0.3221000000, 0.5774600000, 1.0877700000, 2.1079700000", \
"0.0595100000, 0.1288100000, 0.1940500000, 0.3226100000, 0.5782100000, 1.0886700000, 2.1089700000", \
"0.0602600000, 0.1292100000, 0.1946400000, 0.3234000000, 0.5792300000, 1.0897300000, 2.1101300000", \
"0.0692400000, 0.1351100000, 0.1997600000, 0.3280800000, 0.5837100000, 1.0942000000, 2.1146000000", \
"0.0994100000, 0.1701900000, 0.2306400000, 0.3539200000, 0.6052200000, 1.1126000000, 2.1310000000", \
"0.1340200000, 0.2194400000, 0.2870300000, 0.4080000000, 0.6501000000, 1.1492000000, 2.1613000000", \
"0.1886600000, 0.2975000000, 0.3812000000, 0.5205000000, 0.7632000000, 1.2428000000, 2.2370000000", \
"0.2352000000, 0.3614000000, 0.4582000000, 0.6172000000, 0.8802000000, 1.3533000000, 2.3274000000", \
"0.3480700000, 0.5090700000, 0.6326700000, 0.8341700000, 1.1573700000, 1.6834700000, 2.6270700000", \
"0.4017300000, 0.5764300000, 0.7109300000, 0.9301300000, 1.2800300000, 1.8403300000, 2.8003300000", \
"0.4536000000, 0.6402000000, 0.7844000000, 1.0191000000, 1.3932000000, 1.9877000000, 2.9791000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081900000, 0.0163800000, 0.0327500000, 0.0654900000, 0.1309900000, 0.2619800000");
values("0.0184200000, 0.0652300000, 0.1044600000, 0.1807400000, 0.3314500000, 0.6317900000, 1.2317700000", \
"0.0247000000, 0.0678100000, 0.1072000000, 0.1837200000, 0.3345600000, 0.6349200000, 1.2354700000", \
"0.0290500000, 0.0703700000, 0.1097400000, 0.1863200000, 0.3371300000, 0.6376100000, 1.2381300000", \
"0.0384200000, 0.0813900000, 0.1200200000, 0.1961000000, 0.3467100000, 0.6468500000, 1.2472000000", \
"0.0520100000, 0.1131200000, 0.1576800000, 0.2322500000, 0.3800000000, 0.6782900000, 1.2769000000", \
"0.0604500000, 0.1380100000, 0.1958700000, 0.2874400000, 0.4368700000, 0.7303000000, 1.3254000000", \
"0.0600600000, 0.1595300000, 0.2354900000, 0.3571000000, 0.5448000000, 0.8449000000, 1.4308000000", \
"0.0501000000, 0.1659000000, 0.2549000000, 0.3984000000, 0.6211000000, 0.9619000000, 1.5432000000", \
"0.0019700000, 0.1517700000, 0.2670700000, 0.4538700000, 0.7469700000, 1.1938700000, 1.8711700000", \
"-0.0289700000, 0.1349300000, 0.2609300000, 0.4651300000, 0.7862300000, 1.2777300000, 2.0165300000", \
"-0.0622000000, 0.1142000000, 0.2498000000, 0.4695000000, 0.8151000000, 1.3459000000, 2.1435000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081900000, 0.0163800000, 0.0327500000, 0.0654900000, 0.1309900000, 0.2619800000");
values("0.0561800000, 0.1466700000, 0.2368100000, 0.4166100000, 0.7761300000, 1.4949500000, 2.9336800000", \
"0.0562200000, 0.1466500000, 0.2367900000, 0.4166100000, 0.7759400000, 1.4951600000, 2.9328000000", \
"0.0561400000, 0.1466600000, 0.2368000000, 0.4167800000, 0.7760800000, 1.4947900000, 2.9324000000", \
"0.0585400000, 0.1465900000, 0.2368000000, 0.4167100000, 0.7760400000, 1.4952100000, 2.9328900000", \
"0.0903900000, 0.1653200000, 0.2447900000, 0.4168100000, 0.7760200000, 1.4947800000, 2.9328000000", \
"0.1388000000, 0.2176000000, 0.2886200000, 0.4404500000, 0.7787900000, 1.4951500000, 2.9326000000", \
"0.2261800000, 0.3236700000, 0.3966200000, 0.5366900000, 0.8331000000, 1.5027000000, 2.9326000000", \
"0.3062000000, 0.4221000000, 0.5051000000, 0.6457000000, 0.9260000000, 1.5469000000, 2.9330000000", \
"0.5129000000, 0.6674000000, 0.7778000000, 0.9500000000, 1.2300000000, 1.7872000000, 3.0301000000", \
"0.6162000000, 0.7853000000, 0.9077000000, 1.0973000000, 1.3928000000, 1.9410000000, 3.1297000000", \
"0.7191000000, 0.8990000000, 1.0322000000, 1.2382000000, 1.5533000000, 2.1010000000, 3.2512000000");
}
related_pin : "B1";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081900000, 0.0163800000, 0.0327500000, 0.0654900000, 0.1309900000, 0.2619800000");
values("0.0358500000, 0.0927400000, 0.1490400000, 0.2614400000, 0.4868700000, 0.9365400000, 1.8370900000", \
"0.0358300000, 0.0927300000, 0.1490100000, 0.2615100000, 0.4864600000, 0.9363400000, 1.8370600000", \
"0.0366600000, 0.0927300000, 0.1491200000, 0.2615500000, 0.4864700000, 0.9367200000, 1.8368400000", \
"0.0520800000, 0.0958700000, 0.1490500000, 0.2615200000, 0.4863800000, 0.9366000000, 1.8370000000", \
"0.1004900000, 0.1386600000, 0.1774700000, 0.2720300000, 0.4864500000, 0.9375900000, 1.8371200000", \
"0.1591700000, 0.2072100000, 0.2469700000, 0.3254100000, 0.5112700000, 0.9375400000, 1.8368700000", \
"0.2564900000, 0.3273300000, 0.3792600000, 0.4634400000, 0.6213900000, 0.9886000000, 1.8406000000", \
"0.3424800000, 0.4320000000, 0.4968000000, 0.5966000000, 0.7591000000, 1.0893000000, 1.8745000000", \
"0.5595000000, 0.6872000000, 0.7810000000, 0.9226000000, 1.1311000000, 1.4593000000, 2.1226000000", \
"0.6672000000, 0.8088000000, 0.9143000000, 1.0748000000, 1.3086000000, 1.6584000000, 2.2980000000", \
"0.7728000000, 0.9249000000, 1.0418000000, 1.2196000000, 1.4775000000, 1.8529000000, 2.4882000000");
}
sdf_cond : "(A1&!A2)";
timing_sense : "negative_unate";
when : "(A1&!A2)";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081900000, 0.0163800000, 0.0327500000, 0.0654900000, 0.1309900000, 0.2619800000");
values("0.0259800000, 0.0867400000, 0.1388100000, 0.2423700000, 0.4493000000, 0.8632000000, 1.6906700000", \
"0.0309400000, 0.0866300000, 0.1392300000, 0.2432200000, 0.4503400000, 0.8643500000, 1.6916700000", \
"0.0325500000, 0.0874300000, 0.1401600000, 0.2443600000, 0.4515900000, 0.8656100000, 1.6933300000", \
"0.0406300000, 0.0950700000, 0.1467000000, 0.2502400000, 0.4571400000, 0.8710600000, 1.6987000000", \
"0.0557000000, 0.1277100000, 0.1809700000, 0.2791500000, 0.4816100000, 0.8921000000, 1.7178000000", \
"0.0712400000, 0.1633300000, 0.2280400000, 0.3350800000, 0.5297900000, 0.9325000000, 1.7519000000", \
"0.0945600000, 0.2135200000, 0.2964000000, 0.4286000000, 0.6435000000, 1.0314000000, 1.8341000000", \
"0.1138000000, 0.2520000000, 0.3484000000, 0.5014000000, 0.7435000000, 1.1451000000, 1.9296000000", \
"0.1595700000, 0.3347700000, 0.4583700000, 0.6537700000, 0.9595700000, 1.4406700000, 2.2382700000", \
"0.1811300000, 0.3705300000, 0.5049300000, 0.7175300000, 1.0497300000, 1.5693300000, 2.4093300000", \
"0.2020000000, 0.4034000000, 0.5474000000, 0.7751000000, 1.1308000000, 1.6854000000, 2.5671000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081900000, 0.0163800000, 0.0327500000, 0.0654900000, 0.1309900000, 0.2619800000");
values("0.0158400000, 0.0608000000, 0.0988500000, 0.1739900000, 0.3241700000, 0.6249000000, 1.2256700000", \
"0.0219300000, 0.0629200000, 0.1010400000, 0.1766100000, 0.3269900000, 0.6277100000, 1.2289700000", \
"0.0259500000, 0.0653100000, 0.1034900000, 0.1789900000, 0.3294000000, 0.6300000000, 1.2307300000", \
"0.0330900000, 0.0766100000, 0.1138900000, 0.1888600000, 0.3389700000, 0.6390300000, 1.2401000000", \
"0.0421600000, 0.1077300000, 0.1523100000, 0.2262600000, 0.3730900000, 0.6713200000, 1.2703000000", \
"0.0468400000, 0.1340800000, 0.1927200000, 0.2838000000, 0.4321800000, 0.7246000000, 1.3199000000", \
"0.0469400000, 0.1631500000, 0.2413400000, 0.3622000000, 0.5468000000, 0.8441000000, 1.4278000000", \
"0.0422000000, 0.1795000000, 0.2721000000, 0.4152000000, 0.6332000000, 0.9675000000, 1.5450000000", \
"0.0194700000, 0.1984700000, 0.3200700000, 0.5081700000, 0.7950700000, 1.2281700000, 1.8901700000", \
"0.0050300000, 0.2003300000, 0.3337300000, 0.5402300000, 0.8549300000, 1.3305300000, 2.0483300000", \
"-0.0103000000, 0.1991000000, 0.3429000000, 0.5656000000, 0.9052000000, 1.4186000000, 2.1903000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081900000, 0.0163800000, 0.0327500000, 0.0654900000, 0.1309900000, 0.2619800000");
values("0.0208200000, 0.0915600000, 0.1622300000, 0.3034300000, 0.5857700000, 1.1503800000, 2.2802700000", \
"0.0208300000, 0.0916000000, 0.1621900000, 0.3033200000, 0.5858000000, 1.1503900000, 2.2807000000", \
"0.0208100000, 0.0915900000, 0.1621900000, 0.3034100000, 0.5858000000, 1.1507400000, 2.2802600000", \
"0.0328400000, 0.0923900000, 0.1622400000, 0.3034100000, 0.5858100000, 1.1507400000, 2.2801900000", \
"0.0646300000, 0.1259100000, 0.1804800000, 0.3074100000, 0.5858000000, 1.1508800000, 2.2801600000", \
"0.1079800000, 0.1834300000, 0.2383400000, 0.3459500000, 0.5960700000, 1.1505300000, 2.2795000000", \
"0.1862800000, 0.2892500000, 0.3551000000, 0.4636200000, 0.6777000000, 1.1735000000, 2.2799000000", \
"0.2602000000, 0.3840000000, 0.4630000000, 0.5832000000, 0.7939000000, 1.2432000000, 2.2893000000", \
"0.4597000000, 0.6211000000, 0.7297000000, 0.8904000000, 1.1302000000, 1.5488000000, 2.4512000000", \
"0.5634000000, 0.7360000000, 0.8569000000, 1.0359000000, 1.2991000000, 1.7249000000, 2.5876000000", \
"0.6675000000, 0.8474000000, 0.9794000000, 1.1752000000, 1.4609000000, 1.8998000000, 2.7448000000");
}
related_pin : "B1";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081900000, 0.0163800000, 0.0327500000, 0.0654900000, 0.1309900000, 0.2619800000");
values("0.0169900000, 0.0732400000, 0.1296700000, 0.2421300000, 0.4674000000, 0.9185600000, 1.8185000000", \
"0.0169900000, 0.0732300000, 0.1295700000, 0.2421700000, 0.4673200000, 0.9179400000, 1.8206600000", \
"0.0182300000, 0.0732400000, 0.1296400000, 0.2422300000, 0.4673100000, 0.9178600000, 1.8189000000", \
"0.0320300000, 0.0766100000, 0.1295600000, 0.2422300000, 0.4672500000, 0.9178500000, 1.8183000000", \
"0.0637000000, 0.1159200000, 0.1570500000, 0.2521900000, 0.4671500000, 0.9176900000, 1.8205800000", \
"0.1043400000, 0.1747300000, 0.2201000000, 0.3028000000, 0.4903400000, 0.9182300000, 1.8185100000", \
"0.1770200000, 0.2756900000, 0.3372600000, 0.4294900000, 0.5941200000, 0.9660000000, 1.8191000000", \
"0.2464800000, 0.3642000000, 0.4401000000, 0.5506000000, 0.7224000000, 1.0602000000, 1.8533000000", \
"0.4429000000, 0.5824000000, 0.6890000000, 0.8442000000, 1.0654000000, 1.4064000000, 2.0846000000", \
"0.5490000000, 0.6876000000, 0.8062000000, 0.9807000000, 1.2286000000, 1.5901000000, 2.2489000000", \
"0.6564000000, 0.7902000000, 0.9187000000, 1.1103000000, 1.3831000000, 1.7730000000, 2.4269000000");
}
sdf_cond : "(A1&A2)";
timing_sense : "negative_unate";
when : "(A1&A2)";
}
}
}
cell ("sky130_fd_sc_hvl__o22a_1") {
leakage_power () {
value : 4.3089941000;
when : "!A1&!A2&!B1&B2";
}
leakage_power () {
value : 1.4813248000;
when : "!A1&!A2&!B1&!B2";
}
leakage_power () {
value : 4.3322959000;
when : "!A1&!A2&B1&B2";
}
leakage_power () {
value : 4.3103690000;
when : "!A1&!A2&B1&!B2";
}
leakage_power () {
value : 3.4777807000;
when : "!A1&A2&!B1&B2";
}
leakage_power () {
value : 5.9752563000;
when : "!A1&A2&!B1&!B2";
}
leakage_power () {
value : 3.0466860000;
when : "!A1&A2&B1&B2";
}
leakage_power () {
value : 3.1958447000;
when : "!A1&A2&B1&!B2";
}
leakage_power () {
value : 3.4636189000;
when : "A1&!A2&!B1&B2";
}
leakage_power () {
value : 5.9752652000;
when : "A1&!A2&!B1&!B2";
}
leakage_power () {
value : 3.0325216000;
when : "A1&!A2&B1&B2";
}
leakage_power () {
value : 3.1816767000;
when : "A1&!A2&B1&!B2";
}
leakage_power () {
value : 3.0365856000;
when : "A1&A2&!B1&B2";
}
leakage_power () {
value : 5.9753531000;
when : "A1&A2&!B1&!B2";
}
leakage_power () {
value : 2.6054923000;
when : "A1&A2&B1&B2";
}
leakage_power () {
value : 2.7546445000;
when : "A1&A2&B1&!B2";
}
area : 21.489600000;
cell_footprint : "sky130_fd_sc_hvl__o22a";
cell_leakage_power : 3.7596070000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0055200000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0885803000, 0.0872632000, 0.0858371000, 0.0849422000, 0.0821564000, 0.0821003000, 0.0819956000, 0.0820749000, 0.0823092000, 0.0824271000, 0.0825452000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0812144000, -0.0813157000, -0.0814249000, -0.0813595000, -0.0811418000, -0.0811524000, -0.0811673000, -0.0811514000, -0.0810957000, -0.0810697000, -0.0810438000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("A2") {
capacitance : 0.0046200000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0788516000, 0.0775165000, 0.0760708000, 0.0751543000, 0.0723012000, 0.0724663000, 0.0728040000, 0.0728211000, 0.0728820000, 0.0729099000, 0.0729380000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0722461000, -0.0720830000, -0.0719057000, -0.0718871000, -0.0718153000, -0.0718434000, -0.0718931000, -0.0718393000, -0.0716781000, -0.0715975000, -0.0715168000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("B1") {
capacitance : 0.0047700000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0809557000, 0.0807992000, 0.0806303000, 0.0806039000, 0.0805349000, 0.0806101000, 0.0807671000, 0.0806585000, 0.0803674000, 0.0802131000, 0.0800589000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0507116000, -0.0506548000, -0.0505929000, -0.0503989000, -0.0497796000, -0.0494157000, -0.0486819000, -0.0485046000, -0.0479999000, -0.0477407000, -0.0474815000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("B2") {
capacitance : 0.0048200000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0777434000, 0.0776172000, 0.0774812000, 0.0774881000, 0.0775233000, 0.0774202000, 0.0772205000, 0.0772291000, 0.0772645000, 0.0772798000, 0.0772950000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0487493000, -0.0482471000, -0.0477028000, -0.0475155000, -0.0469177000, -0.0465638000, -0.0458508000, -0.0456823000, -0.0452030000, -0.0449568000, -0.0447106000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("X") {
direction : "output";
function : "(A1&B1) | (A2&B1) | (A1&B2) | (A2&B2)";
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.3106312000, 0.1740223000, 0.0483311000, -0.2059946000, -0.7081405000, -1.7024705000, -3.6835149000, -7.6402854000", \
"0.3121710000, 0.1745389000, 0.0488853000, -0.2055041000, -0.7070657000, -1.7013354000, -3.6827955000, -7.6395703000", \
"0.3100985000, 0.1735026000, 0.0469205000, -0.2069236000, -0.7089228000, -1.7031331000, -3.6841580000, -7.6410728000", \
"0.3101040000, 0.1727831000, 0.0461059000, -0.2077296000, -0.7095904000, -1.7038637000, -3.6850806000, -7.6414881000", \
"0.3673153000, 0.2255315000, 0.0964865000, -0.1610348000, -0.6652085000, -1.6618308000, -3.6437198000, -7.6019623000", \
"0.4930096000, 0.3440407000, 0.2098348000, -0.0544313000, -0.5661232000, -1.5682176000, -3.5546923000, -7.5146272000", \
"0.7872012000, 0.6088480000, 0.4580322000, 0.1864678000, -0.3395934000, -1.3542290000, -3.3514920000, -7.3185419000", \
"1.0693539000, 0.8853064000, 0.7238179000, 0.4344802000, -0.1019854000, -1.1296492000, -3.1379579000, -7.1137221000", \
"1.8296885000, 1.6358303000, 1.4641755000, 1.1518135000, 0.5768630000, -0.4827598000, -2.5212441000, -6.5220563000", \
"2.2214663000, 2.0228339000, 1.8489982000, 1.5271531000, 0.9398280000, -0.1397869000, -2.1948858000, -6.2082624000", \
"2.6090784000, 2.4090032000, 2.2309241000, 1.9052657000, 1.3066652000, 0.2101033000, -1.8632998000, -5.8911522000");
}
related_pin : "B1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.2298070000, 0.3275859000, 0.4411437000, 0.6765994000, 1.1596753000, 2.1378096000, 4.0852744000, 8.007478300", \
"0.2349756000, 0.3329313000, 0.4476123000, 0.6824030000, 1.1672079000, 2.1404289000, 4.0931325000, 8.005862800", \
"0.2328361000, 0.3313016000, 0.4450024000, 0.6801368000, 1.1625739000, 2.1376239000, 4.0896384000, 8.007539100", \
"0.2344930000, 0.3333638000, 0.4466354000, 0.6815934000, 1.1641120000, 2.1373534000, 4.0927163000, 8.004295100", \
"0.2839512000, 0.3795115000, 0.4900683000, 0.7221754000, 1.2023762000, 2.1762469000, 4.1260538000, 8.041263100", \
"0.3978803000, 0.4886354000, 0.5933948000, 0.8183351000, 1.2916270000, 2.2580198000, 4.2045570000, 8.118266400", \
"0.6675443000, 0.7400977000, 0.8320776000, 1.0463410000, 1.5039007000, 2.4559751000, 4.3908885000, 8.297315300", \
"0.9420423000, 1.0063537000, 1.0943712000, 1.2915787000, 1.7368265000, 2.6709807000, 4.5986883000, 8.485790500", \
"1.7080322000, 1.7623126000, 1.8387989000, 2.0202514000, 2.4198879000, 3.3116659000, 5.1961882000, 9.055383900", \
"2.1045359000, 2.1549122000, 2.2260103000, 2.4007254000, 2.7960188000, 3.6609587000, 5.5240600000, 9.361333900", \
"2.5001091000, 2.5465361000, 2.6128713000, 2.7825158000, 3.1681435000, 4.0085561000, 5.8492021000, 9.670592100");
}
when : "(A1&!A2&!B2)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.2449211000, 0.1115486000, -0.0151648000, -0.2673590000, -0.7666133000, -1.7581481000, -3.7374984000, -7.6932829000", \
"0.2455621000, 0.1117172000, -0.0149749000, -0.2673488000, -0.7659648000, -1.7576239000, -3.7372057000, -7.6929002000", \
"0.2436144000, 0.1089342000, -0.0174499000, -0.2695945000, -0.7686196000, -1.7603495000, -3.7393558000, -7.6956785000", \
"0.2438660000, 0.1086015000, -0.0181353000, -0.2702624000, -0.7686850000, -1.7607357000, -3.7401318000, -7.6958249000", \
"0.3071196000, 0.1659225000, 0.0358523000, -0.2193720000, -0.7205971000, -1.7139782000, -3.6947520000, -7.6504366000", \
"0.4349128000, 0.2886953000, 0.1538952000, -0.1080433000, -0.6152474000, -1.6139183000, -3.5975948000, -7.5559361000", \
"0.7317933000, 0.5575329000, 0.4085402000, 0.1396609000, -0.3790382000, -1.3886233000, -3.3805346000, -7.3449855000", \
"1.0178909000, 0.8383760000, 0.6814281000, 0.3970294000, -0.1320587000, -1.1519070000, -3.1544494000, -7.1259742000", \
"1.8021761000, 1.6130423000, 1.4459845000, 1.1397258000, 0.5754047000, -0.4717442000, -2.5020723000, -6.4955979000", \
"2.2090649000, 2.0167038000, 1.8460096000, 1.5327888000, 0.9543999000, -0.1117782000, -2.1550674000, -6.1610075000", \
"2.6134429000, 2.4188362000, 2.2445409000, 1.9245592000, 1.3376153000, 0.2564492000, -1.8040856000, -5.8232101000");
}
related_pin : "B1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.1843547000, 0.2925945000, 0.4110345000, 0.6524157000, 1.1416592000, 2.1181906000, 4.0773639000, 7.9852629000", \
"0.1888478000, 0.2976237000, 0.4159478000, 0.6581106000, 1.1437591000, 2.1249485000, 4.0814967000, 7.9951989000", \
"0.1866210000, 0.2952032000, 0.4137205000, 0.6556010000, 1.1413304000, 2.1202436000, 4.0791366000, 7.9881226000", \
"0.1918878000, 0.3000382000, 0.4177453000, 0.6576672000, 1.1476252000, 2.1229231000, 4.0798795000, 7.9919129000", \
"0.2586724000, 0.3580448000, 0.4721062000, 0.7084849000, 1.1919572000, 2.1663348000, 4.1227008000, 8.031219300", \
"0.3920544000, 0.4782981000, 0.5859358000, 0.8143418000, 1.2901981000, 2.2591107000, 4.2120080000, 8.124424300", \
"0.6760167000, 0.7501874000, 0.8427995000, 1.0548255000, 1.5175993000, 2.4712172000, 4.4177477000, 8.316515000", \
"0.9645849000, 1.0287839000, 1.1200483000, 1.3170711000, 1.7616635000, 2.7007620000, 4.6292553000, 8.524164900", \
"1.7692389000, 1.8219317000, 1.8982140000, 2.0807479000, 2.4901813000, 3.3771980000, 5.2652946000, 9.131039600", \
"2.1839205000, 2.2322818000, 2.3062762000, 2.4796210000, 2.8797784000, 3.7401761000, 5.6073373000, 9.453574500", \
"2.5994370000, 2.6459553000, 2.7190758000, 2.8835582000, 3.2750690000, 4.1151704000, 5.9550158000, 9.784189800");
}
when : "(A1&A2&!B2)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.1889770000, 0.0559281000, -0.0703771000, -0.3214952000, -0.8193330000, -1.8103139000, -3.7885934000, -7.7449548000", \
"0.1939369000, 0.0599942000, -0.0657789000, -0.3170719000, -0.8147735000, -1.8062085000, -3.7845793000, -7.7406522000", \
"0.1934680000, 0.0598324000, -0.0667842000, -0.3177970000, -0.8157915000, -1.8069111000, -3.7855735000, -7.7416429000", \
"0.2003906000, 0.0653675000, -0.0615283000, -0.3132678000, -0.8110436000, -1.8020065000, -3.7806344000, -7.7366530000", \
"0.2586852000, 0.1180228000, -0.0116322000, -0.2661347000, -0.7668850000, -1.7598274000, -3.7400568000, -7.6959575000", \
"0.3679806000, 0.2228389000, 0.0883239000, -0.1721084000, -0.6787518000, -1.6762541000, -3.6593569000, -7.6173061000", \
"0.6186636000, 0.4469750000, 0.3027386000, 0.0356969000, -0.4811371000, -1.4889101000, -3.4794527000, -7.4421203000", \
"0.8609592000, 0.6828455000, 0.5298665000, 0.2515220000, -0.2737265000, -1.2911660000, -3.2906041000, -7.2599888000", \
"1.5176246000, 1.3281249000, 1.1654253000, 0.8661662000, 0.3132346000, -0.7238544000, -2.7472503000, -6.7358883000", \
"1.8548471000, 1.6631439000, 1.4955535000, 1.1892704000, 0.6250820000, -0.4255372000, -2.4585496000, -6.4578336000", \
"2.1908748000, 1.9940535000, 1.8245021000, 1.5140301000, 0.9408061000, -0.1223556000, -2.1687500000, -6.1777147000");
}
related_pin : "B2";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.1728229000, 0.2829692000, 0.4021876000, 0.6441145000, 1.1350116000, 2.1090282000, 4.0742790000, 7.9794521000", \
"0.1776834000, 0.2873797000, 0.4069414000, 0.6499661000, 1.1382021000, 2.1157105000, 4.0689540000, 7.9827273000", \
"0.1768944000, 0.2865784000, 0.4060310000, 0.6489991000, 1.1375749000, 2.1150565000, 4.0678957000, 7.9873270000", \
"0.1825888000, 0.2912508000, 0.4104079000, 0.6519300000, 1.1421756000, 2.1208025000, 4.0807340000, 7.9869948000", \
"0.2404310000, 0.3413510000, 0.4566410000, 0.6947081000, 1.1801768000, 2.1566474000, 4.1112112000, 8.023547000", \
"0.3541469000, 0.4419294000, 0.5518306000, 0.7818775000, 1.2619866000, 2.2361566000, 4.1918855000, 8.101941500", \
"0.5941844000, 0.6685264000, 0.7668237000, 0.9796883000, 1.4509970000, 2.4120136000, 4.3561782000, 8.261451500", \
"0.8327363000, 0.9010757000, 0.9929519000, 1.1984024000, 1.6472920000, 2.5990436000, 4.5329726000, 8.440973600", \
"1.4914944000, 1.5482955000, 1.6304988000, 1.8168779000, 2.2438422000, 3.1447769000, 5.0528585000, 8.933697200", \
"1.8301239000, 1.8840240000, 1.9588212000, 2.1424638000, 2.5577494000, 3.4394088000, 5.3313330000, 9.200031700", \
"2.1689240000, 2.2181784000, 2.2935663000, 2.4691420000, 2.8749996000, 3.7446729000, 5.6111835000, 9.463485400");
}
when : "(!A1&A2&!B1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.2542919000, 0.1180222000, -0.0076561000, -0.2607805000, -0.7613002000, -1.7551308000, -3.7349935000, -7.6930380000", \
"0.2603414000, 0.1235375000, -0.0025481000, -0.2554869000, -0.7565167000, -1.7501534000, -3.7308254000, -7.6869087000", \
"0.2585192000, 0.1223231000, -0.0034130000, -0.2562030000, -0.7574362000, -1.7509215000, -3.7315122000, -7.6875872000", \
"0.2647638000, 0.1274194000, 0.0018661000, -0.2520172000, -0.7526509000, -1.7466964000, -3.7270831000, -7.6835637000", \
"0.3212218000, 0.1796255000, 0.0509627000, -0.2062776000, -0.7099420000, -1.7065004000, -3.6878651000, -7.6448665000", \
"0.4343192000, 0.2855430000, 0.1520148000, -0.1113195000, -0.6219509000, -1.6230962000, -3.6089999000, -7.5684545000", \
"0.6883193000, 0.5106507000, 0.3671261000, 0.0958537000, -0.4274759000, -1.4400136000, -3.4351563000, -7.4008295000", \
"0.9360496000, 0.7525274000, 0.5936666000, 0.3104453000, -0.2232573000, -1.2477811000, -3.2529167000, -7.2258226000", \
"1.5946217000, 1.3997906000, 1.2312689000, 0.9228047000, 0.3571511000, -0.6952278000, -2.7268756000, -6.7213102000", \
"1.9311523000, 1.7323442000, 1.5590875000, 1.2449272000, 0.6683049000, -0.4018026000, -2.4468027000, -6.4543363000", \
"2.2627674000, 2.0611008000, 1.8855385000, 1.5639130000, 0.9794311000, -0.1061925000, -2.1669068000, -6.1850412000");
}
related_pin : "B2";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.2101068000, 0.3121170000, 0.4275772000, 0.6650068000, 1.1496177000, 2.1259690000, 4.0777845000, 7.9949039000", \
"0.2139016000, 0.3154065000, 0.4314006000, 0.6687777000, 1.1531899000, 2.1328396000, 4.0834708000, 7.9955538000", \
"0.2136701000, 0.3154961000, 0.4310083000, 0.6699368000, 1.1547309000, 2.1302457000, 4.0825488000, 7.9996358000", \
"0.2169368000, 0.3182545000, 0.4340665000, 0.6716737000, 1.1580509000, 2.1315945000, 4.0863179000, 7.9987196000", \
"0.2633600000, 0.3608732000, 0.4737543000, 0.7079164000, 1.1927640000, 2.1654184000, 4.1169778000, 8.033430300", \
"0.3661421000, 0.4564108000, 0.5629651000, 0.7906182000, 1.2681349000, 2.2352112000, 4.1865850000, 8.095272900", \
"0.6017553000, 0.6756127000, 0.7701052000, 0.9833678000, 1.4480546000, 2.4030015000, 4.3505456000, 8.254437400", \
"0.8377926000, 0.9046198000, 0.9951686000, 1.1955035000, 1.6457947000, 2.5890382000, 4.5215804000, 8.414734000", \
"1.4897796000, 1.5484276000, 1.6287167000, 1.8134540000, 2.2297992000, 3.1275236000, 5.0287932000, 8.903902000", \
"1.8262350000, 1.8806023000, 1.9544935000, 2.1347811000, 2.5461014000, 3.4226302000, 5.3007589000, 9.162359000", \
"2.1611665000, 2.2118727000, 2.2852548000, 2.4600199000, 2.8590790000, 3.7219943000, 5.5791101000, 9.421291800");
}
when : "(A1&!A2&!B1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.1881894000, 0.0552324000, -0.0706542000, -0.3220265000, -0.8200427000, -1.8109177000, -3.7898402000, -7.7451751000", \
"0.1924590000, 0.0583980000, -0.0671031000, -0.3184796000, -0.8162771000, -1.8070414000, -3.7860256000, -7.7420366000", \
"0.1913177000, 0.0565661000, -0.0689675000, -0.3200917000, -0.8180231000, -1.8090445000, -3.7876794000, -7.7439588000", \
"0.1982249000, 0.0626703000, -0.0635150000, -0.3150553000, -0.8131829000, -1.8038941000, -3.7826844000, -7.7385222000", \
"0.2613116000, 0.1201502000, -0.0089373000, -0.2633298000, -0.7639888000, -1.7569551000, -3.7371445000, -7.6927197000", \
"0.3719547000, 0.2281791000, 0.0937793000, -0.1650857000, -0.6714260000, -1.6682861000, -3.6512866000, -7.6082126000", \
"0.6283053000, 0.4566065000, 0.3145578000, 0.0482084000, -0.4675308000, -1.4743979000, -3.4640771000, -7.4267289000", \
"0.8746487000, 0.6968553000, 0.5433243000, 0.2680163000, -0.2564868000, -1.2714782000, -3.2694040000, -7.2373907000", \
"1.5501535000, 1.3617712000, 1.1991120000, 0.8989827000, 0.3482148000, -0.6886216000, -2.7081969000, -6.6943408000", \
"1.8967455000, 1.7032375000, 1.5374769000, 1.2301858000, 0.6678539000, -0.3816054000, -2.4119928000, -6.4072887000", \
"2.2423963000, 2.0463890000, 1.8764781000, 1.5664228000, 0.9933819000, -0.0700817000, -2.1124009000, -6.1177546000");
}
related_pin : "B2";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.1615129000, 0.2764379000, 0.3967418000, 0.6399354000, 1.1281707000, 2.1069545000, 4.0631350000, 7.9758501000", \
"0.1663904000, 0.2806799000, 0.4012771000, 0.6445524000, 1.1327491000, 2.1134884000, 4.0676334000, 7.9799735000", \
"0.1657907000, 0.2799869000, 0.4005883000, 0.6448834000, 1.1329328000, 2.1137070000, 4.0683424000, 7.9783259000", \
"0.1735471000, 0.2864474000, 0.4064441000, 0.6497997000, 1.1380655000, 2.1169461000, 4.0724972000, 7.9850754000", \
"0.2369890000, 0.3397360000, 0.4553840000, 0.6942079000, 1.1812182000, 2.1597245000, 4.1110358000, 8.029169400", \
"0.3575924000, 0.4440972000, 0.5536651000, 0.7850884000, 1.2671055000, 2.2391918000, 4.1959051000, 8.104722500", \
"0.6058702000, 0.6817525000, 0.7801711000, 0.9918957000, 1.4637865000, 2.4222456000, 4.3661601000, 8.273915700", \
"0.8536086000, 0.9214725000, 1.0146259000, 1.2182226000, 1.6701754000, 2.6200717000, 4.5600524000, 8.455094900", \
"1.5378064000, 1.5950270000, 1.6774902000, 1.8674189000, 2.2904978000, 3.1909081000, 5.1005765000, 8.980082800", \
"1.8917405000, 1.9435090000, 2.0230174000, 2.2056914000, 2.6204317000, 3.5047399000, 5.3877849000, 9.257983300", \
"2.2445452000, 2.2941639000, 2.3658166000, 2.5444630000, 2.9529282000, 3.8211855000, 5.6808969000, 9.532539600");
}
when : "(A1&A2&!B1)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.3190106000, 0.1835952000, 0.0564322000, -0.1952566000, -0.6930402000, -1.6843396000, -3.6630091000, -7.6183086000", \
"0.3206981000, 0.1843971000, 0.0575702000, -0.1945255000, -0.6919552000, -1.6832927000, -3.6623740000, -7.6180291000", \
"0.3194143000, 0.1829615000, 0.0559170000, -0.1953197000, -0.6933422000, -1.6833348000, -3.6632960000, -7.6184557000", \
"0.3194807000, 0.1827023000, 0.0554370000, -0.1964620000, -0.6946132000, -1.6857626000, -3.6645281000, -7.6192365000", \
"0.3848258000, 0.2429308000, 0.1122550000, -0.1422815000, -0.6424429000, -1.6352457000, -3.6153120000, -7.5709633000", \
"0.5270527000, 0.3794647000, 0.2439416000, -0.0182696000, -0.5265837000, -1.5252753000, -3.5088135000, -7.4669531000", \
"0.8598851000, 0.6836049000, 0.5312827000, 0.2615359000, -0.2597655000, -1.2710648000, -3.2640949000, -7.2287743000", \
"1.1851018000, 1.0020770000, 0.8415273000, 0.5541617000, 0.0211992000, -1.0035054000, -3.0078367000, -6.9803510000", \
"2.0817505000, 1.8893796000, 1.7192629000, 1.4027433000, 0.8270840000, -0.2330171000, -2.2709856000, -6.2691636000", \
"2.5496741000, 2.3519019000, 2.1758214000, 1.8537173000, 1.2620649000, 0.1795157000, -1.8765216000, -5.8902285000", \
"3.0131170000, 2.8132992000, 2.6354293000, 2.3107671000, 1.7056866000, 0.6018145000, -1.4738169000, -5.5053887000");
}
related_pin : "A1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.2277274000, 0.3294718000, 0.4452618000, 0.6835070000, 1.1684977000, 2.1438132000, 4.1003219000, 8.013290300", \
"0.2322885000, 0.3336651000, 0.4493698000, 0.6860176000, 1.1703434000, 2.1466221000, 4.0987709000, 8.011622300", \
"0.2291411000, 0.3308644000, 0.4463787000, 0.6848227000, 1.1687820000, 2.1437797000, 4.0957684000, 8.013544200", \
"0.2276607000, 0.3287729000, 0.4441887000, 0.6809349000, 1.1672586000, 2.1406842000, 4.0987376000, 8.007498100", \
"0.2844428000, 0.3807800000, 0.4928869000, 0.7269704000, 1.2084673000, 2.1846383000, 4.1390894000, 8.048346900", \
"0.4270017000, 0.5158415000, 0.6226182000, 0.8482043000, 1.3227875000, 2.2879621000, 4.2373189000, 8.145300700", \
"0.7485978000, 0.8263961000, 0.9199509000, 1.1350417000, 1.5926613000, 2.5405720000, 4.4768365000, 8.383495800", \
"1.0735081000, 1.1453759000, 1.2363088000, 1.4355114000, 1.8825965000, 2.8162334000, 4.7426225000, 8.626848700", \
"1.9780252000, 2.0425196000, 2.1237131000, 2.3093966000, 2.7251201000, 3.6214002000, 5.5041535000, 9.356516500", \
"2.4536655000, 2.5142930000, 2.5949331000, 2.7723836000, 3.1746228000, 4.0518679000, 5.9165568000, 9.747969200", \
"2.9236313000, 2.9816159000, 3.0583371000, 3.2320829000, 3.6259063000, 4.4834597000, 6.3310744000, 10.146657300");
}
when : "(!A2&!B1&B2)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.3848853000, 0.2478569000, 0.1217716000, -0.1314982000, -0.6325771000, -1.6260843000, -3.6066601000, -7.5627967000", \
"0.3851194000, 0.2480671000, 0.1221415000, -0.1318307000, -0.6324922000, -1.6259354000, -3.6061828000, -7.5627268000", \
"0.3838380000, 0.2461276000, 0.1207365000, -0.1332501000, -0.6338442000, -1.6269003000, -3.6075624000, -7.5642221000", \
"0.3847391000, 0.2462882000, 0.1196213000, -0.1343138000, -0.6346349000, -1.6277225000, -3.6084781000, -7.5647811000", \
"0.4496967000, 0.3071971000, 0.1779689000, -0.0791657000, -0.5821529000, -1.5774407000, -3.5593721000, -7.5158633000", \
"0.5943667000, 0.4449651000, 0.3119773000, 0.0472914000, -0.4639465000, -1.4649850000, -3.4503876000, -7.4098266000", \
"0.9304237000, 0.7517290000, 0.6042119000, 0.3321318000, -0.1939309000, -1.2081844000, -3.2034784000, -7.1697070000", \
"1.2588858000, 1.0744956000, 0.9137940000, 0.6258433000, 0.0888659000, -0.9391944000, -2.9465191000, -6.9209936000", \
"2.1499741000, 1.9558111000, 1.7843072000, 1.4693991000, 0.8910814000, -0.1692038000, -2.2095210000, -6.2103377000", \
"2.6097004000, 2.4128698000, 2.2369675000, 1.9138323000, 1.3223384000, 0.2384405000, -1.8185581000, -5.8334353000", \
"3.0694399000, 2.8686626000, 2.6901849000, 2.3630582000, 1.7577778000, 0.6548070000, -1.4218539000, -5.4524891000");
}
related_pin : "A1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.2568819000, 0.3548477000, 0.4679782000, 0.7029487000, 1.1879089000, 2.1634036000, 4.1170542000, 8.029474400", \
"0.2619233000, 0.3606969000, 0.4738924000, 0.7088023000, 1.1896837000, 2.1650049000, 4.1180196000, 8.035950700", \
"0.2591282000, 0.3578238000, 0.4716709000, 0.7059746000, 1.1899642000, 2.1623619000, 4.1166847000, 8.024810300", \
"0.2572731000, 0.3558313000, 0.4693063000, 0.7040878000, 1.1848367000, 2.1602773000, 4.1124684000, 8.028800500", \
"0.3043685000, 0.3994232000, 0.5105563000, 0.7429821000, 1.2248677000, 2.1948310000, 4.1505819000, 8.057053400", \
"0.4347161000, 0.5252795000, 0.6297374000, 0.8548834000, 1.3278624000, 2.2924241000, 4.2445372000, 8.151131900", \
"0.7484445000, 0.8240804000, 0.9185800000, 1.1325311000, 1.5884642000, 2.5370361000, 4.4745045000, 8.371604100", \
"1.0687340000, 1.1408441000, 1.2319069000, 1.4323124000, 1.8782900000, 2.8113054000, 4.7303232000, 8.625649000", \
"1.9637345000, 2.0256412000, 2.1071697000, 2.2970324000, 2.7092567000, 3.6071793000, 5.4886700000, 9.340461500", \
"2.4319901000, 2.4927802000, 2.5708402000, 2.7495553000, 3.1600568000, 4.0322477000, 5.8998331000, 9.730667700", \
"2.9013095000, 2.9603723000, 3.0359247000, 3.2106497000, 3.6037702000, 4.4609550000, 6.3120287000, 10.124916000");
}
when : "(!A2&B1&!B2)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.3525084000, 0.2143617000, 0.0864646000, -0.1661392000, -0.6651776000, -1.6565831000, -3.6356777000, -7.5911805000", \
"0.3528645000, 0.2144410000, 0.0865286000, -0.1660024000, -0.6651978000, -1.6567471000, -3.6357569000, -7.5905582000", \
"0.3504197000, 0.2127545000, 0.0847815000, -0.1677960000, -0.6665527000, -1.6568155000, -3.6367160000, -7.5929164000", \
"0.3501547000, 0.2120021000, 0.0838877000, -0.1682276000, -0.6672888000, -1.6589356000, -3.6380730000, -7.5931787000", \
"0.4150843000, 0.2725522000, 0.1419982000, -0.1138259000, -0.6147151000, -1.6080498000, -3.5881100000, -7.5438419000", \
"0.5550446000, 0.4070015000, 0.2710146000, 0.0090191000, -0.4990526000, -1.4964102000, -3.4795800000, -7.4374818000", \
"0.8753672000, 0.7000998000, 0.5529263000, 0.2841254000, -0.2350480000, -1.2440531000, -3.2349884000, -7.1979666000", \
"1.1926404000, 1.0118660000, 0.8531021000, 0.5697058000, 0.0395713000, -0.9801443000, -2.9803964000, -6.9498668000", \
"2.0644917000, 1.8749346000, 1.7058948000, 1.3944838000, 0.8248664000, -0.2222968000, -2.2499292000, -6.2400538000", \
"2.5157649000, 2.3212185000, 2.1497777000, 1.8304108000, 1.2472597000, 0.1782781000, -1.8637355000, -5.8651997000", \
"2.9670553000, 2.7703624000, 2.5952577000, 2.2707085000, 1.6755668000, 0.5873969000, -1.4712158000, -5.4864717000");
}
related_pin : "A1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.2143593000, 0.3211412000, 0.4377179000, 0.6767693000, 1.1624034000, 2.1384066000, 4.0963607000, 8.013856500", \
"0.2191453000, 0.3259202000, 0.4428984000, 0.6817231000, 1.1664683000, 2.1439993000, 4.1033010000, 8.010038400", \
"0.2158149000, 0.3225253000, 0.4401547000, 0.6790057000, 1.1647898000, 2.1411724000, 4.1033799000, 8.011771200", \
"0.2154443000, 0.3216216000, 0.4387119000, 0.6788470000, 1.1654813000, 2.1411706000, 4.0936177000, 8.006522100", \
"0.2818332000, 0.3804099000, 0.4926371000, 0.7273867000, 1.2115945000, 2.1839325000, 4.1344863000, 8.052785800", \
"0.4298699000, 0.5169887000, 0.6246691000, 0.8496825000, 1.3249242000, 2.2916423000, 4.2422921000, 8.150877700", \
"0.7512314000, 0.8283898000, 0.9241342000, 1.1364838000, 1.5955937000, 2.5445166000, 4.4830194000, 8.388340600", \
"1.0750658000, 1.1470876000, 1.2391907000, 1.4406156000, 1.8867620000, 2.8209688000, 4.7425470000, 8.640151200", \
"1.9759539000, 2.0371021000, 2.1219344000, 2.3072729000, 2.7221311000, 3.6223329000, 5.5077678000, 9.363464700", \
"2.4420384000, 2.4985815000, 2.5791611000, 2.7578645000, 3.1700305000, 4.0486917000, 5.9189482000, 9.755412700", \
"2.9047895000, 2.9617762000, 3.0384890000, 3.2166000000, 3.6208469000, 4.4786335000, 6.3340449000, 10.152739300");
}
when : "(!A2&B1&B2)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.2670917000, 0.1316811000, 0.0044929000, -0.2472612000, -0.7452418000, -1.7358748000, -3.7145415000, -7.6693509000", \
"0.2683121000, 0.1320156000, 0.0045245000, -0.2458005000, -0.7446882000, -1.7363585000, -3.7143110000, -7.6693361000", \
"0.2673778000, 0.1311702000, 0.0041627000, -0.2480836000, -0.7448770000, -1.7365167000, -3.7151047000, -7.6697977000", \
"0.2731808000, 0.1370016000, 0.0094720000, -0.2424685000, -0.7406846000, -1.7317046000, -3.7098100000, -7.6650095000", \
"0.3372055000, 0.1960607000, 0.0655122000, -0.1890244000, -0.6888403000, -1.6818193000, -3.6617253000, -7.6174366000", \
"0.4591270000, 0.3106568000, 0.1761521000, -0.0846307000, -0.5910587000, -1.5879119000, -3.5704264000, -7.5282235000", \
"0.7294404000, 0.5578320000, 0.4172262000, 0.1475262000, -0.3697849000, -1.3768992000, -3.3668538000, -7.3284905000", \
"0.9951863000, 0.8179950000, 0.6645963000, 0.3872541000, -0.1392772000, -1.1567015000, -3.1552170000, -7.1226665000", \
"1.7226690000, 1.5347078000, 1.3710015000, 1.0707231000, 0.5191641000, -0.5211645000, -2.5444006000, -6.5310575000", \
"2.0962444000, 1.9060884000, 1.7386831000, 1.4316008000, 0.8670067000, -0.1852249000, -2.2207769000, -6.2199693000", \
"2.4646294000, 2.2710106000, 2.1013132000, 1.7902749000, 1.2152764000, 0.1499970000, -1.8964693000, -5.9038971000");
}
related_pin : "A2";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.1981127000, 0.3080902000, 0.4280933000, 0.6716989000, 1.1589092000, 2.1361051000, 4.0909444000, 8.003989200", \
"0.1993586000, 0.3092613000, 0.4285169000, 0.6701459000, 1.1606311000, 2.1362105000, 4.0923442000, 8.006538200", \
"0.1971015000, 0.3068738000, 0.4265083000, 0.6696900000, 1.1582633000, 2.1362629000, 4.0900435000, 8.007347900", \
"0.1979501000, 0.3067991000, 0.4260675000, 0.6675854000, 1.1550019000, 2.1362981000, 4.0941278000, 8.002251700", \
"0.2537301000, 0.3556429000, 0.4715338000, 0.7092104000, 1.1969926000, 2.1698757000, 4.1259926000, 8.038412500", \
"0.3787016000, 0.4677324000, 0.5788394000, 0.8091236000, 1.2892203000, 2.2602459000, 4.2121169000, 8.122802000", \
"0.6419911000, 0.7225413000, 0.8217172000, 1.0413865000, 1.5082443000, 2.4658984000, 4.4093012000, 8.316467000", \
"0.9038268000, 0.9770074000, 1.0721973000, 1.2837985000, 1.7375548000, 2.6883987000, 4.6237764000, 8.528909900", \
"1.6326109000, 1.6980566000, 1.7818432000, 1.9790903000, 2.4130810000, 3.3229200000, 5.2403745000, 9.119990200", \
"2.0050083000, 2.0673502000, 2.1506952000, 2.3385013000, 2.7616854000, 3.6626037000, 5.5676223000, 9.431954000", \
"2.3722133000, 2.4339838000, 2.5163209000, 2.6986616000, 3.1162113000, 4.0033204000, 5.8897487000, 9.744690300");
}
when : "(!A1&!B1&B2)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.3317986000, 0.1936730000, 0.0677619000, -0.1859648000, -0.6860698000, -1.6808588000, -3.6605773000, -7.6174349000", \
"0.3332958000, 0.1951778000, 0.0689626000, -0.1847776000, -0.6855038000, -1.6789179000, -3.6595430000, -7.6157659000", \
"0.3313906000, 0.1938746000, 0.0679317000, -0.1857182000, -0.6864408000, -1.6798116000, -3.6600748000, -7.6163465000", \
"0.3368394000, 0.1990407000, 0.0728956000, -0.1808611000, -0.6816756000, -1.6750276000, -3.6556676000, -7.6117873000", \
"0.4003373000, 0.2581708000, 0.1289118000, -0.1282095000, -0.6310885000, -1.6265419000, -3.6081394000, -7.5652767000", \
"0.5255516000, 0.3772689000, 0.2429585000, -0.0213755000, -0.5310106000, -1.5314643000, -3.5166024000, -7.4753724000", \
"0.8043687000, 0.6288224000, 0.4920653000, 0.2188650000, -0.3045156000, -1.3169591000, -3.3114874000, -7.2763700000", \
"1.0834099000, 0.9034669000, 0.7458171000, 0.4669074000, -0.0679496000, -1.0924533000, -3.0969591000, -7.0691114000", \
"1.8244660000, 1.6347332000, 1.4684406000, 1.1635015000, 0.6058391000, -0.4468146000, -2.4798643000, -6.4745994000", \
"2.2040283000, 2.0092295000, 1.8412068000, 1.5305216000, 0.9585195000, -0.1052778000, -2.1525498000, -6.1601045000", \
"2.5810799000, 2.3845698000, 2.2125527000, 1.8959860000, 1.3163738000, 0.2383207000, -1.8217855000, -5.8426938000");
}
related_pin : "A2";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.2374186000, 0.3396460000, 0.4562929000, 0.6948548000, 1.1822545000, 2.1565040000, 4.1101038000, 8.023426500", \
"0.2390829000, 0.3411446000, 0.4579959000, 0.6967868000, 1.1840613000, 2.1577361000, 4.1171766000, 8.026509000", \
"0.2376936000, 0.3394984000, 0.4566929000, 0.6946744000, 1.1800477000, 2.1576429000, 4.1119148000, 8.024292700", \
"0.2377449000, 0.3389661000, 0.4559858000, 0.6943437000, 1.1821653000, 2.1576414000, 4.1133667000, 8.024962400", \
"0.2803272000, 0.3784326000, 0.4920661000, 0.7282836000, 1.2120600000, 2.1877247000, 4.1457470000, 8.053977100", \
"0.3939088000, 0.4830400000, 0.5938270000, 0.8220115000, 1.2981240000, 2.2683659000, 4.2190417000, 8.133136500", \
"0.6529560000, 0.7317955000, 0.8300027000, 1.0475624000, 1.5125483000, 2.4677216000, 4.4093552000, 8.317365300", \
"0.9138847000, 0.9880761000, 1.0816870000, 1.2891300000, 1.7420791000, 2.6885759000, 4.6211151000, 8.522507800", \
"1.6387408000, 1.7039445000, 1.7888447000, 1.9840922000, 2.4123806000, 3.3237693000, 5.2368575000, 9.111765000", \
"2.0174704000, 2.0789126000, 2.1638091000, 2.3491246000, 2.7716538000, 3.6636515000, 5.5613101000, 9.420894800", \
"2.3904171000, 2.4517829000, 2.5306495000, 2.7131762000, 3.1280872000, 4.0044802000, 5.8889365000, 9.736857700");
}
when : "(!A1&B1&!B2)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.2994130000, 0.1618859000, 0.0341302000, -0.2184859000, -0.7175544000, -1.7073434000, -3.6890943000, -7.6446903000", \
"0.2999414000, 0.1615905000, 0.0337093000, -0.2187394000, -0.7180760000, -1.7084793000, -3.6887497000, -7.6437958000", \
"0.2995972000, 0.1610124000, 0.0331359000, -0.2198523000, -0.7188869000, -1.7094679000, -3.6892173000, -7.6444111000", \
"0.3051229000, 0.1664839000, 0.0390909000, -0.2142946000, -0.7127167000, -1.7047592000, -3.6841013000, -7.6396228000", \
"0.3649292000, 0.2238374000, 0.0932362000, -0.1620412000, -0.6629431000, -1.6565666000, -3.6360388000, -7.5916674000", \
"0.4847323000, 0.3363217000, 0.2015878000, -0.0590682000, -0.5653693000, -1.5622868000, -3.5445510000, -7.5018192000", \
"0.7494273000, 0.5781181000, 0.4387582000, 0.1701666000, -0.3462491000, -1.3528127000, -3.3419739000, -7.3027779000", \
"1.0124067000, 0.8358205000, 0.6828210000, 0.4081440000, -0.1172854000, -1.1331312000, -3.1299289000, -7.0963326000", \
"1.7264553000, 1.5400233000, 1.3770422000, 1.0793299000, 0.5310750000, -0.5046285000, -2.5226985000, -6.5053403000", \
"2.0930861000, 1.9032642000, 1.7361455000, 1.4338712000, 0.8707569000, -0.1741798000, -2.2024783000, -6.1935957000", \
"2.4575397000, 2.2650719000, 2.0978129000, 1.7868264000, 1.2180353000, 0.1584973000, -1.8807199000, -5.8815378000");
}
related_pin : "A2";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.1934724000, 0.3067490000, 0.4277053000, 0.6693884000, 1.1589095000, 2.1350668000, 4.0943357000, 8.012268700", \
"0.1946748000, 0.3071904000, 0.4278142000, 0.6709832000, 1.1581576000, 2.1371795000, 4.0913103000, 8.003441700", \
"0.1923441000, 0.3051035000, 0.4253380000, 0.6686088000, 1.1556518000, 2.1336601000, 4.0892507000, 8.002568600", \
"0.1941242000, 0.3058115000, 0.4256219000, 0.6688402000, 1.1559335000, 2.1338849000, 4.0946533000, 8.002764100", \
"0.2570429000, 0.3588457000, 0.4743244000, 0.7117221000, 1.1992583000, 2.1752710000, 4.1277685000, 8.046289500", \
"0.3849713000, 0.4735815000, 0.5834721000, 0.8139570000, 1.2922305000, 2.2651915000, 4.2197560000, 8.125190400", \
"0.6497483000, 0.7294725000, 0.8309492000, 1.0472251000, 1.5133931000, 2.4710483000, 4.4131860000, 8.320568400", \
"0.9145616000, 0.9882517000, 1.0830737000, 1.2932710000, 1.7474239000, 2.6960790000, 4.6353182000, 8.528391900", \
"1.6439224000, 1.7074495000, 1.7922811000, 1.9894223000, 2.4237569000, 3.3334594000, 5.2478919000, 9.123658100", \
"2.0133453000, 2.0767640000, 2.1568662000, 2.3485494000, 2.7755186000, 3.6713203000, 5.5750030000, 9.442158300", \
"2.3844168000, 2.4440524000, 2.5244413000, 2.7102581000, 3.1297858000, 4.0195717000, 5.9010426000, 9.760368600");
}
when : "(!A1&B1&B2)";
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.2458794000, 0.1117115000, -0.0150126000, -0.2670090000, -0.7658773000, -1.7570831000, -3.7369793000, -7.6926288000", \
"0.2462498000, 0.1129425000, -0.0136823000, -0.2656911000, -0.7648362000, -1.7569384000, -3.7358856000, -7.6915470000", \
"0.2456310000, 0.1115894000, -0.0149439000, -0.2669763000, -0.7659416000, -1.7579574000, -3.7371059000, -7.6931275000", \
"0.2462392000, 0.1111582000, -0.0155902000, -0.2674035000, -0.7667264000, -1.7580021000, -3.7376585000, -7.6933190000", \
"0.3031472000, 0.1623224000, 0.0317084000, -0.2235566000, -0.7248797000, -1.7185649000, -3.6985258000, -7.6553112000", \
"0.4219269000, 0.2765376000, 0.1416424000, -0.1202748000, -0.6289493000, -1.6267270000, -3.6109779000, -7.5691036000", \
"0.7074168000, 0.5339683000, 0.3834512000, 0.1146465000, -0.4061855000, -1.4163415000, -3.4098225000, -7.3757293000", \
"0.9794887000, 0.8006199000, 0.6433482000, 0.3574900000, -0.1712643000, -1.1931094000, -3.1973396000, -7.1697082000", \
"1.7223816000, 1.5321505000, 1.3664621000, 1.0605507000, 0.4978709000, -0.5510296000, -2.5820867000, -6.5788978000", \
"2.1024078000, 1.9122196000, 1.7424444000, 1.4290776000, 0.8548293000, -0.2098956000, -2.2567953000, -6.2651257000", \
"2.4867764000, 2.2915061000, 2.1214026000, 1.8015350000, 1.2168112000, 0.1361864000, -1.9237152000, -5.9471668000");
}
related_pin : "B1";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.2038934000, 0.3060068000, 0.4229713000, 0.6610296000, 1.1465570000, 2.1216473000, 4.0779192000, 7.9908512000", \
"0.2084896000, 0.3106741000, 0.4272467000, 0.6670766000, 1.1526128000, 2.1287077000, 4.0818375000, 7.9949957000", \
"0.2059087000, 0.3078387000, 0.4245585000, 0.6628551000, 1.1480671000, 2.1285987000, 4.0799354000, 7.9931869000", \
"0.2087677000, 0.3102610000, 0.4261819000, 0.6645453000, 1.1504156000, 2.1309874000, 4.0817456000, 7.9946884000", \
"0.2653331000, 0.3621635000, 0.4746369000, 0.7109942000, 1.1937798000, 2.1676046000, 4.1194768000, 8.036142300", \
"0.3832740000, 0.4732030000, 0.5802552000, 0.8083570000, 1.2837119000, 2.2561733000, 4.2024138000, 8.115993500", \
"0.6515534000, 0.7251414000, 0.8182807000, 1.0341564000, 1.4963118000, 2.4493645000, 4.3900690000, 8.301619100", \
"0.9199006000, 0.9858129000, 1.0745856000, 1.2716144000, 1.7221935000, 2.6630303000, 4.5910921000, 8.494046700", \
"1.6711451000, 1.7245193000, 1.8027381000, 1.9851185000, 2.3897361000, 3.2906523000, 5.1833057000, 9.050362700", \
"2.0591967000, 2.1092469000, 2.1803142000, 2.3536912000, 2.7553564000, 3.6254863000, 5.5001042000, 9.349232500", \
"2.4421489000, 2.4896331000, 2.5605642000, 2.7265393000, 3.1183305000, 3.9602140000, 5.8181541000, 9.654688600");
}
when : "(!A1&A2&!B2)";
}
max_capacitance : 0.5229200000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.1477500000, 0.2100700000, 0.2573100000, 0.3441000000, 0.5122900000, 0.8483200000, 1.5215700000, 2.8697700000", \
"0.1501400000, 0.2124600000, 0.2597000000, 0.3465000000, 0.5146600000, 0.8507100000, 1.5240700000, 2.8719700000", \
"0.1507800000, 0.2130900000, 0.2603400000, 0.3471500000, 0.5153300000, 0.8511600000, 1.5248300000, 2.8721300000", \
"0.1526700000, 0.2148000000, 0.2619900000, 0.3487800000, 0.5170000000, 0.8529400000, 1.5266000000, 2.8741000000", \
"0.1758600000, 0.2377400000, 0.2841900000, 0.3701000000, 0.5374100000, 0.8726000000, 1.5456000000, 2.8929000000", \
"0.2128900000, 0.2785500000, 0.3258600000, 0.4114500000, 0.5770300000, 0.9103000000, 1.5817000000, 2.9280000000", \
"0.2700100000, 0.3453000000, 0.3980000000, 0.4877000000, 0.6540000000, 0.9839000000, 1.6514000000, 2.9961000000", \
"0.3154000000, 0.3978000000, 0.4553000000, 0.5514000000, 0.7244000000, 1.0546000000, 1.7187000000, 3.0601000000", \
"0.4164700000, 0.5125700000, 0.5797700000, 0.6907700000, 0.8827700000, 1.2362700000, 1.9023700000, 3.2337700000", \
"0.4614300000, 0.5627300000, 0.6339300000, 0.7511300000, 0.9523300000, 1.3174300000, 1.9971300000, 3.3242300000", \
"0.5033000000, 0.6093000000, 0.6839000000, 0.8067000000, 1.0162000000, 1.3924000000, 2.0887000000, 3.4152000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.1363600000, 0.1897800000, 0.2311500000, 0.3086900000, 0.4611500000, 0.7667000000, 1.3781700000, 2.6025700000", \
"0.1399900000, 0.1934300000, 0.2348000000, 0.3123000000, 0.4647200000, 0.7698800000, 1.3821700000, 2.6064700000", \
"0.1397200000, 0.1931600000, 0.2345300000, 0.3120600000, 0.4645300000, 0.7697400000, 1.3811300000, 2.6071300000", \
"0.1397400000, 0.1931000000, 0.2344400000, 0.3119400000, 0.4644800000, 0.7696900000, 1.3810000000, 2.6083000000", \
"0.1524000000, 0.2064800000, 0.2473400000, 0.3241400000, 0.4757200000, 0.7805600000, 1.3927000000, 2.6157000000", \
"0.1694900000, 0.2283400000, 0.2702600000, 0.3473700000, 0.4977500000, 0.8006000000, 1.4107000000, 2.6344000000", \
"0.1861500000, 0.2544800000, 0.3007000000, 0.3818000000, 0.5355000000, 0.8363000000, 1.4433000000, 2.6647000000", \
"0.1920000000, 0.2680000000, 0.3181000000, 0.4034000000, 0.5629000000, 0.8685000000, 1.4720000000, 2.6911000000", \
"0.1855700000, 0.2777700000, 0.3368700000, 0.4328700000, 0.6042700000, 0.9306700000, 1.5501700000, 2.7598700000", \
"0.1758300000, 0.2745300000, 0.3376300000, 0.4385300000, 0.6156300000, 0.9503300000, 1.5847300000, 2.7957300000", \
"0.1636000000, 0.2682000000, 0.3350000000, 0.4403000000, 0.6228000000, 0.9644000000, 1.6125000000, 2.8329000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.0394900000, 0.0932200000, 0.1420200000, 0.2438800000, 0.4578100000, 0.8944300000, 1.7747800000, 3.5354000000", \
"0.0394900000, 0.0932200000, 0.1420300000, 0.2438900000, 0.4578400000, 0.8944400000, 1.7744900000, 3.5351000000", \
"0.0394900000, 0.0932300000, 0.1419900000, 0.2438700000, 0.4578100000, 0.8952500000, 1.7766600000, 3.5348000000", \
"0.0395000000, 0.0933500000, 0.1421100000, 0.2439000000, 0.4579000000, 0.8950600000, 1.7745900000, 3.5362000000", \
"0.0441400000, 0.0971400000, 0.1446300000, 0.2450000000, 0.4581800000, 0.8956900000, 1.7748300000, 3.5348000000", \
"0.0560400000, 0.1102200000, 0.1554200000, 0.2516200000, 0.4601300000, 0.8956100000, 1.7749000000, 3.5356000000", \
"0.0786500000, 0.1406500000, 0.1858000000, 0.2767000000, 0.4741000000, 0.8993000000, 1.7756000000, 3.5365000000", \
"0.0973000000, 0.1663000000, 0.2147000000, 0.3070000000, 0.4990000000, 0.9108000000, 1.7768000000, 3.5342000000", \
"0.1406000000, 0.2242000000, 0.2816000000, 0.3833000000, 0.5813000000, 0.9819000000, 1.8075000000, 3.5371000000", \
"0.1606000000, 0.2498000000, 0.3114000000, 0.4185000000, 0.6215000000, 1.0269000000, 1.8394000000, 3.5456000000", \
"0.1796000000, 0.2738000000, 0.3391000000, 0.4514000000, 0.6601000000, 1.0716000000, 1.8784000000, 3.5589000000");
}
related_pin : "A1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.0375800000, 0.0903100000, 0.1425000000, 0.2515900000, 0.4775100000, 0.9358000000, 1.8550900000, 3.6929000000", \
"0.0375500000, 0.0903700000, 0.1425200000, 0.2514700000, 0.4777700000, 0.9354000000, 1.8547000000, 3.6932000000", \
"0.0375600000, 0.0903600000, 0.1425200000, 0.2517600000, 0.4772800000, 0.9359300000, 1.8540000000, 3.6942000000", \
"0.0375500000, 0.0904800000, 0.1425100000, 0.2513800000, 0.4776400000, 0.9359200000, 1.8546400000, 3.6928000000", \
"0.0414300000, 0.0937500000, 0.1447100000, 0.2526000000, 0.4773900000, 0.9355000000, 1.8548000000, 3.6924000000", \
"0.0525200000, 0.1054100000, 0.1547200000, 0.2590800000, 0.4804500000, 0.9359100000, 1.8544000000, 3.6921000000", \
"0.0732800000, 0.1307600000, 0.1795100000, 0.2821400000, 0.4967000000, 0.9421000000, 1.8556000000, 3.6965000000", \
"0.0918000000, 0.1532000000, 0.2029000000, 0.3058000000, 0.5207000000, 0.9598000000, 1.8597000000, 3.6924000000", \
"0.1370000000, 0.2070000000, 0.2610000000, 0.3657000000, 0.5847000000, 1.0297000000, 1.9070000000, 3.7017000000", \
"0.1590000000, 0.2318000000, 0.2879000000, 0.3949000000, 0.6149000000, 1.0662000000, 1.9438000000, 3.7216000000", \
"0.1799000000, 0.2553000000, 0.3131000000, 0.4226000000, 0.6448000000, 1.1000000000, 1.9842000000, 3.7437000000");
}
sdf_cond : "(!A2&!B1&B2)";
timing_sense : "positive_unate";
when : "(!A2&!B1&B2)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.1622000000, 0.2303200000, 0.2804600000, 0.3700300000, 0.5395700000, 0.8749900000, 1.5477700000, 2.8947700000", \
"0.1642200000, 0.2323300000, 0.2824800000, 0.3720500000, 0.5415800000, 0.8770400000, 1.5496700000, 2.8967700000", \
"0.1647800000, 0.2329000000, 0.2830500000, 0.3726200000, 0.5421800000, 0.8774200000, 1.5500300000, 2.8970300000", \
"0.1666400000, 0.2345800000, 0.2846800000, 0.3742300000, 0.5437400000, 0.8792200000, 1.5516000000, 2.8993000000", \
"0.1922000000, 0.2589100000, 0.3082300000, 0.3969200000, 0.5656700000, 0.9004000000, 1.5725000000, 2.9195000000", \
"0.2347100000, 0.3041100000, 0.3539500000, 0.4419700000, 0.6090300000, 0.9419000000, 1.6122000000, 2.9586000000", \
"0.3024000000, 0.3808000000, 0.4352000000, 0.5264000000, 0.6936000000, 1.0230000000, 1.6898000000, 3.0331000000", \
"0.3557000000, 0.4412000000, 0.5003000000, 0.5978000000, 0.7705000000, 1.0996000000, 1.7625000000, 3.1029000000", \
"0.4729700000, 0.5719700000, 0.6406700000, 0.7529700000, 0.9443700000, 1.2943700000, 1.9577700000, 3.2885700000", \
"0.5242300000, 0.6285300000, 0.7010300000, 0.8195300000, 1.0199300000, 1.3812300000, 2.0568300000, 3.3829300000", \
"0.5717000000, 0.6805000000, 0.7565000000, 0.8805000000, 1.0891000000, 1.4612000000, 2.1527000000, 3.4778000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.1556900000, 0.2110900000, 0.2523600000, 0.3293800000, 0.4813100000, 0.7860100000, 1.3979700000, 2.6225700000", \
"0.1593500000, 0.2147500000, 0.2560200000, 0.3330500000, 0.4849100000, 0.7898100000, 1.4013700000, 2.6252700000", \
"0.1594200000, 0.2148200000, 0.2560800000, 0.3330900000, 0.4850500000, 0.7897200000, 1.4016300000, 2.6274300000", \
"0.1602500000, 0.2156200000, 0.2568700000, 0.3339100000, 0.4858300000, 0.7906100000, 1.4032000000, 2.6266000000", \
"0.1740500000, 0.2296000000, 0.2703900000, 0.3468000000, 0.4980300000, 0.8025400000, 1.4140000000, 2.6396000000", \
"0.1949700000, 0.2548300000, 0.2962600000, 0.3722900000, 0.5218500000, 0.8245000000, 1.4347000000, 2.6588000000", \
"0.2168100000, 0.2864000000, 0.3321000000, 0.4112000000, 0.5620000000, 0.8613000000, 1.4684000000, 2.6897000000", \
"0.2258000000, 0.3035000000, 0.3535000000, 0.4363000000, 0.5917000000, 0.8939000000, 1.4967000000, 2.7151000000", \
"0.2245700000, 0.3191700000, 0.3788700000, 0.4727700000, 0.6377700000, 0.9567700000, 1.5709700000, 2.7797700000", \
"0.2164300000, 0.3178300000, 0.3817300000, 0.4810300000, 0.6507300000, 0.9760300000, 1.6035300000, 2.8123300000", \
"0.2053000000, 0.3129000000, 0.3806000000, 0.4848000000, 0.6595000000, 0.9900000000, 1.6290000000, 2.8457000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.0487400000, 0.1050000000, 0.1530800000, 0.2526900000, 0.4622300000, 0.8953400000, 1.7755600000, 3.5320000000", \
"0.0487300000, 0.1049900000, 0.1530700000, 0.2527700000, 0.4622800000, 0.8964200000, 1.7754400000, 3.5319000000", \
"0.0487400000, 0.1050000000, 0.1530500000, 0.2527700000, 0.4623200000, 0.8960000000, 1.7757100000, 3.5342000000", \
"0.0487300000, 0.1051000000, 0.1531200000, 0.2528200000, 0.4621100000, 0.8962600000, 1.7755600000, 3.5353000000", \
"0.0524500000, 0.1081800000, 0.1555600000, 0.2542100000, 0.4628400000, 0.8956200000, 1.7752600000, 3.5349000000", \
"0.0629800000, 0.1202000000, 0.1656300000, 0.2605400000, 0.4654200000, 0.8969100000, 1.7750000000, 3.5321000000", \
"0.0851100000, 0.1496000000, 0.1947000000, 0.2844000000, 0.4788000000, 0.9006000000, 1.7751000000, 3.5356000000", \
"0.1033000000, 0.1750000000, 0.2237000000, 0.3140000000, 0.5024000000, 0.9114000000, 1.7770000000, 3.5357000000", \
"0.1453000000, 0.2316000000, 0.2893000000, 0.3890000000, 0.5825000000, 0.9770000000, 1.8049000000, 3.5381000000", \
"0.1646000000, 0.2566000000, 0.3183000000, 0.4234000000, 0.6215000000, 1.0218000000, 1.8339000000, 3.5436000000", \
"0.1829000000, 0.2800000000, 0.3452000000, 0.4554000000, 0.6586000000, 1.0640000000, 1.8705000000, 3.5569000000");
}
related_pin : "A1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.0416500000, 0.0939000000, 0.1444700000, 0.2523900000, 0.4770900000, 0.9357200000, 1.8542500000, 3.6957000000", \
"0.0416600000, 0.0938300000, 0.1444700000, 0.2524300000, 0.4773100000, 0.9357800000, 1.8548600000, 3.6911000000", \
"0.0416100000, 0.0938100000, 0.1443900000, 0.2522000000, 0.4773200000, 0.9354500000, 1.8542100000, 3.6934000000", \
"0.0416300000, 0.0938100000, 0.1444100000, 0.2523800000, 0.4772700000, 0.9349300000, 1.8554900000, 3.6907000000", \
"0.0441800000, 0.0957800000, 0.1456600000, 0.2526200000, 0.4774700000, 0.9353900000, 1.8546800000, 3.6930000000", \
"0.0539500000, 0.1061500000, 0.1541700000, 0.2577700000, 0.4790500000, 0.9354300000, 1.8565000000, 3.6927000000", \
"0.0745200000, 0.1312100000, 0.1778800000, 0.2777000000, 0.4918000000, 0.9395000000, 1.8550000000, 3.6923000000", \
"0.0931000000, 0.1545000000, 0.2013000000, 0.3000000000, 0.5127000000, 0.9541000000, 1.8576000000, 3.6947000000", \
"0.1386000000, 0.2096000000, 0.2604000000, 0.3584000000, 0.5708000000, 1.0137000000, 1.8994000000, 3.6983000000", \
"0.1606000000, 0.2348000000, 0.2882000000, 0.3873000000, 0.5989000000, 1.0467000000, 1.9307000000, 3.7139000000", \
"0.1817000000, 0.2585000000, 0.3142000000, 0.4147000000, 0.6269000000, 1.0767000000, 1.9670000000, 3.7369000000");
}
sdf_cond : "(!A2&B1&!B2)";
timing_sense : "positive_unate";
when : "(!A2&B1&!B2)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.1584400000, 0.2223800000, 0.2700100000, 0.3567800000, 0.5247900000, 0.8604200000, 1.5333700000, 2.8801700000", \
"0.1601800000, 0.2241200000, 0.2717500000, 0.3585200000, 0.5265200000, 0.8622400000, 1.5353700000, 2.8833700000", \
"0.1607300000, 0.2246700000, 0.2723000000, 0.3590800000, 0.5270800000, 0.8627000000, 1.5360300000, 2.8841300000", \
"0.1625800000, 0.2263700000, 0.2739600000, 0.3607300000, 0.5287600000, 0.8645200000, 1.5377000000, 2.8849000000", \
"0.1878700000, 0.2510500000, 0.2980100000, 0.3840500000, 0.5513500000, 0.8864000000, 1.5595000000, 2.9066000000", \
"0.2299700000, 0.2962500000, 0.3439500000, 0.4295900000, 0.5953400000, 0.9289000000, 1.6007000000, 2.9482000000", \
"0.2974000000, 0.3728000000, 0.4255000000, 0.5146000000, 0.6803000000, 1.0107000000, 1.6793000000, 3.0242000000", \
"0.3514000000, 0.4337000000, 0.4911000000, 0.5865000000, 0.7581000000, 1.0884000000, 1.7540000000, 3.0965000000", \
"0.4720700000, 0.5674700000, 0.6344700000, 0.7451700000, 0.9356700000, 1.2876700000, 1.9544700000, 3.2887700000", \
"0.5256300000, 0.6262300000, 0.6970300000, 0.8140300000, 1.0138300000, 1.3775300000, 2.0565300000, 3.3873300000", \
"0.5756000000, 0.6807000000, 0.7549000000, 0.8774000000, 1.0858000000, 1.4607000000, 2.1561000000, 3.4862000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.1134300000, 0.1646000000, 0.2052500000, 0.2822900000, 0.4347700000, 0.7402100000, 1.3527700000, 2.5774700000", \
"0.1165900000, 0.1677700000, 0.2084200000, 0.2854600000, 0.4378700000, 0.7438300000, 1.3551700000, 2.5799700000", \
"0.1172000000, 0.1683800000, 0.2090500000, 0.2860800000, 0.4385400000, 0.7442400000, 1.3564300000, 2.5802300000", \
"0.1224200000, 0.1734200000, 0.2140400000, 0.2910600000, 0.4435100000, 0.7489800000, 1.3611000000, 2.5883000000", \
"0.1466600000, 0.1981300000, 0.2380000000, 0.3139000000, 0.4652000000, 0.7701600000, 1.3821000000, 2.6058000000", \
"0.1674100000, 0.2239500000, 0.2644600000, 0.3400900000, 0.4897300000, 0.7925000000, 1.4023000000, 2.6269000000", \
"0.1851200000, 0.2517300000, 0.2954000000, 0.3735000000, 0.5244000000, 0.8243000000, 1.4311000000, 2.6524000000", \
"0.1901000000, 0.2649000000, 0.3123000000, 0.3928000000, 0.5471000000, 0.8500000000, 1.4530000000, 2.6713000000", \
"0.1785700000, 0.2702700000, 0.3269700000, 0.4158700000, 0.5760700000, 0.8918700000, 1.5057700000, 2.7150700000", \
"0.1653300000, 0.2638300000, 0.3248300000, 0.4184300000, 0.5815300000, 0.9013300000, 1.5260300000, 2.7364300000", \
"0.1495000000, 0.2539000000, 0.3188000000, 0.4170000000, 0.5833000000, 0.9061000000, 1.5399000000, 2.7578000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.0419500000, 0.0963600000, 0.1442800000, 0.2448900000, 0.4579300000, 0.8951200000, 1.7748800000, 3.5342000000", \
"0.0419500000, 0.0963500000, 0.1442300000, 0.2449100000, 0.4579300000, 0.8955600000, 1.7748800000, 3.5359000000", \
"0.0419400000, 0.0963800000, 0.1442700000, 0.2449000000, 0.4579300000, 0.8955500000, 1.7748700000, 3.5362000000", \
"0.0419500000, 0.0964500000, 0.1443400000, 0.2449300000, 0.4579200000, 0.8956300000, 1.7749200000, 3.5361000000", \
"0.0456300000, 0.0992100000, 0.1462500000, 0.2457900000, 0.4583200000, 0.8949600000, 1.7749600000, 3.5358000000", \
"0.0554900000, 0.1103100000, 0.1554300000, 0.2513600000, 0.4597400000, 0.8955400000, 1.7754000000, 3.5361000000", \
"0.0762000000, 0.1380000000, 0.1824000000, 0.2727000000, 0.4708000000, 0.8987000000, 1.7759000000, 3.5324000000", \
"0.0931000000, 0.1621000000, 0.2095000000, 0.3004000000, 0.4922000000, 0.9077000000, 1.7761000000, 3.5337000000", \
"0.1318000000, 0.2150000000, 0.2720000000, 0.3715000000, 0.5684000000, 0.9694000000, 1.8028000000, 3.5355000000", \
"0.1495000000, 0.2385000000, 0.2994000000, 0.4045000000, 0.6053000000, 1.0109000000, 1.8290000000, 3.5431000000", \
"0.1662000000, 0.2602000000, 0.3248000000, 0.4355000000, 0.6416000000, 1.0526000000, 1.8643000000, 3.5538000000");
}
related_pin : "A1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.0335200000, 0.0857700000, 0.1385900000, 0.2490700000, 0.4757100000, 0.9347100000, 1.8539800000, 3.6929000000", \
"0.0335400000, 0.0857700000, 0.1385900000, 0.2489500000, 0.4755700000, 0.9349900000, 1.8548800000, 3.6912000000", \
"0.0335200000, 0.0857900000, 0.1385800000, 0.2486800000, 0.4757200000, 0.9347600000, 1.8539900000, 3.6922000000", \
"0.0335100000, 0.0858600000, 0.1386400000, 0.2489500000, 0.4760300000, 0.9342500000, 1.8530000000, 3.6923000000", \
"0.0391700000, 0.0900200000, 0.1412000000, 0.2499200000, 0.4758600000, 0.9346400000, 1.8538200000, 3.6916000000", \
"0.0514200000, 0.1016600000, 0.1505800000, 0.2555900000, 0.4781000000, 0.9346800000, 1.8537400000, 3.6915000000", \
"0.0725800000, 0.1255800000, 0.1723100000, 0.2740600000, 0.4910000000, 0.9401000000, 1.8551000000, 3.6911000000", \
"0.0909000000, 0.1478000000, 0.1935000000, 0.2934000000, 0.5091000000, 0.9532000000, 1.8571000000, 3.6923000000", \
"0.1359000000, 0.2015000000, 0.2486000000, 0.3437000000, 0.5569000000, 1.0050000000, 1.8955000000, 3.6985000000", \
"0.1574000000, 0.2264000000, 0.2749000000, 0.3695000000, 0.5801000000, 1.0318000000, 1.9232000000, 3.7130000000", \
"0.1782000000, 0.2498000000, 0.3002000000, 0.3945000000, 0.6023000000, 1.0552000000, 1.9539000000, 3.7360000000");
}
sdf_cond : "(!A2&B1&B2)";
timing_sense : "positive_unate";
when : "(!A2&B1&B2)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.1345600000, 0.1968800000, 0.2441100000, 0.3309000000, 0.4991200000, 0.8351900000, 1.5085700000, 2.8557700000", \
"0.1354300000, 0.1977300000, 0.2449600000, 0.3317400000, 0.4999900000, 0.8359300000, 1.5091700000, 2.8563700000", \
"0.1362200000, 0.1984900000, 0.2457100000, 0.3325000000, 0.5007000000, 0.8366700000, 1.5103300000, 2.8579300000", \
"0.1452600000, 0.2073400000, 0.2545100000, 0.3412800000, 0.5095300000, 0.8454900000, 1.5187000000, 2.8664000000", \
"0.1884900000, 0.2497100000, 0.2960800000, 0.3819800000, 0.5493800000, 0.8847000000, 1.5577000000, 2.9057000000", \
"0.2474400000, 0.3106900000, 0.3575300000, 0.4430000000, 0.6088000000, 0.9425000000, 1.6141000000, 2.9615000000", \
"0.3479000000, 0.4167000000, 0.4660000000, 0.5527000000, 0.7182000000, 1.0490000000, 1.7179000000, 3.0630000000", \
"0.4350000000, 0.5088000000, 0.5610000000, 0.6509000000, 0.8193000000, 1.1495000000, 1.8155000000, 3.1583000000", \
"0.6484700000, 0.7331700000, 0.7917700000, 0.8903700000, 1.0687700000, 1.4118700000, 2.0764700000, 3.4117700000", \
"0.7504300000, 0.8397300000, 0.9010300000, 1.0035300000, 1.1865300000, 1.5372300000, 2.2087300000, 3.5416300000", \
"0.8490000000, 0.9423000000, 1.0062000000, 1.1121000000, 1.2997000000, 1.6566000000, 2.3392000000, 3.6697000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.1124000000, 0.1629200000, 0.2040800000, 0.2818600000, 0.4350500000, 0.7412200000, 1.3539700000, 2.5778700000", \
"0.1146400000, 0.1651000000, 0.2062700000, 0.2840400000, 0.4372500000, 0.7431700000, 1.3558700000, 2.5811700000", \
"0.1150200000, 0.1654600000, 0.2066200000, 0.2844100000, 0.4376000000, 0.7437800000, 1.3565300000, 2.5812300000", \
"0.1170100000, 0.1672600000, 0.2083400000, 0.2861100000, 0.4392800000, 0.7455700000, 1.3583000000, 2.5828000000", \
"0.1285900000, 0.1796600000, 0.2204000000, 0.2975200000, 0.4502600000, 0.7557200000, 1.3681000000, 2.5945000000", \
"0.1343900000, 0.1903500000, 0.2320800000, 0.3097400000, 0.4613300000, 0.7659000000, 1.3775000000, 2.6011000000", \
"0.1232100000, 0.1878000000, 0.2328300000, 0.3141000000, 0.4701000000, 0.7738000000, 1.3830000000, 2.6064000000", \
"0.0993000000, 0.1707000000, 0.2189000000, 0.3031000000, 0.4638000000, 0.7750000000, 1.3823000000, 2.6037000000", \
"0.0058700000, 0.0912700000, 0.1469700000, 0.2387700000, 0.4074700000, 0.7364700000, 1.3678700000, 2.5849700000", \
"-0.0504700000, 0.0404300000, 0.0995300000, 0.1951300000, 0.3673300000, 0.7021300000, 1.3475300000, 2.5737300000", \
"-0.1100000000, -0.0142000000, 0.0480000000, 0.1473000000, 0.3231000000, 0.6623000000, 1.3195000000, 2.5606000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.0394900000, 0.0932500000, 0.1420200000, 0.2438900000, 0.4578900000, 0.8951100000, 1.7745500000, 3.5356000000", \
"0.0394900000, 0.0932500000, 0.1420400000, 0.2438700000, 0.4578500000, 0.8953700000, 1.7747100000, 3.5362000000", \
"0.0395000000, 0.0932400000, 0.1420300000, 0.2438600000, 0.4578300000, 0.8954500000, 1.7746700000, 3.5351000000", \
"0.0394900000, 0.0933400000, 0.1420300000, 0.2438600000, 0.4578700000, 0.8955400000, 1.7753500000, 3.5323000000", \
"0.0429500000, 0.0960200000, 0.1439700000, 0.2447800000, 0.4580400000, 0.8948500000, 1.7748800000, 3.5352000000", \
"0.0504000000, 0.1052600000, 0.1520100000, 0.2497100000, 0.4594300000, 0.8951800000, 1.7759000000, 3.5345000000", \
"0.0681000000, 0.1256000000, 0.1710000000, 0.2652000000, 0.4682000000, 0.8980000000, 1.7754000000, 3.5350000000", \
"0.0837000000, 0.1445000000, 0.1907000000, 0.2844000000, 0.4833000000, 0.9048000000, 1.7766000000, 3.5347000000", \
"0.1200000000, 0.1891000000, 0.2387000000, 0.3338000000, 0.5354000000, 0.9476000000, 1.7933000000, 3.5365000000", \
"0.1366000000, 0.2095000000, 0.2610000000, 0.3575000000, 0.5602000000, 0.9764000000, 1.8130000000, 3.5390000000", \
"0.1522000000, 0.2286000000, 0.2816000000, 0.3800000000, 0.5835000000, 1.0053000000, 1.8378000000, 3.5471000000");
}
related_pin : "A2";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.0307400000, 0.0840200000, 0.1377700000, 0.2489300000, 0.4761000000, 0.9359500000, 1.8542100000, 3.6923000000", \
"0.0307600000, 0.0839400000, 0.1377600000, 0.2486500000, 0.4760600000, 0.9352500000, 1.8543500000, 3.6930000000", \
"0.0307800000, 0.0840100000, 0.1377700000, 0.2489600000, 0.4763900000, 0.9351600000, 1.8546300000, 3.6931000000", \
"0.0307400000, 0.0840200000, 0.1378000000, 0.2486300000, 0.4760800000, 0.9355600000, 1.8534800000, 3.6929000000", \
"0.0358200000, 0.0879300000, 0.1403800000, 0.2499100000, 0.4762700000, 0.9356400000, 1.8544300000, 3.6934000000", \
"0.0467300000, 0.0987900000, 0.1494800000, 0.2560000000, 0.4785900000, 0.9354100000, 1.8542500000, 3.6929000000", \
"0.0647300000, 0.1199400000, 0.1696400000, 0.2753900000, 0.4938800000, 0.9419000000, 1.8542000000, 3.6920000000", \
"0.0798000000, 0.1383000000, 0.1877000000, 0.2932000000, 0.5144000000, 0.9582000000, 1.8587000000, 3.6938000000", \
"0.1151000000, 0.1809000000, 0.2323000000, 0.3362000000, 0.5602000000, 1.0174000000, 1.9084000000, 3.7033000000", \
"0.1316000000, 0.1999000000, 0.2529000000, 0.3571000000, 0.5810000000, 1.0444000000, 1.9428000000, 3.7273000000", \
"0.1471000000, 0.2178000000, 0.2723000000, 0.3771000000, 0.6010000000, 1.0681000000, 1.9755000000, 3.7513000000");
}
sdf_cond : "(!A1&!B1&B2)";
timing_sense : "positive_unate";
when : "(!A1&!B1&B2)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.1478200000, 0.2159300000, 0.2660600000, 0.3556200000, 0.5251500000, 0.8606400000, 1.5334700000, 2.8806700000", \
"0.1490700000, 0.2171600000, 0.2672900000, 0.3568600000, 0.5264000000, 0.8618100000, 1.5341700000, 2.8817700000", \
"0.1498800000, 0.2179500000, 0.2680800000, 0.3576400000, 0.5271800000, 0.8626700000, 1.5354300000, 2.8823300000", \
"0.1588400000, 0.2267400000, 0.2768000000, 0.3663400000, 0.5359100000, 0.8713600000, 1.5441000000, 2.8910000000", \
"0.2030400000, 0.2694200000, 0.3186500000, 0.4072900000, 0.5760500000, 0.9108000000, 1.5832000000, 2.9299000000", \
"0.2659500000, 0.3339700000, 0.3835500000, 0.4711700000, 0.6381100000, 0.9711000000, 1.6419000000, 2.9880000000", \
"0.3738000000, 0.4472000000, 0.4989000000, 0.5874000000, 0.7535000000, 1.0829000000, 1.7498000000, 3.0941000000", \
"0.4667000000, 0.5454000000, 0.6001000000, 0.6920000000, 0.8599000000, 1.1881000000, 1.8518000000, 3.1923000000", \
"0.6912700000, 0.7814700000, 0.8430700000, 0.9444700000, 1.1223700000, 1.4606700000, 2.1208700000, 3.4535700000", \
"0.7976300000, 0.8925300000, 0.9570300000, 1.0625300000, 1.2454300000, 1.5906300000, 2.2561300000, 3.5851300000", \
"0.8997000000, 0.9988000000, 1.0661000000, 1.1753000000, 1.3630000000, 1.7141000000, 2.3893000000, 3.7154000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.1421800000, 0.1963200000, 0.2381300000, 0.3162800000, 0.4691800000, 0.7747500000, 1.3870700000, 2.6140700000", \
"0.1447400000, 0.1988900000, 0.2407000000, 0.3188500000, 0.4717500000, 0.7773200000, 1.3898700000, 2.6150700000", \
"0.1453400000, 0.1994700000, 0.2413000000, 0.3194000000, 0.4722800000, 0.7780600000, 1.3896300000, 2.6146300000", \
"0.1463700000, 0.2004500000, 0.2422400000, 0.3203600000, 0.4734200000, 0.7790800000, 1.3912000000, 2.6167000000", \
"0.1572000000, 0.2117300000, 0.2530600000, 0.3306200000, 0.4829400000, 0.7886800000, 1.4003000000, 2.6271000000", \
"0.1681600000, 0.2270000000, 0.2690700000, 0.3465000000, 0.4977900000, 0.8019000000, 1.4130000000, 2.6391000000", \
"0.1651200000, 0.2330500000, 0.2791000000, 0.3601000000, 0.5143000000, 0.8166000000, 1.4253000000, 2.6486000000", \
"0.1472000000, 0.2223000000, 0.2720000000, 0.3564000000, 0.5157000000, 0.8241000000, 1.4304000000, 2.6509000000", \
"0.0659700000, 0.1556700000, 0.2136700000, 0.3073700000, 0.4753700000, 0.8023700000, 1.4304700000, 2.6462700000", \
"0.0145300000, 0.1099300000, 0.1716300000, 0.2697300000, 0.4418300000, 0.7748300000, 1.4177300000, 2.6403300000", \
"-0.0407000000, 0.0599000000, 0.1249000000, 0.2272000000, 0.4032000000, 0.7412000000, 1.3962000000, 2.6333000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.0487400000, 0.1050100000, 0.1530800000, 0.2527900000, 0.4622100000, 0.8964300000, 1.7762200000, 3.5362000000", \
"0.0487300000, 0.1050000000, 0.1530900000, 0.2527400000, 0.4621700000, 0.8953900000, 1.7749000000, 3.5352000000", \
"0.0487300000, 0.1050100000, 0.1530700000, 0.2526900000, 0.4622100000, 0.8954600000, 1.7755700000, 3.5324000000", \
"0.0487500000, 0.1051300000, 0.1531500000, 0.2528300000, 0.4623500000, 0.8954400000, 1.7759000000, 3.5355000000", \
"0.0512900000, 0.1075200000, 0.1551500000, 0.2540900000, 0.4628500000, 0.8955200000, 1.7755400000, 3.5347000000", \
"0.0590700000, 0.1172100000, 0.1635800000, 0.2592900000, 0.4649000000, 0.8966700000, 1.7760000000, 3.5351000000", \
"0.0777000000, 0.1386000000, 0.1838000000, 0.2757000000, 0.4744000000, 0.9000000000, 1.7753000000, 3.5344000000", \
"0.0941000000, 0.1591000000, 0.2054000000, 0.2962000000, 0.4895000000, 0.9068000000, 1.7762000000, 3.5339000000", \
"0.1325000000, 0.2068000000, 0.2575000000, 0.3500000000, 0.5435000000, 0.9483000000, 1.7926000000, 3.5383000000", \
"0.1500000000, 0.2284000000, 0.2810000000, 0.3756000000, 0.5699000000, 0.9772000000, 1.8106000000, 3.5387000000", \
"0.1664000000, 0.2487000000, 0.3031000000, 0.3997000000, 0.5950000000, 1.0058000000, 1.8352000000, 3.5463000000");
}
related_pin : "A2";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.0378800000, 0.0905500000, 0.1427400000, 0.2516700000, 0.4775300000, 0.9362500000, 1.8545800000, 3.6927000000", \
"0.0378600000, 0.0905400000, 0.1427200000, 0.2516100000, 0.4775200000, 0.9361700000, 1.8546100000, 3.6957000000", \
"0.0378800000, 0.0906000000, 0.1426600000, 0.2517400000, 0.4772900000, 0.9358200000, 1.8534400000, 3.6933000000", \
"0.0378500000, 0.0905500000, 0.1427300000, 0.2516500000, 0.4776300000, 0.9357200000, 1.8541600000, 3.6959000000", \
"0.0406600000, 0.0928700000, 0.1441600000, 0.2522500000, 0.4778600000, 0.9357000000, 1.8541200000, 3.6930000000", \
"0.0502900000, 0.1028500000, 0.1521300000, 0.2572100000, 0.4797100000, 0.9354400000, 1.8548000000, 3.6927000000", \
"0.0682600000, 0.1252800000, 0.1736700000, 0.2765100000, 0.4927000000, 0.9399000000, 1.8562000000, 3.6923000000", \
"0.0836000000, 0.1447000000, 0.1933000000, 0.2956000000, 0.5132000000, 0.9557000000, 1.8578000000, 3.6915000000", \
"0.1198000000, 0.1896000000, 0.2413000000, 0.3427000000, 0.5623000000, 1.0154000000, 1.9049000000, 3.7028000000", \
"0.1366000000, 0.2094000000, 0.2632000000, 0.3655000000, 0.5851000000, 1.0445000000, 1.9398000000, 3.7257000000", \
"0.1525000000, 0.2277000000, 0.2836000000, 0.3874000000, 0.6063000000, 1.0694000000, 1.9742000000, 3.7492000000");
}
sdf_cond : "(!A1&B1&!B2)";
timing_sense : "positive_unate";
when : "(!A1&B1&!B2)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.1447100000, 0.2086500000, 0.2562600000, 0.3430300000, 0.5110300000, 0.8466700000, 1.5197700000, 2.8682700000", \
"0.1452000000, 0.2091200000, 0.2567400000, 0.3435100000, 0.5115200000, 0.8472400000, 1.5203700000, 2.8681700000", \
"0.1460600000, 0.2099700000, 0.2575900000, 0.3443500000, 0.5123500000, 0.8481500000, 1.5210300000, 2.8680300000", \
"0.1551700000, 0.2189300000, 0.2665000000, 0.3532600000, 0.5212700000, 0.8570500000, 1.5303000000, 2.8782000000", \
"0.1996500000, 0.2623600000, 0.3092600000, 0.3953000000, 0.5626400000, 0.8978000000, 1.5710000000, 2.9183000000", \
"0.2619700000, 0.3265700000, 0.3740400000, 0.4594500000, 0.6251700000, 0.9590000000, 1.6308000000, 2.9771000000", \
"0.3692000000, 0.4392000000, 0.4889000000, 0.5753000000, 0.7405000000, 1.0715000000, 1.7410000000, 3.0856000000", \
"0.4621000000, 0.5370000000, 0.5898000000, 0.6795000000, 0.8468000000, 1.1771000000, 1.8437000000, 3.1869000000", \
"0.6883700000, 0.7740700000, 0.8334700000, 0.9324700000, 1.1099700000, 1.4515700000, 2.1164700000, 3.4531700000", \
"0.7960300000, 0.8862300000, 0.9484300000, 1.0515300000, 1.2337300000, 1.5831300000, 2.2539300000, 3.5885300000", \
"0.8998000000, 0.9940000000, 1.0587000000, 1.1655000000, 1.3524000000, 1.7082000000, 2.3895000000, 3.7221000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.0999100000, 0.1500900000, 0.1910500000, 0.2686000000, 0.4218500000, 0.7278400000, 1.3411700000, 2.5651700000", \
"0.1020400000, 0.1521900000, 0.1931400000, 0.2707400000, 0.4239000000, 0.7301200000, 1.3433700000, 2.5666700000", \
"0.1030200000, 0.1531400000, 0.1941000000, 0.2717200000, 0.4248600000, 0.7307900000, 1.3433300000, 2.5678300000", \
"0.1090500000, 0.1588200000, 0.1996800000, 0.2772800000, 0.4303800000, 0.7362300000, 1.3488000000, 2.5743000000", \
"0.1285400000, 0.1789600000, 0.2192500000, 0.2959100000, 0.4482700000, 0.7538500000, 1.3666000000, 2.5904000000", \
"0.1371700000, 0.1927700000, 0.2337800000, 0.3105500000, 0.4616200000, 0.7657000000, 1.3771000000, 2.6032000000", \
"0.1281500000, 0.1930400000, 0.2370300000, 0.3164000000, 0.4702000000, 0.7729000000, 1.3816000000, 2.6040000000", \
"0.1051000000, 0.1772000000, 0.2244000000, 0.3061000000, 0.4632000000, 0.7712000000, 1.3778000000, 2.5994000000", \
"0.0114700000, 0.0980700000, 0.1534700000, 0.2423700000, 0.4046700000, 0.7257700000, 1.3509700000, 2.5677700000", \
"-0.0457700000, 0.0466300000, 0.1056300000, 0.1985300000, 0.3632300000, 0.6881300000, 1.3243300000, 2.5480300000", \
"-0.1064000000, -0.0090000000, 0.0533000000, 0.1501000000, 0.3176000000, 0.6453000000, 1.2901000000, 2.5271000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.0419600000, 0.0963500000, 0.1442600000, 0.2449100000, 0.4577700000, 0.8953700000, 1.7747600000, 3.5364000000", \
"0.0419600000, 0.0963700000, 0.1442800000, 0.2449200000, 0.4579200000, 0.8961500000, 1.7753500000, 3.5350000000", \
"0.0419400000, 0.0963600000, 0.1442700000, 0.2449200000, 0.4576700000, 0.8952500000, 1.7757000000, 3.5358000000", \
"0.0419700000, 0.0964300000, 0.1443300000, 0.2448200000, 0.4576800000, 0.8958900000, 1.7748700000, 3.5359000000", \
"0.0444500000, 0.0984300000, 0.1458700000, 0.2456900000, 0.4582900000, 0.8955000000, 1.7750700000, 3.5357000000", \
"0.0512200000, 0.1069900000, 0.1531700000, 0.2498900000, 0.4593000000, 0.8952000000, 1.7757000000, 3.5353000000", \
"0.0681000000, 0.1263000000, 0.1710000000, 0.2639000000, 0.4669000000, 0.8975000000, 1.7762000000, 3.5390000000", \
"0.0830000000, 0.1450000000, 0.1904000000, 0.2824000000, 0.4799000000, 0.9030000000, 1.7768000000, 3.5347000000", \
"0.1178000000, 0.1885000000, 0.2379000000, 0.3311000000, 0.5299000000, 0.9414000000, 1.7908000000, 3.5363000000", \
"0.1337000000, 0.2082000000, 0.2597000000, 0.3544000000, 0.5544000000, 0.9683000000, 1.8081000000, 3.5382000000", \
"0.1486000000, 0.2268000000, 0.2800000000, 0.3769000000, 0.5773000000, 0.9961000000, 1.8311000000, 3.5456000000");
}
related_pin : "A2";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.0294400000, 0.0824500000, 0.1364300000, 0.2476600000, 0.4755300000, 0.9343900000, 1.8542200000, 3.6910000000", \
"0.0294500000, 0.0825100000, 0.1364300000, 0.2479100000, 0.4753200000, 0.9348000000, 1.8537800000, 3.6914000000", \
"0.0294700000, 0.0825200000, 0.1365700000, 0.2478500000, 0.4753600000, 0.9342000000, 1.8534400000, 3.6930000000", \
"0.0295300000, 0.0826400000, 0.1365100000, 0.2478300000, 0.4755100000, 0.9343000000, 1.8537400000, 3.6923000000", \
"0.0361400000, 0.0872300000, 0.1393500000, 0.2488800000, 0.4754700000, 0.9351200000, 1.8537300000, 3.6908000000", \
"0.0477000000, 0.0981800000, 0.1481900000, 0.2543700000, 0.4776300000, 0.9344500000, 1.8535900000, 3.6914000000", \
"0.0661300000, 0.1193600000, 0.1674800000, 0.2715600000, 0.4902600000, 0.9395000000, 1.8537000000, 3.6927000000", \
"0.0813000000, 0.1381000000, 0.1852000000, 0.2876000000, 0.5070000000, 0.9530000000, 1.8574000000, 3.6918000000", \
"0.1167000000, 0.1817000000, 0.2297000000, 0.3277000000, 0.5462000000, 1.0017000000, 1.8988000000, 3.7008000000", \
"0.1329000000, 0.2012000000, 0.2506000000, 0.3480000000, 0.5643000000, 1.0237000000, 1.9265000000, 3.7183000000", \
"0.1485000000, 0.2193000000, 0.2703000000, 0.3675000000, 0.5815000000, 1.0420000000, 1.9531000000, 3.7413000000");
}
sdf_cond : "(!A1&B1&B2)";
timing_sense : "positive_unate";
when : "(!A1&B1&B2)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.1294700000, 0.1892600000, 0.2357800000, 0.3221400000, 0.4900400000, 0.8256600000, 1.4987700000, 2.8460700000", \
"0.1327100000, 0.1923800000, 0.2389300000, 0.3252900000, 0.4932300000, 0.8289100000, 1.5021700000, 2.8499700000", \
"0.1345500000, 0.1940600000, 0.2405600000, 0.3268900000, 0.4948600000, 0.8305700000, 1.5037300000, 2.8507300000", \
"0.1380800000, 0.1972700000, 0.2437200000, 0.3300900000, 0.4980900000, 0.8338900000, 1.5071000000, 2.8552000000", \
"0.1605200000, 0.2201000000, 0.2659800000, 0.3516200000, 0.5187900000, 0.8537000000, 1.5266000000, 2.8738000000", \
"0.1914400000, 0.2551900000, 0.3021800000, 0.3879800000, 0.5536500000, 0.8867000000, 1.5581000000, 2.9049000000", \
"0.2337300000, 0.3060000000, 0.3582000000, 0.4491000000, 0.6177000000, 0.9478000000, 1.6150000000, 2.9585000000", \
"0.2638000000, 0.3419000000, 0.3980000000, 0.4944000000, 0.6709000000, 1.0049000000, 1.6690000000, 3.0088000000", \
"0.3205700000, 0.4095700000, 0.4732700000, 0.5814700000, 0.7741700000, 1.1350700000, 1.8121700000, 3.1436700000", \
"0.3418300000, 0.4351300000, 0.5017300000, 0.6146300000, 0.8146300000, 1.1857300000, 1.8825300000, 3.2124300000", \
"0.3598000000, 0.4568000000, 0.5261000000, 0.6430000000, 0.8495000000, 1.2302000000, 1.9445000000, 3.2814000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.1243500000, 0.1784700000, 0.2202700000, 0.2983800000, 0.4513400000, 0.7570600000, 1.3686700000, 2.5943700000", \
"0.1278000000, 0.1819100000, 0.2237100000, 0.3018500000, 0.4548100000, 0.7605000000, 1.3725700000, 2.5966700000", \
"0.1279200000, 0.1820300000, 0.2238200000, 0.3019300000, 0.4549200000, 0.7604300000, 1.3730300000, 2.5993300000", \
"0.1337300000, 0.1877500000, 0.2294800000, 0.3075600000, 0.4604800000, 0.7662400000, 1.3786000000, 2.6024000000", \
"0.1629300000, 0.2171600000, 0.2581900000, 0.3353200000, 0.4873000000, 0.7926000000, 1.4046000000, 2.6291000000", \
"0.1950900000, 0.2517200000, 0.2928200000, 0.3696600000, 0.5204300000, 0.8239000000, 1.4349000000, 2.6599000000", \
"0.2369100000, 0.3009000000, 0.3441000000, 0.4220000000, 0.5724000000, 0.8737000000, 1.4821000000, 2.7043000000", \
"0.2657000000, 0.3362000000, 0.3820000000, 0.4619000000, 0.6154000000, 0.9166000000, 1.5218000000, 2.7419000000", \
"0.3195700000, 0.4041700000, 0.4569700000, 0.5426700000, 0.7018700000, 1.0155700000, 1.6235700000, 2.8366700000", \
"0.3398300000, 0.4302300000, 0.4863300000, 0.5752300000, 0.7367300000, 1.0551300000, 1.6731300000, 2.8833300000", \
"0.3573000000, 0.4526000000, 0.5119000000, 0.6040000000, 0.7678000000, 1.0897000000, 1.7174000000, 2.9305000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.0352600000, 0.0891000000, 0.1394800000, 0.2429500000, 0.4577000000, 0.8948000000, 1.7746000000, 3.5354000000", \
"0.0352800000, 0.0891000000, 0.1394500000, 0.2429500000, 0.4576900000, 0.8953500000, 1.7755200000, 3.5364000000", \
"0.0352800000, 0.0891000000, 0.1394900000, 0.2428800000, 0.4572500000, 0.8949000000, 1.7757900000, 3.5345000000", \
"0.0353200000, 0.0892500000, 0.1394800000, 0.2429900000, 0.4572700000, 0.8953100000, 1.7758400000, 3.5369000000", \
"0.0408500000, 0.0939100000, 0.1425300000, 0.2443000000, 0.4575600000, 0.8952400000, 1.7750000000, 3.5358000000", \
"0.0534200000, 0.1076900000, 0.1541000000, 0.2516100000, 0.4603400000, 0.8954400000, 1.7753000000, 3.5365000000", \
"0.0744400000, 0.1365900000, 0.1841000000, 0.2790000000, 0.4775000000, 0.9001000000, 1.7749000000, 3.5345000000", \
"0.0917000000, 0.1598000000, 0.2103000000, 0.3073000000, 0.5063000000, 0.9174000000, 1.7780000000, 3.5353000000", \
"0.1323000000, 0.2119000000, 0.2695000000, 0.3745000000, 0.5824000000, 0.9973000000, 1.8228000000, 3.5404000000", \
"0.1509000000, 0.2349000000, 0.2956000000, 0.4049000000, 0.6173000000, 1.0415000000, 1.8612000000, 3.5530000000", \
"0.1686000000, 0.2564000000, 0.3196000000, 0.4331000000, 0.6508000000, 1.0813000000, 1.9077000000, 3.5783000000");
}
related_pin : "B1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.0378900000, 0.0905100000, 0.1427000000, 0.2518000000, 0.4774200000, 0.9356300000, 1.8537400000, 3.6930000000", \
"0.0378600000, 0.0905400000, 0.1426900000, 0.2515800000, 0.4772000000, 0.9355700000, 1.8542500000, 3.6910000000", \
"0.0378600000, 0.0905500000, 0.1427400000, 0.2515700000, 0.4777200000, 0.9360000000, 1.8545300000, 3.6922000000", \
"0.0378900000, 0.0905700000, 0.1427400000, 0.2516300000, 0.4773800000, 0.9358200000, 1.8552600000, 3.6930000000", \
"0.0412700000, 0.0935500000, 0.1447100000, 0.2525700000, 0.4778500000, 0.9361100000, 1.8550100000, 3.6972000000", \
"0.0501200000, 0.1012400000, 0.1512300000, 0.2571100000, 0.4794900000, 0.9359300000, 1.8547000000, 3.6940000000", \
"0.0681700000, 0.1198800000, 0.1675700000, 0.2699000000, 0.4884000000, 0.9387000000, 1.8544000000, 3.6928000000", \
"0.0840000000, 0.1377000000, 0.1842000000, 0.2858000000, 0.5022000000, 0.9477000000, 1.8566000000, 3.6917000000", \
"0.1224000000, 0.1811000000, 0.2274000000, 0.3251000000, 0.5430000000, 0.9894000000, 1.8825000000, 3.6950000000", \
"0.1406000000, 0.2014000000, 0.2480000000, 0.3446000000, 0.5615000000, 1.0133000000, 1.9028000000, 3.7039000000", \
"0.1579000000, 0.2202000000, 0.2677000000, 0.3636000000, 0.5792000000, 1.0362000000, 1.9288000000, 3.7155000000");
}
sdf_cond : "(!A1&A2&!B2)";
timing_sense : "positive_unate";
when : "(!A1&A2&!B2)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.1435000000, 0.2098800000, 0.2592400000, 0.3484800000, 0.5178900000, 0.8530500000, 1.5251700000, 2.8716700000", \
"0.1465700000, 0.2128100000, 0.2621700000, 0.3514100000, 0.5207900000, 0.8559400000, 1.5283700000, 2.8759700000", \
"0.1480800000, 0.2141800000, 0.2635200000, 0.3527400000, 0.5221500000, 0.8573800000, 1.5296300000, 2.8766300000", \
"0.1516100000, 0.2174000000, 0.2666800000, 0.3559100000, 0.5253100000, 0.8606100000, 1.5328000000, 2.8804000000", \
"0.1777000000, 0.2427400000, 0.2913300000, 0.3797700000, 0.5484200000, 0.8828000000, 1.5546000000, 2.9012000000", \
"0.2172000000, 0.2847500000, 0.3338600000, 0.4218600000, 0.5889200000, 0.9213000000, 1.5918000000, 2.9369000000", \
"0.2754700000, 0.3514000000, 0.4051000000, 0.4967000000, 0.6645000000, 0.9937000000, 1.6595000000, 3.0030000000", \
"0.3190000000, 0.4009000000, 0.4587000000, 0.5560000000, 0.7303000000, 1.0606000000, 1.7229000000, 3.0624000000", \
"0.4075700000, 0.5009700000, 0.5665700000, 0.6759700000, 0.8666700000, 1.2205700000, 1.8887700000, 3.2182700000", \
"0.4436300000, 0.5413300000, 0.6100300000, 0.7242300000, 0.9223300000, 1.2863300000, 1.9711300000, 3.2977300000", \
"0.4755000000, 0.5770000000, 0.6485000000, 0.7669000000, 0.9715000000, 1.3447000000, 2.0467000000, 3.3759000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.1411700000, 0.1965800000, 0.2378900000, 0.3150500000, 0.4671200000, 0.7720900000, 1.3844700000, 2.6077700000", \
"0.1445200000, 0.1999300000, 0.2412400000, 0.3184000000, 0.4704300000, 0.7753000000, 1.3870700000, 2.6118700000", \
"0.1448300000, 0.2002400000, 0.2415400000, 0.3187200000, 0.4707700000, 0.7759200000, 1.3875300000, 2.6113300000", \
"0.1503000000, 0.2056900000, 0.2469700000, 0.3241200000, 0.4762100000, 0.7813800000, 1.3927000000, 2.6183000000", \
"0.1794400000, 0.2345800000, 0.2752500000, 0.3515600000, 0.5028000000, 0.8072100000, 1.4187000000, 2.6431000000", \
"0.2129600000, 0.2708100000, 0.3114500000, 0.3870600000, 0.5364300000, 0.8390000000, 1.4495000000, 2.6727000000", \
"0.2541800000, 0.3202000000, 0.3629000000, 0.4390000000, 0.5868000000, 0.8861000000, 1.4931000000, 2.7144000000", \
"0.2805000000, 0.3537000000, 0.3995000000, 0.4774000000, 0.6272000000, 0.9250000000, 1.5283000000, 2.7464000000", \
"0.3235700000, 0.4120700000, 0.4662700000, 0.5503700000, 0.7046700000, 1.0114700000, 1.6150700000, 2.8246700000", \
"0.3373300000, 0.4319300000, 0.4899300000, 0.5779300000, 0.7338300000, 1.0445300000, 1.6559300000, 2.8617300000", \
"0.3478000000, 0.4478000000, 0.5092000000, 0.6011000000, 0.7589000000, 1.0721000000, 1.6916000000, 2.8987000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.0458500000, 0.1016100000, 0.1509600000, 0.2522200000, 0.4624700000, 0.8960300000, 1.7753500000, 3.5352000000", \
"0.0458500000, 0.1015700000, 0.1509500000, 0.2521700000, 0.4624100000, 0.8963800000, 1.7768200000, 3.5364000000", \
"0.0458500000, 0.1016000000, 0.1509200000, 0.2522700000, 0.4625600000, 0.8963000000, 1.7753700000, 3.5346000000", \
"0.0458800000, 0.1017800000, 0.1510800000, 0.2523500000, 0.4623100000, 0.8962600000, 1.7747000000, 3.5361000000", \
"0.0509800000, 0.1058400000, 0.1538600000, 0.2538400000, 0.4631200000, 0.8964600000, 1.7745700000, 3.5366000000", \
"0.0622500000, 0.1183000000, 0.1643300000, 0.2605900000, 0.4660500000, 0.8968300000, 1.7759000000, 3.5354000000", \
"0.0834400000, 0.1474100000, 0.1939000000, 0.2855000000, 0.4806000000, 0.9013000000, 1.7753000000, 3.5359000000", \
"0.1005000000, 0.1715000000, 0.2211000000, 0.3143000000, 0.5061000000, 0.9145000000, 1.7772000000, 3.5363000000", \
"0.1400000000, 0.2234000000, 0.2809000000, 0.3828000000, 0.5826000000, 0.9865000000, 1.8123000000, 3.5397000000", \
"0.1580000000, 0.2461000000, 0.3068000000, 0.4135000000, 0.6174000000, 1.0299000000, 1.8468000000, 3.5470000000", \
"0.1752000000, 0.2672000000, 0.3308000000, 0.4413000000, 0.6505000000, 1.0691000000, 1.8875000000, 3.5670000000");
}
related_pin : "B1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.0416100000, 0.0938100000, 0.1443700000, 0.2523900000, 0.4772900000, 0.9359800000, 1.8543100000, 3.6908000000", \
"0.0416100000, 0.0938100000, 0.1444700000, 0.2524000000, 0.4772500000, 0.9353500000, 1.8549900000, 3.6922000000", \
"0.0416300000, 0.0938200000, 0.1443800000, 0.2524000000, 0.4772700000, 0.9353700000, 1.8542500000, 3.6901000000", \
"0.0416200000, 0.0938600000, 0.1444800000, 0.2520300000, 0.4771600000, 0.9352300000, 1.8543300000, 3.6926000000", \
"0.0439600000, 0.0956200000, 0.1456700000, 0.2528000000, 0.4773600000, 0.9351500000, 1.8547300000, 3.6928000000", \
"0.0526900000, 0.1034900000, 0.1520700000, 0.2565600000, 0.4787400000, 0.9356000000, 1.8541000000, 3.6930000000", \
"0.0716200000, 0.1234000000, 0.1691200000, 0.2686000000, 0.4860000000, 0.9378000000, 1.8548000000, 3.6927000000", \
"0.0885000000, 0.1430000000, 0.1871000000, 0.2848000000, 0.4986000000, 0.9450000000, 1.8585000000, 3.6925000000", \
"0.1292000000, 0.1909000000, 0.2346000000, 0.3271000000, 0.5382000000, 0.9827000000, 1.8791000000, 3.6959000000", \
"0.1483000000, 0.2129000000, 0.2574000000, 0.3480000000, 0.5570000000, 1.0053000000, 1.8982000000, 3.7043000000", \
"0.1665000000, 0.2334000000, 0.2793000000, 0.3686000000, 0.5756000000, 1.0260000000, 1.9217000000, 3.7142000000");
}
sdf_cond : "(A1&!A2&!B2)";
timing_sense : "positive_unate";
when : "(A1&!A2&!B2)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.1293100000, 0.1890800000, 0.2355900000, 0.3219100000, 0.4897600000, 0.8252500000, 1.4985700000, 2.8461700000", \
"0.1320700000, 0.1916600000, 0.2381500000, 0.3244700000, 0.4923700000, 0.8279000000, 1.5010700000, 2.8480700000", \
"0.1330400000, 0.1925300000, 0.2390100000, 0.3253300000, 0.4932600000, 0.8287300000, 1.5020300000, 2.8493300000", \
"0.1365800000, 0.1957100000, 0.2421500000, 0.3285000000, 0.4964700000, 0.8321900000, 1.5052000000, 2.8521000000", \
"0.1637200000, 0.2231400000, 0.2690000000, 0.3546100000, 0.5217400000, 0.8566000000, 1.5291000000, 2.8763000000", \
"0.2046700000, 0.2676300000, 0.3142100000, 0.3997700000, 0.5653600000, 0.8985000000, 1.5699000000, 2.9153000000", \
"0.2676500000, 0.3393000000, 0.3910000000, 0.4810000000, 0.6481000000, 0.9781000000, 1.6456000000, 2.9887000000", \
"0.3182000000, 0.3959000000, 0.4518000000, 0.5477000000, 0.7226000000, 1.0542000000, 1.7184000000, 3.0585000000", \
"0.4321700000, 0.5212700000, 0.5853700000, 0.6941700000, 0.8871700000, 1.2452700000, 1.9152700000, 3.2466700000", \
"0.4831300000, 0.5767300000, 0.6439300000, 0.7580300000, 0.9592300000, 1.3289300000, 2.0159300000, 3.3443300000", \
"0.5309000000, 0.6283000000, 0.6984000000, 0.8171000000, 1.0257000000, 1.4061000000, 2.1115000000, 3.4412000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.1009800000, 0.1498000000, 0.1898000000, 0.2665900000, 0.4194500000, 0.7257200000, 1.3381700000, 2.5637700000", \
"0.1041200000, 0.1529300000, 0.1929400000, 0.2697300000, 0.4225700000, 0.7286900000, 1.3408700000, 2.5650700000", \
"0.1048200000, 0.1536200000, 0.1936200000, 0.2704400000, 0.4233000000, 0.7293800000, 1.3414300000, 2.5669300000", \
"0.1118600000, 0.1604800000, 0.2003700000, 0.2771000000, 0.4299200000, 0.7358800000, 1.3489000000, 2.5729000000", \
"0.1378200000, 0.1868400000, 0.2260500000, 0.3016800000, 0.4533600000, 0.7584000000, 1.3706000000, 2.5945000000", \
"0.1579000000, 0.2107500000, 0.2500000000, 0.3249800000, 0.4751500000, 0.7787000000, 1.3896000000, 2.6159000000", \
"0.1740000000, 0.2354100000, 0.2765100000, 0.3527000000, 0.5029000000, 0.8036000000, 1.4111000000, 2.6334000000", \
"0.1777000000, 0.2464000000, 0.2900000000, 0.3676000000, 0.5201000000, 0.8221000000, 1.4265000000, 2.6471000000", \
"0.1639700000, 0.2477700000, 0.2989700000, 0.3811700000, 0.5371700000, 0.8494700000, 1.4602700000, 2.6720700000", \
"0.1500300000, 0.2398300000, 0.2947300000, 0.3801300000, 0.5372300000, 0.8527300000, 1.4727300000, 2.6833300000", \
"0.1335000000, 0.2286000000, 0.2870000000, 0.3757000000, 0.5341000000, 0.8519000000, 1.4793000000, 2.6942000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.0352700000, 0.0890700000, 0.1394700000, 0.2428800000, 0.4575000000, 0.8944500000, 1.7750000000, 3.5354000000", \
"0.0352900000, 0.0890900000, 0.1393900000, 0.2428300000, 0.4570500000, 0.8946900000, 1.7750300000, 3.5357000000", \
"0.0352800000, 0.0890800000, 0.1394800000, 0.2428000000, 0.4571200000, 0.8951700000, 1.7749100000, 3.5349000000", \
"0.0353200000, 0.0892600000, 0.1395600000, 0.2428900000, 0.4573000000, 0.8951800000, 1.7750800000, 3.5342000000", \
"0.0404700000, 0.0935400000, 0.1422100000, 0.2441900000, 0.4577500000, 0.8955200000, 1.7750000000, 3.5347000000", \
"0.0519600000, 0.1055300000, 0.1521500000, 0.2501900000, 0.4599200000, 0.8943400000, 1.7748000000, 3.5348000000", \
"0.0722200000, 0.1333500000, 0.1802000000, 0.2743000000, 0.4737000000, 0.8987000000, 1.7746000000, 3.5338000000", \
"0.0888000000, 0.1563000000, 0.2062000000, 0.3024000000, 0.4989000000, 0.9110000000, 1.7753000000, 3.5348000000", \
"0.1276000000, 0.2076000000, 0.2655000000, 0.3702000000, 0.5763000000, 0.9844000000, 1.8109000000, 3.5367000000", \
"0.1454000000, 0.2304000000, 0.2918000000, 0.4016000000, 0.6122000000, 1.0287000000, 1.8442000000, 3.5440000000", \
"0.1624000000, 0.2517000000, 0.3161000000, 0.4307000000, 0.6470000000, 1.0705000000, 1.8841000000, 3.5618000000");
}
related_pin : "B1";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.0309900000, 0.0826800000, 0.1360100000, 0.2472600000, 0.4752400000, 0.9347400000, 1.8551300000, 3.6916000000", \
"0.0309800000, 0.0827100000, 0.1360400000, 0.2472400000, 0.4752500000, 0.9348300000, 1.8536400000, 3.6894000000", \
"0.0310000000, 0.0826400000, 0.1360800000, 0.2475900000, 0.4752800000, 0.9346500000, 1.8529000000, 3.6925000000", \
"0.0310300000, 0.0827200000, 0.1361100000, 0.2472600000, 0.4753300000, 0.9347500000, 1.8544100000, 3.6906000000", \
"0.0364700000, 0.0865700000, 0.1385800000, 0.2482400000, 0.4755200000, 0.9342200000, 1.8537200000, 3.6931000000", \
"0.0475300000, 0.0955400000, 0.1452500000, 0.2525300000, 0.4773000000, 0.9349200000, 1.8536800000, 3.6923000000", \
"0.0667300000, 0.1150200000, 0.1622300000, 0.2661000000, 0.4862000000, 0.9387000000, 1.8537000000, 3.6905000000", \
"0.0834000000, 0.1338000000, 0.1790000000, 0.2808000000, 0.5001000000, 0.9474000000, 1.8556000000, 3.6907000000", \
"0.1239000000, 0.1803000000, 0.2233000000, 0.3194000000, 0.5376000000, 0.9897000000, 1.8840000000, 3.6947000000", \
"0.1431000000, 0.2017000000, 0.2452000000, 0.3386000000, 0.5551000000, 1.0114000000, 1.9068000000, 3.7058000000", \
"0.1616000000, 0.2223000000, 0.2662000000, 0.3577000000, 0.5710000000, 1.0318000000, 1.9313000000, 3.7237000000");
}
sdf_cond : "(A1&A2&!B2)";
timing_sense : "positive_unate";
when : "(A1&A2&!B2)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.1142200000, 0.1742400000, 0.2209700000, 0.3076600000, 0.4759000000, 0.8119300000, 1.4851700000, 2.8323700000", \
"0.1186600000, 0.1782900000, 0.2249700000, 0.3116100000, 0.4798800000, 0.8157800000, 1.4891700000, 2.8360700000", \
"0.1200900000, 0.1796200000, 0.2263000000, 0.3129400000, 0.4811500000, 0.8171900000, 1.4902300000, 2.8382300000", \
"0.1298000000, 0.1890700000, 0.2356800000, 0.3223000000, 0.4905700000, 0.8264800000, 1.4997000000, 2.8476000000", \
"0.1699400000, 0.2292900000, 0.2751300000, 0.3608300000, 0.5281900000, 0.8635000000, 1.5364000000, 2.8828000000", \
"0.2221600000, 0.2828200000, 0.3290800000, 0.4149800000, 0.5808500000, 0.9145000000, 1.5860000000, 2.9336000000", \
"0.3067000000, 0.3727000000, 0.4216000000, 0.5094000000, 0.6761000000, 1.0071000000, 1.6754000000, 3.0202000000", \
"0.3779000000, 0.4483000000, 0.4997000000, 0.5907000000, 0.7622000000, 1.0941000000, 1.7596000000, 3.1019000000", \
"0.5472700000, 0.6272700000, 0.6839700000, 0.7821700000, 0.9634700000, 1.3137700000, 1.9831700000, 3.3171700000", \
"0.6264300000, 0.7105300000, 0.7694300000, 0.8706300000, 1.0560300000, 1.4137300000, 2.0955300000, 3.4273300000", \
"0.7022000000, 0.7898000000, 0.8509000000, 0.9548000000, 1.1440000000, 1.5076000000, 2.2038000000, 3.5364000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.0981700000, 0.1488100000, 0.1899700000, 0.2677600000, 0.4209500000, 0.7268300000, 1.3398700000, 2.5647700000", \
"0.1013700000, 0.1518100000, 0.1929500000, 0.2707300000, 0.4238700000, 0.7300400000, 1.3420700000, 2.5684700000", \
"0.1024100000, 0.1528100000, 0.1939500000, 0.2716900000, 0.4249400000, 0.7311200000, 1.3431300000, 2.5681300000", \
"0.1093300000, 0.1595300000, 0.2005900000, 0.2783300000, 0.4315200000, 0.7376900000, 1.3510000000, 2.5770000000", \
"0.1313900000, 0.1818600000, 0.2225500000, 0.2995600000, 0.4519300000, 0.7577100000, 1.3701000000, 2.5960000000", \
"0.1464500000, 0.1999500000, 0.2407200000, 0.3176800000, 0.4694500000, 0.7737000000, 1.3851000000, 2.6102000000", \
"0.1519900000, 0.2126800000, 0.2554400000, 0.3344000000, 0.4878000000, 0.7909000000, 1.3999000000, 2.6231000000", \
"0.1442000000, 0.2109000000, 0.2559000000, 0.3366000000, 0.4933000000, 0.7999000000, 1.4074000000, 2.6292000000", \
"0.0948700000, 0.1742700000, 0.2254700000, 0.3107700000, 0.4720700000, 0.7924700000, 1.4133700000, 2.6302700000", \
"0.0612300000, 0.1456300000, 0.1998300000, 0.2878300000, 0.4507300000, 0.7754300000, 1.4083300000, 2.6273300000", \
"0.0243000000, 0.1132000000, 0.1701000000, 0.2610000000, 0.4256000000, 0.7531000000, 1.3947000000, 2.6237000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.0352700000, 0.0890600000, 0.1392900000, 0.2428600000, 0.4576600000, 0.8952300000, 1.7746500000, 3.5360000000", \
"0.0352800000, 0.0890500000, 0.1393100000, 0.2428500000, 0.4571900000, 0.8951600000, 1.7748300000, 3.5317000000", \
"0.0352800000, 0.0890400000, 0.1393600000, 0.2427700000, 0.4576600000, 0.8950500000, 1.7755700000, 3.5356000000", \
"0.0352800000, 0.0891300000, 0.1394600000, 0.2427500000, 0.4571900000, 0.8952000000, 1.7751200000, 3.5356000000", \
"0.0396900000, 0.0931100000, 0.1418600000, 0.2440800000, 0.4575900000, 0.8948400000, 1.7753900000, 3.5347000000", \
"0.0477400000, 0.1021700000, 0.1504000000, 0.2499900000, 0.4597100000, 0.8956300000, 1.7753000000, 3.5365000000", \
"0.0657400000, 0.1231000000, 0.1705000000, 0.2675000000, 0.4715000000, 0.8989000000, 1.7747000000, 3.5354000000", \
"0.0814000000, 0.1416000000, 0.1897000000, 0.2877000000, 0.4899000000, 0.9094000000, 1.7772000000, 3.5360000000", \
"0.1181000000, 0.1852000000, 0.2361000000, 0.3357000000, 0.5450000000, 0.9638000000, 1.8046000000, 3.5376000000", \
"0.1348000000, 0.2053000000, 0.2575000000, 0.3583000000, 0.5690000000, 0.9956000000, 1.8302000000, 3.5435000000", \
"0.1508000000, 0.2241000000, 0.2777000000, 0.3801000000, 0.5918000000, 1.0256000000, 1.8610000000, 3.5581000000");
}
related_pin : "B2";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.0307300000, 0.0839500000, 0.1377600000, 0.2489300000, 0.4762800000, 0.9351400000, 1.8565600000, 3.6947000000", \
"0.0307800000, 0.0839700000, 0.1377700000, 0.2489600000, 0.4760900000, 0.9354000000, 1.8536700000, 3.6937000000", \
"0.0307500000, 0.0839700000, 0.1377800000, 0.2485700000, 0.4760700000, 0.9361000000, 1.8542700000, 3.6922000000", \
"0.0307300000, 0.0840400000, 0.1378300000, 0.2486900000, 0.4761700000, 0.9363200000, 1.8540500000, 3.6933000000", \
"0.0353200000, 0.0879400000, 0.1406000000, 0.2498800000, 0.4763200000, 0.9352800000, 1.8554900000, 3.6943000000", \
"0.0451200000, 0.0958900000, 0.1471000000, 0.2548900000, 0.4787800000, 0.9352800000, 1.8538900000, 3.6927000000", \
"0.0622000000, 0.1136300000, 0.1631500000, 0.2690300000, 0.4890000000, 0.9395000000, 1.8552000000, 3.6930000000", \
"0.0767000000, 0.1297000000, 0.1777000000, 0.2832000000, 0.5043000000, 0.9511000000, 1.8579000000, 3.6921000000", \
"0.1106000000, 0.1682000000, 0.2154000000, 0.3166000000, 0.5410000000, 0.9967000000, 1.8903000000, 3.6985000000", \
"0.1260000000, 0.1859000000, 0.2334000000, 0.3330000000, 0.5561000000, 1.0191000000, 1.9158000000, 3.7135000000", \
"0.1408000000, 0.2021000000, 0.2505000000, 0.3495000000, 0.5708000000, 1.0383000000, 1.9448000000, 3.7302000000");
}
sdf_cond : "(!A1&A2&!B1)";
timing_sense : "positive_unate";
when : "(!A1&A2&!B1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.1283000000, 0.1949000000, 0.2444600000, 0.3339900000, 0.5037300000, 0.8392000000, 1.5117700000, 2.8584700000", \
"0.1330500000, 0.1992600000, 0.2487700000, 0.3382600000, 0.5079800000, 0.8434200000, 1.5159700000, 2.8632700000", \
"0.1345400000, 0.2006600000, 0.2501600000, 0.3396600000, 0.5093700000, 0.8448800000, 1.5170300000, 2.8649300000", \
"0.1440400000, 0.2099200000, 0.2593500000, 0.3488200000, 0.5185200000, 0.8539500000, 1.5265000000, 2.8743000000", \
"0.1862800000, 0.2508100000, 0.2993400000, 0.3878400000, 0.5566200000, 0.8912000000, 1.5628000000, 2.9099000000", \
"0.2422000000, 0.3079300000, 0.3568400000, 0.4448300000, 0.6119700000, 0.9447000000, 1.6152000000, 2.9616000000", \
"0.3334000000, 0.4043000000, 0.4553000000, 0.5442000000, 0.7110000000, 1.0406000000, 1.7074000000, 3.0511000000", \
"0.4087000000, 0.4844000000, 0.5380000000, 0.6301000000, 0.7998000000, 1.1290000000, 1.7919000000, 3.1326000000", \
"0.5828700000, 0.6688700000, 0.7282700000, 0.8280700000, 1.0068700000, 1.3498700000, 2.0132700000, 3.3447700000", \
"0.6625300000, 0.7526300000, 0.8145300000, 0.9176300000, 1.1004300000, 1.4499300000, 2.1225300000, 3.4514300000", \
"0.7378000000, 0.8316000000, 0.8958000000, 1.0018000000, 1.1883000000, 1.5430000000, 2.2282000000, 3.5561000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.1266000000, 0.1801900000, 0.2216300000, 0.2992800000, 0.4517600000, 0.7571300000, 1.3687700000, 2.5936700000", \
"0.1294000000, 0.1828600000, 0.2243000000, 0.3019500000, 0.4545200000, 0.7597100000, 1.3720700000, 2.5974700000", \
"0.1306500000, 0.1841000000, 0.2255300000, 0.3032000000, 0.4557800000, 0.7612700000, 1.3734300000, 2.5998300000", \
"0.1369100000, 0.1903200000, 0.2317200000, 0.3093900000, 0.4620200000, 0.7672300000, 1.3797000000, 2.6042000000", \
"0.1635100000, 0.2171300000, 0.2579100000, 0.3347500000, 0.4865600000, 0.7916200000, 1.4033000000, 2.6287000000", \
"0.1882200000, 0.2443000000, 0.2850800000, 0.3615500000, 0.5121300000, 0.8154000000, 1.4265000000, 2.6512000000", \
"0.2088800000, 0.2728200000, 0.3158000000, 0.3934000000, 0.5436000000, 0.8446000000, 1.4527000000, 2.6755000000", \
"0.2133000000, 0.2839000000, 0.3297000000, 0.4089000000, 0.5619000000, 0.8639000000, 1.4693000000, 2.6896000000", \
"0.1921700000, 0.2763700000, 0.3295700000, 0.4141700000, 0.5714700000, 0.8852700000, 1.4981700000, 2.7112700000", \
"0.1712300000, 0.2609300000, 0.3173300000, 0.4053300000, 0.5640300000, 0.8814300000, 1.5053300000, 2.7189300000", \
"0.1465000000, 0.2408000000, 0.3003000000, 0.3916000000, 0.5519000000, 0.8718000000, 1.5043000000, 2.7250000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.0458400000, 0.1015300000, 0.1507800000, 0.2521000000, 0.4624100000, 0.8961500000, 1.7758100000, 3.5353000000", \
"0.0458400000, 0.1015000000, 0.1507700000, 0.2521400000, 0.4624900000, 0.8963700000, 1.7759200000, 3.5359000000", \
"0.0458400000, 0.1015200000, 0.1507900000, 0.2521000000, 0.4624800000, 0.8956100000, 1.7751900000, 3.5361000000", \
"0.0458600000, 0.1016600000, 0.1509200000, 0.2521600000, 0.4624500000, 0.8954200000, 1.7761900000, 3.5367000000", \
"0.0500300000, 0.1049600000, 0.1533800000, 0.2536000000, 0.4629400000, 0.8964400000, 1.7746400000, 3.5349000000", \
"0.0579700000, 0.1149900000, 0.1623200000, 0.2598000000, 0.4654400000, 0.8961300000, 1.7762000000, 3.5367000000", \
"0.0768000000, 0.1369000000, 0.1830000000, 0.2767000000, 0.4761000000, 0.9008000000, 1.7760000000, 3.5357000000", \
"0.0929000000, 0.1569000000, 0.2041000000, 0.2976000000, 0.4935000000, 0.9093000000, 1.7768000000, 3.5355000000", \
"0.1303000000, 0.2026000000, 0.2536000000, 0.3485000000, 0.5476000000, 0.9577000000, 1.7986000000, 3.5367000000", \
"0.1473000000, 0.2230000000, 0.2756000000, 0.3722000000, 0.5723000000, 0.9876000000, 1.8224000000, 3.5413000000", \
"0.1633000000, 0.2421000000, 0.2962000000, 0.3943000000, 0.5953000000, 1.0164000000, 1.8497000000, 3.5537000000");
}
related_pin : "B2";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.0375600000, 0.0902900000, 0.1425000000, 0.2514800000, 0.4772000000, 0.9351200000, 1.8539000000, 3.6941000000", \
"0.0375500000, 0.0902800000, 0.1425000000, 0.2515100000, 0.4775300000, 0.9351700000, 1.8543900000, 3.6969000000", \
"0.0375600000, 0.0903600000, 0.1425200000, 0.2516200000, 0.4777400000, 0.9357000000, 1.8547500000, 3.6927000000", \
"0.0375600000, 0.0903900000, 0.1425000000, 0.2516300000, 0.4772300000, 0.9353500000, 1.8547100000, 3.6954000000", \
"0.0406800000, 0.0928700000, 0.1439300000, 0.2521600000, 0.4779100000, 0.9359100000, 1.8542200000, 3.6925000000", \
"0.0493100000, 0.1002800000, 0.1501200000, 0.2561400000, 0.4790800000, 0.9366000000, 1.8549000000, 3.6930000000", \
"0.0671700000, 0.1194900000, 0.1669100000, 0.2690600000, 0.4874000000, 0.9386000000, 1.8545000000, 3.6921000000", \
"0.0823000000, 0.1373000000, 0.1833000000, 0.2842000000, 0.5006000000, 0.9480000000, 1.8568000000, 3.6940000000", \
"0.1178000000, 0.1797000000, 0.2250000000, 0.3215000000, 0.5385000000, 0.9906000000, 1.8853000000, 3.6975000000", \
"0.1342000000, 0.1985000000, 0.2449000000, 0.3394000000, 0.5548000000, 1.0118000000, 1.9082000000, 3.7095000000", \
"0.1497000000, 0.2161000000, 0.2637000000, 0.3570000000, 0.5698000000, 1.0306000000, 1.9344000000, 3.7269000000");
}
sdf_cond : "(A1&!A2&!B1)";
timing_sense : "positive_unate";
when : "(A1&!A2&!B1)";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.1140200000, 0.1740300000, 0.2207500000, 0.3074000000, 0.4756600000, 0.8115200000, 1.4850700000, 2.8327700000", \
"0.1178300000, 0.1774300000, 0.2241000000, 0.3107000000, 0.4788700000, 0.8148100000, 1.4875700000, 2.8356700000", \
"0.1188300000, 0.1783500000, 0.2250200000, 0.3116500000, 0.4799100000, 0.8156900000, 1.4892300000, 2.8372300000", \
"0.1290500000, 0.1882900000, 0.2348800000, 0.3214700000, 0.4897200000, 0.8256200000, 1.4986000000, 2.8467000000", \
"0.1726000000, 0.2318500000, 0.2777000000, 0.3634100000, 0.5306900000, 0.8659000000, 1.5382000000, 2.8861000000", \
"0.2308600000, 0.2911700000, 0.3373600000, 0.4232100000, 0.5891700000, 0.9227000000, 1.5945000000, 2.9403000000", \
"0.3287000000, 0.3941000000, 0.4428000000, 0.5301000000, 0.6964000000, 1.0273000000, 1.6960000000, 3.0408000000", \
"0.4135000000, 0.4832000000, 0.5344000000, 0.6250000000, 0.7956000000, 1.1268000000, 1.7925000000, 3.1349000000", \
"0.6211700000, 0.7001700000, 0.7566700000, 0.8548700000, 1.0361700000, 1.3844700000, 2.0510700000, 3.3858700000", \
"0.7203300000, 0.8033300000, 0.8620300000, 0.9633300000, 1.1491300000, 1.5056300000, 2.1818300000, 3.5142300000", \
"0.8162000000, 0.9026000000, 0.9634000000, 1.0675000000, 1.2574000000, 1.6206000000, 2.3107000000, 3.6413000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.0838900000, 0.1313000000, 0.1712000000, 0.2482100000, 0.4014700000, 0.7078600000, 1.3208700000, 2.5450700000", \
"0.0869500000, 0.1341800000, 0.1740800000, 0.2510900000, 0.4043400000, 0.7100900000, 1.3233700000, 2.5473700000", \
"0.0884500000, 0.1356500000, 0.1755300000, 0.2525400000, 0.4055700000, 0.7121500000, 1.3240300000, 2.5502300000", \
"0.0964900000, 0.1433000000, 0.1830800000, 0.2599700000, 0.4132100000, 0.7194100000, 1.3325000000, 2.5570000000", \
"0.1154200000, 0.1627700000, 0.2021700000, 0.2782500000, 0.4307100000, 0.7362600000, 1.3487000000, 2.5730000000", \
"0.1218400000, 0.1730500000, 0.2126800000, 0.2885400000, 0.4398300000, 0.7442000000, 1.3552000000, 2.5815000000", \
"0.1100200000, 0.1689300000, 0.2102200000, 0.2878000000, 0.4408000000, 0.7439000000, 1.3533000000, 2.5770000000", \
"0.0854000000, 0.1507000000, 0.1941000000, 0.2728000000, 0.4284000000, 0.7356000000, 1.3426000000, 2.5642000000", \
"-0.0090300000, 0.0690700000, 0.1189700000, 0.2015700000, 0.3600700000, 0.6784700000, 1.3006700000, 2.5167700000", \
"-0.0654700000, 0.0176300000, 0.0706300000, 0.1557300000, 0.3152300000, 0.6366300000, 1.2683300000, 2.4891300000", \
"-0.1249000000, -0.0374000000, 0.0184000000, 0.1064000000, 0.2668000000, 0.5902000000, 1.2292000000, 2.4606000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.0352700000, 0.0890300000, 0.1392900000, 0.2427900000, 0.4570000000, 0.8954200000, 1.7751000000, 3.5354000000", \
"0.0352800000, 0.0890000000, 0.1393500000, 0.2426900000, 0.4574800000, 0.8946600000, 1.7746000000, 3.5352000000", \
"0.0352700000, 0.0890200000, 0.1393300000, 0.2427700000, 0.4572000000, 0.8948500000, 1.7751200000, 3.5338000000", \
"0.0352800000, 0.0891500000, 0.1393700000, 0.2427700000, 0.4570300000, 0.8946100000, 1.7748700000, 3.5346000000", \
"0.0394800000, 0.0927200000, 0.1415900000, 0.2439200000, 0.4576900000, 0.8945100000, 1.7745800000, 3.5351000000", \
"0.0465200000, 0.1008200000, 0.1492100000, 0.2490400000, 0.4596800000, 0.8948000000, 1.7751000000, 3.5347000000", \
"0.0633000000, 0.1203000000, 0.1677000000, 0.2645000000, 0.4691000000, 0.8987000000, 1.7740000000, 3.5344000000", \
"0.0779000000, 0.1380000000, 0.1861000000, 0.2837000000, 0.4852000000, 0.9060000000, 1.7754000000, 3.5347000000", \
"0.1123000000, 0.1794000000, 0.2305000000, 0.3306000000, 0.5387000000, 0.9541000000, 1.7966000000, 3.5382000000", \
"0.1282000000, 0.1983000000, 0.2509000000, 0.3524000000, 0.5633000000, 0.9858000000, 1.8189000000, 3.5389000000", \
"0.1431000000, 0.2161000000, 0.2702000000, 0.3734000000, 0.5858000000, 1.0153000000, 1.8469000000, 3.5481000000");
}
related_pin : "B2";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0081700000, 0.0163400000, 0.0326800000, 0.0653700000, 0.1307300000, 0.2614600000, 0.5229200000");
values("0.0263400000, 0.0793500000, 0.1338400000, 0.2462300000, 0.4753000000, 0.9351500000, 1.8537400000, 3.6929000000", \
"0.0263500000, 0.0792600000, 0.1339700000, 0.2462200000, 0.4751700000, 0.9342600000, 1.8535800000, 3.6912000000", \
"0.0263100000, 0.0793100000, 0.1338400000, 0.2463400000, 0.4748700000, 0.9345500000, 1.8537700000, 3.6922000000", \
"0.0264000000, 0.0794100000, 0.1339200000, 0.2463200000, 0.4750600000, 0.9347300000, 1.8538800000, 3.6914000000", \
"0.0328000000, 0.0837900000, 0.1367300000, 0.2474900000, 0.4751400000, 0.9347000000, 1.8539400000, 3.6920000000", \
"0.0433100000, 0.0924000000, 0.1434300000, 0.2519700000, 0.4769500000, 0.9343400000, 1.8540200000, 3.6909000000", \
"0.0605500000, 0.1098000000, 0.1590100000, 0.2658000000, 0.4872600000, 0.9389000000, 1.8548000000, 3.6927000000", \
"0.0750000000, 0.1259000000, 0.1732000000, 0.2787000000, 0.5024000000, 0.9512000000, 1.8572000000, 3.6921000000", \
"0.1086000000, 0.1651000000, 0.2106000000, 0.3104000000, 0.5346000000, 0.9937000000, 1.8915000000, 3.6980000000", \
"0.1243000000, 0.1828000000, 0.2285000000, 0.3264000000, 0.5487000000, 1.0132000000, 1.9182000000, 3.7122000000", \
"0.1391000000, 0.1996000000, 0.2458000000, 0.3416000000, 0.5616000000, 1.0296000000, 1.9416000000, 3.7319000000");
}
sdf_cond : "(A1&A2&!B1)";
timing_sense : "positive_unate";
when : "(A1&A2&!B1)";
}
}
}
cell ("sky130_fd_sc_hvl__o22ai_1") {
leakage_power () {
value : 1.0694957000;
when : "A1&A2&!B1&B2";
}
leakage_power () {
value : 4.4489301000;
when : "A1&A2&!B1&!B2";
}
leakage_power () {
value : 0.5860746000;
when : "A1&A2&B1&B2";
}
leakage_power () {
value : 1.0061061000;
when : "A1&A2&B1&!B2";
}
leakage_power () {
value : 3.1929155000;
when : "!A1&!A2&!B1&B2";
}
leakage_power () {
value : 0.3920458000;
when : "!A1&!A2&!B1&!B2";
}
leakage_power () {
value : 3.2110909000;
when : "!A1&!A2&B1&B2";
}
leakage_power () {
value : 3.1846381000;
when : "!A1&!A2&B1&!B2";
}
leakage_power () {
value : 1.5529640000;
when : "!A1&A2&!B1&B2";
}
leakage_power () {
value : 4.4488697000;
when : "!A1&A2&!B1&!B2";
}
leakage_power () {
value : 1.0695452000;
when : "!A1&A2&B1&B2";
}
leakage_power () {
value : 1.4895764000;
when : "!A1&A2&B1&!B2";
}
leakage_power () {
value : 1.4895759000;
when : "A1&!A2&!B1&B2";
}
leakage_power () {
value : 4.4488497000;
when : "A1&!A2&!B1&!B2";
}
leakage_power () {
value : 1.0061584000;
when : "A1&!A2&B1&B2";
}
leakage_power () {
value : 1.4261898000;
when : "A1&!A2&B1&!B2";
}
area : 15.628800000;
cell_footprint : "sky130_fd_sc_hvl__o22ai";
cell_leakage_power : 2.1264390000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0047600000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0803941000, 0.0789840000, 0.0774566000, 0.0765420000, 0.0736876000, 0.0733916000, 0.0728038000, 0.0729552000, 0.0733849000, 0.0736059000, 0.0738269000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0727424000, -0.0726600000, -0.0725704000, -0.0725119000, -0.0723216000, -0.0723987000, -0.0725497000, -0.0724878000, -0.0723094000, -0.0722184000, -0.0721274000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("A2") {
capacitance : 0.0048000000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0778650000, 0.0768876000, 0.0758291000, 0.0749039000, 0.0720168000, 0.0721452000, 0.0724063000, 0.0724546000, 0.0725967000, 0.0726685000, 0.0727402000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0715105000, -0.0715834000, -0.0716620000, -0.0716335000, -0.0715373000, -0.0715255000, -0.0714987000, -0.0714513000, -0.0713135000, -0.0712434000, -0.0711734000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("B1") {
capacitance : 0.0046400000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0814097000, 0.0817373000, 0.0820925000, 0.0820539000, 0.0819404000, 0.0819674000, 0.0820247000, 0.0820722000, 0.0822103000, 0.0822804000, 0.0823506000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0387003000, -0.0386423000, -0.0385791000, -0.0383275000, -0.0375338000, -0.0370573000, -0.0361015000, -0.0358494000, -0.0351419000, -0.0347761000, -0.0344101000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("B2") {
capacitance : 0.0049100000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0807557000, 0.0812060000, 0.0816941000, 0.0817105000, 0.0817687000, 0.0816676000, 0.0814688000, 0.0814634000, 0.0814542000, 0.0814478000, 0.0814414000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0434053000, -0.0402382000, -0.0368069000, -0.0365692000, -0.0358190000, -0.0353728000, -0.0344780000, -0.0342261000, -0.0335191000, -0.0331536000, -0.0327879000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("Y") {
direction : "output";
function : "(!B1&!B2) | (!A1&!A2)";
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0428280000, -0.0626622000, -0.1831941000, -0.4236423000, -0.9042041000, -1.8665304000, -3.7892225000", \
"0.0439984000, -0.0609849000, -0.1796339000, -0.4191207000, -0.8993355000, -1.8608256000, -3.7830690000", \
"0.0480792000, -0.0623325000, -0.1799981000, -0.4186168000, -0.8985046000, -1.8593696000, -3.7818182000", \
"0.0511136000, -0.0639128000, -0.1811995000, -0.4192073000, -0.8983106000, -1.8586845000, -3.7810102000", \
"0.0985056000, -0.0347961000, -0.1599253000, -0.4051618000, -0.8902885000, -1.8534080000, -3.7777602000", \
"0.1945756000, 0.0393156000, -0.0977643000, -0.3574245000, -0.8569376000, -1.8320931000, -3.7649309000", \
"0.3987340000, 0.2243541000, 0.0663772000, -0.2195588000, -0.7486647000, -1.7553839000, -3.7172906000", \
"0.6052051000, 0.4216920000, 0.2543513000, -0.0540167000, -0.6123182000, -1.6516601000, -3.6453505000", \
"1.1902410000, 0.9921504000, 0.8083774000, 0.4665259000, -0.1558197000, -1.2807457000, -3.3669211000", \
"1.4951108000, 1.2950494000, 1.1032559000, 0.7513175000, 0.1065920000, -1.0572345000, -3.1928284000", \
"1.8023152000, 1.5951491000, 1.4026437000, 1.0405911000, 0.3760976000, -0.8220003000, -3.0025472000");
}
related_pin : "A2";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.1522413000, 0.2772070000, 0.3968573000, 0.6348637000, 1.1137639000, 2.0645718000, 3.9677496000", \
"0.1531729000, 0.2755810000, 0.3952121000, 0.6346343000, 1.1128996000, 2.0645333000, 3.9675453000", \
"0.1518396000, 0.2746466000, 0.3951635000, 0.6353043000, 1.1129076000, 2.0642381000, 3.9684463000", \
"0.1589909000, 0.2776218000, 0.3969637000, 0.6362334000, 1.1138151000, 2.0650985000, 3.9690987000", \
"0.2160517000, 0.3202558000, 0.4313412000, 0.6610644000, 1.1278455000, 2.0748504000, 3.9753558000", \
"0.3132895000, 0.4043905000, 0.5068354000, 0.7210227000, 1.1738176000, 2.1043934000, 3.9928063000", \
"0.5182361000, 0.5972818000, 0.6864802000, 0.8803260000, 1.3032542000, 2.1981746000, 4.0537458000", \
"0.7264307000, 0.7987971000, 0.8815479000, 1.0620500000, 1.4614791000, 2.3230320000, 4.1417719000", \
"1.3084463000, 1.3726038000, 1.4453248000, 1.6037773000, 1.9593917000, 2.7522339000, 4.4705463000", \
"1.6141942000, 1.6742352000, 1.7401674000, 1.8947307000, 2.2366469000, 2.9963614000, 4.6758815000", \
"1.9203384000, 1.9769957000, 2.0413635000, 2.1896198000, 2.5179991000, 3.2549412000, 4.8955945000");
}
when : "(!A1&B1&B2)";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0269217000, -0.0912252000, -0.2133380000, -0.4522677000, -0.9326713000, -1.8938706000, -3.8166040000", \
"0.0303279000, -0.0883050000, -0.2082460000, -0.4484615000, -0.9290401000, -1.8902369000, -3.8128342000", \
"0.0275966000, -0.0907883000, -0.2102959000, -0.4501183000, -0.9305794000, -1.8917471000, -3.8145320000", \
"0.0331220000, -0.0894676000, -0.2100402000, -0.4504861000, -0.9312676000, -1.8931356000, -3.8156234000", \
"0.0842838000, -0.0553225000, -0.1843711000, -0.4329879000, -0.9206593000, -1.8870212000, -3.8130581000", \
"0.1829787000, 0.0249678000, -0.1160817000, -0.3810025000, -0.8851066000, -1.8647987000, -3.8012915000", \
"0.3959075000, 0.2182927000, 0.0591462000, -0.2338965000, -0.7724728000, -1.7884225000, -3.7535073000", \
"0.6150370000, 0.4276815000, 0.2552322000, -0.0578203000, -0.6294058000, -1.6825946000, -3.6817091000", \
"1.2280121000, 1.0243028000, 0.8379358000, 0.4890242000, -0.1458405000, -1.2939066000, -3.4023129000", \
"1.5446547000, 1.3396990000, 1.1472315000, 0.7877902000, 0.1279503000, -1.0595512000, -3.2214296000", \
"1.8648693000, 1.6560240000, 1.4591822000, 1.0911028000, 0.4130179000, -0.8131746000, -3.0220154000");
}
related_pin : "B1";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0975208000, 0.2308983000, 0.3508902000, 0.5864363000, 1.0653671000, 2.0194306000, 3.9263935000", \
"0.0972299000, 0.2316187000, 0.3519397000, 0.5915336000, 1.0670487000, 2.0186161000, 3.9213354000", \
"0.0998768000, 0.2295050000, 0.3509683000, 0.5905412000, 1.0671638000, 2.0183289000, 3.9219606000", \
"0.1068371000, 0.2288820000, 0.3498353000, 0.5900984000, 1.0672535000, 2.0186495000, 3.9227134000", \
"0.1631813000, 0.2641273000, 0.3762617000, 0.6077004000, 1.0770979000, 2.0247965000, 3.9265291000", \
"0.2666188000, 0.3500228000, 0.4495504000, 0.6634017000, 1.1176122000, 2.0512617000, 3.9421911000", \
"0.4788206000, 0.5497262000, 0.6324430000, 0.8229166000, 1.2441976000, 2.1433553000, 4.0022279000", \
"0.6985715000, 0.7596409000, 0.8346588000, 1.0080506000, 1.4011711000, 2.2651561000, 4.0879979000", \
"1.3134480000, 1.3636533000, 1.4231435000, 1.5658977000, 1.9063996000, 2.6902880000, 4.4157953000", \
"1.6343252000, 1.6808859000, 1.7372539000, 1.8716233000, 2.1882888000, 2.9392651000, 4.6185026000", \
"1.9531929000, 1.9984340000, 2.0521714000, 2.1762702000, 2.4816494000, 3.2018861000, 4.8366948000");
}
when : "(!A1&A2&!B2)";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0448518000, -0.0759844000, -0.1877935000, -0.4345319000, -0.9274338000, -1.8886552000, -3.7974054000", \
"0.0486509000, -0.0705046000, -0.1904445000, -0.4302363000, -0.9107898000, -1.8718533000, -3.7946032000", \
"0.0462604000, -0.0725511000, -0.1922463000, -0.4322042000, -0.9127815000, -1.8734338000, -3.7963895000", \
"0.0493251000, -0.0716997000, -0.1922735000, -0.4325414000, -0.9132344000, -1.8746258000, -3.7976814000", \
"0.0913436000, -0.0425502000, -0.1695118000, -0.4166664000, -0.9032496000, -1.8693711000, -3.7960365000", \
"0.1807067000, 0.0315211000, -0.1066096000, -0.3683653000, -0.8691140000, -1.8487579000, -3.7833001000", \
"0.3827231000, 0.2141776000, 0.0600301000, -0.2278301000, -0.7617206000, -1.7741771000, -3.7374572000", \
"0.5961574000, 0.4158730000, 0.2490150000, -0.0582680000, -0.6230689000, -1.6697794000, -3.6678266000", \
"1.1947437000, 0.9997470000, 0.8168409000, 0.4731199000, -0.1536108000, -1.2931654000, -3.3951391000", \
"1.5065139000, 1.3076594000, 1.1194531000, 0.7667271000, 0.1143953000, -1.0648480000, -3.2170121000", \
"1.8218531000, 1.6179230000, 1.4263177000, 1.0644188000, 0.3923363000, -0.8215354000, -3.0231861000");
}
related_pin : "B1";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.1497933000, 0.2837791000, 0.4021920000, 0.6400165000, 1.1153754000, 2.0717803000, 3.9661135000", \
"0.1498378000, 0.2840751000, 0.4041006000, 0.6424409000, 1.1190816000, 2.0706632000, 3.9749991000", \
"0.1525330000, 0.2822070000, 0.4029462000, 0.6421175000, 1.1192595000, 2.0715867000, 3.9751551000", \
"0.1594876000, 0.2818468000, 0.4022804000, 0.6416517000, 1.1191428000, 2.0712988000, 3.9753767000", \
"0.2136475000, 0.3159557000, 0.4278167000, 0.6597297000, 1.1300797000, 2.0773696000, 3.9784746000", \
"0.3136764000, 0.3992942000, 0.4978281000, 0.7137737000, 1.1701198000, 2.1039817000, 3.9945924000", \
"0.5254311000, 0.5938270000, 0.6780106000, 0.8694296000, 1.2910892000, 2.1928084000, 4.0515953000", \
"0.7365046000, 0.8005471000, 0.8764492000, 1.0503447000, 1.4444495000, 2.3098188000, 4.1361228000", \
"1.3420243000, 1.3937274000, 1.4543498000, 1.6001908000, 1.9421007000, 2.7280862000, 4.4545246000", \
"1.6573124000, 1.7069144000, 1.7632568000, 1.8992437000, 2.2195077000, 2.9728083000, 4.6530764000", \
"1.9735682000, 2.0209873000, 2.0751785000, 2.2026874000, 2.5044375000, 3.2291316000, 4.8658910000");
}
when : "(A1&!A2&!B2)";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0269074000, -0.0914589000, -0.2146328000, -0.4522112000, -0.9326618000, -1.8939247000, -3.8168926000", \
"0.0302158000, -0.0884790000, -0.2082021000, -0.4483847000, -0.9290959000, -1.8901124000, -3.8128771000", \
"0.0277788000, -0.0907606000, -0.2102155000, -0.4503396000, -0.9304775000, -1.8916966000, -3.8145702000", \
"0.0344581000, -0.0882221000, -0.2089800000, -0.4499931000, -0.9314220000, -1.8926309000, -3.8157344000", \
"0.0899484000, -0.0509620000, -0.1807142000, -0.4307611000, -0.9189219000, -1.8855647000, -3.8123954000", \
"0.1963831000, 0.0359662000, -0.1068140000, -0.3739452000, -0.8796388000, -1.8612072000, -3.7973813000", \
"0.4248122000, 0.2439963000, 0.0812891000, -0.2139121000, -0.7573125000, -1.7769751000, -3.7456320000", \
"0.6570660000, 0.4673404000, 0.2940781000, -0.0244485000, -0.6010189000, -1.6592731000, -3.6677958000", \
"1.3106710000, 1.1040832000, 0.9150614000, 0.5621116000, -0.0808214000, -1.2354500000, -3.3567077000", \
"1.6491315000, 1.4395231000, 1.2450658000, 0.8807245000, 0.2129340000, -0.9876323000, -3.1605705000", \
"1.9900600000, 1.7765220000, 1.5772400000, 1.2049503000, 0.5191805000, -0.7194732000, -2.9465869000");
}
related_pin : "B1";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0974810000, 0.2310334000, 0.3509954000, 0.5869971000, 1.0637478000, 2.0201741000, 3.9239636000", \
"0.0973236000, 0.2304081000, 0.3506931000, 0.5901752000, 1.0663415000, 2.0168604000, 3.9216328000", \
"0.0995461000, 0.2277256000, 0.3491782000, 0.5893474000, 1.0658488000, 2.0165614000, 3.9204068000", \
"0.1058122000, 0.2270990000, 0.3477316000, 0.5881025000, 1.0651888000, 2.0166437000, 3.9207185000", \
"0.1681368000, 0.2668679000, 0.3778358000, 0.6080745000, 1.0764987000, 2.0235626000, 3.9248621000", \
"0.2795741000, 0.3622155000, 0.4583438000, 0.6706463000, 1.1237074000, 2.0541890000, 3.9440605000", \
"0.5063129000, 0.5768248000, 0.6592896000, 0.8492134000, 1.2638574000, 2.1590520000, 4.0112129000", \
"0.7408354000, 0.8012247000, 0.8756347000, 1.0482619000, 1.4354708000, 2.2949063000, 4.1119436000", \
"1.3975140000, 1.4467584000, 1.5057604000, 1.6501712000, 1.9896000000, 2.7644039000, 4.4738784000", \
"1.7374092000, 1.7845852000, 1.8418937000, 1.9754570000, 2.2941696000, 3.0367717000, 4.6984414000", \
"2.0781428000, 2.1247171000, 2.1796235000, 2.3038001000, 2.6065309000, 3.3212364000, 4.9382707000");
}
when : "(A1&A2&!B2)";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0093348000, -0.0903971000, -0.2105371000, -0.4508569000, -0.9313284000, -1.8926666000, -3.8156295000", \
"0.0197845000, -0.0912450000, -0.2098228000, -0.4491583000, -0.9290995000, -1.8902820000, -3.8130096000", \
"0.0180682000, -0.0950463000, -0.2131260000, -0.4518833000, -0.9317176000, -1.8925535000, -3.8150285000", \
"0.0268803000, -0.0923387000, -0.2112683000, -0.4508927000, -0.9311420000, -1.8919001000, -3.8144720000", \
"0.0769021000, -0.0611649000, -0.1883221000, -0.4356113000, -0.9219833000, -1.8857356000, -3.8119905000", \
"0.1672608000, 0.0106565000, -0.1274155000, -0.3887469000, -0.8887989000, -1.8652118000, -3.7999909000", \
"0.3582142000, 0.1825099000, 0.0266399000, -0.2582616000, -0.7869896000, -1.7948816000, -3.7561895000", \
"0.5523198000, 0.3685326000, 0.2017677000, -0.1038456000, -0.6586931000, -1.6967978000, -3.6902345000", \
"1.0945686000, 0.8959080000, 0.7141896000, 0.3769223000, -0.2388791000, -1.3532262000, -3.4339047000", \
"1.3763857000, 1.1745305000, 0.9871628000, 0.6387230000, 0.0017211000, -1.1482515000, -3.2718908000", \
"1.6579752000, 1.4522815000, 1.2616361000, 0.9054388000, 0.2517215000, -0.9322459000, -3.0969992000");
}
related_pin : "B2";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0351313000, 0.1776020000, 0.2971319000, 0.5353941000, 1.0115888000, 1.9622836000, 3.8662287000", \
"0.0436439000, 0.1766523000, 0.2977242000, 0.5368144000, 1.0138488000, 1.9650640000, 3.8685553000", \
"0.0480746000, 0.1749680000, 0.2967257000, 0.5367196000, 1.0121612000, 1.9642719000, 3.8696349000", \
"0.0593790000, 0.1773603000, 0.2968718000, 0.5363193000, 1.0142471000, 1.9651154000, 3.8698118000", \
"0.1154177000, 0.2145465000, 0.3249915000, 0.5548838000, 1.0233163000, 1.9709390000, 3.8730260000", \
"0.2084292000, 0.2928368000, 0.3919896000, 0.6067670000, 1.0621980000, 1.9956854000, 3.8857026000", \
"0.4007223000, 0.4705430000, 0.5576338000, 0.7519017000, 1.1741450000, 2.0758262000, 3.9401312000", \
"0.5956634000, 0.6574519000, 0.7344185000, 0.9145168000, 1.3116978000, 2.1851397000, 4.0135754000", \
"1.1343070000, 1.1862630000, 1.2530596000, 1.4038964000, 1.7574610000, 2.5557446000, 4.3003345000", \
"1.4175384000, 1.4660460000, 1.5253573000, 1.6689772000, 2.0058225000, 2.7760516000, 4.4755204000", \
"1.6989298000, 1.7475293000, 1.8044989000, 1.9376416000, 2.2590154000, 3.0035401000, 4.6673922000");
}
when : "(!A1&A2&!B1)";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0320016000, -0.0725113000, -0.1924825000, -0.4330281000, -0.9130675000, -1.8742493000, -3.7971084000", \
"0.0430317000, -0.0728248000, -0.1915064000, -0.4309885000, -0.9109010000, -1.8719141000, -3.7946399000", \
"0.0395198000, -0.0761536000, -0.1948841000, -0.4337095000, -0.9134109000, -1.8743565000, -3.7966289000", \
"0.0444465000, -0.0748328000, -0.1937954000, -0.4331420000, -0.9130081000, -1.8736869000, -3.7961586000", \
"0.0833562000, -0.0480541000, -0.1733830000, -0.4196540000, -0.9047842000, -1.8676078000, -3.7938262000", \
"0.1644190000, 0.0172290000, -0.1178391000, -0.3762187000, -0.8737406000, -1.8486534000, -3.7834149000", \
"0.3433419000, 0.1778772000, 0.0276875000, -0.2524784000, -0.7769537000, -1.7818311000, -3.7408607000", \
"0.5310699000, 0.3563364000, 0.1934650000, -0.1049464000, -0.6540917000, -1.6883498000, -3.6776558000", \
"1.0585650000, 0.8677107000, 0.6903126000, 0.3590853000, -0.2475023000, -1.3556235000, -3.4296883000", \
"1.3330212000, 1.1368789000, 0.9562980000, 0.6136991000, -0.0152128000, -1.1568391000, -3.2732086000", \
"1.6071747000, 1.4112487000, 1.2232282000, 0.8735131000, 0.2270794000, -0.9454602000, -3.1033059000");
}
related_pin : "B2";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0877517000, 0.2299106000, 0.3495016000, 0.5869336000, 1.0626047000, 2.0143958000, 3.9191054000", \
"0.0964179000, 0.2295750000, 0.3501055000, 0.5888060000, 1.0656240000, 2.0169047000, 3.9220581000", \
"0.1011597000, 0.2281664000, 0.3491001000, 0.5889398000, 1.0650747000, 2.0178134000, 3.9230505000", \
"0.1120632000, 0.2304123000, 0.3502655000, 0.5889173000, 1.0660526000, 2.0180151000, 3.9236634000", \
"0.1655774000, 0.2658454000, 0.3766296000, 0.6066821000, 1.0756062000, 2.0239340000, 3.9253558000", \
"0.2547362000, 0.3397318000, 0.4396592000, 0.6562503000, 1.1129660000, 2.0472233000, 3.9397241000", \
"0.4411577000, 0.5144965000, 0.6013725000, 0.7927183000, 1.2182068000, 2.1243963000, 3.9894639000", \
"0.6300963000, 0.6953974000, 0.7743116000, 0.9538980000, 1.3518383000, 2.2289333000, 4.0604964000", \
"1.1578465000, 1.2107737000, 1.2810304000, 1.4323102000, 1.7875805000, 2.5865240000, 4.3338193000", \
"1.4327733000, 1.4860521000, 1.5468660000, 1.6907169000, 2.0260186000, 2.7986190000, 4.5031827000", \
"1.7099682000, 1.7602385000, 1.8173840000, 1.9555976000, 2.2743424000, 3.0220277000, 4.6892401000");
}
when : "(A1&!A2&!B1)";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0097357000, -0.0902014000, -0.2105125000, -0.4506953000, -0.9313122000, -1.8927180000, -3.8154244000", \
"0.0213348000, -0.0911558000, -0.2097908000, -0.4490845000, -0.9291936000, -1.8902159000, -3.8129376000", \
"0.0189255000, -0.0947351000, -0.2128747000, -0.4517750000, -0.9316063000, -1.8924050000, -3.8149430000", \
"0.0286923000, -0.0910125000, -0.2100635000, -0.4499648000, -0.9302456000, -1.8914794000, -3.8141805000", \
"0.0821808000, -0.0573651000, -0.1847697000, -0.4325141000, -0.9186339000, -1.8842860000, -3.8107250000", \
"0.1781060000, 0.0198289000, -0.1197744000, -0.3820318000, -0.8825728000, -1.8621070000, -3.7980806000", \
"0.3798565000, 0.2035704000, 0.0449986000, -0.2425274000, -0.7739278000, -1.7846109000, -3.7484678000", \
"0.5837003000, 0.3983320000, 0.2308475000, -0.0781431000, -0.6375341000, -1.6785423000, -3.6770568000", \
"1.1527804000, 0.9568432000, 0.7725717000, 0.4315043000, -0.1894702000, -1.3093077000, -3.3980821000", \
"1.4528496000, 1.2492868000, 1.0598206000, 0.7089367000, 0.0672664000, -1.0932922000, -3.2244827000", \
"1.7501255000, 1.5410561000, 1.3486688000, 0.9903745000, 0.3317084000, -0.8627691000, -3.0382866000");
}
related_pin : "B2";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0348771000, 0.1776157000, 0.2972938000, 0.5340040000, 1.0115096000, 1.9622090000, 3.8649958000", \
"0.0435556000, 0.1756855000, 0.2967681000, 0.5361162000, 1.0123190000, 1.9635594000, 3.8680869000", \
"0.0463484000, 0.1734729000, 0.2951490000, 0.5351628000, 1.0120883000, 1.9628872000, 3.8668255000", \
"0.0589406000, 0.1752133000, 0.2955418000, 0.5349958000, 1.0121739000, 1.9631472000, 3.8676717000", \
"0.1196147000, 0.2169368000, 0.3276155000, 0.5538718000, 1.0227286000, 1.9695669000, 3.8708022000", \
"0.2183733000, 0.3030331000, 0.4003059000, 0.6157998000, 1.0665222000, 1.9971560000, 3.8877372000", \
"0.4216048000, 0.4911870000, 0.5778544000, 0.7704988000, 1.1934252000, 2.0897149000, 3.9459773000", \
"0.6265016000, 0.6875853000, 0.7652866000, 0.9453166000, 1.3454805000, 2.2115197000, 4.0305399000", \
"1.1943349000, 1.2451458000, 1.3130564000, 1.4648285000, 1.8233858000, 2.6154346000, 4.3466412000", \
"1.4913435000, 1.5412107000, 1.5997393000, 1.7448124000, 2.0832655000, 2.8514077000, 4.5454509000", \
"1.7891349000, 1.8350998000, 1.8929982000, 2.0291484000, 2.3516257000, 3.1002301000, 4.7538384000");
}
when : "(A1&A2&!B1)";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0603723000, -0.0591333000, -0.1789892000, -0.4191125000, -0.8994592000, -1.8612142000, -3.7965445000", \
"0.0645946000, -0.0546661000, -0.1746238000, -0.4148434000, -0.8950826000, -1.8563917000, -3.7795529000", \
"0.0613710000, -0.0570707000, -0.1767707000, -0.4166616000, -0.8970093000, -1.8582256000, -3.7810849000", \
"0.0601889000, -0.0590434000, -0.1783694000, -0.4181967000, -0.8984404000, -1.8596282000, -3.7821215000", \
"0.1053077000, -0.0304899000, -0.1574878000, -0.4034829000, -0.8897973000, -1.8547993000, -3.7799764000", \
"0.2108372000, 0.0528502000, -0.0881920000, -0.3513360000, -0.8541329000, -1.8333039000, -3.7675872000", \
"0.4469860000, 0.2647842000, 0.1026849000, -0.1923519000, -0.7341179000, -1.7500893000, -3.7150975000", \
"0.6876916000, 0.4957539000, 0.3197910000, -0.0003525000, -0.5759640000, -1.6331740000, -3.6388566000", \
"1.3690176000, 1.1607867000, 0.9672019000, 0.6079288000, -0.0435827000, -1.2069365000, -3.3284531000", \
"1.7239178000, 1.5115791000, 1.3112112000, 0.9401496000, 0.2626844000, -0.9470367000, -3.1288350000", \
"2.0793986000, 1.8622221000, 1.6606520000, 1.2768728000, 0.5784279000, -0.6695491000, -2.9106870000");
}
related_pin : "A1";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.1812302000, 0.3014060000, 0.4211019000, 0.6609179000, 1.1378941000, 2.0863357000, 3.9946218000", \
"0.1816385000, 0.3024822000, 0.4220681000, 0.6609088000, 1.1392249000, 2.0899752000, 3.9942125000", \
"0.1804862000, 0.3021380000, 0.4222408000, 0.6616500000, 1.1394545000, 2.0904791000, 3.9949194000", \
"0.1822821000, 0.3025550000, 0.4222210000, 0.6615029000, 1.1396277000, 2.0904951000, 3.9952580000", \
"0.2403890000, 0.3419479000, 0.4523329000, 0.6827195000, 1.1528439000, 2.1001095000, 4.0008566000", \
"0.3523633000, 0.4365064000, 0.5336863000, 0.7455706000, 1.1971291000, 2.1283826000, 4.0177865000", \
"0.5923275000, 0.6595189000, 0.7406567000, 0.9256253000, 1.3379399000, 2.2281845000, 4.0830767000", \
"0.8316966000, 0.8943156000, 0.9658310000, 1.1323654000, 1.5136026000, 2.3626706000, 4.1760876000", \
"1.5146753000, 1.5629355000, 1.6219813000, 1.7616717000, 2.0848447000, 2.8411396000, 4.5354235000", \
"1.8705633000, 1.9166241000, 1.9713542000, 2.1007854000, 2.4073648000, 3.1227795000, 4.7631086000", \
"2.2260511000, 2.2701641000, 2.3222240000, 2.4438333000, 2.7347043000, 3.4204020000, 5.0056777000");
}
when : "(!A2&!B1&B2)";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0767182000, -0.0635745000, -0.1609313000, -0.4010534000, -0.8825189000, -1.8428789000, -3.7655798000", \
"0.0826312000, -0.0367470000, -0.1565441000, -0.3968329000, -0.8772091000, -1.8382384000, -3.7607704000", \
"0.0799573000, -0.0388966000, -0.1586762000, -0.3984670000, -0.8789845000, -1.8400058000, -3.7625579000", \
"0.0782925000, -0.0406090000, -0.1604278000, -0.4002659000, -0.8801562000, -1.8411707000, -3.7639123000", \
"0.1150462000, -0.0161208000, -0.1416933000, -0.3867100000, -0.8723229000, -1.8368213000, -3.7616631000", \
"0.2103830000, 0.0610046000, -0.0762198000, -0.3371292000, -0.8383179000, -1.8156524000, -3.7498174000", \
"0.4372958000, 0.2629985000, 0.1064750000, -0.1841470000, -0.7202762000, -1.7344849000, -3.6983318000", \
"0.6733643000, 0.4888320000, 0.3167256000, 0.0043599000, -0.5662368000, -1.6195528000, -3.6225924000", \
"1.3479016000, 1.1453740000, 0.9565158000, 0.6028381000, -0.0415133000, -1.1988674000, -3.3151856000", \
"1.7015382000, 1.4940545000, 1.2979830000, 0.9323850000, 0.2611613000, -0.9400396000, -3.1171056000", \
"2.0537796000, 1.8430788000, 1.6437289000, 1.2679985000, 0.5755946000, -0.6656212000, -2.8982739000");
}
related_pin : "A1";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.2338693000, 0.3537503000, 0.4739835000, 0.7132627000, 1.1883292000, 2.1426540000, 4.0439292000", \
"0.2345282000, 0.3544468000, 0.4739709000, 0.7127818000, 1.1894319000, 2.1419865000, 4.0465748000", \
"0.2334658000, 0.3543726000, 0.4739091000, 0.7127689000, 1.1893781000, 2.1419015000, 4.0464920000", \
"0.2351214000, 0.3545213000, 0.4737903000, 0.7125896000, 1.1896798000, 2.1417724000, 4.0467052000", \
"0.2921344000, 0.3930798000, 0.5040344000, 0.7348629000, 1.2042200000, 2.1511214000, 4.0533641000", \
"0.4041073000, 0.4866756000, 0.5845435000, 0.7951672000, 1.2478722000, 2.1802153000, 4.0696133000", \
"0.6407341000, 0.7094011000, 0.7894362000, 0.9735988000, 1.3864024000, 2.2779891000, 4.1330270000", \
"0.8801672000, 0.9424506000, 1.0150774000, 1.1782736000, 1.5600971000, 2.4101509000, 4.2238404000", \
"1.5581523000, 1.6076554000, 1.6685509000, 1.8070754000, 2.1312901000, 2.8843116000, 4.5775897000", \
"1.9126703000, 1.9593788000, 2.0152031000, 2.1471142000, 2.4501069000, 3.1647733000, 4.8008331000", \
"2.2664427000, 2.3128373000, 2.3655241000, 2.4884628000, 2.7783257000, 3.4595195000, 5.0436191000");
}
when : "(!A2&B1&!B2)";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0613320000, -0.0593355000, -0.1843550000, -0.4151933000, -0.9131534000, -1.8699759000, -3.7786449000", \
"0.0645421000, -0.0548309000, -0.1747750000, -0.4149009000, -0.8953071000, -1.8566536000, -3.7794734000", \
"0.0611846000, -0.0572044000, -0.1767788000, -0.4166274000, -0.8969881000, -1.8581621000, -3.7809768000", \
"0.0604206000, -0.0588987000, -0.1784677000, -0.4181924000, -0.8983812000, -1.8594561000, -3.7821747000", \
"0.1084149000, -0.0281485000, -0.1557954000, -0.4026241000, -0.8883202000, -1.8540810000, -3.7796727000", \
"0.2154192000, 0.0577108000, -0.0835493000, -0.3482076000, -0.8514875000, -1.8304418000, -3.7657884000", \
"0.4526682000, 0.2727179000, 0.1094636000, -0.1861660000, -0.7278277000, -1.7458086000, -3.7136078000", \
"0.6960689000, 0.5050419000, 0.3304846000, 0.0096612000, -0.5679153000, -1.6265417000, -3.6327766000", \
"1.3827901000, 1.1744157000, 0.9820218000, 0.6240353000, -0.0273677000, -1.1937528000, -3.3158997000", \
"1.7393943000, 1.5286243000, 1.3298253000, 0.9580363000, 0.2806897000, -0.9292724000, -3.1119076000", \
"2.0990503000, 1.8847546000, 1.6800967000, 1.3001450000, 0.6025391000, -0.6487386000, -2.8909573000");
}
related_pin : "A1";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.2060946000, 0.3259757000, 0.4462195000, 0.6888550000, 1.1632051000, 2.1163580000, 4.0219077000", \
"0.2065804000, 0.3278625000, 0.4476163000, 0.6865911000, 1.1650966000, 2.1157515000, 4.0188496000", \
"0.2053646000, 0.3274966000, 0.4479509000, 0.6877366000, 1.1651302000, 2.1161379000, 4.0207650000", \
"0.2070755000, 0.3278896000, 0.4476323000, 0.6875364000, 1.1646437000, 2.1168148000, 4.0209549000", \
"0.2639712000, 0.3679076000, 0.4799909000, 0.7104932000, 1.1802367000, 2.1274528000, 4.0274240000", \
"0.3758709000, 0.4643303000, 0.5631961000, 0.7764074000, 1.2290793000, 2.1578332000, 4.0460965000", \
"0.6165035000, 0.6900226000, 0.7755208000, 0.9637698000, 1.3759177000, 2.2663592000, 4.1176302000", \
"0.8565716000, 0.9270852000, 1.0028920000, 1.1772939000, 1.5616026000, 2.4117721000, 4.2212253000", \
"1.5470416000, 1.6027650000, 1.6664969000, 1.8158216000, 2.1508774000, 2.9142768000, 4.6068548000", \
"1.9056626000, 1.9583893000, 2.0196921000, 2.1606491000, 2.4792841000, 3.2080250000, 4.8481237000", \
"2.2648417000, 2.3159445000, 2.3739988000, 2.5050626000, 2.8124056000, 3.5123275000, 5.1048891000");
}
when : "(!A2&B1&B2)";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0428833000, -0.0633397000, -0.1830368000, -0.4243911000, -0.9023530000, -1.8669026000, -3.7874651000", \
"0.0439280000, -0.0611073000, -0.1796708000, -0.4192251000, -0.8995383000, -1.8602273000, -3.7823670000", \
"0.0481908000, -0.0622786000, -0.1799380000, -0.4184539000, -0.8985085000, -1.8589102000, -3.7810460000", \
"0.0501162000, -0.0642493000, -0.1812550000, -0.4192446000, -0.8983102000, -1.8589705000, -3.7814124000", \
"0.0961496000, -0.0373644000, -0.1620911000, -0.4057910000, -0.8895116000, -1.8537222000, -3.7782222000", \
"0.1912456000, 0.0358512000, -0.1016297000, -0.3597743000, -0.8577824000, -1.8337632000, -3.7663977000", \
"0.3934395000, 0.2188479000, 0.0611752000, -0.2245595000, -0.7530439000, -1.7590958000, -3.7189949000", \
"0.6005318000, 0.4139822000, 0.2469508000, -0.0615600000, -0.6182946000, -1.6571237000, -3.6501820000", \
"1.1813062000, 0.9801268000, 0.7968777000, 0.4541606000, -0.1684678000, -1.2904615000, -3.3766755000", \
"1.4846900000, 1.2800538000, 1.0901102000, 0.7367621000, 0.0907730000, -1.0710297000, -3.2042601000", \
"1.7857649000, 1.5810117000, 1.3854209000, 1.0228625000, 0.3590261000, -0.8382643000, -3.0159577000");
}
related_pin : "A2";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.1266760000, 0.2513360000, 0.3712967000, 0.6108725000, 1.0886061000, 2.0385897000, 3.9408974000", \
"0.1283867000, 0.2504419000, 0.3701155000, 0.6093426000, 1.0876766000, 2.0383558000, 3.9421485000", \
"0.1267520000, 0.2496240000, 0.3699419000, 0.6089018000, 1.0861622000, 2.0378459000, 3.9426119000", \
"0.1344952000, 0.2528031000, 0.3712028000, 0.6103424000, 1.0872104000, 2.0373463000, 3.9424897000", \
"0.1926527000, 0.2939497000, 0.4038279000, 0.6334412000, 1.1026375000, 2.0475475000, 3.9490294000", \
"0.2900693000, 0.3780842000, 0.4768635000, 0.6903180000, 1.1436050000, 2.0735876000, 3.9647700000", \
"0.4942613000, 0.5688521000, 0.6538845000, 0.8453610000, 1.2647150000, 2.1600512000, 4.0195618000", \
"0.7024596000, 0.7691593000, 0.8471833000, 1.0219368000, 1.4160617000, 2.2761018000, 4.0987962000", \
"1.2803495000, 1.3379996000, 1.4058834000, 1.5586237000, 1.9036246000, 2.6866960000, 4.4051069000", \
"1.5840213000, 1.6377925000, 1.6993206000, 1.8458476000, 2.1753500000, 2.9257347000, 4.5985138000", \
"1.8864024000, 1.9394463000, 1.9983855000, 2.1371803000, 2.4529296000, 3.1762581000, 4.8074977000");
}
when : "(!A1&!B1&B2)";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0533122000, -0.0459065000, -0.1651177000, -0.4059989000, -0.8869826000, -1.8483709000, -3.7714010000", \
"0.0725551000, -0.0420548000, -0.1620357000, -0.4008274000, -0.8810229000, -1.8427967000, -3.7647306000", \
"0.0713641000, -0.0430686000, -0.1614389000, -0.4003740000, -0.8801539000, -1.8413157000, -3.7634815000", \
"0.0710160000, -0.0448208000, -0.1626107000, -0.4010111000, -0.8802511000, -1.8407202000, -3.7628657000", \
"0.1064238000, -0.0218236000, -0.1452881000, -0.3887164000, -0.8723703000, -1.8364041000, -3.7599309000", \
"0.1910803000, 0.0451284000, -0.0887643000, -0.3452903000, -0.8411544000, -1.8170742000, -3.7487621000", \
"0.3861008000, 0.2193302000, 0.0659838000, -0.2141513000, -0.7392766000, -1.7432967000, -3.7013965000", \
"0.5885536000, 0.4102038000, 0.2472797000, -0.0547344000, -0.6070424000, -1.6423994000, -3.6332185000", \
"1.1632487000, 0.9705729000, 0.7898558000, 0.4527119000, -0.1636471000, -1.2802562000, -3.3630242000", \
"1.4643784000, 1.2659514000, 1.0793112000, 0.7321900000, 0.0929557000, -1.0622902000, -3.1915876000", \
"1.7648421000, 1.5647225000, 1.3732367000, 1.0163218000, 0.3581933000, -0.8317216000, -3.0046211000");
}
related_pin : "A2";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.1795292000, 0.3024945000, 0.4217210000, 0.6596068000, 1.1377674000, 2.0905899000, 3.9934011000", \
"0.1812401000, 0.3020573000, 0.4223086000, 0.6608365000, 1.1372272000, 2.0902641000, 3.9942002000", \
"0.1795506000, 0.3011217000, 0.4214094000, 0.6601081000, 1.1372051000, 2.0896430000, 3.9946303000", \
"0.1871418000, 0.3041601000, 0.4232326000, 0.6610624000, 1.1376214000, 2.0899700000, 3.9943663000", \
"0.2443608000, 0.3448058000, 0.4547660000, 0.6837799000, 1.1516613000, 2.0983842000, 4.0001571000", \
"0.3409759000, 0.4272161000, 0.5259532000, 0.7397383000, 1.1924151000, 2.1238011000, 4.0154530000", \
"0.5430691000, 0.6174802000, 0.7020801000, 0.8913395000, 1.3107225000, 2.2084632000, 4.0687353000", \
"0.7495739000, 0.8165886000, 0.8940439000, 1.0678066000, 1.4591950000, 2.3212885000, 4.1455133000", \
"1.3238593000, 1.3829751000, 1.4507124000, 1.6010906000, 1.9438716000, 2.7233096000, 4.4429189000", \
"1.6259122000, 1.6793392000, 1.7442902000, 1.8875462000, 2.2121511000, 2.9594449000, 4.6316156000", \
"1.9288649000, 1.9814049000, 2.0393282000, 2.1781105000, 2.4904068000, 3.2083661000, 4.8369714000");
}
when : "(!A1&B1&!B2)";
}
max_capacitance : 0.2542500000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0714600000, 0.1349500000, 0.1963900000, 0.3184600000, 0.5618500000, 1.0481700000, 2.0206700000", \
"0.0748100000, 0.1385300000, 0.2000200000, 0.3221300000, 0.5655500000, 1.0518700000, 2.0243700000", \
"0.0748900000, 0.1390500000, 0.2006800000, 0.3228600000, 0.5663600000, 1.0527300000, 2.0252300000", \
"0.0767200000, 0.1405500000, 0.2022300000, 0.3245100000, 0.5680700000, 1.0545000000, 2.0270000000", \
"0.0847400000, 0.1523800000, 0.2121400000, 0.3310200000, 0.5719000000, 1.0565000000, 2.0279000000", \
"0.0902000000, 0.1707700000, 0.2361100000, 0.3539900000, 0.5871200000, 1.0652000000, 2.0320000000", \
"0.0914700000, 0.1888600000, 0.2687900000, 0.4042000000, 0.6389000000, 1.1003000000, 2.0523000000", \
"0.0867000000, 0.1957000000, 0.2859000000, 0.4391000000, 0.6940000000, 1.1513000000, 2.0850000000", \
"0.0607700000, 0.1914700000, 0.3009700000, 0.4887700000, 0.7999700000, 1.3102700000, 2.2204700000", \
"0.0437300000, 0.1826300000, 0.2993300000, 0.5003300000, 0.8345300000, 1.3792300000, 2.3063300000", \
"0.0253000000, 0.1711000000, 0.2942000000, 0.5064000000, 0.8605000000, 1.4370000000, 2.3917000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0743800000, 0.1459300000, 0.2151300000, 0.3525800000, 0.6267700000, 1.1744700000, 2.2697700000", \
"0.0764700000, 0.1481400000, 0.2173900000, 0.3549100000, 0.6290700000, 1.1767700000, 2.2720700000", \
"0.0771500000, 0.1492700000, 0.2186500000, 0.3562500000, 0.6305000000, 1.1782300000, 2.2734300000", \
"0.0803500000, 0.1513900000, 0.2206600000, 0.3582800000, 0.6324700000, 1.1804000000, 2.2755000000", \
"0.1011400000, 0.1725000000, 0.2375200000, 0.3703000000, 0.6406200000, 1.1857000000, 2.2792000000", \
"0.1279100000, 0.2098900000, 0.2778200000, 0.4055800000, 0.6658000000, 1.2023000000, 2.2897000000", \
"0.1687800000, 0.2689200000, 0.3506000000, 0.4904000000, 0.7431000000, 1.2575000000, 2.3260000000", \
"0.2019000000, 0.3157000000, 0.4082000000, 0.5653000000, 0.8305000000, 1.3317000000, 2.3779000000", \
"0.2776700000, 0.4191700000, 0.5336700000, 0.7268700000, 1.0465700000, 1.5770700000, 2.5758700000", \
"0.3120300000, 0.4647300000, 0.5881300000, 0.7960300000, 1.1390300000, 1.7019300000, 2.7000300000", \
"0.3445000000, 0.5069000000, 0.6382000000, 0.8592000000, 1.2230000000, 1.8172000000, 2.8300000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0580400000, 0.1441100000, 0.2299900000, 0.4011700000, 0.7435100000, 1.4281800000, 2.7972100000", \
"0.0580200000, 0.1441300000, 0.2298600000, 0.4012200000, 0.7436900000, 1.4287800000, 2.7980400000", \
"0.0580500000, 0.1441300000, 0.2299500000, 0.4013700000, 0.7436900000, 1.4282300000, 2.7983300000", \
"0.0608300000, 0.1442300000, 0.2299800000, 0.4011900000, 0.7436200000, 1.4282500000, 2.7971100000", \
"0.1038000000, 0.1646500000, 0.2387000000, 0.4026500000, 0.7438800000, 1.4288300000, 2.7979100000", \
"0.1783800000, 0.2330300000, 0.2905400000, 0.4276000000, 0.7483200000, 1.4283100000, 2.7977000000", \
"0.3163300000, 0.3826100000, 0.4371900000, 0.5454000000, 0.8081000000, 1.4394000000, 2.7980000000", \
"0.4463900000, 0.5255300000, 0.5864000000, 0.6938000000, 0.9201000000, 1.4873000000, 2.8020000000", \
"0.7992000000, 0.8992000000, 0.9797000000, 1.1090000000, 1.3250000000, 1.7741000000, 2.9106000000", \
"0.9838000000, 1.0862000000, 1.1747000000, 1.3165000000, 1.5449000000, 1.9699000000, 3.0236000000", \
"1.1715000000, 1.2712000000, 1.3655000000, 1.5192000000, 1.7611000000, 2.1807000000, 3.1647000000");
}
related_pin : "A1";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0800200000, 0.1839000000, 0.2880600000, 0.4964900000, 0.9127600000, 1.7451800000, 3.4096300000", \
"0.0799700000, 0.1840200000, 0.2880300000, 0.4963800000, 0.9127500000, 1.7452700000, 3.4095900000", \
"0.0800200000, 0.1838800000, 0.2880600000, 0.4965400000, 0.9127900000, 1.7451300000, 3.4098400000", \
"0.0839400000, 0.1839300000, 0.2881500000, 0.4964000000, 0.9126900000, 1.7453000000, 3.4100500000", \
"0.1269500000, 0.2042800000, 0.2972200000, 0.4976500000, 0.9127900000, 1.7451100000, 3.4101000000", \
"0.1986800000, 0.2676500000, 0.3429600000, 0.5201400000, 0.9165900000, 1.7452100000, 3.4096000000", \
"0.3307100000, 0.4106500000, 0.4782000000, 0.6228300000, 0.9675000000, 1.7533000000, 3.4100000000", \
"0.4566000000, 0.5475000000, 0.6222000000, 0.7568000000, 1.0625000000, 1.7922000000, 3.4118000000", \
"0.8129000000, 0.9058000000, 0.9991000000, 1.1562000000, 1.4258000000, 2.0339000000, 3.4960000000", \
"1.0035000000, 1.0881000000, 1.1851000000, 1.3551000000, 1.6357000000, 2.2041000000, 3.5913000000", \
"1.1963000000, 1.2702000000, 1.3690000000, 1.5494000000, 1.8456000000, 2.3922000000, 3.7084000000");
}
sdf_cond : "(!A2&!B1&B2)";
timing_sense : "negative_unate";
when : "(!A2&!B1&B2)";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0959100000, 0.1613100000, 0.2246500000, 0.3501600000, 0.6000000000, 1.0988700000, 2.0961700000", \
"0.0994300000, 0.1649500000, 0.2283400000, 0.3538800000, 0.6037500000, 1.1025700000, 2.0998700000", \
"0.0996800000, 0.1655100000, 0.2289800000, 0.3545800000, 0.6045300000, 1.1034300000, 2.1007300000", \
"0.1011100000, 0.1667600000, 0.2302800000, 0.3559700000, 0.6059900000, 1.1049000000, 2.1022000000", \
"0.1119400000, 0.1771200000, 0.2380200000, 0.3607900000, 0.6083400000, 1.1055000000, 2.1018000000", \
"0.1229700000, 0.1977400000, 0.2615300000, 0.3811800000, 0.6212000000, 1.1121000000, 2.1038000000", \
"0.1308800000, 0.2216400000, 0.2984000000, 0.4317000000, 0.6693000000, 1.1430000000, 2.1200000000", \
"0.1309000000, 0.2326000000, 0.3193000000, 0.4691000000, 0.7237000000, 1.1903000000, 2.1489000000", \
"0.1143700000, 0.2365700000, 0.3418700000, 0.5251700000, 0.8331700000, 1.3457700000, 2.2748700000", \
"0.1010300000, 0.2310300000, 0.3433300000, 0.5393300000, 0.8697300000, 1.4152300000, 2.3576300000", \
"0.0859000000, 0.2224000000, 0.3408000000, 0.5480000000, 0.8977000000, 1.4738000000, 2.4404000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0848900000, 0.1579500000, 0.2279700000, 0.3659800000, 0.6404400000, 1.1881700000, 2.2835700000", \
"0.0870400000, 0.1602400000, 0.2302900000, 0.3683400000, 0.6428000000, 1.1905700000, 2.2858700000", \
"0.0877300000, 0.1613500000, 0.2315400000, 0.3696700000, 0.6441600000, 1.1920300000, 2.2872300000", \
"0.0908600000, 0.1634700000, 0.2335700000, 0.3717000000, 0.6462000000, 1.1941000000, 2.2894000000", \
"0.1169900000, 0.1851500000, 0.2501900000, 0.3835800000, 0.6542300000, 1.1994000000, 2.2930000000", \
"0.1513100000, 0.2255800000, 0.2913600000, 0.4183100000, 0.6790000000, 1.2157000000, 2.3030000000", \
"0.2020100000, 0.2910000000, 0.3681000000, 0.5041000000, 0.7551000000, 1.2702000000, 2.3390000000", \
"0.2419000000, 0.3425000000, 0.4292000000, 0.5810000000, 0.8428000000, 1.3433000000, 2.3900000000", \
"0.3294700000, 0.4548700000, 0.5615700000, 0.7468700000, 1.0602700000, 1.5871700000, 2.5849700000", \
"0.3679300000, 0.5036300000, 0.6185300000, 0.8176300000, 1.1532300000, 1.7115300000, 2.7080300000", \
"0.4035000000, 0.5484000000, 0.6708000000, 0.8822000000, 1.2376000000, 1.8264000000, 2.8372000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0866500000, 0.1763100000, 0.2647900000, 0.4414800000, 0.7940600000, 1.4990600000, 2.9101800000", \
"0.0866600000, 0.1763000000, 0.2648600000, 0.4413600000, 0.7941300000, 1.4992200000, 2.9089000000", \
"0.0866600000, 0.1763000000, 0.2647700000, 0.4414200000, 0.7940900000, 1.4995900000, 2.9101900000", \
"0.0871700000, 0.1763200000, 0.2647700000, 0.4413400000, 0.7942500000, 1.4993200000, 2.9092000000", \
"0.1187100000, 0.1899600000, 0.2706900000, 0.4421300000, 0.7942600000, 1.4996900000, 2.9096000000", \
"0.1909600000, 0.2503000000, 0.3141500000, 0.4624900000, 0.7977900000, 1.4993400000, 2.9097000000", \
"0.3328400000, 0.3987600000, 0.4550400000, 0.5711400000, 0.8509400000, 1.5084000000, 2.9096000000", \
"0.4672200000, 0.5437800000, 0.6050000000, 0.7152000000, 0.9562000000, 1.5521000000, 2.9133000000", \
"0.8259000000, 0.9254000000, 1.0042000000, 1.1335000000, 1.3543000000, 1.8263000000, 3.0139000000", \
"1.0106000000, 1.1160000000, 1.2023000000, 1.3432000000, 1.5746000000, 2.0177000000, 3.1211000000", \
"1.1965000000, 1.3042000000, 1.3970000000, 1.5488000000, 1.7925000000, 2.2253000000, 3.2582000000");
}
related_pin : "A1";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.1190400000, 0.2218200000, 0.3257100000, 0.5340000000, 0.9500200000, 1.7825100000, 3.4471800000", \
"0.1189800000, 0.2218300000, 0.3256800000, 0.5337600000, 0.9501600000, 1.7826300000, 3.4473400000", \
"0.1190000000, 0.2218500000, 0.3256500000, 0.5339700000, 0.9501200000, 1.7823800000, 3.4471400000", \
"0.1230100000, 0.2217800000, 0.3256400000, 0.5339900000, 0.9500600000, 1.7825200000, 3.4469400000", \
"0.1663600000, 0.2424000000, 0.3349500000, 0.5351700000, 0.9501800000, 1.7826200000, 3.4469000000", \
"0.2447800000, 0.3069600000, 0.3812600000, 0.5578200000, 0.9538200000, 1.7823900000, 3.4471000000", \
"0.3913400000, 0.4572300000, 0.5197700000, 0.6621200000, 1.0055000000, 1.7908000000, 3.4472000000", \
"0.5269000000, 0.6037000000, 0.6704000000, 0.7992000000, 1.1022000000, 1.8299000000, 3.4491000000", \
"0.8904000000, 0.9823000000, 1.0664000000, 1.2128000000, 1.4728000000, 2.0763000000, 3.5345000000", \
"1.0801000000, 1.1711000000, 1.2615000000, 1.4195000000, 1.6875000000, 2.2495000000, 3.6311000000", \
"1.2723000000, 1.3590000000, 1.4529000000, 1.6215000000, 1.9025000000, 2.4406000000, 3.7507000000");
}
sdf_cond : "(!A2&B1&!B2)";
timing_sense : "negative_unate";
when : "(!A2&B1&!B2)";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0613600000, 0.1097900000, 0.1563600000, 0.2486300000, 0.4323400000, 0.7991900000, 1.5325700000", \
"0.0643300000, 0.1129300000, 0.1595500000, 0.2518500000, 0.4355700000, 0.8024500000, 1.5358700000", \
"0.0649900000, 0.1139200000, 0.1606600000, 0.2530300000, 0.4368200000, 0.8037000000, 1.5371300000", \
"0.0705800000, 0.1191200000, 0.1658600000, 0.2582800000, 0.4421100000, 0.8090200000, 1.5425000000", \
"0.0850200000, 0.1433500000, 0.1910600000, 0.2808800000, 0.4625500000, 0.8279000000, 1.5604000000", \
"0.0938000000, 0.1662800000, 0.2249700000, 0.3236800000, 0.5014400000, 0.8619000000, 1.5908000000", \
"0.0972600000, 0.1862600000, 0.2607300000, 0.3856000000, 0.5881000000, 0.9431000000, 1.6609000000", \
"0.0928000000, 0.1930000000, 0.2778000000, 0.4221000000, 0.6555000000, 1.0344000000, 1.7404000000", \
"0.0640700000, 0.1857700000, 0.2893700000, 0.4686700000, 0.7652700000, 1.2356700000, 1.9911700000", \
"0.0447300000, 0.1744300000, 0.2853300000, 0.4776300000, 0.7978300000, 1.3092300000, 2.1135300000", \
"0.0238000000, 0.1604000000, 0.2774000000, 0.4808000000, 0.8211000000, 1.3683000000, 2.2235000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0850300000, 0.1554800000, 0.2244400000, 0.3617800000, 0.6358400000, 1.1835700000, 2.2788700000", \
"0.0869100000, 0.1576300000, 0.2266900000, 0.3640500000, 0.6381900000, 1.1859700000, 2.2813700000", \
"0.0875900000, 0.1588100000, 0.2280400000, 0.3656000000, 0.6398200000, 1.1876300000, 2.2830300000", \
"0.0906200000, 0.1609500000, 0.2301100000, 0.3676900000, 0.6419800000, 1.1899000000, 2.2852000000", \
"0.1144000000, 0.1832900000, 0.2478400000, 0.3805700000, 0.6507800000, 1.1957000000, 2.2891000000", \
"0.1468200000, 0.2245900000, 0.2908100000, 0.4179000000, 0.6780000000, 1.2140000000, 2.3007000000", \
"0.1963300000, 0.2929000000, 0.3721000000, 0.5087000000, 0.7600000000, 1.2738000000, 2.3407000000", \
"0.2365000000, 0.3474000000, 0.4379000000, 0.5917000000, 0.8532000000, 1.3533000000, 2.3976000000", \
"0.3277700000, 0.4682700000, 0.5822700000, 0.7739700000, 1.0894700000, 1.6138700000, 2.6105700000", \
"0.3690300000, 0.5215300000, 0.6452300000, 0.8527300000, 1.1924300000, 1.7480300000, 2.7422300000", \
"0.4079000000, 0.5709000000, 0.7032000000, 0.9248000000, 1.2865000000, 1.8736000000, 2.8804000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0555100000, 0.1206500000, 0.1863800000, 0.3178200000, 0.5807400000, 1.1069600000, 2.1581800000", \
"0.0554400000, 0.1206600000, 0.1864000000, 0.3178700000, 0.5807600000, 1.1066900000, 2.1588200000", \
"0.0555200000, 0.1206100000, 0.1863500000, 0.3178000000, 0.5808100000, 1.1064900000, 2.1586200000", \
"0.0609400000, 0.1212700000, 0.1863700000, 0.3178000000, 0.5807400000, 1.1066400000, 2.1588300000", \
"0.1128000000, 0.1539500000, 0.2048100000, 0.3228600000, 0.5808600000, 1.1065500000, 2.1583000000", \
"0.1905900000, 0.2308300000, 0.2722900000, 0.3667400000, 0.5951900000, 1.1069700000, 2.1589000000", \
"0.3289000000, 0.3818900000, 0.4254100000, 0.5077900000, 0.6921200000, 1.1400000000, 2.1589000000", \
"0.4561600000, 0.5226500000, 0.5734700000, 0.6606000000, 0.8295000000, 1.2260000000, 2.1788000000", \
"0.7892000000, 0.8850000000, 0.9566000000, 1.0690000000, 1.2504000000, 1.5895000000, 2.3850000000", \
"0.9563000000, 1.0636000000, 1.1445000000, 1.2704000000, 1.4663000000, 1.8029000000, 2.5491000000", \
"1.1219000000, 1.2386000000, 1.3275000000, 1.4661000000, 1.6776000000, 2.0227000000, 2.7346000000");
}
related_pin : "A1";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0914600000, 0.1958900000, 0.3001600000, 0.5085300000, 0.9250100000, 1.7572800000, 3.4225600000", \
"0.0914400000, 0.1959100000, 0.3002300000, 0.5085200000, 0.9248500000, 1.7574300000, 3.4223300000", \
"0.0914600000, 0.1959400000, 0.3001300000, 0.5085700000, 0.9248800000, 1.7574000000, 3.4223300000", \
"0.0939900000, 0.1959300000, 0.3001200000, 0.5086000000, 0.9250100000, 1.7575000000, 3.4225800000", \
"0.1289600000, 0.2122500000, 0.3074700000, 0.5093500000, 0.9249600000, 1.7574100000, 3.4220000000", \
"0.1912100000, 0.2663900000, 0.3463800000, 0.5286700000, 0.9282000000, 1.7573600000, 3.4222000000", \
"0.3072700000, 0.3917400000, 0.4642500000, 0.6182800000, 0.9726000000, 1.7648000000, 3.4221000000", \
"0.4154000000, 0.5126000000, 0.5917000000, 0.7363000000, 1.0571000000, 1.7987000000, 3.4236000000", \
"0.7149000000, 0.8232000000, 0.9226000000, 1.0897000000, 1.3780000000, 2.0147000000, 3.4993000000", \
"0.8767000000, 0.9794000000, 1.0846000000, 1.2652000000, 1.5637000000, 2.1661000000, 3.5840000000", \
"1.0421000000, 1.1345000000, 1.2425000000, 1.4352000000, 1.7497000000, 2.3330000000, 3.6896000000");
}
sdf_cond : "(!A2&B1&B2)";
timing_sense : "negative_unate";
when : "(!A2&B1&B2)";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0493300000, 0.1147800000, 0.1732000000, 0.2898300000, 0.5229700000, 0.9891900000, 1.9216700000", \
"0.0515600000, 0.1175500000, 0.1765300000, 0.2935300000, 0.5268700000, 0.9931700000, 1.9256700000", \
"0.0548400000, 0.1184200000, 0.1778600000, 0.2952300000, 0.5287800000, 0.9952300000, 1.9278300000", \
"0.0574000000, 0.1200700000, 0.1796800000, 0.2974000000, 0.5312800000, 0.9979000000, 1.9307000000", \
"0.0546500000, 0.1292800000, 0.1890300000, 0.3038100000, 0.5355200000, 1.0008000000, 1.9326000000", \
"0.0411100000, 0.1345200000, 0.2042700000, 0.3231900000, 0.5495100000, 1.0095000000, 1.9375000000", \
"0.0041100000, 0.1208900000, 0.2097100000, 0.3540000000, 0.5923000000, 1.0413000000, 1.9574000000", \
"-0.0391000000, 0.0941000000, 0.1964000000, 0.3632000000, 0.6297000000, 1.0857000000, 1.9876000000", \
"-0.1733300000, -0.0078300000, 0.1204700000, 0.3312700000, 0.6677700000, 1.1995700000, 2.1064700000", \
"-0.2470700000, -0.0688700000, 0.0697300000, 0.2977300000, 0.6627300000, 1.2368300000, 2.1747300000", \
"-0.3225000000, -0.1332000000, 0.0144000000, 0.2575000000, 0.6474000000, 1.2605000000, 2.2393000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0627900000, 0.1362900000, 0.2056500000, 0.3432100000, 0.6173900000, 1.1652700000, 2.2602700000", \
"0.0645300000, 0.1367600000, 0.2061300000, 0.3437300000, 0.6179700000, 1.1657700000, 2.2611700000", \
"0.0651000000, 0.1375600000, 0.2070800000, 0.3448100000, 0.6191300000, 1.1668300000, 2.2624300000", \
"0.0758500000, 0.1455600000, 0.2143900000, 0.3516800000, 0.6256800000, 1.1734000000, 2.2685000000", \
"0.1155300000, 0.1878900000, 0.2520300000, 0.3842600000, 0.6541100000, 1.1988000000, 2.2921000000", \
"0.1661400000, 0.2511300000, 0.3199900000, 0.4475000000, 0.7084000000, 1.2451000000, 2.3328000000", \
"0.2516900000, 0.3606000000, 0.4438000000, 0.5840000000, 0.8385000000, 1.3566000000, 2.4274000000", \
"0.3284000000, 0.4556000000, 0.5521000000, 0.7104000000, 0.9784000000, 1.4838000000, 2.5353000000", \
"0.5234700000, 0.6880700000, 0.8124700000, 1.0142700000, 1.3361700000, 1.8713700000, 2.8810700000", \
"0.6193300000, 0.7988300000, 0.9348300000, 1.1549300000, 1.5040300000, 2.0662300000, 3.0775300000", \
"0.7130000000, 0.9058000000, 1.0520000000, 1.2884000000, 1.6622000000, 2.2552000000, 3.2808000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0298400000, 0.1106700000, 0.1920000000, 0.3545600000, 0.6795700000, 1.3307700000, 2.6322800000", \
"0.0298200000, 0.1106600000, 0.1920000000, 0.3547100000, 0.6793400000, 1.3309800000, 2.6296600000", \
"0.0297800000, 0.1106600000, 0.1919600000, 0.3548100000, 0.6793800000, 1.3299600000, 2.6326200000", \
"0.0352100000, 0.1108900000, 0.1919600000, 0.3547900000, 0.6798800000, 1.3308600000, 2.6318100000", \
"0.0744000000, 0.1335200000, 0.2020400000, 0.3562500000, 0.6801300000, 1.3298100000, 2.6323900000", \
"0.1337900000, 0.1954300000, 0.2529100000, 0.3826600000, 0.6855800000, 1.3295000000, 2.6321000000", \
"0.2389600000, 0.3199000000, 0.3820700000, 0.4948300000, 0.7469100000, 1.3431000000, 2.6327000000", \
"0.3345100000, 0.4333700000, 0.5057100000, 0.6263000000, 0.8549000000, 1.3934000000, 2.6383000000", \
"0.5812000000, 0.7169000000, 0.8162000000, 0.9712000000, 1.2172000000, 1.6728000000, 2.7526000000", \
"0.7045000000, 0.8537000000, 0.9650000000, 1.1377000000, 1.4028000000, 1.8538000000, 2.8629000000", \
"0.8268000000, 0.9873000000, 1.1084000000, 1.2974000000, 1.5828000000, 2.0449000000, 3.0023000000");
}
related_pin : "A2";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0800300000, 0.1838700000, 0.2880600000, 0.4964000000, 0.9127300000, 1.7453300000, 3.4100500000", \
"0.0800200000, 0.1840000000, 0.2879900000, 0.4963800000, 0.9127400000, 1.7453300000, 3.4102100000", \
"0.0799600000, 0.1838700000, 0.2880500000, 0.4965300000, 0.9127500000, 1.7453500000, 3.4101500000", \
"0.0834400000, 0.1840100000, 0.2881700000, 0.4965400000, 0.9126800000, 1.7451000000, 3.4099400000", \
"0.1217300000, 0.2012900000, 0.2947500000, 0.4966100000, 0.9128100000, 1.7452000000, 3.4101000000", \
"0.1722700000, 0.2547300000, 0.3339900000, 0.5149500000, 0.9137300000, 1.7453300000, 3.4101000000", \
"0.2674700000, 0.3647500000, 0.4433000000, 0.6007000000, 0.9559000000, 1.7485000000, 3.4101000000", \
"0.3544000000, 0.4707000000, 0.5554000000, 0.7100000000, 1.0355000000, 1.7802000000, 3.4100000000", \
"0.5836000000, 0.7358000000, 0.8487000000, 1.0244000000, 1.3295000000, 1.9810000000, 3.4741000000", \
"0.7024000000, 0.8637000000, 0.9889000000, 1.1821000000, 1.4921000000, 2.1202000000, 3.5512000000", \
"0.8235000000, 0.9885000000, 1.1240000000, 1.3341000000, 1.6585000000, 2.2721000000, 3.6505000000");
}
sdf_cond : "(!A1&!B1&B2)";
timing_sense : "negative_unate";
when : "(!A1&!B1&B2)";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0745200000, 0.1410500000, 0.2025100000, 0.3245300000, 0.5677400000, 1.0536700000, 2.0252700000", \
"0.0783200000, 0.1441300000, 0.2060300000, 0.3283500000, 0.5717500000, 1.0577700000, 2.0293700000", \
"0.0791100000, 0.1451600000, 0.2074100000, 0.3300400000, 0.5736500000, 1.0597300000, 2.0314300000", \
"0.0810700000, 0.1464300000, 0.2088300000, 0.3317600000, 0.5756600000, 1.0620000000, 2.0339000000", \
"0.0859000000, 0.1544900000, 0.2153700000, 0.3356800000, 0.5775600000, 1.0625000000, 2.0335000000", \
"0.0805800000, 0.1638900000, 0.2310600000, 0.3521200000, 0.5880100000, 1.0677000000, 2.0349000000", \
"0.0533100000, 0.1580100000, 0.2422000000, 0.3834000000, 0.6258000000, 1.0931000000, 2.0481000000", \
"0.0172000000, 0.1368000000, 0.2337000000, 0.3957000000, 0.6622000000, 1.1317000000, 2.0721000000", \
"-0.1028300000, 0.0460700000, 0.1675700000, 0.3718700000, 0.7040700000, 1.2399700000, 2.1751700000", \
"-0.1709700000, -0.0103700000, 0.1208300000, 0.3418300000, 0.7014300000, 1.2770300000, 2.2384300000", \
"-0.2413000000, -0.0706000000, 0.0692000000, 0.3047000000, 0.6886000000, 1.3012000000, 2.2989000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0733500000, 0.1480800000, 0.2182600000, 0.3563700000, 0.6308700000, 1.1786700000, 2.2739700000", \
"0.0751000000, 0.1487600000, 0.2189100000, 0.3570700000, 0.6315000000, 1.1794700000, 2.2746700000", \
"0.0756600000, 0.1495600000, 0.2198800000, 0.3581000000, 0.6326300000, 1.1806300000, 2.2756300000", \
"0.0862400000, 0.1575000000, 0.2271600000, 0.3649700000, 0.6392700000, 1.1869000000, 2.2819000000", \
"0.1310200000, 0.1995200000, 0.2643600000, 0.3972200000, 0.6674100000, 1.2123000000, 2.3056000000", \
"0.1893900000, 0.2658600000, 0.3330300000, 0.4597500000, 0.7211000000, 1.2581000000, 2.3458000000", \
"0.2863000000, 0.3814000000, 0.4598000000, 0.5966000000, 0.8498000000, 1.3683000000, 2.4393000000", \
"0.3713000000, 0.4814000000, 0.5714000000, 0.7241000000, 0.9891000000, 1.4940000000, 2.5459000000", \
"0.5825700000, 0.7238700000, 0.8387700000, 1.0314700000, 1.3465700000, 1.8785700000, 2.8879700000", \
"0.6844300000, 0.8387300000, 0.9639300000, 1.1736300000, 1.5144300000, 2.0721300000, 3.0821300000", \
"0.7833000000, 0.9491000000, 1.0835000000, 1.3083000000, 1.6727000000, 2.2600000000, 3.2836000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0575000000, 0.1435100000, 0.2290300000, 0.3999300000, 0.7417700000, 1.4248100000, 2.7911000000", \
"0.0575000000, 0.1434500000, 0.2290900000, 0.3999300000, 0.7417400000, 1.4253900000, 2.7921500000", \
"0.0575000000, 0.1434700000, 0.2289800000, 0.4000300000, 0.7418200000, 1.4247500000, 2.7911500000", \
"0.0584700000, 0.1434600000, 0.2290500000, 0.3999400000, 0.7417700000, 1.4250700000, 2.7911000000", \
"0.0897700000, 0.1580800000, 0.2354500000, 0.4008600000, 0.7417600000, 1.4250400000, 2.7912000000", \
"0.1502200000, 0.2142700000, 0.2784600000, 0.4218800000, 0.7457000000, 1.4247000000, 2.7913000000", \
"0.2622100000, 0.3407100000, 0.4041000000, 0.5244300000, 0.7988100000, 1.4355000000, 2.7909000000", \
"0.3641900000, 0.4577000000, 0.5300000000, 0.6539000000, 0.8993000000, 1.4804000000, 2.7956000000", \
"0.6244000000, 0.7522000000, 0.8491000000, 1.0039000000, 1.2568000000, 1.7414000000, 2.8990000000", \
"0.7523000000, 0.8942000000, 1.0024000000, 1.1732000000, 1.4440000000, 1.9175000000, 3.0032000000", \
"0.8784000000, 1.0320000000, 1.1496000000, 1.3360000000, 1.6258000000, 2.1063000000, 3.1342000000");
}
related_pin : "A2";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.1190700000, 0.2217100000, 0.3256500000, 0.5338200000, 0.9502000000, 1.7825800000, 3.4470800000", \
"0.1191100000, 0.2218200000, 0.3256100000, 0.5338000000, 0.9500000000, 1.7825500000, 3.4471800000", \
"0.1190000000, 0.2217200000, 0.3256800000, 0.5337500000, 0.9500300000, 1.7824600000, 3.4472900000", \
"0.1226400000, 0.2218600000, 0.3256400000, 0.5338700000, 0.9500100000, 1.7824600000, 3.4472300000", \
"0.1617700000, 0.2398000000, 0.3325800000, 0.5337900000, 0.9499700000, 1.7824700000, 3.4471000000", \
"0.2248900000, 0.2952400000, 0.3733500000, 0.5530000000, 0.9513000000, 1.7824000000, 3.4473000000", \
"0.3394400000, 0.4167400000, 0.4899400000, 0.6420000000, 0.9946000000, 1.7857000000, 3.4471000000", \
"0.4427000000, 0.5344000000, 0.6095000000, 0.7573000000, 1.0769000000, 1.8189000000, 3.4472000000", \
"0.7031000000, 0.8294000000, 0.9287000000, 1.0900000000, 1.3842000000, 2.0261000000, 3.5135000000", \
"0.8317000000, 0.9706000000, 1.0813000000, 1.2591000000, 1.5545000000, 2.1710000000, 3.5922000000", \
"0.9584000000, 1.1075000000, 1.2281000000, 1.4214000000, 1.7289000000, 2.3283000000, 3.6951000000");
}
sdf_cond : "(!A1&B1&!B2)";
timing_sense : "negative_unate";
when : "(!A1&B1&!B2)";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0442800000, 0.0951200000, 0.1397800000, 0.2286100000, 0.4058900000, 0.7602100000, 1.4687700000", \
"0.0463200000, 0.0976600000, 0.1427500000, 0.2318500000, 0.4092900000, 0.7637100000, 1.4723700000", \
"0.0496200000, 0.0989200000, 0.1443600000, 0.2337300000, 0.4113400000, 0.7658400000, 1.4745300000", \
"0.0550600000, 0.1042900000, 0.1497900000, 0.2393900000, 0.4172100000, 0.7718500000, 1.4806000000", \
"0.0578400000, 0.1238900000, 0.1738500000, 0.2617400000, 0.4377400000, 0.7911400000, 1.4991000000", \
"0.0484300000, 0.1327000000, 0.1968200000, 0.3000500000, 0.4754800000, 0.8248000000, 1.5297000000", \
"0.0153800000, 0.1213600000, 0.2049700000, 0.3404000000, 0.5519000000, 0.9031000000, 1.5990000000", \
"-0.0258000000, 0.0954000000, 0.1921000000, 0.3509000000, 0.5997000000, 0.9873000000, 1.6761000000", \
"-0.1582300000, -0.0067300000, 0.1148700000, 0.3172700000, 0.6409700000, 1.1399700000, 1.9108700000", \
"-0.2321700000, -0.0685700000, 0.0628300000, 0.2820300000, 0.6345300000, 1.1814300000, 2.0145300000", \
"-0.3080000000, -0.1339000000, 0.0062000000, 0.2400000000, 0.6173000000, 1.2060000000, 2.1011000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0736400000, 0.1461200000, 0.2152000000, 0.3525700000, 0.6267000000, 1.1743700000, 2.2698700000", \
"0.0748900000, 0.1461600000, 0.2153800000, 0.3528500000, 0.6270600000, 1.1748700000, 2.2699700000", \
"0.0755700000, 0.1470700000, 0.2164800000, 0.3541500000, 0.6284500000, 1.1762300000, 2.2715300000", \
"0.0861500000, 0.1552300000, 0.2239200000, 0.3611800000, 0.6352300000, 1.1830000000, 2.2783000000", \
"0.1288100000, 0.1981600000, 0.2623900000, 0.3946500000, 0.6643300000, 1.2088000000, 2.3022000000", \
"0.1845100000, 0.2648200000, 0.3327800000, 0.4596100000, 0.7203000000, 1.2566000000, 2.3436000000", \
"0.2780300000, 0.3815000000, 0.4623000000, 0.6008000000, 0.8545000000, 1.3721000000, 2.4412000000", \
"0.3610000000, 0.4826000000, 0.5768000000, 0.7325000000, 0.9990000000, 1.5034000000, 2.5534000000", \
"0.5698700000, 0.7286700000, 0.8514700000, 1.0513700000, 1.3704700000, 1.9034700000, 2.9115700000", \
"0.6716300000, 0.8455300000, 0.9801300000, 1.1989300000, 1.5457300000, 2.1049300000, 3.1139300000", \
"0.7708000000, 0.9581000000, 1.1032000000, 1.3388000000, 1.7111000000, 2.3006000000, 3.3234000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0333100000, 0.0948400000, 0.1572600000, 0.2824200000, 0.5327300000, 1.0333700000, 2.0333600000", \
"0.0333100000, 0.0947800000, 0.1573000000, 0.2824100000, 0.5327700000, 1.0339800000, 2.0341300000", \
"0.0333200000, 0.0948700000, 0.1573100000, 0.2825400000, 0.5327400000, 1.0325800000, 2.0332900000", \
"0.0414800000, 0.0958000000, 0.1574600000, 0.2823200000, 0.5327400000, 1.0329300000, 2.0331600000", \
"0.0874500000, 0.1305100000, 0.1777300000, 0.2883900000, 0.5326000000, 1.0333600000, 2.0355700000", \
"0.1511600000, 0.1988000000, 0.2425500000, 0.3343400000, 0.5496500000, 1.0331400000, 2.0336000000", \
"0.2604500000, 0.3262700000, 0.3776000000, 0.4675800000, 0.6492000000, 1.0714000000, 2.0333000000", \
"0.3578500000, 0.4409100000, 0.5023300000, 0.6035000000, 0.7813000000, 1.1619000000, 2.0600000000", \
"0.6042000000, 0.7247000000, 0.8121000000, 0.9481000000, 1.1589000000, 1.5169000000, 2.2792000000", \
"0.7245000000, 0.8603000000, 0.9596000000, 1.1126000000, 1.3443000000, 1.7142000000, 2.4446000000", \
"0.8423000000, 0.9916000000, 1.1015000000, 1.2702000000, 1.5219000000, 1.9120000000, 2.6270000000");
}
related_pin : "A2";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0914100000, 0.1958800000, 0.3002600000, 0.5085500000, 0.9249400000, 1.7576200000, 3.4224000000", \
"0.0914000000, 0.1959500000, 0.3002300000, 0.5085800000, 0.9248700000, 1.7572900000, 3.4220100000", \
"0.0913900000, 0.1959200000, 0.3001500000, 0.5085600000, 0.9248300000, 1.7575800000, 3.4218600000", \
"0.0932400000, 0.1959500000, 0.3001200000, 0.5085500000, 0.9249400000, 1.7576400000, 3.4225800000", \
"0.1237800000, 0.2095500000, 0.3053300000, 0.5085500000, 0.9249800000, 1.7573600000, 3.4225000000", \
"0.1698100000, 0.2549700000, 0.3388500000, 0.5242900000, 0.9255300000, 1.7574300000, 3.4220000000", \
"0.2559600000, 0.3526200000, 0.4352500000, 0.5996000000, 0.9628000000, 1.7601000000, 3.4222000000", \
"0.3334000000, 0.4473000000, 0.5341000000, 0.6970000000, 1.0339000000, 1.7887000000, 3.4226000000", \
"0.5316000000, 0.6825000000, 0.7955000000, 0.9756000000, 1.2961000000, 1.9700000000, 3.4802000000", \
"0.6316000000, 0.7945000000, 0.9195000000, 1.1167000000, 1.4406000000, 2.0940000000, 3.5495000000", \
"0.7322000000, 0.9030000000, 1.0382000000, 1.2518000000, 1.5881000000, 2.2298000000, 3.6396000000");
}
sdf_cond : "(!A1&B1&B2)";
timing_sense : "negative_unate";
when : "(!A1&B1&B2)";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0558500000, 0.1197800000, 0.1812300000, 0.3032400000, 0.5464300000, 1.0322700000, 2.0039700000", \
"0.0585100000, 0.1225000000, 0.1840300000, 0.3060900000, 0.5493100000, 1.0351700000, 2.0068700000", \
"0.0587100000, 0.1228300000, 0.1844300000, 0.3065500000, 0.5498600000, 1.0358300000, 2.0073300000", \
"0.0664800000, 0.1284200000, 0.1894500000, 0.3111500000, 0.5541800000, 1.0399000000, 2.0114000000", \
"0.0893700000, 0.1598300000, 0.2180000000, 0.3352400000, 0.5745200000, 1.0576000000, 2.0275000000", \
"0.1120600000, 0.1991400000, 0.2668700000, 0.3847000000, 0.6154800000, 1.0914000000, 2.0560000000", \
"0.1452300000, 0.2542600000, 0.3391000000, 0.4800000000, 0.7184000000, 1.1762000000, 2.1254000000", \
"0.1723000000, 0.2965000000, 0.3936000000, 0.5548000000, 0.8180000000, 1.2762000000, 2.2069000000", \
"0.2357700000, 0.3887700000, 0.5091700000, 0.7098700000, 1.0363700000, 1.5629700000, 2.4774700000", \
"0.2653300000, 0.4293300000, 0.5589300000, 0.7749300000, 1.1267300000, 1.6921300000, 2.6348300000", \
"0.2938000000, 0.4672000000, 0.6046000000, 0.8339000000, 1.2079000000, 1.8087000000, 2.7900000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0463400000, 0.1237000000, 0.1924400000, 0.3295500000, 0.6034500000, 1.1512700000, 2.2466700000", \
"0.0489900000, 0.1265200000, 0.1958600000, 0.3333600000, 0.6074800000, 1.1553700000, 2.2509700000", \
"0.0526200000, 0.1276400000, 0.1974800000, 0.3353600000, 0.6097700000, 1.1577300000, 2.2535300000", \
"0.0579900000, 0.1298300000, 0.1994700000, 0.3375500000, 0.6121500000, 1.1604000000, 2.2560000000", \
"0.0682000000, 0.1481700000, 0.2155700000, 0.3488600000, 0.6199800000, 1.1657000000, 2.2598000000", \
"0.0771000000, 0.1737700000, 0.2482000000, 0.3810500000, 0.6432000000, 1.1814000000, 2.2700000000", \
"0.0833700000, 0.2047100000, 0.2967000000, 0.4492000000, 0.7126000000, 1.2322000000, 2.3042000000", \
"0.0826000000, 0.2228000000, 0.3281000000, 0.5016000000, 0.7857000000, 1.2992000000, 2.3522000000", \
"0.0653700000, 0.2440700000, 0.3775700000, 0.5943700000, 0.9437700000, 1.5096700000, 2.5318700000", \
"0.0519300000, 0.2461300000, 0.3912300000, 0.6262300000, 1.0029300000, 1.6081300000, 2.6423300000", \
"0.0367000000, 0.2446000000, 0.4001000000, 0.6513000000, 1.0525000000, 1.6942000000, 2.7554000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0574500000, 0.1434800000, 0.2290300000, 0.3999900000, 0.7418200000, 1.4247600000, 2.7911100000", \
"0.0574500000, 0.1434500000, 0.2290700000, 0.4000800000, 0.7416300000, 1.4247700000, 2.7918500000", \
"0.0574100000, 0.1434400000, 0.2290500000, 0.3999700000, 0.7417500000, 1.4249300000, 2.7923500000", \
"0.0625400000, 0.1434100000, 0.2289800000, 0.4000000000, 0.7416500000, 1.4248100000, 2.7923600000", \
"0.1083300000, 0.1708000000, 0.2411700000, 0.4011300000, 0.7418200000, 1.4249800000, 2.7923000000", \
"0.1748200000, 0.2417000000, 0.3008200000, 0.4339300000, 0.7466600000, 1.4249800000, 2.7909000000", \
"0.2979900000, 0.3858400000, 0.4470200000, 0.5622200000, 0.8202000000, 1.4382000000, 2.7914000000", \
"0.4143000000, 0.5220000000, 0.5932000000, 0.7086000000, 0.9425000000, 1.4979000000, 2.7938000000", \
"0.7252000000, 0.8741000000, 0.9728000000, 1.1198000000, 1.3489000000, 1.8141000000, 2.9271000000", \
"0.8835000000, 1.0481000000, 1.1588000000, 1.3231000000, 1.5681000000, 2.0167000000, 3.0590000000", \
"1.0411000000, 1.2183000000, 1.3403000000, 1.5205000000, 1.7843000000, 2.2269000000, 3.2185000000");
}
related_pin : "B1";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0438700000, 0.1476400000, 0.2515700000, 0.4598600000, 0.8763300000, 1.7092500000, 3.3757200000", \
"0.0438400000, 0.1475300000, 0.2516500000, 0.4597700000, 0.8763100000, 1.7095100000, 3.3755000000", \
"0.0439000000, 0.1475900000, 0.2517200000, 0.4598900000, 0.8763700000, 1.7090600000, 3.3740600000", \
"0.0513000000, 0.1478000000, 0.2515500000, 0.4596500000, 0.8762600000, 1.7091200000, 3.3759700000", \
"0.0933500000, 0.1703400000, 0.2618500000, 0.4617500000, 0.8758800000, 1.7104700000, 3.3760000000", \
"0.1556100000, 0.2304500000, 0.3077500000, 0.4848900000, 0.8807600000, 1.7093400000, 3.3734000000", \
"0.2666700000, 0.3590400000, 0.4344000000, 0.5844500000, 0.9314000000, 1.7174000000, 3.3760000000", \
"0.3733200000, 0.4761000000, 0.5630000000, 0.7097000000, 1.0235000000, 1.7570000000, 3.3780000000", \
"0.6777000000, 0.7758000000, 0.8849000000, 1.0674000000, 1.3651000000, 1.9913000000, 3.4626000000", \
"0.8407000000, 0.9269000000, 1.0416000000, 1.2396000000, 1.5574000000, 2.1526000000, 3.5564000000", \
"1.0045000000, 1.0764000000, 1.1930000000, 1.4051000000, 1.7442000000, 2.3300000000, 3.6705000000");
}
sdf_cond : "(!A1&A2&!B2)";
timing_sense : "negative_unate";
when : "(!A1&A2&!B2)";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0805700000, 0.1463300000, 0.2097200000, 0.3352700000, 0.5851700000, 1.0839700000, 2.0812700000", \
"0.0834100000, 0.1491300000, 0.2125700000, 0.3381800000, 0.5881000000, 1.0869700000, 2.0842700000", \
"0.0837000000, 0.1494800000, 0.2129800000, 0.3386300000, 0.5885800000, 1.0874300000, 2.0848300000", \
"0.0902500000, 0.1547800000, 0.2178400000, 0.3431400000, 0.5928600000, 1.0916000000, 2.0889000000", \
"0.1198400000, 0.1855900000, 0.2451000000, 0.3665600000, 0.6129000000, 1.1092000000, 2.1047000000", \
"0.1514300000, 0.2307100000, 0.2963000000, 0.4148400000, 0.6532000000, 1.1426000000, 2.1332000000", \
"0.1970700000, 0.2961000000, 0.3772000000, 0.5152000000, 0.7551000000, 1.2268000000, 2.2022000000", \
"0.2337000000, 0.3466000000, 0.4393000000, 0.5967000000, 0.8589000000, 1.3263000000, 2.2835000000", \
"0.3178700000, 0.4573700000, 0.5724700000, 0.7681700000, 1.0913700000, 1.6196700000, 2.5534700000", \
"0.3564300000, 0.5062300000, 0.6300300000, 0.8408300000, 1.1889300000, 1.7544300000, 2.7108300000", \
"0.3931000000, 0.5517000000, 0.6832000000, 0.9070000000, 1.2771000000, 1.8773000000, 2.8701000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0533700000, 0.1347700000, 0.2050700000, 0.3430700000, 0.6173300000, 1.1651700000, 2.2606700000", \
"0.0560100000, 0.1377100000, 0.2086100000, 0.3469800000, 0.6214300000, 1.1694700000, 2.2649700000", \
"0.0596900000, 0.1388700000, 0.2102500000, 0.3490300000, 0.6238000000, 1.1718300000, 2.2674300000", \
"0.0668100000, 0.1411100000, 0.2123200000, 0.3512800000, 0.6262700000, 1.1746000000, 2.2702000000", \
"0.0849700000, 0.1606500000, 0.2279700000, 0.3623600000, 0.6338800000, 1.1797000000, 2.2740000000", \
"0.1029300000, 0.1896900000, 0.2616800000, 0.3936300000, 0.6566000000, 1.1951000000, 2.2838000000", \
"0.1203900000, 0.2260500000, 0.3133000000, 0.4622000000, 0.7242000000, 1.2445000000, 2.3170000000", \
"0.1270000000, 0.2477000000, 0.3467000000, 0.5152000000, 0.7966000000, 1.3098000000, 2.3642000000", \
"0.1218700000, 0.2745700000, 0.3986700000, 0.6076700000, 0.9519700000, 1.5163700000, 2.5390700000", \
"0.1119300000, 0.2781300000, 0.4127300000, 0.6386300000, 1.0093300000, 1.6123300000, 2.6474300000", \
"0.0992000000, 0.2774000000, 0.4215000000, 0.6626000000, 1.0569000000, 1.6959000000, 2.7581000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0866300000, 0.1762900000, 0.2649400000, 0.4413800000, 0.7941600000, 1.4989900000, 2.9094000000", \
"0.0866200000, 0.1763200000, 0.2647900000, 0.4414600000, 0.7940800000, 1.4995300000, 2.9092200000", \
"0.0866400000, 0.1763000000, 0.2648800000, 0.4413700000, 0.7943700000, 1.4994500000, 2.9096900000", \
"0.0874000000, 0.1762500000, 0.2647800000, 0.4413800000, 0.7942500000, 1.4991900000, 2.9094700000", \
"0.1263200000, 0.1948100000, 0.2724600000, 0.4415900000, 0.7941300000, 1.4995300000, 2.9090000000", \
"0.1938000000, 0.2606800000, 0.3241600000, 0.4680400000, 0.7972200000, 1.4990300000, 2.9092000000", \
"0.3242100000, 0.4041000000, 0.4654900000, 0.5873400000, 0.8626000000, 1.5090000000, 2.9100000000", \
"0.4466800000, 0.5430000000, 0.6121000000, 0.7304000000, 0.9781000000, 1.5634000000, 2.9106000000", \
"0.7684000000, 0.9025000000, 0.9965000000, 1.1410000000, 1.3754000000, 1.8651000000, 3.0331000000", \
"0.9297000000, 1.0795000000, 1.1851000000, 1.3457000000, 1.5928000000, 2.0620000000, 3.1566000000", \
"1.0889000000, 1.2523000000, 1.3685000000, 1.5445000000, 1.8089000000, 2.2694000000, 3.3102000000");
}
related_pin : "B1";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0821000000, 0.1852500000, 0.2892100000, 0.4979800000, 0.9136500000, 1.7463500000, 3.4110600000", \
"0.0821100000, 0.1852600000, 0.2891000000, 0.4981400000, 0.9137200000, 1.7463000000, 3.4121000000", \
"0.0821400000, 0.1853800000, 0.2891800000, 0.4980900000, 0.9134900000, 1.7463500000, 3.4118500000", \
"0.0889700000, 0.1857100000, 0.2891400000, 0.4975100000, 0.9136700000, 1.7458000000, 3.4106900000", \
"0.1339600000, 0.2074700000, 0.2993700000, 0.4989700000, 0.9141300000, 1.7464900000, 3.4108000000", \
"0.2054100000, 0.2697300000, 0.3449500000, 0.5223700000, 0.9181400000, 1.7458900000, 3.4107000000", \
"0.3307000000, 0.4065800000, 0.4749500000, 0.6223700000, 0.9692000000, 1.7559000000, 3.4132000000", \
"0.4436200000, 0.5326000000, 0.6103000000, 0.7505000000, 1.0618000000, 1.7965000000, 3.4150000000", \
"0.7426000000, 0.8483000000, 0.9482000000, 1.1195000000, 1.4090000000, 2.0315000000, 3.5006000000", \
"0.8984000000, 1.0032000000, 1.1104000000, 1.2970000000, 1.6044000000, 2.1952000000, 3.5953000000", \
"1.0568000000, 1.1548000000, 1.2671000000, 1.4684000000, 1.7961000000, 2.3739000000, 3.7122000000");
}
sdf_cond : "(A1&!A2&!B2)";
timing_sense : "negative_unate";
when : "(A1&!A2&!B2)";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0472600000, 0.1013300000, 0.1530200000, 0.2555700000, 0.4598500000, 0.8680600000, 1.6838700000", \
"0.0498500000, 0.1039700000, 0.1557300000, 0.2582800000, 0.4626700000, 0.8707500000, 1.6867700000", \
"0.0505600000, 0.1046900000, 0.1565200000, 0.2591200000, 0.4635300000, 0.8715700000, 1.6877300000", \
"0.0592800000, 0.1113300000, 0.1625100000, 0.2646700000, 0.4686900000, 0.8766100000, 1.6927000000", \
"0.0763800000, 0.1418600000, 0.1929700000, 0.2909800000, 0.4914900000, 0.8969000000, 1.7112000000", \
"0.0898900000, 0.1727900000, 0.2361200000, 0.3418100000, 0.5352900000, 0.9339000000, 1.7430000000", \
"0.1053900000, 0.2094700000, 0.2902000000, 0.4229000000, 0.6382000000, 1.0238000000, 1.8190000000", \
"0.1153000000, 0.2337000000, 0.3262000000, 0.4794000000, 0.7250000000, 1.1276000000, 1.9056000000", \
"0.1323700000, 0.2771700000, 0.3917700000, 0.5830700000, 0.8933700000, 1.3850700000, 2.1874700000", \
"0.1384300000, 0.2930300000, 0.4161300000, 0.6219300000, 0.9569300000, 1.4896300000, 2.3406300000", \
"0.1435000000, 0.3065000000, 0.4368000000, 0.6551000000, 1.0115000000, 1.5800000000, 2.4782000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0463900000, 0.1236100000, 0.1923700000, 0.3294700000, 0.6033500000, 1.1511700000, 2.2466700000", \
"0.0490000000, 0.1258800000, 0.1952200000, 0.3327300000, 0.6068800000, 1.1546700000, 2.2501700000", \
"0.0523900000, 0.1267000000, 0.1965500000, 0.3344500000, 0.6088900000, 1.1569300000, 2.2524300000", \
"0.0573700000, 0.1287700000, 0.1983300000, 0.3363600000, 0.6110700000, 1.1592000000, 2.2550000000", \
"0.0719600000, 0.1499000000, 0.2164300000, 0.3490600000, 0.6196500000, 1.1650000000, 2.2590000000", \
"0.0880900000, 0.1822500000, 0.2545000000, 0.3852700000, 0.6458000000, 1.1827000000, 2.2703000000", \
"0.1087300000, 0.2278500000, 0.3172000000, 0.4650000000, 0.7237000000, 1.2400000000, 2.3090000000", \
"0.1224000000, 0.2605000000, 0.3633000000, 0.5315000000, 0.8075000000, 1.3149000000, 2.3633000000", \
"0.1458700000, 0.3224700000, 0.4535700000, 0.6652700000, 1.0040700000, 1.5535700000, 2.5642700000", \
"0.1537300000, 0.3457300000, 0.4884300000, 0.7183300000, 1.0843300000, 1.6705300000, 2.6874300000", \
"0.1599000000, 0.3653000000, 0.5185000000, 0.7647000000, 1.1552000000, 1.7766000000, 2.8145000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0447000000, 0.1153900000, 0.1855300000, 0.3256400000, 0.6054200000, 1.1649000000, 2.2841000000", \
"0.0447100000, 0.1154000000, 0.1855400000, 0.3255300000, 0.6054600000, 1.1654700000, 2.2843200000", \
"0.0446800000, 0.1153400000, 0.1855400000, 0.3256500000, 0.6054700000, 1.1653100000, 2.2848200000", \
"0.0523700000, 0.1156200000, 0.1854200000, 0.3256400000, 0.6053900000, 1.1654200000, 2.2848800000", \
"0.1004900000, 0.1506200000, 0.2038500000, 0.3293500000, 0.6053500000, 1.1648400000, 2.2848000000", \
"0.1670100000, 0.2259400000, 0.2730600000, 0.3729100000, 0.6166100000, 1.1647800000, 2.2839000000", \
"0.2894300000, 0.3706900000, 0.4245200000, 0.5169100000, 0.7123400000, 1.1920000000, 2.2848000000", \
"0.4052000000, 0.5060600000, 0.5705000000, 0.6704000000, 0.8534000000, 1.2740000000, 2.2957000000", \
"0.7147000000, 0.8567000000, 0.9480000000, 1.0815000000, 1.2815000000, 1.6444000000, 2.4892000000", \
"0.8720000000, 1.0301000000, 1.1333000000, 1.2836000000, 1.5018000000, 1.8637000000, 2.6522000000", \
"1.0287000000, 1.2002000000, 1.3139000000, 1.4798000000, 1.7169000000, 2.0864000000, 2.8406000000");
}
related_pin : "B1";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0438500000, 0.1475400000, 0.2515500000, 0.4597800000, 0.8761300000, 1.7083200000, 3.3743900000", \
"0.0439000000, 0.1476000000, 0.2515500000, 0.4598900000, 0.8763100000, 1.7089800000, 3.3760500000", \
"0.0439100000, 0.1476200000, 0.2515600000, 0.4598700000, 0.8761500000, 1.7103300000, 3.3760200000", \
"0.0516700000, 0.1479400000, 0.2516400000, 0.4601500000, 0.8764600000, 1.7095600000, 3.3755100000", \
"0.0927800000, 0.1699800000, 0.2616700000, 0.4617400000, 0.8760200000, 1.7092500000, 3.3760000000", \
"0.1524600000, 0.2271400000, 0.3054500000, 0.4838000000, 0.8805200000, 1.7087900000, 3.3732000000", \
"0.2595000000, 0.3492900000, 0.4246000000, 0.5772200000, 0.9279000000, 1.7178000000, 3.3761000000", \
"0.3637000000, 0.4610000000, 0.5465000000, 0.6949000000, 1.0137000000, 1.7543000000, 3.3771000000", \
"0.6628000000, 0.7489000000, 0.8541000000, 1.0343000000, 1.3336000000, 1.9717000000, 3.4580000000", \
"0.8235000000, 0.8950000000, 1.0033000000, 1.1982000000, 1.5147000000, 2.1221000000, 3.5418000000", \
"0.9848000000, 1.0414000000, 1.1498000000, 1.3561000000, 1.6921000000, 2.2878000000, 3.6482000000");
}
sdf_cond : "(A1&A2&!B2)";
timing_sense : "negative_unate";
when : "(A1&A2&!B2)";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0314900000, 0.0999300000, 0.1585000000, 0.2752400000, 0.5084500000, 0.9746700000, 1.9070700000", \
"0.0383600000, 0.1018500000, 0.1610400000, 0.2782300000, 0.5116700000, 0.9780500000, 1.9105700000", \
"0.0405500000, 0.1028400000, 0.1622600000, 0.2796700000, 0.5132700000, 0.9797300000, 1.9123300000", \
"0.0475600000, 0.1086400000, 0.1674300000, 0.2845700000, 0.5180600000, 0.9845000000, 1.9171000000", \
"0.0526100000, 0.1339700000, 0.1938300000, 0.3069100000, 0.5372300000, 1.0014000000, 1.9326000000", \
"0.0496800000, 0.1551600000, 0.2297100000, 0.3517100000, 0.5750600000, 1.0330000000, 1.9595000000", \
"0.0339500000, 0.1704100000, 0.2671700000, 0.4210000000, 0.6668000000, 1.1115000000, 2.0247000000", \
"0.0132000000, 0.1716000000, 0.2841000000, 0.4634000000, 0.7440000000, 1.2026000000, 2.1005000000", \
"-0.0547300000, 0.1464700000, 0.2898700000, 0.5187700000, 0.8782700000, 1.4364700000, 2.3481700000", \
"-0.0928700000, 0.1251300000, 0.2808300000, 0.5294300000, 0.9201300000, 1.5270300000, 2.4886300000", \
"-0.1320000000, 0.1004000000, 0.2670000000, 0.5329000000, 0.9510000000, 1.6013000000, 2.6162000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0319300000, 0.1136200000, 0.1827200000, 0.3199000000, 0.5938600000, 1.1416700000, 2.2372700000", \
"0.0381100000, 0.1149200000, 0.1846100000, 0.3223500000, 0.5966600000, 1.1445700000, 2.2399700000", \
"0.0426100000, 0.1162000000, 0.1861500000, 0.3241800000, 0.5987200000, 1.1466300000, 2.2423300000", \
"0.0544100000, 0.1246200000, 0.1936500000, 0.3313200000, 0.6057300000, 1.1538000000, 2.2494000000", \
"0.0803000000, 0.1640600000, 0.2302100000, 0.3630200000, 0.6335600000, 1.1789000000, 2.2731000000", \
"0.1108000000, 0.2161900000, 0.2914500000, 0.4237000000, 0.6861000000, 1.2243000000, 2.3129000000", \
"0.1599000000, 0.2991000000, 0.3948000000, 0.5476000000, 0.8108000000, 1.3325000000, 2.4059000000", \
"0.2025000000, 0.3670000000, 0.4795000000, 0.6561000000, 0.9404000000, 1.4547000000, 2.5109000000", \
"0.3078700000, 0.5235700000, 0.6709700000, 0.9004700000, 1.2545700000, 1.8187700000, 2.8445700000", \
"0.3587300000, 0.5949300000, 0.7567300000, 1.0082300000, 1.3947300000, 1.9959300000, 3.0320300000", \
"0.4082000000, 0.6623000000, 0.8368000000, 1.1082000000, 1.5239000000, 2.1642000000, 3.2250000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0287700000, 0.1106500000, 0.1920400000, 0.3544700000, 0.6795200000, 1.3303900000, 2.6321300000", \
"0.0287700000, 0.1106800000, 0.1920400000, 0.3546800000, 0.6795000000, 1.3292600000, 2.6307200000", \
"0.0286700000, 0.1106300000, 0.1919300000, 0.3546100000, 0.6794300000, 1.3291200000, 2.6299400000", \
"0.0370300000, 0.1104600000, 0.1918700000, 0.3545000000, 0.6800600000, 1.3291700000, 2.6291700000", \
"0.0708200000, 0.1407900000, 0.2064800000, 0.3565600000, 0.6794900000, 1.3296500000, 2.6287400000", \
"0.1206900000, 0.2038300000, 0.2666400000, 0.3929500000, 0.6869400000, 1.3294200000, 2.6296000000", \
"0.2120700000, 0.3262100000, 0.3978600000, 0.5186000000, 0.7673000000, 1.3487000000, 2.6296000000", \
"0.2975000000, 0.4374200000, 0.5234000000, 0.6539000000, 0.8902000000, 1.4163000000, 2.6377000000", \
"0.5242000000, 0.7161000000, 0.8367000000, 1.0117000000, 1.2707000000, 1.7375000000, 2.7926000000", \
"0.6389000000, 0.8506000000, 0.9861000000, 1.1827000000, 1.4666000000, 1.9336000000, 2.9323000000", \
"0.7526000000, 0.9809000000, 1.1302000000, 1.3464000000, 1.6551000000, 2.1316000000, 3.0936000000");
}
related_pin : "B2";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0435000000, 0.1475200000, 0.2515600000, 0.4597700000, 0.8769400000, 1.7105700000, 3.3777400000", \
"0.0433700000, 0.1476600000, 0.2515700000, 0.4596600000, 0.8763900000, 1.7095300000, 3.3757600000", \
"0.0432900000, 0.1475500000, 0.2515500000, 0.4596500000, 0.8761000000, 1.7092600000, 3.3752600000", \
"0.0512700000, 0.1476300000, 0.2515700000, 0.4597100000, 0.8768700000, 1.7092800000, 3.3759100000", \
"0.0845300000, 0.1689100000, 0.2602900000, 0.4599400000, 0.8763800000, 1.7096000000, 3.3746000000", \
"0.1299000000, 0.2222900000, 0.3027300000, 0.4812700000, 0.8776700000, 1.7096500000, 3.3760000000", \
"0.2109700000, 0.3272600000, 0.4102900000, 0.5707200000, 0.9238000000, 1.7130000000, 3.3746000000", \
"0.2874000000, 0.4240000000, 0.5191000000, 0.6796000000, 1.0063000000, 1.7478000000, 3.3758000000", \
"0.4990000000, 0.6617000000, 0.7900000000, 0.9850000000, 1.3021000000, 1.9569000000, 3.4454000000", \
"0.6128000000, 0.7752000000, 0.9175000000, 1.1335000000, 1.4638000000, 2.0993000000, 3.5264000000", \
"0.7286000000, 0.8857000000, 1.0393000000, 1.2748000000, 1.6264000000, 2.2527000000, 3.6293000000");
}
sdf_cond : "(!A1&A2&!B1)";
timing_sense : "negative_unate";
when : "(!A1&A2&!B1)";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0555300000, 0.1266200000, 0.1882600000, 0.3104500000, 0.5539100000, 1.0402700000, 2.0127700000", \
"0.0630500000, 0.1289000000, 0.1910200000, 0.3135700000, 0.5572800000, 1.0437700000, 2.0162700000", \
"0.0643400000, 0.1300300000, 0.1923000000, 0.3150600000, 0.5589200000, 1.0455300000, 2.0180300000", \
"0.0716400000, 0.1354900000, 0.1973700000, 0.3199300000, 0.5637300000, 1.0503000000, 2.0229000000", \
"0.0903400000, 0.1630500000, 0.2226500000, 0.3417500000, 0.5826900000, 1.0672000000, 2.0384000000", \
"0.1009800000, 0.1931300000, 0.2642100000, 0.3860100000, 0.6202000000, 1.0987000000, 2.0655000000", \
"0.1043700000, 0.2231100000, 0.3148000000, 0.4650000000, 0.7131000000, 1.1771000000, 2.1308000000", \
"0.0986000000, 0.2362000000, 0.3428000000, 0.5176000000, 0.7973000000, 1.2684000000, 2.2068000000", \
"0.0636700000, 0.2386700000, 0.3744700000, 0.5976700000, 0.9544700000, 1.5162700000, 2.4556700000", \
"0.0400300000, 0.2298300000, 0.3773300000, 0.6197300000, 1.0076300000, 1.6171300000, 2.5987300000", \
"0.0143000000, 0.2170000000, 0.3749000000, 0.6342000000, 1.0493000000, 1.7020000000, 2.7344000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0389700000, 0.1247600000, 0.1953700000, 0.3334500000, 0.6077400000, 1.1556700000, 2.2510700000", \
"0.0451400000, 0.1262600000, 0.1975000000, 0.3361000000, 0.6106600000, 1.1586700000, 2.2542700000", \
"0.0498800000, 0.1276300000, 0.1991200000, 0.3379700000, 0.6128600000, 1.1610300000, 2.2565300000", \
"0.0636400000, 0.1359900000, 0.2066300000, 0.3451700000, 0.6199800000, 1.1681000000, 2.2637000000", \
"0.0975200000, 0.1764200000, 0.2424600000, 0.3763900000, 0.6474100000, 1.1930000000, 2.2873000000", \
"0.1386400000, 0.2311000000, 0.3043800000, 0.4359000000, 0.6992000000, 1.2378000000, 2.3269000000", \
"0.2009900000, 0.3188000000, 0.4093000000, 0.5591000000, 0.8216000000, 1.3442000000, 2.4185000000", \
"0.2522000000, 0.3895000000, 0.4949000000, 0.6668000000, 0.9494000000, 1.4643000000, 2.5220000000", \
"0.3708700000, 0.5487700000, 0.6854700000, 0.9070700000, 1.2573700000, 1.8218700000, 2.8491700000", \
"0.4252300000, 0.6198300000, 0.7695300000, 1.0118300000, 1.3936300000, 1.9951300000, 3.0333300000", \
"0.4767000000, 0.6862000000, 0.8475000000, 1.1085000000, 1.5188000000, 2.1591000000, 3.2230000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0578800000, 0.1441600000, 0.2299200000, 0.4011400000, 0.7436300000, 1.4282500000, 2.7976300000", \
"0.0579000000, 0.1441300000, 0.2300000000, 0.4012000000, 0.7437100000, 1.4281800000, 2.7979500000", \
"0.0578400000, 0.1441400000, 0.2298300000, 0.4011200000, 0.7436000000, 1.4282900000, 2.7979400000", \
"0.0593300000, 0.1440600000, 0.2299900000, 0.4011300000, 0.7434900000, 1.4285200000, 2.7978700000", \
"0.0935800000, 0.1647900000, 0.2391600000, 0.4016500000, 0.7437500000, 1.4286200000, 2.7983000000", \
"0.1490000000, 0.2255500000, 0.2918400000, 0.4312200000, 0.7479000000, 1.4284600000, 2.7973000000", \
"0.2517100000, 0.3509100000, 0.4212300000, 0.5497100000, 0.8189000000, 1.4414000000, 2.7972000000", \
"0.3460100000, 0.4670000000, 0.5496000000, 0.6816000000, 0.9347000000, 1.5009000000, 2.8007000000", \
"0.5880000000, 0.7571000000, 0.8716000000, 1.0438000000, 1.3076000000, 1.8062000000, 2.9374000000", \
"0.7074000000, 0.8964000000, 1.0250000000, 1.2175000000, 1.5042000000, 1.9971000000, 3.0690000000", \
"0.8242000000, 1.0307000000, 1.1725000000, 1.3841000000, 1.6948000000, 2.1923000000, 3.2236000000");
}
related_pin : "B2";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0817500000, 0.1852300000, 0.2893300000, 0.4975500000, 0.9142200000, 1.7464700000, 3.4124400000", \
"0.0816500000, 0.1852700000, 0.2891300000, 0.4975500000, 0.9137600000, 1.7463600000, 3.4104700000", \
"0.0815600000, 0.1852100000, 0.2891300000, 0.4973800000, 0.9137000000, 1.7463000000, 3.4120400000", \
"0.0891500000, 0.1852200000, 0.2891800000, 0.4975800000, 0.9133800000, 1.7467800000, 3.4119600000", \
"0.1333700000, 0.2068900000, 0.2980800000, 0.4973500000, 0.9134600000, 1.7468800000, 3.4107000000", \
"0.1895600000, 0.2654200000, 0.3417100000, 0.5191000000, 0.9156000000, 1.7462800000, 3.4122000000", \
"0.2920900000, 0.3800000000, 0.4561900000, 0.6120300000, 0.9620000000, 1.7510000000, 3.4110000000", \
"0.3821000000, 0.4885000000, 0.5723000000, 0.7262000000, 1.0482000000, 1.7861000000, 3.4112000000", \
"0.6075000000, 0.7517000000, 0.8655000000, 1.0472000000, 1.3555000000, 2.0028000000, 3.4853000000", \
"0.7188000000, 0.8757000000, 1.0023000000, 1.2042000000, 1.5224000000, 2.1497000000, 3.5693000000", \
"0.8287000000, 0.9948000000, 1.1326000000, 1.3533000000, 1.6916000000, 2.3084000000, 3.6763000000");
}
sdf_cond : "(A1&!A2&!B1)";
timing_sense : "negative_unate";
when : "(A1&!A2&!B1)";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0276000000, 0.0847900000, 0.1339300000, 0.2317600000, 0.4271500000, 0.8178100000, 1.5990700000", \
"0.0340300000, 0.0868100000, 0.1364100000, 0.2345600000, 0.4301800000, 0.8208600000, 1.6022700000", \
"0.0361700000, 0.0881600000, 0.1378800000, 0.2362500000, 0.4319600000, 0.8227100000, 1.6042300000", \
"0.0424300000, 0.0950800000, 0.1441300000, 0.2420900000, 0.4377100000, 0.8284300000, 1.6097000000", \
"0.0425800000, 0.1185200000, 0.1723800000, 0.2670900000, 0.4595300000, 0.8480000000, 1.6281000000", \
"0.0319400000, 0.1315800000, 0.2013400000, 0.3118300000, 0.5007300000, 0.8834000000, 1.6590000000", \
"0.0011900000, 0.1303700000, 0.2219300000, 0.3665000000, 0.5907000000, 0.9680000000, 1.7314000000", \
"-0.0343000000, 0.1156000000, 0.2222000000, 0.3917000000, 0.6540000000, 1.0637000000, 1.8136000000", \
"-0.1424300000, 0.0473700000, 0.1832700000, 0.4003700000, 0.7403700000, 1.2623700000, 2.0748700000", \
"-0.2010700000, 0.0042300000, 0.1516300000, 0.3873300000, 0.7575300000, 1.3283300000, 2.2039300000", \
"-0.2608000000, -0.0421000000, 0.1154000000, 0.3675000000, 0.7640000000, 1.3775000000, 2.3135000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0318000000, 0.1135000000, 0.1826300000, 0.3197900000, 0.5937100000, 1.1415700000, 2.2371700000", \
"0.0379800000, 0.1142400000, 0.1839400000, 0.3216900000, 0.5959900000, 1.1439700000, 2.2394700000", \
"0.0417200000, 0.1151800000, 0.1851600000, 0.3232000000, 0.5977700000, 1.1458300000, 2.2414300000", \
"0.0542200000, 0.1237500000, 0.1926500000, 0.3301900000, 0.6046700000, 1.1528000000, 2.2483000000", \
"0.0843900000, 0.1659500000, 0.2312600000, 0.3633600000, 0.6333500000, 1.1784000000, 2.2720000000", \
"0.1214700000, 0.2235900000, 0.2969600000, 0.4274800000, 0.6886000000, 1.2256000000, 2.3134000000", \
"0.1838300000, 0.3190000000, 0.4116000000, 0.5602000000, 0.8200000000, 1.3391000000, 2.4100000000", \
"0.2398000000, 0.3998000000, 0.5088000000, 0.6798000000, 0.9579000000, 1.4678000000, 2.5201000000", \
"0.3825700000, 0.5925700000, 0.7359700000, 0.9585700000, 1.3013700000, 1.8533700000, 2.8706700000", \
"0.4529300000, 0.6828300000, 0.8404300000, 1.0846300000, 1.4590300000, 2.0438300000, 3.0682300000", \
"0.5219000000, 0.7693000000, 0.9393000000, 1.2030000000, 1.6059000000, 2.2269000000, 3.2713000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0218500000, 0.0884100000, 0.1549100000, 0.2877700000, 0.5534200000, 1.0848400000, 2.1478200000", \
"0.0218400000, 0.0884000000, 0.1548200000, 0.2877100000, 0.5534800000, 1.0846400000, 2.1478100000", \
"0.0218400000, 0.0884300000, 0.1548200000, 0.2877600000, 0.5534700000, 1.0847900000, 2.1478900000", \
"0.0325500000, 0.0890700000, 0.1548200000, 0.2876100000, 0.5534600000, 1.0845100000, 2.1478400000", \
"0.0662800000, 0.1257600000, 0.1757400000, 0.2929000000, 0.5534600000, 1.0846500000, 2.1477700000", \
"0.1155500000, 0.1905800000, 0.2417700000, 0.3397300000, 0.5677600000, 1.0848300000, 2.1474000000", \
"0.2055600000, 0.3112500000, 0.3755800000, 0.4768500000, 0.6694000000, 1.1181000000, 2.1478000000", \
"0.2898800000, 0.4207000000, 0.4990700000, 0.6152000000, 0.8072000000, 1.2069000000, 2.1653000000", \
"0.5133000000, 0.6950000000, 0.8070000000, 0.9668000000, 1.1981000000, 1.5770000000, 2.3781000000", \
"0.6262000000, 0.8277000000, 0.9543000000, 1.1348000000, 1.3906000000, 1.7828000000, 2.5484000000", \
"0.7382000000, 0.9563000000, 1.0961000000, 1.2957000000, 1.5758000000, 1.9893000000, 2.7376000000");
}
related_pin : "B2";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0079500000, 0.0158900000, 0.0317800000, 0.0635600000, 0.1271200000, 0.2542500000");
values("0.0434600000, 0.1475500000, 0.2516300000, 0.4597500000, 0.8761700000, 1.7084600000, 3.3776100000", \
"0.0433600000, 0.1476200000, 0.2515300000, 0.4598000000, 0.8763300000, 1.7096400000, 3.3760300000", \
"0.0432700000, 0.1476100000, 0.2515500000, 0.4598400000, 0.8768900000, 1.7091700000, 3.3751500000", \
"0.0514600000, 0.1476000000, 0.2515000000, 0.4601600000, 0.8758200000, 1.7084800000, 3.3760100000", \
"0.0831300000, 0.1679200000, 0.2599400000, 0.4599100000, 0.8758800000, 1.7103800000, 3.3758000000", \
"0.1259800000, 0.2176400000, 0.2991100000, 0.4796900000, 0.8774400000, 1.7088000000, 3.3775000000", \
"0.2029100000, 0.3144800000, 0.3978100000, 0.5618000000, 0.9192000000, 1.7129000000, 3.3747000000", \
"0.2762000000, 0.4048000000, 0.4984000000, 0.6616000000, 0.9952000000, 1.7434000000, 3.3763000000", \
"0.4809000000, 0.6275000000, 0.7511000000, 0.9425000000, 1.2656000000, 1.9351000000, 3.4382000000", \
"0.5913000000, 0.7340000000, 0.8698000000, 1.0808000000, 1.4119000000, 2.0638000000, 3.5106000000", \
"0.7043000000, 0.8381000000, 0.9836000000, 1.2126000000, 1.5618000000, 2.2031000000, 3.6044000000");
}
sdf_cond : "(A1&A2&!B1)";
timing_sense : "negative_unate";
when : "(A1&A2&!B1)";
}
}
}
cell ("sky130_fd_sc_hvl__or2_1") {
leakage_power () {
value : 2.4731472000;
when : "!A&B";
}
leakage_power () {
value : 8.105244500;
when : "!A&!B";
}
leakage_power () {
value : 2.0479634000;
when : "A&B";
}
leakage_power () {
value : 2.2162450000;
when : "A&!B";
}
area : 13.675200000;
cell_footprint : "sky130_fd_sc_hvl__or2";
cell_leakage_power : 3.7106500000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0023300000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0237367000, 0.0234444000, 0.0231282000, 0.0231568000, 0.0232540000, 0.0232630000, 0.0232846000, 0.0232595000, 0.0231966000, 0.0231620000, 0.0231275000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0203739000, -0.0204033000, -0.0204346000, -0.0208611000, -0.0221866000, -0.0222846000, -0.0224760000, -0.0224786000, -0.0224778000, -0.0224797000, -0.0224815000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("B") {
capacitance : 0.0023500000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0094924000, 0.0093812000, 0.0092612000, 0.0092751000, 0.0093263000, 0.0093564000, 0.0094204000, 0.0094394000, 0.0094990000, 0.0095281000, 0.0095573000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0067021000, -0.0067305000, -0.0067609000, -0.0067939000, -0.0068889000, -0.0068804000, -0.0068595000, -0.0068245000, -0.0067201000, -0.0066677000, -0.0066155000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("X") {
direction : "output";
function : "(A) | (B)";
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085200000, 0.0170500000, 0.0341000000, 0.0681900000, 0.1363800000, 0.2727600000, 0.5455300000");
values("0.2661904000, 0.0950217000, -0.0483949000, -0.3204433000, -0.8475024000, -1.8881489000, -3.9557022000, -8.085064800", \
"0.2652796000, 0.0937635000, -0.0494624000, -0.3219929000, -0.8489339000, -1.8889127000, -3.9573502000, -8.086444000", \
"0.2637005000, 0.0921289000, -0.0508261000, -0.3235699000, -0.8505722000, -1.8910193000, -3.9591968000, -8.087572000", \
"0.2630566000, 0.0913244000, -0.0515274000, -0.3238217000, -0.8512395000, -1.8914874000, -3.9597581000, -8.089173200", \
"0.2920686000, 0.1201343000, -0.0250403000, -0.2986440000, -0.8276857000, -1.8676003000, -3.9361277000, -8.066088800", \
"0.3610145000, 0.1861304000, 0.0377898000, -0.2389169000, -0.7718818000, -1.8159248000, -3.8875316000, -8.018698700", \
"0.5155844000, 0.3352807000, 0.1803968000, -0.1050428000, -0.6477719000, -1.7001997000, -3.7781678000, -7.9133647000", \
"0.6920020000, 0.4971686000, 0.3340231000, 0.0407807000, -0.5115738000, -1.5752773000, -3.6618575000, -7.8020574000", \
"1.1650586000, 0.9605552000, 0.7814633000, 0.4592187000, -0.1196455000, -1.2087013000, -3.3180972000, -7.4778090000", \
"1.4081762000, 1.2010640000, 1.0165471000, 0.6846498000, 0.0911766000, -1.0105949000, -3.1338450000, -7.3040573000", \
"1.6507758000, 1.4404017000, 1.2541088000, 0.9149995000, 0.3056426000, -0.8107648000, -2.9451362000, -7.1265153000");
}
related_pin : "A";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085200000, 0.0170500000, 0.0341000000, 0.0681900000, 0.1363800000, 0.2727600000, 0.5455300000");
values("0.1510001000, 0.2662825000, 0.3909077000, 0.6452757000, 1.1539531000, 2.1748655000, 4.2176316000, 8.296124200", \
"0.1521928000, 0.2676528000, 0.3922256000, 0.6462949000, 1.1549984000, 2.1751004000, 4.2146823000, 8.300086100", \
"0.1517706000, 0.2670975000, 0.3917324000, 0.6458681000, 1.1543851000, 2.1746023000, 4.2164860000, 8.295509300", \
"0.1588145000, 0.2727401000, 0.3960107000, 0.6485909000, 1.1586580000, 2.1787438000, 4.2169596000, 8.302633100", \
"0.2167119000, 0.3177785000, 0.4351677000, 0.6814480000, 1.1863977000, 2.2041345000, 4.2462164000, 8.326163000", \
"0.3129391000, 0.3980903000, 0.5099469000, 0.7476514000, 1.2425027000, 2.2521520000, 4.2870708000, 8.366146100", \
"0.5046421000, 0.5752760000, 0.6749246000, 0.8925645000, 1.3772077000, 2.3712870000, 4.3955637000, 8.474192900", \
"0.6942181000, 0.7562061000, 0.8478705000, 1.0606589000, 1.5228924000, 2.5064080000, 4.5132916000, 8.582099300", \
"1.2143688000, 1.2659177000, 1.3427463000, 1.5328072000, 1.9677655000, 2.8976621000, 4.8743693000, 8.906907500", \
"1.4802805000, 1.5293275000, 1.5995222000, 1.7867627000, 2.2049188000, 3.1145980000, 5.0697117000, 9.084939900", \
"1.7440579000, 1.7915240000, 1.8578014000, 2.0287005000, 2.4447783000, 3.3495088000, 5.2711003000, 9.271168600");
}
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085200000, 0.0170500000, 0.0341000000, 0.0681900000, 0.1363800000, 0.2727600000, 0.5455300000");
values("0.2610988000, 0.0908269000, -0.0524941000, -0.3239645000, -0.8513763000, -1.8918558000, -3.9596586000, -8.087733300", \
"0.2614905000, 0.0904583000, -0.0537355000, -0.3247776000, -0.8522563000, -1.8916090000, -3.9598142000, -8.088368900", \
"0.2607143000, 0.0895822000, -0.0540792000, -0.3255296000, -0.8529912000, -1.8924404000, -3.9610582000, -8.089427700", \
"0.2628274000, 0.0913266000, -0.0527828000, -0.3246902000, -0.8518831000, -1.8911174000, -3.9591666000, -8.087538700", \
"0.2899008000, 0.1174730000, -0.0273130000, -0.3001056000, -0.8285764000, -1.8689335000, -3.9377722000, -8.066759800", \
"0.3494634000, 0.1751823000, 0.0273935000, -0.2486438000, -0.7802435000, -1.8240843000, -3.8949866000, -8.024885600", \
"0.4840580000, 0.3051225000, 0.1518118000, -0.1326768000, -0.6721455000, -1.7238606000, -3.8004982000, -7.9344703000", \
"0.6327729000, 0.4404766000, 0.2828306000, -0.0079976000, -0.5566019000, -1.6161569000, -3.6995775000, -7.8382126000", \
"1.0420819000, 0.8414673000, 0.6675321000, 0.3543742000, -0.2158441000, -1.2968354000, -3.4007590000, -7.5554724000", \
"1.2467637000, 1.0434219000, 0.8661018000, 0.5452322000, -0.0346747000, -1.1274454000, -3.2410259000, -7.4041912000", \
"1.4548198000, 1.2493427000, 1.0694455000, 0.7410836000, 0.1480250000, -0.9547863000, -3.0782470000, -7.2502890000");
}
related_pin : "B";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085200000, 0.0170500000, 0.0341000000, 0.0681900000, 0.1363800000, 0.2727600000, 0.5455300000");
values("0.1304131000, 0.2491743000, 0.3752261000, 0.6310308000, 1.1409784000, 2.1627376000, 4.2048654000, 8.284669400", \
"0.1333896000, 0.2518698000, 0.3782133000, 0.6316649000, 1.1446894000, 2.1641926000, 4.2040218000, 8.285070200", \
"0.1337630000, 0.2522643000, 0.3783688000, 0.6327342000, 1.1441809000, 2.1658337000, 4.2041781000, 8.286226100", \
"0.1417532000, 0.2578843000, 0.3834506000, 0.6383485000, 1.1483914000, 2.1686010000, 4.2087236000, 8.294008700", \
"0.1937561000, 0.2969618000, 0.4164018000, 0.6644878000, 1.1713237000, 2.1885115000, 4.2289477000, 8.309392500", \
"0.2806731000, 0.3679187000, 0.4805086000, 0.7207271000, 1.2218492000, 2.2331076000, 4.2737853000, 8.354214000", \
"0.4476155000, 0.5211905000, 0.6254173000, 0.8503994000, 1.3367616000, 2.3372509000, 4.3644708000, 8.438062100", \
"0.6108150000, 0.6760457000, 0.7735501000, 0.9915804000, 1.4535273000, 2.4490929000, 4.4621110000, 8.538303900", \
"1.0523259000, 1.1065590000, 1.1868492000, 1.3860252000, 1.8325985000, 2.7786113000, 4.7703853000, 8.818234700", \
"1.2756669000, 1.3273905000, 1.4008683000, 1.5926942000, 2.0332053000, 2.9661855000, 4.9333262000, 8.964990600", \
"1.4985137000, 1.5480939000, 1.6201977000, 1.8054282000, 2.2325301000, 3.1619813000, 5.1029249000, 9.123382100");
}
}
max_capacitance : 0.5451900000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085200000, 0.0170500000, 0.0341000000, 0.0681900000, 0.1363800000, 0.2727600000, 0.5455300000");
values("0.2107500000, 0.2805500000, 0.3326200000, 0.4262400000, 0.6043900000, 0.9581500000, 1.6691700000, 3.0931700000", \
"0.2116300000, 0.2810300000, 0.3330700000, 0.4266700000, 0.6048200000, 0.9586100000, 1.6693700000, 3.0938700000", \
"0.2108600000, 0.2801900000, 0.3322200000, 0.4258200000, 0.6039800000, 0.9578200000, 1.6688300000, 3.0945300000", \
"0.2141100000, 0.2831900000, 0.3351500000, 0.4287400000, 0.6069200000, 0.9608000000, 1.6716000000, 3.0957000000", \
"0.2561200000, 0.3248100000, 0.3763700000, 0.4695000000, 0.6472500000, 1.0008000000, 1.7115000000, 3.1360000000", \
"0.3339600000, 0.4031700000, 0.4541900000, 0.5462700000, 0.7228000000, 1.0750000000, 1.7845000000, 3.2085000000", \
"0.4859000000, 0.5581000000, 0.6101000000, 0.7018000000, 0.8763000000, 1.2254000000, 1.9326000000, 3.3549000000", \
"0.6262000000, 0.7041000000, 0.7592000000, 0.8529000000, 1.0270000000, 1.3732000000, 2.0769000000, 3.4974000000", \
"0.9819700000, 1.0722700000, 1.1361700000, 1.2426700000, 1.4285700000, 1.7770700000, 2.4724700000, 3.8850700000", \
"1.1557300000, 1.2510300000, 1.3187300000, 1.4312300000, 1.6250300000, 1.9825300000, 2.6763300000, 4.0838300000", \
"1.3253000000, 1.4249000000, 1.4959000000, 1.6138000000, 1.8157000000, 2.1828000000, 2.8797000000, 4.2830000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085200000, 0.0170500000, 0.0341000000, 0.0681900000, 0.1363800000, 0.2727600000, 0.5455300000");
values("0.0803200000, 0.1251800000, 0.1631800000, 0.2376800000, 0.3866300000, 0.6851700000, 1.2828700000, 2.4752700000", \
"0.0823500000, 0.1272100000, 0.1652100000, 0.2397000000, 0.3886900000, 0.6874000000, 1.2838700000, 2.4768700000", \
"0.0838600000, 0.1286800000, 0.1666700000, 0.2411900000, 0.3902100000, 0.6886000000, 1.2867300000, 2.4792300000", \
"0.0914900000, 0.1358300000, 0.1736100000, 0.2479700000, 0.3968800000, 0.6952300000, 1.2924000000, 2.4860000000", \
"0.1034100000, 0.1499800000, 0.1870300000, 0.2598600000, 0.4074200000, 0.7052100000, 1.3014000000, 2.4951000000", \
"0.0903100000, 0.1431700000, 0.1807600000, 0.2529200000, 0.3986100000, 0.6942000000, 1.2897000000, 2.4817000000", \
"0.0319200000, 0.0957500000, 0.1363900000, 0.2092500000, 0.3548000000, 0.6482000000, 1.2400000000, 2.4314000000", \
"-0.0421000000, 0.0302000000, 0.0750000000, 0.1494000000, 0.2951000000, 0.5904000000, 1.1801000000, 2.3685000000", \
"-0.2776300000, -0.1885300000, -0.1331300000, -0.0495300000, 0.0968700000, 0.3945700000, 0.9933700000, 2.1770700000", \
"-0.4076700000, -0.3119700000, -0.2519700000, -0.1627700000, -0.0147700000, 0.2830300000, 0.8863300000, 2.0744300000", \
"-0.5406000000, -0.4393000000, -0.3751000000, -0.2804000000, -0.1294000000, 0.1677000000, 0.7735000000, 1.9700000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085200000, 0.0170500000, 0.0341000000, 0.0681900000, 0.1363800000, 0.2727600000, 0.5455300000");
values("0.0582100000, 0.1181300000, 0.1683400000, 0.2733300000, 0.4945600000, 0.9533500000, 1.8860500000, 3.7506000000", \
"0.0582100000, 0.1181300000, 0.1683300000, 0.2733600000, 0.4945700000, 0.9533200000, 1.8864100000, 3.7530000000", \
"0.0582100000, 0.1181200000, 0.1683300000, 0.2731600000, 0.4943700000, 0.9533600000, 1.8861200000, 3.7529000000", \
"0.0581900000, 0.1181600000, 0.1683600000, 0.2731800000, 0.4942800000, 0.9532900000, 1.8855400000, 3.7506000000", \
"0.0582400000, 0.1186200000, 0.1689300000, 0.2736100000, 0.4943000000, 0.9533100000, 1.8857000000, 3.7512000000", \
"0.0635900000, 0.1233100000, 0.1724800000, 0.2758600000, 0.4954700000, 0.9535500000, 1.8854000000, 3.7517000000", \
"0.0781000000, 0.1389000000, 0.1864000000, 0.2856000000, 0.4998000000, 0.9545000000, 1.8858000000, 3.7512000000", \
"0.0954000000, 0.1603000000, 0.2076000000, 0.3022000000, 0.5091000000, 0.9583000000, 1.8850000000, 3.7520000000", \
"0.1378000000, 0.2145000000, 0.2688000000, 0.3655000000, 0.5628000000, 0.9851000000, 1.8907000000, 3.7522000000", \
"0.1577000000, 0.2395000000, 0.2976000000, 0.3983000000, 0.5971000000, 1.0116000000, 1.9002000000, 3.7536000000", \
"0.1769000000, 0.2631000000, 0.3246000000, 0.4299000000, 0.6311000000, 1.0441000000, 1.9171000000, 3.7521000000");
}
related_pin : "A";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085200000, 0.0170500000, 0.0341000000, 0.0681900000, 0.1363800000, 0.2727600000, 0.5455300000");
values("0.0265300000, 0.0760200000, 0.1286700000, 0.2384200000, 0.4613100000, 0.9083500000, 1.8022500000, 3.5878900000", \
"0.0265500000, 0.0759200000, 0.1286800000, 0.2385600000, 0.4615100000, 0.9081800000, 1.8013400000, 3.5902000000", \
"0.0265800000, 0.0759300000, 0.1286700000, 0.2383800000, 0.4614000000, 0.9078700000, 1.8025200000, 3.5911000000", \
"0.0266400000, 0.0762300000, 0.1288000000, 0.2385500000, 0.4613800000, 0.9084700000, 1.8027300000, 3.5887000000", \
"0.0370900000, 0.0826200000, 0.1324200000, 0.2398700000, 0.4613600000, 0.9082300000, 1.8017900000, 3.5901000000", \
"0.0516100000, 0.0958000000, 0.1420500000, 0.2450200000, 0.4630900000, 0.9082700000, 1.8013200000, 3.5932000000", \
"0.0763400000, 0.1226300000, 0.1645500000, 0.2610700000, 0.4737100000, 0.9128600000, 1.8026000000, 3.5894000000", \
"0.0983400000, 0.1481000000, 0.1873000000, 0.2786000000, 0.4871000000, 0.9238000000, 1.8063000000, 3.5890000000", \
"0.1534000000, 0.2106000000, 0.2504000000, 0.3310000000, 0.5252000000, 0.9599000000, 1.8391000000, 3.6019000000", \
"0.1799000000, 0.2394000000, 0.2814000000, 0.3588000000, 0.5462000000, 0.9764000000, 1.8602000000, 3.6141000000", \
"0.2053000000, 0.2668000000, 0.3114000000, 0.3873000000, 0.5680000000, 0.9923000000, 1.8811000000, 3.6325000000");
}
timing_sense : "positive_unate";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085200000, 0.0170500000, 0.0341000000, 0.0681900000, 0.1363800000, 0.2727600000, 0.5455300000");
values("0.1984300000, 0.2682800000, 0.3203500000, 0.4140200000, 0.5922600000, 0.9461400000, 1.6568700000, 3.0828700000", \
"0.1993800000, 0.2687400000, 0.3207700000, 0.4144200000, 0.5926600000, 0.9465200000, 1.6572700000, 3.0830700000", \
"0.1992500000, 0.2684900000, 0.3205200000, 0.4141800000, 0.5924300000, 0.9463200000, 1.6572300000, 3.0826300000", \
"0.2089100000, 0.2779700000, 0.3299300000, 0.4235500000, 0.6017900000, 0.9557000000, 1.6662000000, 3.0918000000", \
"0.2626300000, 0.3314200000, 0.3830700000, 0.4763000000, 0.6541800000, 1.0078000000, 1.7185000000, 3.1438000000", \
"0.3524100000, 0.4210700000, 0.4720200000, 0.5641800000, 0.7409000000, 1.0935000000, 1.8031000000, 3.2271000000", \
"0.5230000000, 0.5935000000, 0.6450000000, 0.7367000000, 0.9115000000, 1.2611000000, 1.9685000000, 3.3907000000", \
"0.6833000000, 0.7579000000, 0.8112000000, 0.9034000000, 1.0776000000, 1.4248000000, 2.1294000000, 3.5509000000", \
"1.0956700000, 1.1798700000, 1.2396700000, 1.3407700000, 1.5220700000, 1.8694700000, 2.5674700000, 3.9810700000", \
"1.2988300000, 1.3868300000, 1.4495300000, 1.5550300000, 1.7417300000, 2.0955300000, 2.7917300000, 4.2023300000", \
"1.4976000000, 1.5890000000, 1.6542000000, 1.7636000000, 1.9558000000, 2.3171000000, 3.0149000000, 4.4224000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085200000, 0.0170500000, 0.0341000000, 0.0681900000, 0.1363800000, 0.2727600000, 0.5455300000");
values("0.0738700000, 0.1187400000, 0.1570200000, 0.2318700000, 0.3811700000, 0.6795200000, 1.2776700000, 2.4710700000", \
"0.0767200000, 0.1215200000, 0.1597800000, 0.2346200000, 0.3838800000, 0.6823300000, 1.2797700000, 2.4744700000", \
"0.0786900000, 0.1234300000, 0.1616800000, 0.2365500000, 0.3859200000, 0.6845400000, 1.2811300000, 2.4756300000", \
"0.0868000000, 0.1309400000, 0.1689900000, 0.2436900000, 0.3929000000, 0.6916100000, 1.2885000000, 2.4831000000", \
"0.0955200000, 0.1418200000, 0.1792200000, 0.2525900000, 0.4006400000, 0.6985400000, 1.2961000000, 2.4898000000", \
"0.0770100000, 0.1291400000, 0.1671200000, 0.2400400000, 0.3865700000, 0.6830000000, 1.2789000000, 2.4716000000", \
"0.0073100000, 0.0691300000, 0.1097500000, 0.1834300000, 0.3304000000, 0.6256000000, 1.2183000000, 2.4109000000", \
"-0.0787000000, -0.0096000000, 0.0346000000, 0.1096000000, 0.2567000000, 0.5544000000, 1.1465000000, 2.3366000000", \
"-0.3497300000, -0.2666300000, -0.2137300000, -0.1315300000, 0.0164700000, 0.3171700000, 0.9204700000, 2.1090700000", \
"-0.4992700000, -0.4107700000, -0.3541700000, -0.2674700000, -0.1181700000, 0.1826300000, 0.7895300000, 1.9864300000", \
"-0.6521000000, -0.5591000000, -0.4992000000, -0.4081000000, -0.2568000000, 0.0437000000, 0.6544000000, 1.8599000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085200000, 0.0170500000, 0.0341000000, 0.0681900000, 0.1363800000, 0.2727600000, 0.5455300000");
values("0.0582100000, 0.1181200000, 0.1683200000, 0.2733300000, 0.4945600000, 0.9532900000, 1.8855900000, 3.7523000000", \
"0.0581900000, 0.1181400000, 0.1683100000, 0.2733300000, 0.4945500000, 0.9533900000, 1.8856100000, 3.7528000000", \
"0.0582200000, 0.1181200000, 0.1683200000, 0.2733100000, 0.4943600000, 0.9534600000, 1.8853600000, 3.7519000000", \
"0.0582000000, 0.1181600000, 0.1684100000, 0.2733300000, 0.4940900000, 0.9534100000, 1.8855100000, 3.7515000000", \
"0.0582300000, 0.1184700000, 0.1687900000, 0.2736500000, 0.4942200000, 0.9532400000, 1.8852000000, 3.7519000000", \
"0.0628600000, 0.1221800000, 0.1715200000, 0.2753200000, 0.4952900000, 0.9533000000, 1.8850000000, 3.7511000000", \
"0.0736000000, 0.1342000000, 0.1827000000, 0.2835000000, 0.4990000000, 0.9553000000, 1.8854000000, 3.7513000000", \
"0.0878000000, 0.1507000000, 0.1982000000, 0.2955000000, 0.5059000000, 0.9568000000, 1.8845000000, 3.7520000000", \
"0.1235000000, 0.1948000000, 0.2468000000, 0.3434000000, 0.5452000000, 0.9774000000, 1.8891000000, 3.7505000000", \
"0.1403000000, 0.2151000000, 0.2695000000, 0.3684000000, 0.5715000000, 0.9969000000, 1.8960000000, 3.7506000000", \
"0.1563000000, 0.2340000000, 0.2906000000, 0.3926000000, 0.5974000000, 1.0212000000, 1.9094000000, 3.7521000000");
}
related_pin : "B";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085200000, 0.0170500000, 0.0341000000, 0.0681900000, 0.1363800000, 0.2727600000, 0.5455300000");
values("0.0247900000, 0.0748900000, 0.1281700000, 0.2384600000, 0.4616600000, 0.9081000000, 1.8025900000, 3.5884400000", \
"0.0248100000, 0.0749100000, 0.1281400000, 0.2385200000, 0.4615300000, 0.9083500000, 1.8017200000, 3.5894100000", \
"0.0248100000, 0.0749200000, 0.1282600000, 0.2384800000, 0.4613100000, 0.9080900000, 1.8018600000, 3.5928000000", \
"0.0249500000, 0.0751500000, 0.1282900000, 0.2383400000, 0.4612900000, 0.9081000000, 1.8023200000, 3.5890000000", \
"0.0351800000, 0.0812800000, 0.1317700000, 0.2394600000, 0.4612900000, 0.9080800000, 1.8022100000, 3.5914000000", \
"0.0482700000, 0.0932200000, 0.1405500000, 0.2444000000, 0.4630100000, 0.9085000000, 1.8031800000, 3.5903000000", \
"0.0694000000, 0.1161600000, 0.1597100000, 0.2581900000, 0.4727500000, 0.9137600000, 1.8022000000, 3.5909000000", \
"0.0873300000, 0.1373000000, 0.1784000000, 0.2727000000, 0.4846000000, 0.9232000000, 1.8064000000, 3.5891000000", \
"0.1301000000, 0.1867000000, 0.2278000000, 0.3132000000, 0.5143000000, 0.9544000000, 1.8388000000, 3.6064000000", \
"0.1500000000, 0.2087000000, 0.2517000000, 0.3343000000, 0.5303000000, 0.9689000000, 1.8575000000, 3.6167000000", \
"0.1690000000, 0.2293000000, 0.2743000000, 0.3554000000, 0.5459000000, 0.9800000000, 1.8764000000, 3.6352000000");
}
timing_sense : "positive_unate";
}
}
}
cell ("sky130_fd_sc_hvl__or3_1") {
leakage_power () {
value : 2.4549016000;
when : "!A&!B&C";
}
leakage_power () {
value : 11.622614900;
when : "!A&!B&!C";
}
leakage_power () {
value : 2.0398541000;
when : "!A&B&C";
}
leakage_power () {
value : 2.1923645000;
when : "!A&B&!C";
}
leakage_power () {
value : 2.0383311000;
when : "A&!B&C";
}
leakage_power () {
value : 2.1832813000;
when : "A&!B&!C";
}
leakage_power () {
value : 1.9989148000;
when : "A&B&C";
}
leakage_power () {
value : 1.9557356000;
when : "A&B&!C";
}
area : 15.628800000;
cell_footprint : "sky130_fd_sc_hvl__or3";
cell_leakage_power : 3.3107500000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0022100000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0242832000, 0.0242267000, 0.0241657000, 0.0241993000, 0.0243122000, 0.0242547000, 0.0241431000, 0.0241765000, 0.0242758000, 0.0243257000, 0.0243755000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0199148000, -0.0200060000, -0.0201046000, -0.0206526000, -0.0223596000, -0.0225250000, -0.0228516000, -0.0229239000, -0.0231182000, -0.0232209000, -0.0233237000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("B") {
capacitance : 0.0026500000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0316235000, 0.0302053000, 0.0286691000, 0.0286227000, 0.0284849000, 0.0284522000, 0.0283904000, 0.0284159000, 0.0284932000, 0.0285317000, 0.0285701000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0254087000, -0.0253699000, -0.0253274000, -0.0258614000, -0.0275241000, -0.0277207000, -0.0281099000, -0.0280886000, -0.0280222000, -0.0279896000, -0.0279570000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("C") {
capacitance : 0.0022700000;
clock : "false";
direction : "input";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0087198000, 0.0087042000, 0.0086875000, 0.0086846000, 0.0086831000, 0.0087274000, 0.0088196000, 0.0088373000, 0.0088932000, 0.0089204000, 0.0089477000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0061054000, -0.0060135000, -0.0059137000, -0.0059202000, -0.0059323000, -0.0058818000, -0.0057772000, -0.0057798000, -0.0057802000, -0.0057822000, -0.0057842000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("X") {
direction : "output";
function : "(A) | (B) | (C)";
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170300000, 0.0340600000, 0.0681300000, 0.1362600000, 0.2725300000, 0.5450500000");
values("0.3930380000, 0.2054849000, 0.0503818000, -0.2353104000, -0.7769004000, -1.8282391000, -3.9039778000, -8.034280800", \
"0.3916224000, 0.2040210000, 0.0478107000, -0.2377133000, -0.7791368000, -1.8305130000, -3.9060494000, -8.037205600", \
"0.3887281000, 0.2021028000, 0.0463777000, -0.2406056000, -0.7801913000, -1.8316967000, -3.9080904000, -8.039043200", \
"0.3865628000, 0.1993655000, 0.0431014000, -0.2438323000, -0.7834616000, -1.8349981000, -3.9109257000, -8.042000700", \
"0.4026456000, 0.2144269000, 0.0573677000, -0.2283129000, -0.7703884000, -1.8214190000, -3.8976134000, -8.028956100", \
"0.4519369000, 0.2648478000, 0.1077535000, -0.1804908000, -0.7239488000, -1.7785087000, -3.8558702000, -7.9872146000", \
"0.5816096000, 0.3913260000, 0.2307422000, -0.0625271000, -0.6148836000, -1.6752491000, -3.7585459000, -7.8946642000", \
"0.7228703000, 0.5301326000, 0.3662740000, 0.0675969000, -0.4923290000, -1.5623014000, -3.6533393000, -7.7954786000", \
"1.1649700000, 0.9570537000, 0.7750010000, 0.4525851000, -0.1280741000, -1.2249485000, -3.3397611000, -7.5012497000", \
"1.3951486000, 1.1836405000, 0.9970885000, 0.6615673000, 0.0670712000, -1.0406257000, -3.1695468000, -7.3429184000", \
"1.6238704000, 1.4095219000, 1.2200447000, 0.8768350000, 0.2674131000, -0.8539849000, -2.9951219000, -7.1797004000");
}
related_pin : "A";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170300000, 0.0340600000, 0.0681300000, 0.1362600000, 0.2725300000, 0.5450500000");
values("0.1590643000, 0.2714336000, 0.3947220000, 0.6457013000, 1.1540764000, 2.1720499000, 4.2158664000, 8.290537200", \
"0.1599553000, 0.2725490000, 0.3957945000, 0.6467660000, 1.1551289000, 2.1732286000, 4.2168829000, 8.290741000", \
"0.1592198000, 0.2718899000, 0.3950939000, 0.6461104000, 1.1543631000, 2.1728512000, 4.2165915000, 8.297336800", \
"0.1637677000, 0.2753470000, 0.3981849000, 0.6484434000, 1.1564862000, 2.1743514000, 4.2184947000, 8.297933800", \
"0.2166422000, 0.3159100000, 0.4332843000, 0.6782237000, 1.1811047000, 2.1988421000, 4.2375075000, 8.315232900", \
"0.3066642000, 0.3904929000, 0.4990327000, 0.7360609000, 1.2295172000, 2.2398090000, 4.2701352000, 8.347984800", \
"0.4870460000, 0.5564661000, 0.6567034000, 0.8694692000, 1.3528511000, 2.3431201000, 4.3714452000, 8.435156500", \
"0.6651358000, 0.7268348000, 0.8177449000, 1.0258213000, 1.4807319000, 2.4641229000, 4.4728991000, 8.533269500", \
"1.1541559000, 1.2083811000, 1.2834958000, 1.4732502000, 1.9034712000, 2.8344881000, 4.8047035000, 8.833404100", \
"1.4065409000, 1.4568869000, 1.5259263000, 1.7034013000, 2.1246675000, 3.0389593000, 4.9872313000, 8.997165600", \
"1.6532878000, 1.7046684000, 1.7704397000, 1.9396978000, 2.3512827000, 3.2574859000, 5.1708053000, 9.164077200");
}
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170300000, 0.0340600000, 0.0681300000, 0.1362600000, 0.2725300000, 0.5450500000");
values("0.3791094000, 0.1869018000, 0.0301540000, -0.2555968000, -0.7960163000, -1.8431335000, -3.9295839000, -8.027043500", \
"0.3751271000, 0.1872752000, 0.0309469000, -0.2536424000, -0.7956265000, -1.8461872000, -3.9216548000, -8.054302000", \
"0.3744304000, 0.1868771000, 0.0309173000, -0.2557912000, -0.7951455000, -1.8465263000, -3.9225806000, -8.053022600", \
"0.3713036000, 0.1853661000, 0.0279450000, -0.2568208000, -0.7985553000, -1.8491730000, -3.9240717000, -8.055459500", \
"0.3895813000, 0.2021713000, 0.0456660000, -0.2406183000, -0.7821638000, -1.8340498000, -3.9093096000, -8.040498900", \
"0.4361461000, 0.2478650000, 0.0908439000, -0.1971424000, -0.7418561000, -1.7954594000, -3.8729217000, -8.004346400", \
"0.5477014000, 0.3575273000, 0.1971023000, -0.0957735000, -0.6463814000, -1.7062590000, -3.7885981000, -7.9244667000", \
"0.6694310000, 0.4780603000, 0.3134735000, 0.0150744000, -0.5422709000, -1.6097049000, -3.6989232000, -7.8391857000", \
"1.0544003000, 0.8497807000, 0.6704977000, 0.3529464000, -0.2235610000, -1.3161076000, -3.4263970000, -7.5840650000", \
"1.2550634000, 1.0480525000, 0.8658475000, 0.5341458000, -0.0513851000, -1.1553234000, -3.2775006000, -7.4453247000", \
"1.4532182000, 1.2443368000, 1.0587396000, 0.7230261000, 0.1234973000, -0.9920072000, -3.1258536000, -7.3042990000");
}
related_pin : "B";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170300000, 0.0340600000, 0.0681300000, 0.1362600000, 0.2725300000, 0.5450500000");
values("0.1525934000, 0.2675771000, 0.3917044000, 0.6443870000, 1.1562763000, 2.1752184000, 4.2121532000, 8.289803500", \
"0.1553504000, 0.2703668000, 0.3951270000, 0.6486872000, 1.1584600000, 2.1775911000, 4.2194031000, 8.294342700", \
"0.1552635000, 0.2701606000, 0.3948606000, 0.6488819000, 1.1579474000, 2.1766620000, 4.2168061000, 8.294400800", \
"0.1615331000, 0.2742919000, 0.3979923000, 0.6499152000, 1.1621370000, 2.1793473000, 4.2175725000, 8.300572200", \
"0.2119110000, 0.3129182000, 0.4311059000, 0.6772595000, 1.1822396000, 2.1985401000, 4.2348826000, 8.318771300", \
"0.2938643000, 0.3798348000, 0.4924386000, 0.7294438000, 1.2251163000, 2.2367830000, 4.2755720000, 8.348566200", \
"0.4543580000, 0.5266940000, 0.6270876000, 0.8484304000, 1.3333982000, 2.3287548000, 4.3530135000, 8.424399700", \
"0.6113700000, 0.6762450000, 0.7692100000, 0.9829733000, 1.4495478000, 2.4346885000, 4.4454013000, 8.508231100", \
"1.0418516000, 1.0957659000, 1.1761771000, 1.3666261000, 1.8122758000, 2.7451118000, 4.7297666000, 8.767284600", \
"1.2613170000, 1.3124554000, 1.3840002000, 1.5678579000, 2.0014047000, 2.9204434000, 4.8832422000, 8.908455000", \
"1.4776354000, 1.5269367000, 1.5957248000, 1.7702023000, 2.1929337000, 3.1186134000, 5.0412633000, 9.051488700");
}
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170300000, 0.0340600000, 0.0681300000, 0.1362600000, 0.2725300000, 0.5450500000");
values("0.3730058000, 0.1853842000, 0.0291580000, -0.2560109000, -0.7968769000, -1.8472702000, -3.9228330000, -8.053631500", \
"0.3721864000, 0.1856666000, 0.0296894000, -0.2564884000, -0.7967485000, -1.8472943000, -3.9228791000, -8.053866600", \
"0.3707761000, 0.1845390000, 0.0276809000, -0.2569827000, -0.7982671000, -1.8490026000, -3.9240261000, -8.055082500", \
"0.3718028000, 0.1848759000, 0.0288426000, -0.2566003000, -0.7984770000, -1.8486300000, -3.9235965000, -8.054715400", \
"0.3902672000, 0.2022998000, 0.0466648000, -0.2398639000, -0.7807686000, -1.8318545000, -3.9077153000, -8.038737500", \
"0.4297654000, 0.2431675000, 0.0860356000, -0.2010372000, -0.7443071000, -1.7978049000, -3.8746713000, -8.005338700", \
"0.5303278000, 0.3412599000, 0.1810889000, -0.1118092000, -0.6597996000, -1.7182814000, -3.7998690000, -7.9349999000", \
"0.6368241000, 0.4464082000, 0.2838819000, -0.0134238000, -0.5689390000, -1.6340671000, -3.7208367000, -7.8596903000", \
"0.9737081000, 0.7701963000, 0.5928745000, 0.2820722000, -0.2903538000, -1.3771602000, -3.4818510000, -7.6349479000", \
"1.1512212000, 0.9449906000, 0.7647244000, 0.4400405000, -0.1409012000, -1.2359232000, -3.3514653000, -7.5129410000", \
"1.3251061000, 1.1166634000, 0.9339895000, 0.6033759000, 0.0106528000, -1.0943842000, -3.2190055000, -7.3888889000");
}
related_pin : "C";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170300000, 0.0340600000, 0.0681300000, 0.1362600000, 0.2725300000, 0.5450500000");
values("0.1308143000, 0.2478641000, 0.3736583000, 0.6268857000, 1.1380702000, 2.1553802000, 4.1984233000, 8.279603200", \
"0.1339122000, 0.2507800000, 0.3763635000, 0.6312203000, 1.1390407000, 2.1630003000, 4.2062610000, 8.283481900", \
"0.1346292000, 0.2514050000, 0.3769920000, 0.6318396000, 1.1398587000, 2.1637617000, 4.2031751000, 8.281351800", \
"0.1415365000, 0.2564056000, 0.3814061000, 0.6339695000, 1.1458097000, 2.1619973000, 4.2083160000, 8.284985000", \
"0.1888573000, 0.2913978000, 0.4110859000, 0.6600508000, 1.1650245000, 2.1798331000, 4.2176062000, 8.295737200", \
"0.2646624000, 0.3526182000, 0.4643470000, 0.7045829000, 1.2014350000, 2.2164903000, 4.2544249000, 8.330778100", \
"0.4100342000, 0.4841669000, 0.5872103000, 0.8089162000, 1.3010297000, 2.2972862000, 4.3239040000, 8.395969600", \
"0.5516592000, 0.6168692000, 0.7139852000, 0.9333536000, 1.3991166000, 2.3953155000, 4.4037359000, 8.471016700", \
"0.9361899000, 0.9898784000, 1.0711921000, 1.2714980000, 1.7224215000, 2.6671045000, 4.6597235000, 8.704833900", \
"1.1312004000, 1.1828286000, 1.2588720000, 1.4525273000, 1.8899618000, 2.8250669000, 4.7960832000, 8.825882500", \
"1.3227420000, 1.3728893000, 1.4449064000, 1.6280947000, 2.0571625000, 2.9903243000, 4.9313352000, 8.954206500");
}
}
max_capacitance : 0.5445700000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170300000, 0.0340600000, 0.0681300000, 0.1362600000, 0.2725300000, 0.5450500000");
values("0.3363500000, 0.4173700000, 0.4765300000, 0.5778500000, 0.7622700000, 1.1167700000, 1.8238700000, 3.2457700000", \
"0.3348300000, 0.4157500000, 0.4748900000, 0.5762100000, 0.7606300000, 1.1150700000, 1.8224700000, 3.2432700000", \
"0.3331200000, 0.4139900000, 0.4731400000, 0.5744600000, 0.7588800000, 1.1133300000, 1.8207300000, 3.2412300000", \
"0.3292700000, 0.4099700000, 0.4690800000, 0.5703900000, 0.7548200000, 1.1093000000, 1.8166000000, 3.2371000000", \
"0.3551600000, 0.4357100000, 0.4946400000, 0.5957600000, 0.7800200000, 1.1344000000, 1.8416000000, 3.2626000000", \
"0.4324300000, 0.5126100000, 0.5711400000, 0.6717000000, 0.8552000000, 1.2089000000, 1.9156000000, 3.3362000000", \
"0.6031000000, 0.6846000000, 0.7434000000, 0.8432000000, 1.0250000000, 1.3765000000, 2.0811000000, 3.5007000000", \
"0.7752000000, 0.8584000000, 0.9174000000, 1.0173000000, 1.1982000000, 1.5474000000, 2.2493000000, 3.6665000000", \
"1.2262700000, 1.3204700000, 1.3862700000, 1.4938700000, 1.6777700000, 2.0235700000, 2.7169700000, 4.1257700000", \
"1.4486300000, 1.5477300000, 1.6172300000, 1.7306300000, 1.9210300000, 2.2691300000, 2.9592300000, 4.3638300000", \
"1.6660000000, 1.7696000000, 1.8425000000, 1.9612000000, 2.1591000000, 2.5131000000, 3.2012000000, 4.6015000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170300000, 0.0340600000, 0.0681300000, 0.1362600000, 0.2725300000, 0.5450500000");
values("0.0893600000, 0.1357500000, 0.1742800000, 0.2491100000, 0.3981400000, 0.6966100000, 1.2942700000, 2.4878700000", \
"0.0912500000, 0.1376300000, 0.1761700000, 0.2509700000, 0.4000400000, 0.6987400000, 1.2958700000, 2.4899700000", \
"0.0925700000, 0.1389300000, 0.1774700000, 0.2522500000, 0.4013700000, 0.6998000000, 1.2971300000, 2.4917300000", \
"0.0993800000, 0.1454200000, 0.1838000000, 0.2584800000, 0.4076100000, 0.7057600000, 1.3036000000, 2.4993000000", \
"0.1112600000, 0.1595800000, 0.1971900000, 0.2702800000, 0.4179200000, 0.7152900000, 1.3119000000, 2.5063000000", \
"0.0925000000, 0.1481000000, 0.1865500000, 0.2592900000, 0.4052700000, 0.7008000000, 1.2961000000, 2.4916000000", \
"0.0142400000, 0.0820600000, 0.1247000000, 0.1987100000, 0.3448000000, 0.6387000000, 1.2310000000, 2.4219000000", \
"-0.0843800000, -0.0074000000, 0.0403000000, 0.1170000000, 0.2634000000, 0.5594000000, 1.1503000000, 2.3393000000", \
"-0.3965300000, -0.3019300000, -0.2423300000, -0.1531300000, -0.0042300000, 0.2940700000, 0.8951700000, 2.0819700000", \
"-0.5684700000, -0.4669700000, -0.4024700000, -0.3064700000, -0.1539700000, 0.1440300000, 0.7491300000, 1.9427300000", \
"-0.7438000000, -0.6364000000, -0.5675000000, -0.4652000000, -0.3078000000, -0.0103000000, 0.5972000000, 1.7999000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170300000, 0.0340600000, 0.0681300000, 0.1362600000, 0.2725300000, 0.5450500000");
values("0.0877400000, 0.1575300000, 0.2094300000, 0.3100700000, 0.5219000000, 0.9678700000, 1.8901900000, 3.7533000000", \
"0.0877800000, 0.1575500000, 0.2094000000, 0.3100400000, 0.5216400000, 0.9674100000, 1.8897300000, 3.7523000000", \
"0.0877600000, 0.1575100000, 0.2094300000, 0.3100800000, 0.5218500000, 0.9678900000, 1.8898000000, 3.7515000000", \
"0.0877800000, 0.1575300000, 0.2094400000, 0.3100700000, 0.5218600000, 0.9678800000, 1.8904600000, 3.7514000000", \
"0.0877600000, 0.1576900000, 0.2096100000, 0.3102500000, 0.5217900000, 0.9677600000, 1.8912000000, 3.7522000000", \
"0.0883400000, 0.1585800000, 0.2107900000, 0.3112100000, 0.5226700000, 0.9682000000, 1.8898000000, 3.7509000000", \
"0.0959000000, 0.1669000000, 0.2186000000, 0.3175000000, 0.5260000000, 0.9693000000, 1.8880000000, 3.7532000000", \
"0.1083000000, 0.1790000000, 0.2302000000, 0.3270000000, 0.5323000000, 0.9711000000, 1.8893000000, 3.7530000000", \
"0.1517000000, 0.2308000000, 0.2847000000, 0.3774000000, 0.5685000000, 0.9882000000, 1.8947000000, 3.7516000000", \
"0.1730000000, 0.2568000000, 0.3143000000, 0.4099000000, 0.5978000000, 1.0059000000, 1.8991000000, 3.7527000000", \
"0.1938000000, 0.2817000000, 0.3423000000, 0.4423000000, 0.6301000000, 1.0301000000, 1.9076000000, 3.7524000000");
}
related_pin : "A";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170300000, 0.0340600000, 0.0681300000, 0.1362600000, 0.2725300000, 0.5450500000");
values("0.0294500000, 0.0787200000, 0.1309800000, 0.2398200000, 0.4621200000, 0.9085900000, 1.8033200000, 3.5897000000", \
"0.0294700000, 0.0788200000, 0.1309700000, 0.2397900000, 0.4620300000, 0.9087100000, 1.8033700000, 3.5927000000", \
"0.0294600000, 0.0787200000, 0.1310200000, 0.2400500000, 0.4620300000, 0.9090500000, 1.8025200000, 3.5923000000", \
"0.0293900000, 0.0788200000, 0.1310800000, 0.2398200000, 0.4621200000, 0.9085300000, 1.8025700000, 3.5905000000", \
"0.0397300000, 0.0854800000, 0.1348600000, 0.2411800000, 0.4622900000, 0.9087200000, 1.8024500000, 3.5911000000", \
"0.0554300000, 0.1003900000, 0.1459100000, 0.2476300000, 0.4645900000, 0.9093200000, 1.8025500000, 3.5923000000", \
"0.0815900000, 0.1305100000, 0.1715600000, 0.2659300000, 0.4763700000, 0.9149500000, 1.8037000000, 3.5918000000", \
"0.1046300000, 0.1585300000, 0.1977000000, 0.2863000000, 0.4916000000, 0.9266000000, 1.8099000000, 3.5907000000", \
"0.1625000000, 0.2257000000, 0.2686000000, 0.3475000000, 0.5363000000, 0.9657000000, 1.8462000000, 3.6052000000", \
"0.1905000000, 0.2565000000, 0.3025000000, 0.3803000000, 0.5614000000, 0.9843000000, 1.8678000000, 3.6271000000", \
"0.2176000000, 0.2855000000, 0.3350000000, 0.4127000000, 0.5873000000, 1.0026000000, 1.8895000000, 3.6455000000");
}
timing_sense : "positive_unate";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170300000, 0.0340600000, 0.0681300000, 0.1362600000, 0.2725300000, 0.5450500000");
values("0.3285800000, 0.4096800000, 0.4688500000, 0.5702100000, 0.7546800000, 1.1091700000, 1.8166700000, 3.2375700000", \
"0.3262600000, 0.4071700000, 0.4663100000, 0.5676500000, 0.7521200000, 1.1066700000, 1.8140700000, 3.2346700000", \
"0.3237800000, 0.4046300000, 0.4637800000, 0.5651300000, 0.7496000000, 1.1041300000, 1.8114300000, 3.2329300000", \
"0.3219700000, 0.4026100000, 0.4617000000, 0.5630100000, 0.7475100000, 1.1020000000, 1.8095000000, 3.2314000000", \
"0.3611600000, 0.4417300000, 0.5006700000, 0.6017800000, 0.7860800000, 1.1405000000, 1.8479000000, 3.2696000000", \
"0.4466400000, 0.5268300000, 0.5853700000, 0.6859000000, 0.8695000000, 1.2233000000, 1.9301000000, 3.3509000000", \
"0.6263000000, 0.7081000000, 0.7671000000, 0.8670000000, 1.0490000000, 1.4008000000, 2.1057000000, 3.5249000000", \
"0.8068000000, 0.8897000000, 0.9488000000, 1.0492000000, 1.2306000000, 1.5804000000, 2.2828000000, 3.7011000000", \
"1.2845700000, 1.3773700000, 1.4423700000, 1.5492700000, 1.7331700000, 2.0803700000, 2.7757700000, 4.1859700000", \
"1.5210300000, 1.6184300000, 1.6869300000, 1.7990300000, 1.9887300000, 2.3381300000, 3.0306300000, 4.4368300000", \
"1.7525000000, 1.8541000000, 1.9257000000, 2.0427000000, 2.2393000000, 2.5941000000, 3.2853000000, 4.6883000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170300000, 0.0340600000, 0.0681300000, 0.1362600000, 0.2725300000, 0.5450500000");
values("0.0865900000, 0.1325700000, 0.1709700000, 0.2457300000, 0.3948900000, 0.6936000000, 1.2913700000, 2.4849700000", \
"0.0895100000, 0.1354600000, 0.1738900000, 0.2486100000, 0.3978800000, 0.6963700000, 1.2939700000, 2.4886700000", \
"0.0911300000, 0.1370600000, 0.1754800000, 0.2502000000, 0.3995100000, 0.6979400000, 1.2952300000, 2.4903300000", \
"0.0983400000, 0.1439200000, 0.1821600000, 0.2567800000, 0.4060800000, 0.7043600000, 1.3014000000, 2.4974000000", \
"0.1085300000, 0.1562700000, 0.1938400000, 0.2671300000, 0.4148800000, 0.7127200000, 1.3095000000, 2.5041000000", \
"0.0872900000, 0.1415500000, 0.1797900000, 0.2525400000, 0.3988600000, 0.6950000000, 1.2909000000, 2.4840000000", \
"0.0044000000, 0.0697000000, 0.1112700000, 0.1848500000, 0.3309000000, 0.6253000000, 1.2183000000, 2.4107000000", \
"-0.0991300000, -0.0253000000, 0.0206000000, 0.0961000000, 0.2420000000, 0.5379000000, 1.1297000000, 2.3200000000", \
"-0.4267300000, -0.3361300000, -0.2793300000, -0.1938300000, -0.0466300000, 0.2503700000, 0.8501700000, 2.0386700000", \
"-0.6074700000, -0.5101700000, -0.4487700000, -0.3572700000, -0.2077700000, 0.0887300000, 0.6924300000, 1.8855300000", \
"-0.7919000000, -0.6889000000, -0.6234000000, -0.5262000000, -0.3731000000, -0.0771000000, 0.5279000000, 1.7288000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170300000, 0.0340600000, 0.0681300000, 0.1362600000, 0.2725300000, 0.5450500000");
values("0.0877600000, 0.1575500000, 0.2094200000, 0.3100300000, 0.5217600000, 0.9676700000, 1.8896500000, 3.7530000000", \
"0.0877600000, 0.1576000000, 0.2094200000, 0.3100500000, 0.5218100000, 0.9677100000, 1.8894800000, 3.7518000000", \
"0.0877400000, 0.1575600000, 0.2094200000, 0.3100400000, 0.5217800000, 0.9678500000, 1.8902100000, 3.7533000000", \
"0.0877600000, 0.1575700000, 0.2094000000, 0.3100200000, 0.5216800000, 0.9671400000, 1.8897700000, 3.7531000000", \
"0.0877700000, 0.1576400000, 0.2096000000, 0.3102500000, 0.5219200000, 0.9679100000, 1.8897000000, 3.7538000000", \
"0.0880600000, 0.1585000000, 0.2106900000, 0.3112900000, 0.5226000000, 0.9679000000, 1.8897000000, 3.7521000000", \
"0.0961000000, 0.1673000000, 0.2188000000, 0.3171000000, 0.5257000000, 0.9688000000, 1.8895000000, 3.7535000000", \
"0.1064000000, 0.1774000000, 0.2290000000, 0.3263000000, 0.5318000000, 0.9708000000, 1.8920000000, 3.7530000000", \
"0.1459000000, 0.2242000000, 0.2779000000, 0.3712000000, 0.5645000000, 0.9872000000, 1.8940000000, 3.7537000000", \
"0.1654000000, 0.2482000000, 0.3047000000, 0.4008000000, 0.5909000000, 1.0032000000, 1.8981000000, 3.7514000000", \
"0.1842000000, 0.2709000000, 0.3307000000, 0.4304000000, 0.6204000000, 1.0251000000, 1.9064000000, 3.7567000000");
}
related_pin : "B";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170300000, 0.0340600000, 0.0681300000, 0.1362600000, 0.2725300000, 0.5450500000");
values("0.0277400000, 0.0770600000, 0.1295400000, 0.2387900000, 0.4616500000, 0.9086300000, 1.8025900000, 3.5897000000", \
"0.0277700000, 0.0770400000, 0.1296400000, 0.2389200000, 0.4618000000, 0.9083700000, 1.8036300000, 3.5915000000", \
"0.0277600000, 0.0770300000, 0.1295500000, 0.2387900000, 0.4618500000, 0.9089200000, 1.8028600000, 3.5927000000", \
"0.0278100000, 0.0772200000, 0.1296500000, 0.2388000000, 0.4616300000, 0.9082900000, 1.8024000000, 3.5925000000", \
"0.0375900000, 0.0834600000, 0.1332000000, 0.2400900000, 0.4617300000, 0.9089600000, 1.8027600000, 3.5915000000", \
"0.0516000000, 0.0966400000, 0.1428700000, 0.2455100000, 0.4636500000, 0.9087500000, 1.8026100000, 3.5903000000", \
"0.0749500000, 0.1226700000, 0.1645300000, 0.2604800000, 0.4733200000, 0.9137200000, 1.8048000000, 3.5915000000", \
"0.0955700000, 0.1473100000, 0.1869000000, 0.2772000000, 0.4851000000, 0.9228000000, 1.8078000000, 3.5912000000", \
"0.1469000000, 0.2070000000, 0.2479000000, 0.3280000000, 0.5199000000, 0.9536000000, 1.8374000000, 3.6036000000", \
"0.1718000000, 0.2343000000, 0.2776000000, 0.3551000000, 0.5401000000, 0.9670000000, 1.8560000000, 3.6201000000", \
"0.1957000000, 0.2601000000, 0.3061000000, 0.3828000000, 0.5611000000, 0.9809000000, 1.8722000000, 3.6343000000");
}
timing_sense : "positive_unate";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170300000, 0.0340600000, 0.0681300000, 0.1362600000, 0.2725300000, 0.5450500000");
values("0.2980400000, 0.3794400000, 0.4386100000, 0.5399900000, 0.7245600000, 1.0791700000, 1.7863700000, 3.2074700000", \
"0.2990900000, 0.3799900000, 0.4391400000, 0.5405100000, 0.7250700000, 1.0796700000, 1.7872700000, 3.2084700000", \
"0.2974500000, 0.3782400000, 0.4373800000, 0.5387500000, 0.7233300000, 1.0780300000, 1.7854300000, 3.2070300000", \
"0.3040400000, 0.3846300000, 0.4436900000, 0.5450200000, 0.7295700000, 1.0842000000, 1.7915000000, 3.2132000000", \
"0.3562100000, 0.4368000000, 0.4958100000, 0.5969900000, 0.7813900000, 1.1359000000, 1.8432000000, 3.2651000000", \
"0.4504300000, 0.5307700000, 0.5894300000, 0.6901000000, 0.8740000000, 1.2279000000, 1.9349000000, 3.3554000000", \
"0.6422000000, 0.7237000000, 0.7824000000, 0.8823000000, 1.0645000000, 1.4166000000, 2.1220000000, 3.5413000000", \
"0.8312000000, 0.9130000000, 0.9717000000, 1.0721000000, 1.2538000000, 1.6041000000, 2.3071000000, 3.7261000000", \
"1.3355700000, 1.4243700000, 1.4870700000, 1.5909700000, 1.7729700000, 2.1208700000, 2.8177700000, 4.2306700000", \
"1.5861300000, 1.6786300000, 1.7438300000, 1.8517300000, 2.0376300000, 2.3861300000, 3.0810300000, 4.4901300000", \
"1.8317000000, 1.9275000000, 1.9952000000, 2.1069000000, 2.2977000000, 2.6496000000, 3.3430000000, 4.7485000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170300000, 0.0340600000, 0.0681300000, 0.1362600000, 0.2725300000, 0.5450500000");
values("0.0798100000, 0.1254800000, 0.1639900000, 0.2388400000, 0.3881000000, 0.6871400000, 1.2847700000, 2.4802700000", \
"0.0826800000, 0.1282900000, 0.1667700000, 0.2415900000, 0.3909900000, 0.6896800000, 1.2873700000, 2.4816700000", \
"0.0848100000, 0.1303900000, 0.1688600000, 0.2437600000, 0.3930200000, 0.6919400000, 1.2890300000, 2.4843300000", \
"0.0928700000, 0.1379900000, 0.1763200000, 0.2511000000, 0.4003200000, 0.6992300000, 1.2962000000, 2.4920000000", \
"0.1010600000, 0.1482900000, 0.1860700000, 0.2595600000, 0.4077700000, 0.7055000000, 1.3034000000, 2.4974000000", \
"0.0770700000, 0.1302800000, 0.1686700000, 0.2417800000, 0.3884600000, 0.6849000000, 1.2810000000, 2.4767000000", \
"-0.0105600000, 0.0525600000, 0.0938200000, 0.1676500000, 0.3141000000, 0.6092000000, 1.2028000000, 2.3957000000", \
"-0.1184700000, -0.0479000000, -0.0029000000, 0.0725000000, 0.2188000000, 0.5156000000, 1.1083000000, 2.2994000000", \
"-0.4580300000, -0.3730300000, -0.3190300000, -0.2356300000, -0.0885300000, 0.2089700000, 0.8106700000, 2.0002700000", \
"-0.6450700000, -0.5544700000, -0.4966700000, -0.4084700000, -0.2597700000, 0.0371300000, 0.6409300000, 1.8383300000", \
"-0.8361000000, -0.7407000000, -0.6795000000, -0.5867000000, -0.4355000000, -0.1391000000, 0.4663000000, 1.6719000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170300000, 0.0340600000, 0.0681300000, 0.1362600000, 0.2725300000, 0.5450500000");
values("0.0877700000, 0.1575400000, 0.2094700000, 0.3099500000, 0.5216300000, 0.9676400000, 1.8898100000, 3.7522000000", \
"0.0877500000, 0.1575400000, 0.2094300000, 0.3099500000, 0.5215400000, 0.9675300000, 1.8892000000, 3.7534000000", \
"0.0877700000, 0.1575700000, 0.2094200000, 0.3100300000, 0.5215500000, 0.9677000000, 1.8904600000, 3.7532000000", \
"0.0877300000, 0.1575600000, 0.2094400000, 0.3100400000, 0.5218700000, 0.9678400000, 1.8891000000, 3.7529000000", \
"0.0877600000, 0.1576200000, 0.2095000000, 0.3101100000, 0.5219300000, 0.9678700000, 1.8890000000, 3.7531000000", \
"0.0877600000, 0.1580300000, 0.2102600000, 0.3108600000, 0.5222000000, 0.9675000000, 1.8898000000, 3.7524000000", \
"0.0944000000, 0.1654000000, 0.2170000000, 0.3157000000, 0.5248000000, 0.9687000000, 1.8892000000, 3.7523000000", \
"0.1015000000, 0.1726000000, 0.2250000000, 0.3235000000, 0.5304000000, 0.9706000000, 1.8886000000, 3.7538000000", \
"0.1334000000, 0.2091000000, 0.2620000000, 0.3565000000, 0.5543000000, 0.9834000000, 1.8924000000, 3.7539000000", \
"0.1494000000, 0.2285000000, 0.2837000000, 0.3794000000, 0.5741000000, 0.9948000000, 1.8981000000, 3.7518000000", \
"0.1647000000, 0.2468000000, 0.3044000000, 0.4030000000, 0.5966000000, 1.0108000000, 1.9028000000, 3.7531000000");
}
related_pin : "C";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170300000, 0.0340600000, 0.0681300000, 0.1362600000, 0.2725300000, 0.5450500000");
values("0.0261400000, 0.0761600000, 0.1291700000, 0.2386800000, 0.4616000000, 0.9086100000, 1.8034900000, 3.5945500000", \
"0.0261400000, 0.0762400000, 0.1291600000, 0.2386100000, 0.4617100000, 0.9086300000, 1.8033600000, 3.5914000000", \
"0.0261600000, 0.0762000000, 0.1290300000, 0.2387100000, 0.4617400000, 0.9087700000, 1.8031500000, 3.5922000000", \
"0.0262200000, 0.0763400000, 0.1292400000, 0.2386500000, 0.4616800000, 0.9088300000, 1.8027400000, 3.5920000000", \
"0.0359000000, 0.0825100000, 0.1327200000, 0.2398800000, 0.4616100000, 0.9088400000, 1.8033400000, 3.5915000000", \
"0.0489500000, 0.0945900000, 0.1416700000, 0.2449700000, 0.4634300000, 0.9089400000, 1.8035100000, 3.5925000000", \
"0.0699600000, 0.1179800000, 0.1611000000, 0.2586200000, 0.4721600000, 0.9137900000, 1.8030000000, 3.5917000000", \
"0.0880000000, 0.1395400000, 0.1806700000, 0.2731000000, 0.4830000000, 0.9227000000, 1.8090000000, 3.5932000000", \
"0.1316000000, 0.1902000000, 0.2319000000, 0.3158000000, 0.5123000000, 0.9489000000, 1.8362000000, 3.6042000000", \
"0.1522000000, 0.2131000000, 0.2566000000, 0.3378000000, 0.5285000000, 0.9604000000, 1.8521000000, 3.6188000000", \
"0.1717000000, 0.2341000000, 0.2799000000, 0.3602000000, 0.5452000000, 0.9722000000, 1.8672000000, 3.6371000000");
}
timing_sense : "positive_unate";
}
}
}
cell ("sky130_fd_sc_hvl__probe_p_8") {
leakage_power () {
value : 22.624743000;
when : "A";
}
leakage_power () {
value : 12.502090900;
when : "!A";
}
area : 39.072000000;
cell_footprint : "sky130_fd_sc_hvl__buf";
cell_leakage_power : 17.563420000;
dont_touch : "true";
dont_use : "true";
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0114710000;
clock : "false";
direction : "input";
fall_capacitance : 0.0110850000;
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0118570000;
}
pin ("X") {
direction : "output";
function : "(A)";
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0021708500, 0.0094252000, 0.0409214000, 0.1776690000, 0.7713850000, 3.3491200000");
values("1.3438005000, 1.3095060000, 1.1649774000, 0.6194304000, -1.5161543000, -10.527583700, -49.527255600", \
"1.3585507000, 1.3251515000, 1.1811052000, 0.6321846000, -1.4995198000, -10.511684000, -49.511258300", \
"1.3619738000, 1.3269125000, 1.1830808000, 0.6358732000, -1.4968060000, -10.508228600, -49.509641300", \
"1.4061705000, 1.3715353000, 1.2262490000, 0.6768241000, -1.4595413000, -10.473789400, -49.471520700", \
"1.7143440000, 1.6788098000, 1.5280518000, 0.9547140000, -1.2313787000, -10.271846900, -49.281207700", \
"2.2749252000, 2.2463452000, 2.0913462000, 1.4823907000, -0.7887573000, -9.898568600, -48.932727500", \
"3.4919006000, 3.4493578000, 3.2758321000, 2.5911209000, 0.1767057000, -9.076113600, -48.181897200", \
"4.6633071000, 4.6201476000, 4.4366355000, 3.7269098000, 1.1928334000, -8.211201200, -47.397329700", \
"7.8178997000, 7.7723814000, 7.5828976000, 6.8198391000, 4.0716442000, -5.7227757000, -45.166223200", \
" 9.398071700, 9.352335700, 9.160968800, 8.394489100, 5.5910155000, -4.3898136000, -43.989792300", \
"10.997850300, 10.951790000, 10.759814200, 9.972608000, 7.1018973000, -3.0323636000, -42.799301000");
}
related_pin : "A";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0021708500, 0.0094252000, 0.0409214000, 0.1776690000, 0.7713850000, 3.3491200000");
values("0.9962952000, 1.0104702000, 1.0769047000, 1.4527800000, 3.4286860000, 12.291057700, 50.885760200", \
"1.0053658000, 1.0205239000, 1.0860782000, 1.4635448000, 3.4374931000, 12.300097000, 50.880309900", \
"1.0081039000, 1.0222577000, 1.0883611000, 1.4629959000, 3.4403290000, 12.306844100, 50.903200400", \
"1.0432361000, 1.0582131000, 1.1234394000, 1.4978853000, 3.4696203000, 12.330999500, 50.924284000", \
"1.3704113000, 1.3828360000, 1.4413374000, 1.7816267000, 3.6953922000, 12.536780900, 51.100221100", \
"1.9557848000, 1.9652646000, 2.0123107000, 2.3120895000, 4.1281151000, 12.892374100, 51.436283500", \
"3.1924485000, 3.1993000000, 3.2364292000, 3.4744759000, 5.1041876000, 13.691379400, 52.178108300", \
"4.3789329000, 4.3842177000, 4.4143707000, 4.6240909000, 6.1314858000, 14.559338300, 52.945153800", \
"7.6041976000, 7.6067823000, 7.6328023000, 7.7935340000, 9.096854300, 17.068338800, 55.176373100", \
" 9.240921100, 9.245446100, 9.263612100, 9.419629700, 10.639223600, 18.408430200, 56.366716100", \
"10.874742500, 10.877819900, 10.904830400, 11.041248200, 12.201184000, 19.772388100, 57.556739800");
}
}
max_capacitance : 3.3491230000;
max_transition : 3.7492990000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0021708500, 0.0094252000, 0.0409214000, 0.1776690000, 0.7713850000, 3.3491200000");
values("0.1045141000, 0.1069445000, 0.1163465000, 0.1480104000, 0.2536295000, 0.6734185000, 2.4885420000", \
"0.1081349000, 0.1105231000, 0.1197813000, 0.1515997000, 0.2571902000, 0.6773185000, 2.4926485000", \
"0.1110067000, 0.1134363000, 0.1226796000, 0.1543937000, 0.2600512000, 0.6793622000, 2.4927731000", \
"0.1239156000, 0.1262391000, 0.1355862000, 0.1670798000, 0.2725204000, 0.6922362000, 2.5085467000", \
"0.1658222000, 0.1682351000, 0.1775059000, 0.2084131000, 0.3121564000, 0.7302977000, 2.5462379000", \
"0.2140448000, 0.2165984000, 0.2263307000, 0.2581713000, 0.3620662000, 0.7775180000, 2.5906748000", \
"0.2848255000, 0.2876298000, 0.2984170000, 0.3330971000, 0.4418666000, 0.8559966000, 2.6667899000", \
"0.3398800000, 0.3428925000, 0.3544809000, 0.3916291000, 0.5048466000, 0.9250138000, 2.7286136000", \
"0.4591217000, 0.4624287000, 0.4752588000, 0.5187875000, 0.6428175000, 1.0811603000, 2.8936518000", \
"0.5116554000, 0.5150967000, 0.5285210000, 0.5732262000, 0.7030179000, 1.1476152000, 2.9715870000", \
"0.5595983000, 0.5631332000, 0.5769096000, 0.6232834000, 0.7581745000, 1.2094489000, 3.0499447000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0021708500, 0.0094252000, 0.0409214000, 0.1776690000, 0.7713850000, 3.3491200000");
values("0.1021395000, 0.1043048000, 0.1126198000, 0.1414538000, 0.2434730000, 0.6624128000, 2.4824152000", \
"0.1046491000, 0.1068025000, 0.1151248000, 0.1440658000, 0.2457599000, 0.6662993000, 2.4891476000", \
"0.1065288000, 0.1086702000, 0.1169801000, 0.1456923000, 0.2476762000, 0.6679070000, 2.4863214000", \
"0.1159150000, 0.1180588000, 0.1263545000, 0.1550354000, 0.2565459000, 0.6766622000, 2.4985551000", \
"0.1470928000, 0.1493292000, 0.1577215000, 0.1857348000, 0.2855531000, 0.7032931000, 2.5275777000", \
"0.1767940000, 0.1791850000, 0.1882287000, 0.2174756000, 0.3163947000, 0.7337120000, 2.5501918000", \
"0.2085273000, 0.2112165000, 0.2214325000, 0.2542662000, 0.3557493000, 0.7670504000, 2.5864724000", \
"0.2257530000, 0.2286897000, 0.2398779000, 0.2755799000, 0.3798449000, 0.7953346000, 2.6054012000", \
"0.2431626000, 0.2464918000, 0.2594572000, 0.3009604000, 0.4162374000, 0.8371921000, 2.6501419000", \
"0.2431208000, 0.2465568000, 0.2606528000, 0.3038331000, 0.4247728000, 0.8488962000, 2.6697196000", \
"0.2394493000, 0.2429967000, 0.2575009000, 0.3028079000, 0.4288915000, 0.8542951000, 2.6869036000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0021708500, 0.0094252000, 0.0409214000, 0.1776690000, 0.7713850000, 3.3491200000");
values("0.0363951000, 0.0384320000, 0.0461906000, 0.0770648000, 0.2167697000, 0.8611776000, 3.6980383000", \
"0.0364541000, 0.0383857000, 0.0460195000, 0.0772861000, 0.2163501000, 0.8611412000, 3.7010315000", \
"0.0356490000, 0.0375969000, 0.0459559000, 0.0773888000, 0.2163435000, 0.8606448000, 3.6927912000", \
"0.0360253000, 0.0380711000, 0.0460214000, 0.0771680000, 0.2166592000, 0.8608222000, 3.7035635000", \
"0.0410952000, 0.0428709000, 0.0512117000, 0.0812316000, 0.2179283000, 0.8615691000, 3.7040921000", \
"0.0518951000, 0.0540516000, 0.0627199000, 0.0923298000, 0.2235310000, 0.8607621000, 3.6980121000", \
"0.0742637000, 0.0760830000, 0.0846035000, 0.1152288000, 0.2407902000, 0.8647834000, 3.7024457000", \
"0.0925117000, 0.0944836000, 0.1033213000, 0.1347948000, 0.2593822000, 0.8740041000, 3.6905845000", \
"0.1402124000, 0.1434390000, 0.1515473000, 0.1828504000, 0.3091205000, 0.9118357000, 3.7063299000", \
"0.1565427000, 0.1579773000, 0.1672143000, 0.2083397000, 0.3311931000, 0.9326934000, 3.7147829000", \
"0.1757158000, 0.1773981000, 0.1862272000, 0.2280044000, 0.3533622000, 0.9512592000, 3.7232670000");
}
related_pin : "A";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0021708500, 0.0094252000, 0.0409214000, 0.1776690000, 0.7713850000, 3.3491200000");
values("0.0381095000, 0.0397010000, 0.0467113000, 0.0761026000, 0.2191073000, 0.8708143000, 3.7244960000", \
"0.0382874000, 0.0399276000, 0.0467964000, 0.0761584000, 0.2181166000, 0.8713992000, 3.7180869000", \
"0.0381953000, 0.0398300000, 0.0466781000, 0.0761063000, 0.2188955000, 0.8723413000, 3.7196071000", \
"0.0382160000, 0.0399604000, 0.0467529000, 0.0762322000, 0.2181148000, 0.8714704000, 3.7233878000", \
"0.0451171000, 0.0466556000, 0.0530575000, 0.0810996000, 0.2201357000, 0.8787811000, 3.7193248000", \
"0.0587297000, 0.0601961000, 0.0664772000, 0.0935303000, 0.2254576000, 0.8786190000, 3.7232202000", \
"0.0824782000, 0.0838635000, 0.0904945000, 0.1184117000, 0.2408683000, 0.8743826000, 3.7213819000", \
"0.1030254000, 0.1042701000, 0.1110715000, 0.1404104000, 0.2584154000, 0.8840644000, 3.7196859000", \
"0.1519979000, 0.1530133000, 0.1623867000, 0.1910924000, 0.3022556000, 0.9137876000, 3.7244072000", \
"0.1764662000, 0.1770796000, 0.1825741000, 0.2123459000, 0.3227172000, 0.9307629000, 3.7366363000", \
"0.2025705000, 0.2024104000, 0.2046119000, 0.2350634000, 0.3469895000, 0.9471539000, 3.7492991000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__probec_p_8") {
leakage_power () {
value : 22.624753600;
when : "A";
}
leakage_power () {
value : 12.502091800;
when : "!A";
}
area : 39.072000000;
cell_footprint : "sky130_fd_sc_hvl__buf";
cell_leakage_power : 17.563420000;
dont_touch : "true";
dont_use : "true";
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0114940000;
clock : "false";
direction : "input";
fall_capacitance : 0.0111080000;
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0118800000;
}
pin ("X") {
direction : "output";
function : "(A)";
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0021798500, 0.0095034500, 0.0414321000, 0.1806310000, 0.7874960000, 3.4332400000");
values("1.3402483000, 1.3076931000, 1.1608557000, 0.6021066000, -1.5590832000, -10.769128100, -50.797923500", \
"1.3564593000, 1.3220019000, 1.1753207000, 0.6177690000, -1.5460903000, -10.757563000, -50.784982300", \
"1.3592946000, 1.3246400000, 1.1778989000, 0.6204094000, -1.5450606000, -10.753131100, -50.778716500", \
"1.4022919000, 1.3678043000, 1.2226811000, 0.6624851000, -1.5060226000, -10.716924100, -50.742298900", \
"1.7127162000, 1.6790328000, 1.5257340000, 0.9415243000, -1.2738419000, -10.515797300, -50.554411800", \
"2.2858700000, 2.2458220000, 2.0875789000, 1.4714111000, -0.8282869000, -10.142969200, -50.208495300", \
"3.5115732000, 3.4697143000, 3.2923690000, 2.5975715000, 0.1355951000, -9.320751800, -49.460536900", \
"4.6904789000, 4.6463788000, 4.4623741000, 3.7387381000, 1.1402170000, -8.456590800, -48.679359400", \
"7.8504544000, 7.8077351000, 7.6143603000, 6.8428420000, 4.0519994000, -5.9756691000, -46.454796700", \
" 9.448434200, 9.404276000, 9.207810700, 8.421458000, 5.5721322000, -4.6436102000, -45.277922400", \
"11.040334600, 10.993412100, 10.798360600, 9.999190000, 7.0881935000, -3.2972527000, -44.089827400");
}
related_pin : "A";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0021798500, 0.0095034500, 0.0414321000, 0.1806310000, 0.7874960000, 3.4332400000");
values("1.0320731000, 1.0482630000, 1.1200376000, 1.5126824000, 3.5285852000, 12.580965900, 52.198118200", \
"1.0428431000, 1.0582465000, 1.1304132000, 1.5226395000, 3.5395808000, 12.607542400, 52.194707900", \
"1.0443241000, 1.0595806000, 1.1313759000, 1.5198733000, 3.5395688000, 12.608990000, 52.208984200", \
"1.0801029000, 1.0954351000, 1.1656095000, 1.5584768000, 3.5719797000, 12.621502900, 52.237061900", \
"1.4094320000, 1.4223489000, 1.4894959000, 1.8514079000, 3.8040164000, 12.828544600, 52.409314700", \
"1.9988354000, 2.0085232000, 2.0630925000, 2.3850216000, 4.2529589000, 13.190339400, 52.755660000", \
"3.2416171000, 3.2494212000, 3.2928732000, 3.5649145000, 5.2566340000, 14.026159100, 53.490851800", \
"4.4313684000, 4.4393260000, 4.4775059000, 4.7212662000, 6.3038245000, 14.926154500, 54.261640700", \
"7.6755025000, 7.6843574000, 7.7096965000, 7.8997771000, 9.308827100, 17.487786500, 56.536290200", \
" 9.321251800, 9.327803000, 9.355251500, 9.533153500, 10.861701000, 18.865941200, 57.734720400", \
"10.967958700, 10.969742900, 10.991935200, 11.161478400, 12.433751500, 20.262227500, 58.950796600");
}
}
max_capacitance : 3.4332370000;
max_transition : 3.7509520000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0021798500, 0.0095034500, 0.0414321000, 0.1806310000, 0.7874960000, 3.4332400000");
values("0.1048859000, 0.1071054000, 0.1160880000, 0.1466680000, 0.2488703000, 0.6571652000, 2.4347218000", \
"0.1084768000, 0.1107282000, 0.1196352000, 0.1502958000, 0.2525676000, 0.6607566000, 2.4409983000", \
"0.1113246000, 0.1135951000, 0.1224393000, 0.1531167000, 0.2552728000, 0.6635725000, 2.4426841000", \
"0.1242714000, 0.1264157000, 0.1352542000, 0.1656924000, 0.2677874000, 0.6759234000, 2.4551314000", \
"0.1661562000, 0.1684252000, 0.1772359000, 0.2071587000, 0.3075043000, 0.7144582000, 2.4942706000", \
"0.2141036000, 0.2164980000, 0.2259368000, 0.2569005000, 0.3576059000, 0.7620202000, 2.5413112000", \
"0.2846334000, 0.2873832000, 0.2977114000, 0.3317425000, 0.4376541000, 0.8401573000, 2.6151625000", \
"0.3394423000, 0.3422884000, 0.3534019000, 0.3900058000, 0.5011830000, 0.9091675000, 2.6797158000", \
"0.4590371000, 0.4621389000, 0.4747513000, 0.5164985000, 0.6391772000, 1.0683047000, 2.8397251000", \
"0.5108402000, 0.5141453000, 0.5272365000, 0.5709742000, 0.6990044000, 1.1361867000, 2.9202651000", \
"0.5589971000, 0.5624032000, 0.5758082000, 0.6215511000, 0.7540920000, 1.1984603000, 2.9995111000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0021798500, 0.0095034500, 0.0414321000, 0.1806310000, 0.7874960000, 3.4332400000");
values("0.1046016000, 0.1067358000, 0.1149837000, 0.1436489000, 0.2457524000, 0.6709678000, 2.5222726000", \
"0.1071541000, 0.1092654000, 0.1175838000, 0.1462016000, 0.2480977000, 0.6730359000, 2.5210676000", \
"0.1090292000, 0.1111350000, 0.1193714000, 0.1477526000, 0.2501011000, 0.6747333000, 2.5265035000", \
"0.1183880000, 0.1205725000, 0.1286414000, 0.1572280000, 0.2590109000, 0.6840905000, 2.5365869000", \
"0.1498181000, 0.1518455000, 0.1603634000, 0.1886319000, 0.2883360000, 0.7104588000, 2.5625622000", \
"0.1799939000, 0.1823798000, 0.1914367000, 0.2207397000, 0.3198841000, 0.7402804000, 2.5873783000", \
"0.2124784000, 0.2151757000, 0.2257604000, 0.2590455000, 0.3615129000, 0.7754945000, 2.6215856000", \
"0.2308571000, 0.2338301000, 0.2451977000, 0.2815000000, 0.3872601000, 0.8044558000, 2.6431000000", \
"0.2501728000, 0.2535571000, 0.2670636000, 0.3092394000, 0.4258578000, 0.8515632000, 2.6878164000", \
"0.2514932000, 0.2549509000, 0.2687130000, 0.3134012000, 0.4359896000, 0.8652659000, 2.7067322000", \
"0.2487661000, 0.2524787000, 0.2667410000, 0.3130944000, 0.4413235000, 0.8718321000, 2.7305926000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0021798500, 0.0095034500, 0.0414321000, 0.1806310000, 0.7874960000, 3.4332400000");
values("0.0342242000, 0.0369195000, 0.0441259000, 0.0713890000, 0.1873454000, 0.7300273000, 3.1243681000", \
"0.0344000000, 0.0361144000, 0.0437285000, 0.0708903000, 0.1868784000, 0.7307609000, 3.1245893000", \
"0.0343693000, 0.0365449000, 0.0437912000, 0.0708909000, 0.1868635000, 0.7313020000, 3.1278118000", \
"0.0346057000, 0.0368734000, 0.0441380000, 0.0712120000, 0.1872138000, 0.7311569000, 3.1281591000", \
"0.0396968000, 0.0416038000, 0.0487470000, 0.0754332000, 0.1888784000, 0.7305207000, 3.1359126000", \
"0.0503816000, 0.0522191000, 0.0603566000, 0.0863547000, 0.1949914000, 0.7315337000, 3.1383054000", \
"0.0713449000, 0.0728868000, 0.0806939000, 0.1091287000, 0.2145195000, 0.7342403000, 3.1379566000", \
"0.0879156000, 0.0897805000, 0.0983768000, 0.1281780000, 0.2346280000, 0.7431896000, 3.1386700000", \
"0.1260274000, 0.1311559000, 0.1419689000, 0.1740836000, 0.2857418000, 0.7912928000, 3.1383358000", \
"0.1440250000, 0.1463495000, 0.1567160000, 0.1979624000, 0.3070530000, 0.8151635000, 3.1402003000", \
"0.1608061000, 0.1632676000, 0.1743044000, 0.2141276000, 0.3286506000, 0.8363196000, 3.1542826000");
}
related_pin : "A";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0021798500, 0.0095034500, 0.0414321000, 0.1806310000, 0.7874960000, 3.4332400000");
values("0.0355701000, 0.0372319000, 0.0447007000, 0.0756196000, 0.2179234000, 0.8692380000, 3.7245000000", \
"0.0356461000, 0.0374311000, 0.0447999000, 0.0754959000, 0.2173901000, 0.8688312000, 3.7261505000", \
"0.0355813000, 0.0372927000, 0.0447683000, 0.0754655000, 0.2178591000, 0.8701613000, 3.7254457000", \
"0.0355359000, 0.0372649000, 0.0448777000, 0.0753223000, 0.2177146000, 0.8693545000, 3.7215309000", \
"0.0406205000, 0.0418419000, 0.0496886000, 0.0800980000, 0.2187870000, 0.8677343000, 3.7230869000", \
"0.0510176000, 0.0526665000, 0.0600685000, 0.0886137000, 0.2235001000, 0.8698532000, 3.7275796000", \
"0.0703772000, 0.0719983000, 0.0805581000, 0.1086834000, 0.2378757000, 0.8749558000, 3.7197445000", \
"0.0874606000, 0.0891109000, 0.0968786000, 0.1263840000, 0.2524790000, 0.8826908000, 3.7255719000", \
"0.1284323000, 0.1294704000, 0.1354010000, 0.1689553000, 0.2877101000, 0.9128140000, 3.7262717000", \
"0.1450036000, 0.1460690000, 0.1534112000, 0.1868476000, 0.3058506000, 0.9273319000, 3.7337022000", \
"0.1650929000, 0.1658910000, 0.1728044000, 0.2081876000, 0.3246990000, 0.9437860000, 3.7509524000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__schmittbuf_1") {
leakage_power () {
value : 4.9806061000;
when : "A";
}
leakage_power () {
value : 4.8762905000;
when : "!A";
}
area : 21.489600000;
cell_footprint : "sky130_fd_sc_hvl__schmittbuf";
cell_leakage_power : 4.9284480000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0053900000;
clock : "false";
direction : "input";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("X") {
direction : "output";
function : "(A)";
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087300000, 0.0174500000, 0.0349000000, 0.0698100000, 0.1396200000, 0.2792500000, 0.5585100000");
values("0.4158662000, 0.2529323000, 0.1116076000, -0.1637699000, -0.7024120000, -1.7641556000, -3.8806826000, -8.106976400", \
"0.4278308000, 0.2620470000, 0.1207724000, -0.1539568000, -0.6917919000, -1.7540643000, -3.8711044000, -8.097754600", \
"0.4344842000, 0.2687095000, 0.1275688000, -0.1478878000, -0.6862964000, -1.7486917000, -3.8654346000, -8.091711800", \
"0.4424353000, 0.2763057000, 0.1343247000, -0.1406719000, -0.6786151000, -1.7409368000, -3.8572067000, -8.084193800", \
"0.4994879000, 0.3333763000, 0.1915610000, -0.0838307000, -0.6225637000, -1.6851300000, -3.8024744000, -8.028809300", \
"0.6033341000, 0.4367614000, 0.2937532000, 0.0168906000, -0.5231446000, -1.5871600000, -3.7052216000, -7.9327469000", \
"0.8144300000, 0.6412256000, 0.4943476000, 0.2130954000, -0.3307412000, -1.3997152000, -3.5207640000, -7.7499054000", \
"1.0041748000, 0.8273990000, 0.6817401000, 0.3968929000, -0.1497009000, -1.2211600000, -3.3451639000, -7.5770160000", \
"1.5306451000, 1.3391333000, 1.1793287000, 0.8871234000, 0.3397742000, -0.7371391000, -2.8658519000, -7.1020661000", \
"1.7904541000, 1.5972152000, 1.4351810000, 1.1408074000, 0.5907937000, -0.4875022000, -2.6178105000, -6.8560310000", \
"2.0462918000, 1.8519701000, 1.6891772000, 1.3925069000, 0.8357510000, -0.2405842000, -2.3726072000, -6.6123652000");
}
related_pin : "A";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087300000, 0.0174500000, 0.0349000000, 0.0698100000, 0.1396200000, 0.2792500000, 0.5585100000");
values("0.2285880000, 0.3348397000, 0.4586559000, 0.7124990000, 1.2333434000, 2.2761412000, 4.3612983000, 8.536994400", \
"0.2439881000, 0.3496215000, 0.4734479000, 0.7270747000, 1.2460469000, 2.2893859000, 4.3767281000, 8.552649100", \
"0.2511111000, 0.3564582000, 0.4802042000, 0.7340743000, 1.2502773000, 2.2943093000, 4.3801260000, 8.559543000", \
"0.2713621000, 0.3766004000, 0.5003246000, 0.7541995000, 1.2730837000, 2.3138203000, 4.4006462000, 8.577608900", \
"0.3392761000, 0.4447467000, 0.5670808000, 0.8203018000, 1.3383079000, 2.3790176000, 4.4705207000, 8.646244900", \
"0.4592305000, 0.5604763000, 0.6810539000, 0.9328038000, 1.4502615000, 2.4910294000, 4.5746541000, 8.750720100", \
"0.6817583000, 0.7745060000, 0.8929515000, 1.1394869000, 1.6502418000, 2.6889210000, 4.7734740000, 8.946775200", \
"0.8934273000, 0.9810014000, 1.0918562000, 1.3375127000, 1.8457903000, 2.8767491000, 4.9588719000, 9.140246500", \
"1.4373967000, 1.5173306000, 1.6279141000, 1.8675818000, 2.3625773000, 3.3960655000, 5.4723576000, 9.650024200", \
"1.7099570000, 1.7880225000, 1.8972590000, 2.1358917000, 2.6278960000, 3.6572263000, 5.7344161000, 9.904857300", \
"1.9776436000, 2.0547726000, 2.1621468000, 2.3980981000, 2.8976795000, 3.9160295000, 5.9925195000, 10.156524400");
}
}
max_capacitance : 0.5579000000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087300000, 0.0174500000, 0.0349000000, 0.0698100000, 0.1396200000, 0.2792500000, 0.5585100000");
values("0.2191300000, 0.2881700000, 0.3392600000, 0.4324400000, 0.6109900000, 0.9665200000, 1.6804700000, 3.1122700000", \
"0.2279800000, 0.2960100000, 0.3471300000, 0.4403500000, 0.6189100000, 0.9744500000, 1.6888700000, 3.1198700000", \
"0.2354000000, 0.3030100000, 0.3539900000, 0.4471100000, 0.6256100000, 0.9809300000, 1.6955300000, 3.1266300000", \
"0.2498300000, 0.3172600000, 0.3681400000, 0.4612000000, 0.6396100000, 0.9951000000, 1.7093000000, 3.1412000000", \
"0.3181700000, 0.3855400000, 0.4363200000, 0.5292700000, 0.7076000000, 1.0630000000, 1.7768000000, 3.2084000000", \
"0.4265600000, 0.4934300000, 0.5438500000, 0.6364100000, 0.8144000000, 1.1692000000, 1.8831000000, 3.3129000000", \
"0.6207000000, 0.6890000000, 0.7397000000, 0.8317000000, 1.0083000000, 1.3618000000, 2.0744000000, 3.5047000000", \
"0.7915000000, 0.8605000000, 0.9114000000, 1.0038000000, 1.1812000000, 1.5340000000, 2.2456000000, 3.6739000000", \
"1.2217700000, 1.2917700000, 1.3427700000, 1.4344700000, 1.6106700000, 1.9632700000, 2.6734700000, 4.1012700000", \
"1.4310300000, 1.5013300000, 1.5523300000, 1.6437300000, 1.8194300000, 2.1709300000, 2.8816300000, 4.3078300000", \
"1.6348000000, 1.7052000000, 1.7562000000, 1.8473000000, 2.0225000000, 2.3733000000, 3.0836000000, 4.5097000000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087300000, 0.0174500000, 0.0349000000, 0.0698100000, 0.1396200000, 0.2792500000, 0.5585100000");
values("0.1651900000, 0.2194600000, 0.2611900000, 0.3392500000, 0.4920400000, 0.7986900000, 1.4124700000, 2.6423700000", \
"0.1756300000, 0.2294700000, 0.2711800000, 0.3492500000, 0.5020800000, 0.8086300000, 1.4228700000, 2.6516700000", \
"0.1814100000, 0.2352000000, 0.2769100000, 0.3549700000, 0.5077800000, 0.8142800000, 1.4285300000, 2.6579300000", \
"0.1998300000, 0.2535800000, 0.2952700000, 0.3733100000, 0.5262900000, 0.8326100000, 1.4470000000, 2.6754000000", \
"0.2607200000, 0.3144000000, 0.3560000000, 0.4339400000, 0.5868600000, 0.8931000000, 1.5074000000, 2.7362000000", \
"0.3496500000, 0.4034900000, 0.4446400000, 0.5220600000, 0.6743000000, 0.9802000000, 1.5943000000, 2.8235000000", \
"0.4901000000, 0.5461000000, 0.5876000000, 0.6652000000, 0.8173000000, 1.1225000000, 1.7359000000, 2.9637000000", \
"0.6083000000, 0.6655000000, 0.7070000000, 0.7843000000, 0.9359000000, 1.2410000000, 1.8540000000, 3.0814000000", \
"0.8882700000, 0.9474700000, 0.9891700000, 1.0661700000, 1.2164700000, 1.5200700000, 2.1322700000, 3.3598700000", \
"1.0181300000, 1.0780300000, 1.1198300000, 1.1965300000, 1.3466300000, 1.6495300000, 2.2615300000, 3.4878300000", \
"1.1422000000, 1.2026000000, 1.2442000000, 1.3209000000, 1.4705000000, 1.7731000000, 2.3843000000, 3.6107000000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087300000, 0.0174500000, 0.0349000000, 0.0698100000, 0.1396200000, 0.2792500000, 0.5585100000");
values("0.0525900000, 0.1106400000, 0.1613300000, 0.2674000000, 0.4905900000, 0.9510500000, 1.8858800000, 3.7541000000", \
"0.0525800000, 0.1106200000, 0.1613000000, 0.2673300000, 0.4903600000, 0.9518000000, 1.8855400000, 3.7534000000", \
"0.0525900000, 0.1106300000, 0.1613200000, 0.2673300000, 0.4903800000, 0.9511100000, 1.8856800000, 3.7546000000", \
"0.0525900000, 0.1106600000, 0.1613100000, 0.2673300000, 0.4903600000, 0.9517600000, 1.8855500000, 3.7537000000", \
"0.0525800000, 0.1107000000, 0.1613500000, 0.2674500000, 0.4903500000, 0.9520800000, 1.8860000000, 3.7531000000", \
"0.0526300000, 0.1111700000, 0.1618600000, 0.2677400000, 0.4907700000, 0.9511000000, 1.8850000000, 3.7512000000", \
"0.0603000000, 0.1192000000, 0.1684000000, 0.2718000000, 0.4922000000, 0.9524000000, 1.8845000000, 3.7535000000", \
"0.0644000000, 0.1239000000, 0.1731000000, 0.2764000000, 0.4954000000, 0.9531000000, 1.8852000000, 3.7491000000", \
"0.0715000000, 0.1318000000, 0.1802000000, 0.2816000000, 0.4999000000, 0.9565000000, 1.8879000000, 3.7541000000", \
"0.0739000000, 0.1345000000, 0.1827000000, 0.2837000000, 0.5007000000, 0.9573000000, 1.8872000000, 3.7549000000", \
"0.0759000000, 0.1366000000, 0.1847000000, 0.2853000000, 0.5016000000, 0.9577000000, 1.8877000000, 3.7534000000");
}
related_pin : "A";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087300000, 0.0174500000, 0.0349000000, 0.0698100000, 0.1396200000, 0.2792500000, 0.5585100000");
values("0.0385900000, 0.0911300000, 0.1433100000, 0.2523900000, 0.4779200000, 0.9372300000, 1.8584600000, 3.7012000000", \
"0.0386200000, 0.0911000000, 0.1432900000, 0.2523400000, 0.4778900000, 0.9375600000, 1.8585000000, 3.7006000000", \
"0.0386000000, 0.0912100000, 0.1433000000, 0.2523800000, 0.4779300000, 0.9369200000, 1.8604200000, 3.7052000000", \
"0.0386000000, 0.0911300000, 0.1432700000, 0.2522300000, 0.4778600000, 0.9370400000, 1.8581700000, 3.7020000000", \
"0.0386800000, 0.0912300000, 0.1433200000, 0.2521900000, 0.4779100000, 0.9373800000, 1.8583200000, 3.7014000000", \
"0.0415500000, 0.0930400000, 0.1444500000, 0.2526900000, 0.4780600000, 0.9373200000, 1.8581000000, 3.6997000000", \
"0.0473000000, 0.0989000000, 0.1496000000, 0.2565000000, 0.4800000000, 0.9376000000, 1.8599000000, 3.7046000000", \
"0.0510000000, 0.1025000000, 0.1522000000, 0.2582000000, 0.4815000000, 0.9382000000, 1.8586000000, 3.7006000000", \
"0.0576000000, 0.1089000000, 0.1575000000, 0.2613000000, 0.4823000000, 0.9392000000, 1.8603000000, 3.6992000000", \
"0.0598000000, 0.1111000000, 0.1593000000, 0.2630000000, 0.4839000000, 0.9394000000, 1.8603000000, 3.7006000000", \
"0.0617000000, 0.1128000000, 0.1607000000, 0.2636000000, 0.4838000000, 0.9393000000, 1.8603000000, 3.7007000000");
}
timing_sense : "positive_unate";
}
}
}
cell ("sky130_fd_sc_hvl__sdfrbp_1") {
leakage_power () {
value : 24.192859300;
when : "D&!SCD&!SCE&RESET_B&CLK";
}
leakage_power () {
value : 20.059827600;
when : "!D&!SCD&SCE&RESET_B&CLK";
}
leakage_power () {
value : 24.836047900;
when : "!D&SCD&!SCE&RESET_B&CLK";
}
leakage_power () {
value : 20.375926700;
when : "!D&!SCD&!SCE&RESET_B&!CLK";
}
leakage_power () {
value : 14.077669700;
when : "!D&!SCD&!SCE&!RESET_B&CLK";
}
leakage_power () {
value : 20.970640300;
when : "!D&!SCD&!SCE&RESET_B&CLK";
}
leakage_power () {
value : 22.684080400;
when : "D&!SCD&SCE&RESET_B&CLK";
}
leakage_power () {
value : 16.059265900;
when : "D&SCD&!SCE&RESET_B&CLK";
}
leakage_power () {
value : 19.174798600;
when : "D&!SCD&!SCE&RESET_B&!CLK";
}
leakage_power () {
value : 16.220969500;
when : "D&!SCD&!SCE&!RESET_B&CLK";
}
leakage_power () {
value : 22.064492500;
when : "!D&SCD&SCE&RESET_B&CLK";
}
leakage_power () {
value : 18.867796200;
when : "!D&!SCD&SCE&RESET_B&!CLK";
}
leakage_power () {
value : 12.340931800;
when : "!D&!SCD&SCE&!RESET_B&CLK";
}
leakage_power () {
value : 21.922060600;
when : "D&SCD&SCE&RESET_B&CLK";
}
leakage_power () {
value : 22.089704300;
when : "D&!SCD&SCE&RESET_B&!CLK";
}
leakage_power () {
value : 12.370445100;
when : "D&!SCD&SCE&!RESET_B&CLK";
}
leakage_power () {
value : 23.644148000;
when : "!D&SCD&!SCE&RESET_B&!CLK";
}
leakage_power () {
value : 14.109205400;
when : "!D&SCD&!SCE&!RESET_B&CLK";
}
leakage_power () {
value : 18.914891000;
when : "D&SCD&!SCE&RESET_B&!CLK";
}
leakage_power () {
value : 16.220907300;
when : "D&SCD&!SCE&!RESET_B&CLK";
}
leakage_power () {
value : 17.046234600;
when : "!D&SCD&SCE&RESET_B&!CLK";
}
leakage_power () {
value : 14.486329500;
when : "!D&SCD&SCE&!RESET_B&CLK";
}
leakage_power () {
value : 16.903849000;
when : "D&SCD&SCE&RESET_B&!CLK";
}
leakage_power () {
value : 14.486264600;
when : "D&SCD&SCE&!RESET_B&CLK";
}
leakage_power () {
value : 15.134196100;
when : "!D&!SCD&!SCE&!RESET_B&!CLK";
}
leakage_power () {
value : 15.165731800;
when : "!D&SCD&!SCE&!RESET_B&!CLK";
}
leakage_power () {
value : 13.397458300;
when : "!D&!SCD&SCE&!RESET_B&!CLK";
}
leakage_power () {
value : 15.542873700;
when : "!D&SCD&SCE&!RESET_B&!CLK";
}
leakage_power () {
value : 17.277512800;
when : "D&!SCD&!SCE&!RESET_B&!CLK";
}
leakage_power () {
value : 17.277450600;
when : "D&SCD&!SCE&!RESET_B&!CLK";
}
leakage_power () {
value : 13.426972400;
when : "D&!SCD&SCE&!RESET_B&!CLK";
}
leakage_power () {
value : 15.542807900;
when : "D&SCD&SCE&!RESET_B&!CLK";
}
area : 82.05120000;
cell_footprint : "sky130_fd_sc_hvl__sdfrbp";
cell_leakage_power : 17.715140000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
ff ("IQ","IQ_N") {
clear : "!RESET_B";
clocked_on : "CLK";
next_state : "(D&!SCE) | (SCD&SCE)";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("CLK") {
capacitance : 0.0025610000;
clock : "true";
direction : "input";
fall_capacitance : 0.0025210000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2949164000, 0.2967595000, 0.2987588000, 0.3117155000, 0.3523450000, 0.4457281000, 0.6325268000, 0.8297776000, 1.3795810000, 1.6649700000, 1.9503588000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2550205000, 0.2573861000, 0.2599517000, 0.2705725000, 0.3038883000, 0.3965728000, 0.5819739000, 0.7824085000, 1.3410842000, 1.6310789000, 1.9210737000");
}
}
max_transition : 3.7500000000;
min_pulse_width_high : 0.2247540000;
min_pulse_width_low : 0.3181370000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0026010000;
}
pin ("D") {
capacitance : 0.0022990000;
clock : "false";
direction : "input";
fall_capacitance : 0.0022300000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1113509000, 0.1129534000, 0.1146922000, 0.1195768000, 0.1349367000, 0.1725932000, 0.2479386000, 0.3329760000, 0.5700363000, 0.6930794000, 0.8161225000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0694985000, 0.0684999000, 0.0674208000, 0.0707731000, 0.0813362000, 0.1169330000, 0.1881589000, 0.2723436000, 0.5070271000, 0.6288366000, 0.7506460000");
}
}
max_transition : 3.7500000000;
nextstate_type : "data";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0023680000;
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3462383000, 0.3557695000, 0.3571432000, 0.3651966000, 0.4026673000, 0.4753529000, 0.6353724000, 0.7905091000, 1.1909844000, 1.3818209000, 1.5665542000", \
"0.3428105000, 0.3523418000, 0.3549362000, 0.3617689000, 0.3992396000, 0.4719252000, 0.6319447000, 0.7870814000, 1.1875567000, 1.3783932000, 1.5631263000", \
"0.3414368000, 0.3509681000, 0.3535625000, 0.3603952000, 0.3978659000, 0.4705514000, 0.6305710000, 0.7857077000, 1.1861830000, 1.3770195000, 1.5617527000", \
"0.3346042000, 0.3441354000, 0.3455091000, 0.3535625000, 0.3910332000, 0.4637187000, 0.6225176000, 0.7788750000, 1.1793502000, 1.3701869000, 1.5549200000", \
"0.3105612000, 0.3200924000, 0.3202454000, 0.3282988000, 0.3657695000, 0.4384551000, 0.5972539000, 0.7511699000, 1.1504245000, 1.3400403000, 1.5235528000", \
"0.2854831000, 0.2962350000, 0.2976087000, 0.3044414000, 0.3419121000, 0.4145977000, 0.5721758000, 0.7248711000, 1.1192429000, 1.3064174000, 1.4874884000", \
"0.2572995000, 0.2680514000, 0.2694251000, 0.2762578000, 0.3149492000, 0.3876348000, 0.5464336000, 0.6991289000, 1.0886178000, 1.2733509000, 1.4507599000", \
"0.2425436000, 0.2520749000, 0.2534486000, 0.2615019000, 0.2989727000, 0.3728789000, 0.5316777000, 0.6843730000, 1.0763034000, 1.2610364000, 1.4360040000", \
"0.2412383000, 0.2519902000, 0.2533639000, 0.2601966000, 0.2988880000, 0.3703529000, 0.5279310000, 0.6818470000, 1.0725566000, 1.2572898000, 1.4334779000", \
"0.2567005000, 0.2674525000, 0.2676055000, 0.2768796000, 0.3143503000, 0.3858151000, 0.5421725000, 0.6936471000, 1.0831361000, 1.2678692000, 1.4428367000", \
"0.2831490000, 0.2914595000, 0.2940540000, 0.3021073000, 0.3383573000, 0.4086015000, 0.5600761000, 0.7127714000, 1.1010396000, 1.2845520000, 1.4595195000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2583477000, 0.2568926000, 0.2607077000, 0.2614368000, 0.2720521000, 0.2922474000, 0.3106654000, 0.3046693000, 0.2205254000, 0.1574557000, 0.0870619000", \
"0.2549199000, 0.2546855000, 0.2572799000, 0.2592298000, 0.2686243000, 0.2888197000, 0.3084583000, 0.3012415000, 0.2170976000, 0.1552487000, 0.0872963000", \
"0.2535462000, 0.2520911000, 0.2559063000, 0.2578561000, 0.2672507000, 0.2874460000, 0.3058639000, 0.2998678000, 0.2157240000, 0.1538750000, 0.0822605000", \
"0.2454928000, 0.2440378000, 0.2478529000, 0.2498027000, 0.2591973000, 0.2793926000, 0.2978105000, 0.2918145000, 0.2064499000, 0.1446009000, 0.0742071000", \
"0.2275534000, 0.2260983000, 0.2299134000, 0.2306426000, 0.2400371000, 0.2590117000, 0.2762090000, 0.2689922000, 0.1836276000, 0.1205579000, 0.0501641000", \
"0.2207858000, 0.2229928000, 0.2231458000, 0.2250957000, 0.2332695000, 0.2522441000, 0.2670000000, 0.2585625000, 0.1719772000, 0.1101283000, 0.0397345000", \
"0.2304440000, 0.2326510000, 0.2328040000, 0.2347539000, 0.2429277000, 0.2582402000, 0.2729961000, 0.2645586000, 0.1816354000, 0.1197865000, 0.0506134000", \
"0.2462057000, 0.2484128000, 0.2497865000, 0.2505156000, 0.2599102000, 0.2752227000, 0.2887578000, 0.2827617000, 0.2047214000, 0.1440931000, 0.0761407000", \
"0.2986113000, 0.3008184000, 0.3021921000, 0.3029212000, 0.3123157000, 0.3300697000, 0.3509290000, 0.3534779000, 0.2913066000, 0.2380026000, 0.1749330000", \
"0.3287220000, 0.3309290000, 0.3323027000, 0.3330319000, 0.3424264000, 0.3601803000, 0.3859225000, 0.3921335000, 0.3397279000, 0.2900859000, 0.2294578000", \
"0.3600533000, 0.3622603000, 0.3636340000, 0.3643632000, 0.3749784000, 0.3927323000, 0.4209159000, 0.4307890000, 0.3881490000, 0.3409485000, 0.2852031000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1920918000, -0.1991816000, -0.2029967000, -0.2110501000, -0.2485208000, -0.3212064000, -0.4690189000, -0.6058451000, -0.9465058000, -1.1080456000, -1.2647025000", \
"-0.1874434000, -0.1957539000, -0.1995690000, -0.2064017000, -0.2450931000, -0.3165579000, -0.4643704000, -0.6036380000, -0.9418574000, -1.1046178000, -1.2612748000", \
"-0.1860697000, -0.1943802000, -0.1981953000, -0.2050280000, -0.2437194000, -0.3151843000, -0.4629967000, -0.6010436000, -0.9417044000, -1.1032441000, -1.2586804000", \
"-0.1792370000, -0.1863268000, -0.1901419000, -0.1981953000, -0.2356660000, -0.3071309000, -0.4561641000, -0.5942109000, -0.9348717000, -1.0964115000, -1.2542892000", \
"-0.1539733000, -0.1635046000, -0.1648782000, -0.1717109000, -0.2104023000, -0.2830879000, -0.4321211000, -0.5726094000, -0.9169323000, -1.0796927000, -1.2363496000", \
"-0.1276745000, -0.1372057000, -0.1410208000, -0.1466328000, -0.1877656000, -0.2616719000, -0.4107051000, -0.5548555000, -0.9003991000, -1.0692630000, -1.2246993000", \
"-0.0994909000, -0.1078014000, -0.1091751000, -0.1172285000, -0.1571406000, -0.2322676000, -0.3861836000, -0.5327754000, -0.8880846000, -1.0569486000, -1.2172677000", \
"-0.0835143000, -0.0930456000, -0.0944193000, -0.1012520000, -0.1423848000, -0.2175117000, -0.3738691000, -0.5204610000, -0.8806530000, -1.0495169000, -1.2122775000", \
"-0.0712227000, -0.0819746000, -0.0821276000, -0.0889603000, -0.1288724000, -0.2052200000, -0.3664603000, -0.5154935000, -0.8817890000, -1.0543151000, -1.2134135000", \
"-0.0732572000, -0.0827884000, -0.0841621000, -0.0909948000, -0.1284655000, -0.2060339000, -0.3697155000, -0.5199694000, -0.8911478000, -1.0575703000, -1.2252137000", \
"-0.0752916000, -0.0848228000, -0.0861965000, -0.0930292000, -0.1317206000, -0.2080683000, -0.3754120000, -0.5256659000, -0.8944029000, -1.0718118000, -1.2309101000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1347187000, -0.1344844000, -0.1370788000, -0.1390286000, -0.1472025000, -0.1527494000, -0.1345462000, -0.0907083000, 0.0776641000, 0.1773548000, 0.2831490000", \
"-0.1312910000, -0.1310566000, -0.1336510000, -0.1356009000, -0.1437747000, -0.1493216000, -0.1298978000, -0.0860599000, 0.0835332000, 0.1844447000, 0.2914595000", \
"-0.1311380000, -0.1296829000, -0.1334980000, -0.1354479000, -0.1436218000, -0.1491686000, -0.1297448000, -0.0871276000, 0.0812448000, 0.1809355000, 0.2879504000", \
"-0.1267467000, -0.1252917000, -0.1291068000, -0.1310566000, -0.1392305000, -0.1447773000, -0.1241328000, -0.0815156000, 0.0856361000, 0.1865475000, 0.2923417000", \
"-0.1234557000, -0.1256628000, -0.1270364000, -0.1277656000, -0.1359395000, -0.1439277000, -0.1306074000, -0.0904316000, 0.0730579000, 0.1690866000, 0.2736601000", \
"-0.1301159000, -0.1323229000, -0.1336966000, -0.1344258000, -0.1413789000, -0.1530293000, -0.1494746000, -0.1129609000, 0.0358802000, 0.1282467000, 0.2254960000", \
"-0.1483190000, -0.1505260000, -0.1518997000, -0.1526289000, -0.1608027000, -0.1736738000, -0.1786641000, -0.1567988000, -0.0348131000, 0.0514499000, 0.1413749000", \
"-0.1665221000, -0.1687292000, -0.1688822000, -0.1708320000, -0.1790059000, -0.1930977000, -0.2029707000, -0.1896504000, -0.0835339000, -0.0082572000, 0.0755644000", \
"-0.2177070000, -0.2199141000, -0.2200671000, -0.2220169000, -0.2314115000, -0.2479446000, -0.2688040000, -0.2689115000, -0.1945332000, -0.1339050000, -0.0659526000", \
"-0.2453763000, -0.2475833000, -0.2489570000, -0.2496862000, -0.2603014000, -0.2780553000, -0.3037975000, -0.3075671000, -0.2453958000, -0.1908711000, -0.1265808000", \
"-0.2742662000, -0.2764732000, -0.2766262000, -0.2785761000, -0.2891913000, -0.3069452000, -0.3363495000, -0.3450019000, -0.2950377000, -0.2453957000, -0.1859883000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("Q") {
direction : "output";
function : "IQ";
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088000000, 0.0175900000, 0.0351900000, 0.0703900000, 0.1407800000, 0.2815500000, 0.5631100000");
values("-0.0584991000, 0.1579025000, 0.3039756000, 0.4562153000, 0.4446622000, -0.0924288000, -1.8017235000, -5.7806800000", \
"-0.0576895000, 0.1571412000, 0.3014596000, 0.4519568000, 0.4379109000, -0.1022385000, -1.8136916000, -5.7935651000", \
"-0.0568145000, 0.1577177000, 0.3016700000, 0.4519589000, 0.4372581000, -0.1015207000, -1.8134831000, -5.7939977000", \
"-0.0570625000, 0.1603509000, 0.3067932000, 0.4601662000, 0.4492682000, -0.0864840000, -1.7954086000, -5.7743273000", \
"-0.0578791000, 0.1680745000, 0.3212562000, 0.4853518000, 0.4878278000, -0.0348705000, -1.7331938000, -5.7052051000", \
"-0.0578040000, 0.1875699000, 0.3561099000, 0.5434776000, 0.5748043000, 0.0808524000, -1.5938321000, -5.5496718000", \
"-0.0576723000, 0.2297092000, 0.4316197000, 0.6698054000, 0.7637539000, 0.3338011000, -1.2919923000, -5.2145111000", \
"-0.0578222000, 0.2717260000, 0.5076793000, 0.7956891000, 0.9530168000, 0.5855961000, -0.9880942000, -4.8764661000", \
"-0.0582724000, 0.3804190000, 0.7030593000, 1.1215663000, 1.4420908000, 1.2373622000, -0.2063292000, -4.0082770000", \
"-0.0584964000, 0.4323534000, 0.7971968000, 1.2781583000, 1.6773575000, 1.5525380000, 0.1730481000, -3.5880737000", \
"-0.0587228000, 0.4837882000, 0.8901776000, 1.4329155000, 1.9089010000, 1.8614292000, 0.5428726000, -3.1740663000");
}
related_pin : "RESET_B";
rise_power ("scalar") {
values("0.0000000000");
}
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088000000, 0.0175900000, 0.0351900000, 0.0703900000, 0.1407800000, 0.2815500000, 0.5631100000");
values("-0.1275418000, 0.0665682000, 0.1944923000, 0.3201506000, 0.2746352000, -0.2962198000, -2.0334329000, -6.0294159000", \
"-0.1287249000, 0.0659143000, 0.1940903000, 0.3202071000, 0.2756277000, -0.2947407000, -2.0312197000, -6.0259362000", \
"-0.1300079000, 0.0645951000, 0.1929280000, 0.3191567000, 0.2744923000, -0.2953107000, -2.0320137000, -6.0269322000", \
"-0.1353192000, 0.0592685000, 0.1874169000, 0.3134912000, 0.2688232000, -0.3014447000, -2.0379108000, -6.0327969000", \
"-0.1519796000, 0.0480268000, 0.1806343000, 0.3134283000, 0.2768839000, -0.2854024000, -2.0153809000, -6.0069279000", \
"-0.1983257000, 0.0121883000, 0.1530597000, 0.2982053000, 0.2774040000, -0.2693637000, -1.9866016000, -5.9685823000", \
"-0.2910334000, -0.0567805000, 0.1029717000, 0.2770595000, 0.2918754000, -0.2189832000, -1.9075411000, -5.8729382000", \
"-0.3912575000, -0.1324504000, 0.0470354000, 0.2491303000, 0.3010888000, -0.1720567000, -1.8313521000, -5.7769281000", \
"-0.6706135000, -0.3438370000, -0.1105700000, 0.1734164000, 0.3264927000, -0.0468124000, -1.6254843000, -5.5155486000", \
"-0.8156271000, -0.4529747000, -0.1908527000, 0.1362879000, 0.3426008000, 0.0167187000, -1.5198224000, -5.3832660000", \
"-0.9606421000, -0.5631185000, -0.2730413000, 0.0957740000, 0.3540583000, 0.0802992000, -1.4145991000, -5.2508943000");
}
related_pin : "CLK";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088000000, 0.0175900000, 0.0351900000, 0.0703900000, 0.1407800000, 0.2815500000, 0.5631100000");
values("-0.1275406000, -0.1391224000, -0.1235382000, -0.0347708000, 0.2707673000, 1.1062212000, 3.0347973000, 7.1372247000", \
"-0.1287234000, -0.1396923000, -0.1237074000, -0.0343897000, 0.2721402000, 1.1087534000, 3.0388143000, 7.1373356000", \
"-0.1300066000, -0.1416413000, -0.1260585000, -0.0373527000, 0.2681551000, 1.1042898000, 3.0322884000, 7.1313267000", \
"-0.1353178000, -0.1463726000, -0.1303784000, -0.0408666000, 0.2658816000, 1.1019756000, 3.0323326000, 7.1312961000", \
"-0.1519784000, -0.1575024000, -0.1370159000, -0.0410087000, 0.2736717000, 1.1189121000, 3.0540893000, 7.1573869000", \
"-0.1983245000, -0.1921965000, -0.1625938000, -0.0528103000, 0.2792545000, 1.1413821000, 3.0916373000, 7.2045712000", \
"-0.2910320000, -0.2583423000, -0.2072239000, -0.0659107000, 0.3058765000, 1.2081471000, 3.1895121000, 7.3204735000", \
"-0.3912563000, -0.3312364000, -0.2585442000, -0.0842956000, 0.3283127000, 1.2700258000, 3.2840356000, 7.4417032000", \
"-0.6706125000, -0.5333094000, -0.3992270000, -0.1325826000, 0.3944958000, 1.4511932000, 3.5565656000, 7.7707221000", \
"-0.8156271000, -0.6386993000, -0.4729469000, -0.1592515000, 0.4304982000, 1.5425789000, 3.6984528000, 7.9442557000", \
"-0.9606421000, -0.7444158000, -0.5472406000, -0.1867068000, 0.4629337000, 1.6317652000, 3.8333187000, 8.110288800");
}
}
max_capacitance : 0.5418880000;
max_transition : 3.8941750000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088000000, 0.0175900000, 0.0351900000, 0.0703900000, 0.1407800000, 0.2815500000, 0.5631100000");
values("0.4076716000, 0.4634864000, 0.5104419000, 0.6019560000, 0.7855464000, 1.1539671000, 1.8924073000, 3.3699439000", \
"0.4103279000, 0.4663024000, 0.5132417000, 0.6048296000, 0.7882486000, 1.1566931000, 1.8951352000, 3.3744476000", \
"0.4109868000, 0.4669463000, 0.5138881000, 0.6053997000, 0.7890064000, 1.1571792000, 1.8958866000, 3.3748966000", \
"0.4246339000, 0.4805796000, 0.5275286000, 0.6190301000, 0.8024754000, 1.1708747000, 1.9096695000, 3.3885750000", \
"0.4707920000, 0.5265674000, 0.5734903000, 0.6650639000, 0.8485670000, 1.2169161000, 1.9552269000, 3.4344660000", \
"0.5425935000, 0.5985736000, 0.6454856000, 0.7370458000, 0.9204764000, 1.2889094000, 2.0271249000, 3.5050989000", \
"0.6695076000, 0.7252702000, 0.7722191000, 0.8636805000, 1.0470859000, 1.4154060000, 2.1539108000, 3.6324159000", \
"0.7797237000, 0.8356425000, 0.8824123000, 0.9738865000, 1.1570060000, 1.5255548000, 2.2637585000, 3.7415688000", \
"1.0411098000, 1.0968506000, 1.1435191000, 1.2347294000, 1.4180995000, 1.7863879000, 2.5248263000, 4.0038026000", \
"1.1619906000, 1.2177941000, 1.2644602000, 1.3555740000, 1.5385979000, 1.9067874000, 2.6448155000, 4.1232329000", \
"1.2776568000, 1.3333757000, 1.3799974000, 1.4709782000, 1.6540364000, 2.0221198000, 2.7604217000, 4.2377231000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088000000, 0.0175900000, 0.0351900000, 0.0703900000, 0.1407800000, 0.2815500000, 0.5631100000");
values("0.0318977000, 0.0869440000, 0.1424047000, 0.2601589000, 0.5010672000, 0.9825716000, 1.9527810000, 3.8879406000", \
"0.0322195000, 0.0868631000, 0.1423146000, 0.2593715000, 0.5008819000, 0.9839883000, 1.9506721000, 3.8925587000", \
"0.0323734000, 0.0870735000, 0.1424151000, 0.2596437000, 0.5000719000, 0.9820159000, 1.9537714000, 3.8934571000", \
"0.0324134000, 0.0872706000, 0.1424141000, 0.2602210000, 0.4997491000, 0.9846516000, 1.9510376000, 3.8874783000", \
"0.0319746000, 0.0869919000, 0.1423215000, 0.2592827000, 0.4997225000, 0.9820991000, 1.9564694000, 3.8918140000", \
"0.0319526000, 0.0870456000, 0.1421170000, 0.2592959000, 0.4999837000, 0.9828951000, 1.9494510000, 3.8895891000", \
"0.0324494000, 0.0870218000, 0.1423093000, 0.2600782000, 0.4997351000, 0.9826224000, 1.9551489000, 3.8885810000", \
"0.0324903000, 0.0871471000, 0.1426433000, 0.2590199000, 0.4996031000, 0.9828761000, 1.9550761000, 3.8916435000", \
"0.0328933000, 0.0879471000, 0.1426348000, 0.2603126000, 0.5004099000, 0.9839175000, 1.9552622000, 3.8832084000", \
"0.0334940000, 0.0881957000, 0.1430699000, 0.2603807000, 0.4992058000, 0.9827253000, 1.9531528000, 3.8882511000", \
"0.0331936000, 0.0881231000, 0.1429285000, 0.2603129000, 0.5004879000, 0.9829372000, 1.9527318000, 3.8882400000");
}
related_pin : "RESET_B";
timing_sense : "positive_unate";
timing_type : "clear";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088000000, 0.0175900000, 0.0351900000, 0.0703900000, 0.1407800000, 0.2815500000, 0.5631100000");
values("0.3618100000, 0.4178270000, 0.4647046000, 0.5562231000, 0.7397270000, 1.1081980000, 1.8465562000, 3.3253564000", \
"0.3623747000, 0.4183300000, 0.4652284000, 0.5567601000, 0.7402369000, 1.1086059000, 1.8472064000, 3.3261591000", \
"0.3624486000, 0.4184681000, 0.4653225000, 0.5568395000, 0.7403771000, 1.1088009000, 1.8473184000, 3.3262095000", \
"0.3745059000, 0.4304530000, 0.4773572000, 0.5688967000, 0.7523668000, 1.1207454000, 1.8593405000, 3.3382848000", \
"0.4054884000, 0.4614960000, 0.5083736000, 0.5998632000, 0.7833744000, 1.1518502000, 1.8900981000, 3.3684655000", \
"0.4395730000, 0.4955066000, 0.5423978000, 0.6339058000, 0.8173687000, 1.1857380000, 1.9243209000, 3.4032688000", \
"0.4783373000, 0.5342932000, 0.5811489000, 0.6726679000, 0.8560619000, 1.2245328000, 1.9628468000, 3.4415086000", \
"0.4996918000, 0.5556521000, 0.6024657000, 0.6939371000, 0.8773781000, 1.2457693000, 1.9842474000, 3.4631495000", \
"0.5173668000, 0.5732704000, 0.6200168000, 0.7113444000, 0.8947254000, 1.2630889000, 2.0015096000, 3.4802523000", \
"0.5137097000, 0.5696379000, 0.6163617000, 0.7076425000, 0.8909317000, 1.2592821000, 1.9975719000, 3.4764844000", \
"0.5059458000, 0.5617582000, 0.6085046000, 0.6997914000, 0.8830656000, 1.2513627000, 1.9897806000, 3.4671173000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088000000, 0.0175900000, 0.0351900000, 0.0703900000, 0.1407800000, 0.2815500000, 0.5631100000");
values("0.3516943000, 0.4010393000, 0.4403385000, 0.5170243000, 0.6717792000, 0.9813229000, 1.6030020000, 2.8501885000", \
"0.3523943000, 0.4015455000, 0.4409188000, 0.5175880000, 0.6724264000, 0.9819580000, 1.6032540000, 2.8494627000", \
"0.3561315000, 0.4053800000, 0.4446338000, 0.5215653000, 0.6764600000, 0.9858783000, 1.6071897000, 2.8539686000", \
"0.3644486000, 0.4136270000, 0.4529292000, 0.5296377000, 0.6844751000, 0.9939815000, 1.6157127000, 2.8603211000", \
"0.3958817000, 0.4451062000, 0.4843780000, 0.5613082000, 0.7161902000, 1.0255921000, 1.6469648000, 2.8938487000", \
"0.4322098000, 0.4813696000, 0.5207366000, 0.5973645000, 0.7521638000, 1.0616656000, 1.6831404000, 2.9287168000", \
"0.4765576000, 0.5258226000, 0.5652099000, 0.6418817000, 0.7963372000, 1.1060508000, 1.7271073000, 2.9737408000", \
"0.5038955000, 0.5532636000, 0.5924370000, 0.6691936000, 0.8239273000, 1.1328415000, 1.7546016000, 3.0017437000", \
"0.5397183000, 0.5898244000, 0.6291344000, 0.7054459000, 0.8592865000, 1.1688959000, 1.7903156000, 3.0368696000", \
"0.5458316000, 0.5961385000, 0.6355382000, 0.7117748000, 0.8660088000, 1.1751420000, 1.7959483000, 3.0391960000", \
"0.5466981000, 0.5975334000, 0.6369451000, 0.7131209000, 0.8665261000, 1.1758808000, 1.7973351000, 3.0410241000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088000000, 0.0175900000, 0.0351900000, 0.0703900000, 0.1407800000, 0.2815500000, 0.5631100000");
values("0.0324413000, 0.0876147000, 0.1429950000, 0.2598116000, 0.5010355000, 0.9833254000, 1.9552198000, 3.8889580000", \
"0.0327931000, 0.0877108000, 0.1428485000, 0.2601178000, 0.4998473000, 0.9825563000, 1.9541382000, 3.8825014000", \
"0.0324552000, 0.0876021000, 0.1428676000, 0.2596742000, 0.5003702000, 0.9830391000, 1.9547826000, 3.8926529000", \
"0.0328086000, 0.0877195000, 0.1428387000, 0.2600989000, 0.4997913000, 0.9825933000, 1.9539375000, 3.8823735000", \
"0.0324122000, 0.0875859000, 0.1430662000, 0.2597471000, 0.4996458000, 0.9828433000, 1.9550985000, 3.8916803000", \
"0.0328209000, 0.0877328000, 0.1428707000, 0.2601215000, 0.4998126000, 0.9826174000, 1.9540931000, 3.8825458000", \
"0.0323090000, 0.0876165000, 0.1428224000, 0.2590729000, 0.4994539000, 0.9828399000, 1.9528625000, 3.8940504000", \
"0.0324340000, 0.0876329000, 0.1427471000, 0.2598730000, 0.4999486000, 0.9827154000, 1.9503781000, 3.8886649000", \
"0.0328957000, 0.0876589000, 0.1430997000, 0.2589769000, 0.5010785000, 0.9829008000, 1.9507291000, 3.8941748000", \
"0.0327924000, 0.0878557000, 0.1432375000, 0.2598258000, 0.4991317000, 0.9829620000, 1.9517037000, 3.8876573000", \
"0.0331762000, 0.0881711000, 0.1431325000, 0.2597318000, 0.5006076000, 0.9828779000, 1.9505084000, 3.8902055000");
}
related_pin : "CLK";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088000000, 0.0175900000, 0.0351900000, 0.0703900000, 0.1407800000, 0.2815500000, 0.5631100000");
values("0.0377567000, 0.0865030000, 0.1386877000, 0.2508229000, 0.4822929000, 0.9468267000, 1.8785966000, 3.7446215000", \
"0.0376152000, 0.0864218000, 0.1391075000, 0.2512826000, 0.4822032000, 0.9503269000, 1.8797928000, 3.7480032000", \
"0.0375375000, 0.0863454000, 0.1386761000, 0.2508399000, 0.4816978000, 0.9502179000, 1.8811435000, 3.7474175000", \
"0.0375483000, 0.0864968000, 0.1387396000, 0.2508984000, 0.4823311000, 0.9487819000, 1.8795447000, 3.7449643000", \
"0.0375273000, 0.0863658000, 0.1386257000, 0.2504784000, 0.4816260000, 0.9502372000, 1.8812114000, 3.7468708000", \
"0.0377700000, 0.0865570000, 0.1391883000, 0.2513147000, 0.4822207000, 0.9503131000, 1.8792468000, 3.7477532000", \
"0.0380559000, 0.0869279000, 0.1390423000, 0.2514555000, 0.4821772000, 0.9495705000, 1.8800363000, 3.7476369000", \
"0.0384741000, 0.0872421000, 0.1393716000, 0.2512515000, 0.4819494000, 0.9479302000, 1.8780388000, 3.7445780000", \
"0.0404056000, 0.0888124000, 0.1404259000, 0.2517917000, 0.4841877000, 0.9481674000, 1.8808206000, 3.7470191000", \
"0.0410979000, 0.0899333000, 0.1411957000, 0.2522303000, 0.4832229000, 0.9498614000, 1.8804327000, 3.7449408000", \
"0.0423673000, 0.0905514000, 0.1418570000, 0.2526969000, 0.4820175000, 0.9491345000, 1.8794124000, 3.7434411000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
}
pin ("Q_N") {
direction : "output";
function : "IQ_N";
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083700000, 0.0167300000, 0.0334700000, 0.0669500000, 0.1338900000, 0.2677800000, 0.5355600000");
values("-0.1275419000, 0.0653869000, 0.1900705000, 0.3124192000, 0.2716117000, -0.2718002000, -1.9255286000, -5.7295478000", \
"-0.1287251000, 0.0647116000, 0.1900793000, 0.3131557000, 0.2731541000, -0.2692454000, -1.9224874000, -5.7259160000", \
"-0.1300081000, 0.0628739000, 0.1874693000, 0.3095792000, 0.2688068000, -0.2746100000, -1.9284937000, -5.7325940000", \
"-0.1353191000, 0.0582510000, 0.1836912000, 0.3068582000, 0.2665350000, -0.2763288000, -1.9293138000, -5.7329175000", \
"-0.1519799000, 0.0470573000, 0.1767267000, 0.3059787000, 0.2742929000, -0.2599499000, -1.9065114000, -5.7057867000", \
"-0.1983260000, 0.0125529000, 0.1513179000, 0.2948951000, 0.2802942000, -0.2369263000, -1.8700976000, -5.6605238000", \
"-0.2910336000, -0.0529376000, 0.1072204000, 0.2820779000, 0.3066865000, -0.1721985000, -1.7739330000, -5.5437017000", \
"-0.3912577000, -0.1252013000, 0.0571173000, 0.2643025000, 0.3291841000, -0.1089161000, -1.6793865000, -5.4281279000", \
"-0.6706141000, -0.3260992000, -0.0817728000, 0.2176899000, 0.3957748000, 0.0682089000, -1.4142233000, -5.1046933000", \
"-0.8156271000, -0.4298644000, -0.1527634000, 0.1949348000, 0.4324141000, 0.1574402000, -1.2795874000, -4.9403607000", \
"-0.9606421000, -0.5347901000, -0.2260726000, 0.1683454000, 0.4641503000, 0.2452135000, -1.1469505000, -4.7780371000");
}
related_pin : "CLK";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083700000, 0.0167300000, 0.0334700000, 0.0669500000, 0.1338900000, 0.2677800000, 0.5355600000");
values("-0.1275395000, -0.1308002000, -0.1111789000, -0.0212229000, 0.2751402000, 1.0760250000, 2.9130349000, 6.8116587000", \
"-0.1287224000, -0.1314592000, -0.1114275000, -0.0205310000, 0.2766992000, 1.0783486000, 2.9162656000, 6.8180832000", \
"-0.1300056000, -0.1326810000, -0.1125843000, -0.0218375000, 0.2751735000, 1.0763725000, 2.9151332000, 6.8127256000", \
"-0.1353168000, -0.1381005000, -0.1179116000, -0.0272730000, 0.2699249000, 1.0714845000, 2.9092874000, 6.8086085000", \
"-0.1519773000, -0.1492475000, -0.1248693000, -0.0277962000, 0.2774594000, 1.0877060000, 2.9340133000, 6.8383024000", \
"-0.1983234000, -0.1849320000, -0.1521414000, -0.0422610000, 0.2784976000, 1.1035752000, 2.9601911000, 6.8721114000", \
"-0.2910310000, -0.2533569000, -0.2013800000, -0.0630201000, 0.2922935000, 1.1520371000, 3.0372839000, 6.9688511000", \
"-0.3912550000, -0.3288930000, -0.2573915000, -0.0900822000, 0.3018664000, 1.1969756000, 3.1089985000, 7.0567236000", \
"-0.6706122000, -0.5395570000, -0.4134453000, -0.1648092000, 0.3277928000, 1.3219294000, 3.3158273000, 7.3152697000", \
"-0.8156271000, -0.6493678000, -0.4953649000, -0.2048709000, 0.3441555000, 1.3852062000, 3.4207813000, 7.4483800000", \
"-0.9606421000, -0.7594895000, -0.5774010000, -0.2455873000, 0.3550107000, 1.4463926000, 3.5223504000, 7.5757074000");
}
}
internal_power () {
fall_power ("scalar") {
values("0.0000000000");
}
related_pin : "RESET_B";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083700000, 0.0167300000, 0.0334700000, 0.0669500000, 0.1338900000, 0.2677800000, 0.5355600000");
values("-0.0584968000, -0.0401502000, -0.0029499000, 0.1143524000, 0.4453933000, 1.2800561000, 3.1462008000, 7.0705777000", \
"-0.0576875000, -0.0411190000, -0.0048662000, 0.1096862000, 0.4381607000, 1.2710982000, 3.1390075000, 7.0494697000", \
"-0.0568121000, -0.0405752000, -0.0048386000, 0.1102245000, 0.4378978000, 1.2706063000, 3.1332032000, 7.0528825000", \
"-0.0570602000, -0.0380562000, -0.0002393000, 0.1178435000, 0.4496355000, 1.2860287000, 3.1536087000, 7.0754174000", \
"-0.0578767000, -0.0302245000, 0.0147590000, 0.1435284000, 0.4882876000, 1.3380966000, 3.2144329000, 7.1441744000", \
"-0.0578016000, -0.0105218000, 0.0497993000, 0.2013043000, 0.5753901000, 1.4533223000, 3.3568999000, 7.3003825000", \
"-0.0576702000, 0.0321760000, 0.1261767000, 0.3279582000, 0.7640468000, 1.7056446000, 3.6546852000, 7.6278394000", \
"-0.0578199000, 0.0747954000, 0.2027413000, 0.4555060000, 0.9538116000, 1.9566588000, 3.9558499000, 7.9682385000", \
"-0.0582699000, 0.1842752000, 0.3995304000, 0.7822520000, 1.4433033000, 2.6057726000, 4.7326153000, 8.823651400", \
"-0.0584941000, 0.2367151000, 0.4938336000, 0.9389753000, 1.6774305000, 2.9201415000, 5.1099051000, 9.244598700", \
"-0.0587228000, 0.2883728000, 0.5869117000, 1.0936248000, 1.9109284000, 3.2296322000, 5.4811607000, 9.653001900");
}
}
max_capacitance : 0.5338770000;
max_transition : 3.7622590000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083700000, 0.0167300000, 0.0334700000, 0.0669500000, 0.1338900000, 0.2677800000, 0.5355600000");
values("0.3128589000, 0.3704406000, 0.4131836000, 0.4916717000, 0.6400933000, 0.9321550000, 1.5166622000, 2.6895062000", \
"0.3155622000, 0.3730979000, 0.4157933000, 0.4943902000, 0.6427786000, 0.9344167000, 1.5192455000, 2.6903775000", \
"0.3163231000, 0.3737115000, 0.4164629000, 0.4950782000, 0.6431256000, 0.9353990000, 1.5197685000, 2.6926839000", \
"0.3298080000, 0.3873497000, 0.4301118000, 0.5086384000, 0.6570262000, 0.9489270000, 1.5330925000, 2.7036595000", \
"0.3759450000, 0.4332611000, 0.4760290000, 0.5546022000, 0.7027237000, 0.9948904000, 1.5794097000, 2.7523273000", \
"0.4476758000, 0.5052634000, 0.5477463000, 0.6260957000, 0.7742702000, 1.0661310000, 1.6506239000, 2.8214864000", \
"0.5740888000, 0.6317235000, 0.6740635000, 0.7518762000, 0.8997040000, 1.1911733000, 1.7755050000, 2.9467722000", \
"0.6835769000, 0.7415435000, 0.7839286000, 0.8615565000, 1.0087913000, 1.2996971000, 1.8836590000, 3.0562450000", \
"0.9427205000, 1.0019033000, 1.0443616000, 1.1219143000, 1.2683101000, 1.5578965000, 2.1401907000, 3.3127237000", \
"1.0624753000, 1.1222440000, 1.1649833000, 1.2421781000, 1.3881966000, 1.6778423000, 2.2600324000, 3.4291445000", \
"1.1772976000, 1.2377724000, 1.2803021000, 1.3575195000, 1.5030270000, 1.7920916000, 2.3745330000, 3.5423351000");
}
related_pin : "RESET_B";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083700000, 0.0167300000, 0.0334700000, 0.0669500000, 0.1338900000, 0.2677800000, 0.5355600000");
values("0.0450922000, 0.0994541000, 0.1493062000, 0.2513118000, 0.4622134000, 0.8957479000, 1.7707020000, 3.5328691000", \
"0.0453156000, 0.0993652000, 0.1492163000, 0.2517932000, 0.4619575000, 0.8949566000, 1.7712143000, 3.5272674000", \
"0.0449327000, 0.0994454000, 0.1492387000, 0.2517800000, 0.4630470000, 0.8953646000, 1.7690116000, 3.5244909000", \
"0.0449802000, 0.0992633000, 0.1491244000, 0.2517836000, 0.4627631000, 0.8963797000, 1.7690507000, 3.5220009000", \
"0.0454085000, 0.0990907000, 0.1493713000, 0.2515491000, 0.4620470000, 0.8964814000, 1.7695660000, 3.5324168000", \
"0.0458588000, 0.0995955000, 0.1498997000, 0.2517047000, 0.4628744000, 0.8960865000, 1.7745238000, 3.5253620000", \
"0.0471296000, 0.1008990000, 0.1506759000, 0.2520886000, 0.4625927000, 0.8961979000, 1.7703288000, 3.5259047000", \
"0.0490653000, 0.1033886000, 0.1520133000, 0.2534684000, 0.4626854000, 0.8957723000, 1.7708994000, 3.5414482000", \
"0.0537873000, 0.1083905000, 0.1558255000, 0.2559132000, 0.4637975000, 0.8945092000, 1.7690985000, 3.5206946000", \
"0.0556716000, 0.1100120000, 0.1572485000, 0.2564880000, 0.4648680000, 0.8963589000, 1.7690803000, 3.5231136000", \
"0.0575688000, 0.1119730000, 0.1584782000, 0.2573919000, 0.4643732000, 0.8970181000, 1.7711269000, 3.5209553000");
}
timing_sense : "negative_unate";
timing_type : "preset";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083700000, 0.0167300000, 0.0334700000, 0.0669500000, 0.1338900000, 0.2677800000, 0.5355600000");
values("0.2743176000, 0.3499957000, 0.4104793000, 0.5133328000, 0.6975402000, 1.0521568000, 1.7612135000, 3.1831182000", \
"0.2748673000, 0.3505921000, 0.4110604000, 0.5139302000, 0.6980826000, 1.0526801000, 1.7616026000, 3.1839109000", \
"0.2786350000, 0.3543572000, 0.4147998000, 0.5176789000, 0.7018002000, 1.0564095000, 1.7655183000, 3.1874710000", \
"0.2868346000, 0.3625396000, 0.4229666000, 0.5258157000, 0.7099800000, 1.0645916000, 1.7735797000, 3.1962133000", \
"0.3185522000, 0.3941009000, 0.4544330000, 0.5572421000, 0.7413356000, 1.0959457000, 1.8050382000, 3.2270087000", \
"0.3551175000, 0.4304735000, 0.4905398000, 0.5930414000, 0.7770117000, 1.1314012000, 1.8401537000, 3.2618186000", \
"0.4000039000, 0.4751718000, 0.5349695000, 0.6371741000, 0.8205105000, 1.1745891000, 1.8830946000, 3.3047340000", \
"0.4275230000, 0.5026746000, 0.5623361000, 0.6643628000, 0.8476417000, 1.2011490000, 1.9093305000, 3.3306065000", \
"0.4631096000, 0.5403280000, 0.6005993000, 0.7022371000, 0.8844322000, 1.2366342000, 1.9433815000, 3.3642933000", \
"0.4686578000, 0.5474689000, 0.6080916000, 0.7098004000, 0.8912165000, 1.2424705000, 1.9487336000, 3.3693219000", \
"0.4692186000, 0.5493612000, 0.6107092000, 0.7122511000, 0.8930540000, 1.2435227000, 1.9490469000, 3.3677223000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083700000, 0.0167300000, 0.0334700000, 0.0669500000, 0.1338900000, 0.2677800000, 0.5355600000");
values("0.2660147000, 0.3243858000, 0.3681966000, 0.4486794000, 0.5981904000, 0.8902204000, 1.4746966000, 2.6470035000", \
"0.2667222000, 0.3249046000, 0.3687174000, 0.4492756000, 0.5985599000, 0.8906362000, 1.4752681000, 2.6488907000", \
"0.2667369000, 0.3250412000, 0.3688045000, 0.4493356000, 0.5986648000, 0.8910122000, 1.4754840000, 2.6464910000", \
"0.2788356000, 0.3370330000, 0.3808447000, 0.4614071000, 0.6106889000, 0.9027489000, 1.4874237000, 2.6609628000", \
"0.3098327000, 0.3680646000, 0.4117953000, 0.4922580000, 0.6417205000, 0.9336384000, 1.5183075000, 2.6886271000", \
"0.3439123000, 0.4020150000, 0.4456308000, 0.5259480000, 0.6750553000, 0.9669729000, 1.5515350000, 2.7250699000", \
"0.3829329000, 0.4406952000, 0.4839452000, 0.5637426000, 0.7125383000, 1.0041945000, 1.5886412000, 2.7616263000", \
"0.4043597000, 0.4619352000, 0.5049293000, 0.5843981000, 0.7328744000, 1.0241094000, 1.6081635000, 2.7792710000", \
"0.4217998000, 0.4791856000, 0.5218357000, 0.6006781000, 0.7484515000, 1.0387272000, 1.6220132000, 2.7941893000", \
"0.4176759000, 0.4753782000, 0.5179313000, 0.5966300000, 0.7438908000, 1.0339679000, 1.6169032000, 2.7860909000", \
"0.4096571000, 0.4673943000, 0.5099950000, 0.5884797000, 0.7356853000, 1.0251381000, 1.6080507000, 2.7792963000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083700000, 0.0167300000, 0.0334700000, 0.0669500000, 0.1338900000, 0.2677800000, 0.5355600000");
values("0.0566901000, 0.1287110000, 0.1857146000, 0.2930382000, 0.5068190000, 0.9581366000, 1.8864776000, 3.7622594000", \
"0.0563195000, 0.1287476000, 0.1858065000, 0.2927334000, 0.5071381000, 0.9596393000, 1.8905848000, 3.7560914000", \
"0.0566078000, 0.1288579000, 0.1858177000, 0.2928815000, 0.5068468000, 0.9574835000, 1.8861941000, 3.7616115000", \
"0.0565489000, 0.1287165000, 0.1859104000, 0.2929496000, 0.5069519000, 0.9572629000, 1.8903645000, 3.7570453000", \
"0.0566263000, 0.1289514000, 0.1858560000, 0.2930041000, 0.5067897000, 0.9573320000, 1.8865507000, 3.7615253000", \
"0.0572755000, 0.1294143000, 0.1866916000, 0.2935039000, 0.5073540000, 0.9604026000, 1.8909607000, 3.7555949000", \
"0.0595494000, 0.1322167000, 0.1890076000, 0.2948068000, 0.5078264000, 0.9581196000, 1.8850717000, 3.7621959000", \
"0.0617252000, 0.1345266000, 0.1915148000, 0.2974223000, 0.5088776000, 0.9590267000, 1.8866428000, 3.7621444000", \
"0.0702362000, 0.1440397000, 0.2000307000, 0.3042287000, 0.5140058000, 0.9602109000, 1.8856903000, 3.7611723000", \
"0.0741270000, 0.1498817000, 0.2050060000, 0.3073911000, 0.5142144000, 0.9614290000, 1.8874911000, 3.7563352000", \
"0.0786904000, 0.1548455000, 0.2093801000, 0.3112110000, 0.5164388000, 0.9626824000, 1.8892590000, 3.7602748000");
}
related_pin : "CLK";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0083700000, 0.0167300000, 0.0334700000, 0.0669500000, 0.1338900000, 0.2677800000, 0.5355600000");
values("0.0468947000, 0.1025336000, 0.1539657000, 0.2568404000, 0.4663644000, 0.8973133000, 1.7706374000, 3.5215313000", \
"0.0468545000, 0.1027195000, 0.1539746000, 0.2575047000, 0.4662085000, 0.8973119000, 1.7729334000, 3.5285788000", \
"0.0470754000, 0.1026376000, 0.1538585000, 0.2569034000, 0.4658879000, 0.8981373000, 1.7724363000, 3.5287653000", \
"0.0467651000, 0.1025952000, 0.1543825000, 0.2575685000, 0.4661969000, 0.8972303000, 1.7729380000, 3.5286780000", \
"0.0469192000, 0.1027965000, 0.1546017000, 0.2569171000, 0.4655130000, 0.8960644000, 1.7709516000, 3.5435486000", \
"0.0474204000, 0.1028775000, 0.1541446000, 0.2576666000, 0.4663119000, 0.8973245000, 1.7728726000, 3.5285670000", \
"0.0482275000, 0.1031807000, 0.1544543000, 0.2570504000, 0.4655475000, 0.8976770000, 1.7708058000, 3.5229948000", \
"0.0509566000, 0.1037038000, 0.1548339000, 0.2571791000, 0.4661452000, 0.8972986000, 1.7702894000, 3.5260443000", \
"0.0528786000, 0.1059609000, 0.1562052000, 0.2583255000, 0.4671073000, 0.8972673000, 1.7837763000, 3.5291288000", \
"0.0532906000, 0.1074887000, 0.1572109000, 0.2590755000, 0.4672265000, 0.8963252000, 1.7702607000, 3.5244907000", \
"0.0544254000, 0.1079590000, 0.1577370000, 0.2602402000, 0.4670084000, 0.8975002000, 1.7721015000, 3.5247851000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
}
pin ("RESET_B") {
capacitance : 0.0083250000;
clock : "false";
direction : "input";
fall_capacitance : 0.0081370000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1169334000, 0.1153142000, 0.1135633000, 0.1140574000, 0.1156846000, 0.1155257000, 0.1152455000, 0.1155283000, 0.1163834000, 0.1168093000, 0.1172352000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1183691000, -0.1164511000, -0.1143708000, -0.1144929000, -0.1148178000, -0.1166573000, -0.1203093000, -0.1204173000, -0.1206700000, -0.1208143000, -0.1209584000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0085130000;
timing () {
fall_constraint ("pulse_width_template3") {
index_1("0.0100000000, 1.5000000000, 3.7499999000");
values("0.1939720000, 2.5000000000, 6.2499998000");
}
related_output_pin : "Q";
related_pin : "RESET_B";
sim_opt : "runlvl=5 accurate=1";
timing_type : "min_pulse_width";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3169414000, 0.3142656000, 0.3168600000, 0.3139271000, 0.3159974000, 0.3435169000, 0.4278529000, 0.5158509000, 0.7271172000, 0.8239596000, 0.9159193000", \
"0.3147344000, 0.3120586000, 0.3146530000, 0.3117201000, 0.3137904000, 0.3413099000, 0.4244251000, 0.5136439000, 0.7249102000, 0.8205319000, 0.9124916000", \
"0.3133607000, 0.3106849000, 0.3132793000, 0.3091257000, 0.3111960000, 0.3387155000, 0.4230514000, 0.5110495000, 0.7235364000, 0.8203789000, 0.9111179000", \
"0.3053073000, 0.3026315000, 0.3052259000, 0.3010723000, 0.3031426000, 0.3318828000, 0.4149981000, 0.5029961000, 0.7154831000, 0.8111048000, 0.9030646000", \
"0.2861471000, 0.2834713000, 0.2860657000, 0.2831328000, 0.2839824000, 0.3115020000, 0.3933965000, 0.4801738000, 0.6951022000, 0.7931654000, 0.8851251000", \
"0.2781588000, 0.2791452000, 0.2792982000, 0.2763652000, 0.2759941000, 0.3022930000, 0.3829668000, 0.4697441000, 0.6834518000, 0.7815150000, 0.8759161000", \
"0.2853757000, 0.2863620000, 0.2852943000, 0.2823613000, 0.2819902000, 0.3070684000, 0.3865215000, 0.4745195000, 0.6894479000, 0.7875110000, 0.8819122000", \
"0.2986960000, 0.2984616000, 0.2986146000, 0.2956816000, 0.2940899000, 0.3203887000, 0.4022832000, 0.4902812000, 0.7088717000, 0.8069349000, 0.9001153000", \
"0.3401152000, 0.3411016000, 0.3400339000, 0.3371009000, 0.3379505000, 0.3654701000, 0.4534681000, 0.5512318000, 0.7856914000, 0.8874167000, 0.9805971000", \
"0.3653431000, 0.3651087000, 0.3652617000, 0.3623288000, 0.3631784000, 0.3919186000, 0.4847995000, 0.5837838000, 0.8304505000, 0.9346172000, 1.0302390000", \
"0.3917916000, 0.3915572000, 0.3917102000, 0.3887772000, 0.3896269000, 0.4195878000, 0.5136894000, 0.6175566000, 0.8764303000, 0.9842591000, 1.0823223000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "recovery_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.2689961000, -0.2650996000, -0.2603698000, -0.2635404000, -0.2643900000, -0.2943509000, -0.3786868000, -0.4630228000, -0.6633028000, -0.7540417000, -0.8411186000", \
"-0.2655684000, -0.2628926000, -0.2581628000, -0.2601126000, -0.2621830000, -0.2909232000, -0.3752591000, -0.4608158000, -0.6610957000, -0.7518347000, -0.8376908000", \
"-0.2641947000, -0.2615189000, -0.2567890000, -0.2587389000, -0.2608092000, -0.2895495000, -0.3738854000, -0.4582214000, -0.6597220000, -0.7504609000, -0.8363171000", \
"-0.2561413000, -0.2534655000, -0.2487357000, -0.2519063000, -0.2527559000, -0.2814961000, -0.3658320000, -0.4513887000, -0.6516686000, -0.7424075000, -0.8282637000", \
"-0.2418639000, -0.2391882000, -0.2344583000, -0.2364082000, -0.2372578000, -0.2659980000, -0.3491133000, -0.4334492000, -0.6349499000, -0.7269095000, -0.8152071000", \
"-0.2387585000, -0.2397448000, -0.2386771000, -0.2345234000, -0.2329316000, -0.2616719000, -0.3435664000, -0.4279023000, -0.6294030000, -0.7238040000, -0.8145431000", \
"-0.2496374000, -0.2494030000, -0.2483353000, -0.2441816000, -0.2425898000, -0.2701094000, -0.3520039000, -0.4387812000, -0.6463854000, -0.7420072000, -0.8327462000", \
"-0.2641784000, -0.2639440000, -0.2628763000, -0.2587227000, -0.2571309000, -0.2834297000, -0.3702070000, -0.4594258000, -0.6731334000, -0.7699759000, -0.8607150000", \
"-0.3080391000, -0.3078047000, -0.3067370000, -0.3025833000, -0.3034330000, -0.3333939000, -0.4262747000, -0.5264798000, -0.7609394000, -0.8638854000, -0.9582865000", \
"-0.3344876000, -0.3342532000, -0.3319648000, -0.3278112000, -0.3286608000, -0.3610632000, -0.4576061000, -0.5602526000, -0.8093607000, -0.9147481000, -1.0128112000", \
"-0.3609361000, -0.3607017000, -0.3596340000, -0.3554804000, -0.3563300000, -0.3899531000, -0.4877167000, -0.5940253000, -0.8565611000, -0.9668313000, -1.0673360000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "removal_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("SCD") {
capacitance : 0.0025100000;
clock : "false";
direction : "input";
fall_capacitance : 0.0024860000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0399602000, 0.0399092000, 0.0398567000, 0.0395393000, 0.0386149000, 0.0387600000, 0.0390825000, 0.0391840000, 0.0395248000, 0.0396860000, 0.0398473000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0371598000, -0.0366556000, -0.0361065000, -0.0364654000, -0.0375198000, -0.0373937000, -0.0371095000, -0.0370679000, -0.0368945000, -0.0368199000, -0.0367453000");
}
}
max_transition : 3.7500000000;
nextstate_type : "scan_in";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0025340000;
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3975078000, 0.4045976000, 0.4035299000, 0.4103626000, 0.4331849000, 0.4900013000, 0.6280482000, 0.7685364000, 1.1275078000, 1.2963717000, 1.4579115000", \
"0.3953008000, 0.4023906000, 0.4001022000, 0.4069349000, 0.4309779000, 0.4865735000, 0.6246205000, 0.7651087000, 1.1240801000, 1.2929440000, 1.4544838000", \
"0.3939271000, 0.3997962000, 0.3987285000, 0.4055612000, 0.4283835000, 0.4851999000, 0.6232467000, 0.7637350000, 1.1227064000, 1.2915703000, 1.4531101000", \
"0.3858737000, 0.3929635000, 0.3906751000, 0.3987285000, 0.4215508000, 0.4783672000, 0.6164141000, 0.7569024000, 1.1158737000, 1.2835169000, 1.4450567000", \
"0.3606100000, 0.3676999000, 0.3654115000, 0.3734648000, 0.3962871000, 0.4531035000, 0.5899297000, 0.7291972000, 1.0857272000, 1.2521498000, 1.4112482000", \
"0.3367526000, 0.3462839000, 0.3476576000, 0.3483867000, 0.3724297000, 0.4280254000, 0.5636309000, 0.7004570000, 1.0521041000, 1.2148646000, 1.3739630000", \
"0.3110104000, 0.3205417000, 0.3219154000, 0.3238652000, 0.3466875000, 0.4022832000, 0.5378887000, 0.6734941000, 1.0178171000, 1.1781361000, 1.3299102000", \
"0.2962546000, 0.3057858000, 0.3071595000, 0.3091094000, 0.3319316000, 0.3875273000, 0.5243535000, 0.6611797000, 1.0042819000, 1.1633802000, 1.3139337000", \
"0.2949492000, 0.3044805000, 0.3058542000, 0.3078040000, 0.3306263000, 0.3862220000, 0.5230482000, 0.6598744000, 1.0041972000, 1.1620749000, 1.3126284000", \
"0.3104115000, 0.3199427000, 0.3200957000, 0.3232663000, 0.3448678000, 0.4004635000, 0.5348483000, 0.6716745000, 1.0172181000, 1.1738750000, 1.3232078000", \
"0.3331978000, 0.3427291000, 0.3441028000, 0.3460526000, 0.3676542000, 0.4220292000, 0.5551933000, 0.6895781000, 1.0339010000, 1.1917786000, 1.3411114000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2827617000, 0.2837480000, 0.2851217000, 0.2858509000, 0.2940247000, 0.3166615000, 0.3424036000, 0.3449525000, 0.2901055000, 0.2416842000, 0.1883803000", \
"0.2793340000, 0.2815410000, 0.2829147000, 0.2836439000, 0.2918177000, 0.3132337000, 0.3389759000, 0.3415247000, 0.2866777000, 0.2382565000, 0.1849526000", \
"0.2779603000, 0.2789466000, 0.2815410000, 0.2810495000, 0.2904440000, 0.3118600000, 0.3376022000, 0.3401510000, 0.2853040000, 0.2368828000, 0.1835789000", \
"0.2699069000, 0.2708932000, 0.2734876000, 0.2729961000, 0.2823906000, 0.3025859000, 0.3295488000, 0.3320976000, 0.2760299000, 0.2288294000, 0.1743048000", \
"0.2507467000, 0.2517331000, 0.2543275000, 0.2538359000, 0.2632305000, 0.2834258000, 0.3079473000, 0.3092754000, 0.2544284000, 0.2072279000, 0.1514825000", \
"0.2439792000, 0.2474069000, 0.2475599000, 0.2482891000, 0.2552422000, 0.2754375000, 0.2975176000, 0.2988457000, 0.2439987000, 0.1955775000, 0.1410528000", \
"0.2524167000, 0.2558444000, 0.2559974000, 0.2555059000, 0.2636797000, 0.2814336000, 0.3035137000, 0.3060625000, 0.2512155000, 0.2052357000, 0.1519317000", \
"0.2681784000, 0.2716061000, 0.2717591000, 0.2724883000, 0.2794414000, 0.2971953000, 0.3204961000, 0.3242656000, 0.2743014000, 0.2295423000, 0.1774591000", \
"0.3193633000, 0.3227910000, 0.3229440000, 0.3236732000, 0.3318470000, 0.3508216000, 0.3802259000, 0.3925404000, 0.3608867000, 0.3222311000, 0.2762514000", \
"0.3494740000, 0.3529017000, 0.3530547000, 0.3525632000, 0.3619577000, 0.3809323000, 0.4139987000, 0.4299753000, 0.4080872000, 0.3743145000, 0.3307761000", \
"0.3808053000, 0.3830123000, 0.3831653000, 0.3838944000, 0.3932890000, 0.4134843000, 0.4477714000, 0.4674101000, 0.4552877000, 0.4251770000, 0.3865215000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.2213887000, -0.2284785000, -0.2261901000, -0.2342435000, -0.2570657000, -0.3138822000, -0.4360599000, -0.5521341000, -0.8317598000, -0.9652233000, -1.0925834000", \
"-0.2167402000, -0.2226094000, -0.2203210000, -0.2283743000, -0.2524173000, -0.3080130000, -0.4314114000, -0.5462650000, -0.8246699000, -0.9569127000, -1.0830522000", \
"-0.2129251000, -0.2200150000, -0.2177266000, -0.2257799000, -0.2486022000, -0.3054186000, -0.4275964000, -0.5424499000, -0.8196341000, -0.9518770000, -1.0780164000", \
"-0.2097546000, -0.2156237000, -0.2145560000, -0.2213887000, -0.2454316000, -0.3010274000, -0.4256465000, -0.5392793000, -0.8201256000, -0.9535892000, -1.0821700000", \
"-0.1844909000, -0.1903600000, -0.1905130000, -0.1973457000, -0.2201680000, -0.2782051000, -0.4016035000, -0.5201191000, -0.8034069000, -0.9380911000, -1.0654512000", \
"-0.1606335000, -0.1689440000, -0.1703177000, -0.1722676000, -0.1963105000, -0.2555684000, -0.3814082000, -0.5023652000, -0.7917565000, -0.9239993000, -1.0562423000", \
"-0.1312292000, -0.1395397000, -0.1409134000, -0.1428633000, -0.1669063000, -0.2261641000, -0.3581074000, -0.4815058000, -0.7782213000, -0.9165677000, -1.0475900000", \
"-0.1152526000, -0.1235632000, -0.1249368000, -0.1293281000, -0.1521504000, -0.2114082000, -0.3445723000, -0.4740742000, -0.7720104000, -0.9115775000, -1.0425997000", \
"-0.1029609000, -0.1124922000, -0.1138659000, -0.1158158000, -0.1386380000, -0.1991165000, -0.3383841000, -0.4678861000, -0.7743672000, -0.9151550000, -1.0461772000", \
"-0.1037747000, -0.1133060000, -0.1146797000, -0.1166296000, -0.1406725000, -0.1974889000, -0.3404186000, -0.4711412000, -0.7825052000, -0.9220723000, -1.0555358000", \
"-0.1070299000, -0.1165611000, -0.1179348000, -0.1198847000, -0.1427069000, -0.2007441000, -0.3448945000, -0.4804999000, -0.7918639000, -0.9326516000, -1.0673360000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1396016000, -0.1405879000, -0.1431823000, -0.1439115000, -0.1508646000, -0.1576322000, -0.1394290000, -0.0992533000, 0.0581328000, 0.1541615000, 0.2550728000", \
"-0.1361738000, -0.1371602000, -0.1397546000, -0.1404837000, -0.1474369000, -0.1542044000, -0.1347806000, -0.0946048000, 0.0652227000, 0.1612513000, 0.2621627000", \
"-0.1335794000, -0.1345658000, -0.1371602000, -0.1378893000, -0.1448424000, -0.1516100000, -0.1321862000, -0.0907897000, 0.0690378000, 0.1662871000, 0.2671985000", \
"-0.1316296000, -0.1313952000, -0.1352103000, -0.1347187000, -0.1416719000, -0.1496602000, -0.1314570000, -0.0912813000, 0.0673255000, 0.1609128000, 0.2618241000", \
"-0.1295592000, -0.1329870000, -0.1319193000, -0.1326484000, -0.1396016000, -0.1500312000, -0.1367109000, -0.1001973000, 0.0523060000, 0.1422311000, 0.2382597000", \
"-0.1362194000, -0.1396471000, -0.1398001000, -0.1393086000, -0.1462617000, -0.1603535000, -0.1543574000, -0.1251680000, 0.0102454000, 0.0940671000, 0.1815507000", \
"-0.1532018000, -0.1566296000, -0.1567825000, -0.1562910000, -0.1632441000, -0.1785566000, -0.1884297000, -0.1726680000, -0.0616686000, 0.0123874000, 0.0901054000", \
"-0.1701843000, -0.1736120000, -0.1737650000, -0.1744941000, -0.1814473000, -0.1979805000, -0.2127363000, -0.2042988000, -0.1201550000, -0.0522025000, 0.0206327000", \
"-0.2201484000, -0.2235762000, -0.2225085000, -0.2232376000, -0.2326322000, -0.2516068000, -0.2761282000, -0.2835599000, -0.2323750000, -0.1863952000, -0.1282084000", \
"-0.2465970000, -0.2500247000, -0.2501777000, -0.2509069000, -0.2603014000, -0.2792760000, -0.3086803000, -0.3197741000, -0.2856790000, -0.2421406000, -0.1937195000", \
"-0.2742662000, -0.2776939000, -0.2778469000, -0.2785761000, -0.2879706000, -0.3081659000, -0.3424530000, -0.3584296000, -0.3316588000, -0.2991067000, -0.2519063000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("SCE") {
capacitance : 0.0051760000;
clock : "false";
direction : "input";
fall_capacitance : 0.0050310000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1382259000, 0.1417124000, 0.1454921000, 0.1546822000, 0.1835174000, 0.2472298000, 0.3746858000, 0.5071672000, 0.8764535000, 1.0681362000, 1.2598188000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0120184000, -0.0118102000, -0.0115819000, -0.0034111000, 0.0222385000, 0.0847748000, 0.2098810000, 0.3421301000, 0.7107733000, 0.9021209000, 1.0934685000");
}
}
max_transition : 3.7500000000;
nextstate_type : "scan_enable";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0053210000;
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3230449000, 0.3313555000, 0.3339499000, 0.3468861000, 0.3929017000, 0.4546009000, 0.5657923000, 0.6647767000, 0.9090020000, 1.0265963000, 1.1405287000", \
"0.3208379000, 0.3291484000, 0.3305221000, 0.3446790000, 0.3906947000, 0.4536146000, 0.5648060000, 0.6613490000, 0.9067949000, 1.0243893000, 1.1371010000", \
"0.3194642000, 0.3265541000, 0.3291484000, 0.3433053000, 0.3881003000, 0.4497995000, 0.5609909000, 0.6599753000, 0.9042005000, 1.0217949000, 1.1357273000", \
"0.3114108000, 0.3185007000, 0.3210951000, 0.3340313000, 0.3800469000, 0.4417461000, 0.5529375000, 0.6519219000, 0.8961471000, 1.0137416000, 1.1276739000", \
"0.2922507000, 0.2993405000, 0.3019349000, 0.3160918000, 0.3621074000, 0.4225859000, 0.5337773000, 0.6315410000, 0.8745456000, 0.9933607000, 1.1060724000", \
"0.2854831000, 0.2925729000, 0.2976087000, 0.3093242000, 0.3553399000, 0.4170391000, 0.5270098000, 0.6247734000, 0.8665573000, 0.9841517000, 1.0968633000", \
"0.2951413000, 0.3022311000, 0.3072669000, 0.3189824000, 0.3649980000, 0.4266973000, 0.5342265000, 0.6319902000, 0.8737741000, 0.9913685000, 1.1040802000", \
"0.3109030000, 0.3192135000, 0.3230286000, 0.3347441000, 0.3807598000, 0.4424590000, 0.5512090000, 0.6489726000, 0.8907565000, 1.0071302000, 1.1210626000", \
"0.3645293000, 0.3716191000, 0.3766549000, 0.3883704000, 0.4343861000, 0.4960853000, 0.6048353000, 0.7038197000, 0.9480449000, 1.0656393000, 1.1820131000", \
"0.3946400000, 0.4029505000, 0.4067656000, 0.4184811000, 0.4644967000, 0.5261960000, 0.6361667000, 0.7339303000, 0.9805970000, 1.0994122000, 1.2145652000", \
"0.4271920000, 0.4342818000, 0.4380969000, 0.4510331000, 0.4958280000, 0.5587480000, 0.6674979000, 0.7664823000, 1.0131491000, 1.1331848000, 1.2495586000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.4072734000, 0.4131426000, 0.4145163000, 0.4237904000, 0.4331849000, 0.4314075000, 0.4229700000, 0.3998841000, 0.3071953000, 0.2514499000, 0.1932631000", \
"0.4038457000, 0.4097148000, 0.4110885000, 0.4203626000, 0.4297572000, 0.4279798000, 0.4195423000, 0.3964564000, 0.3037676000, 0.2480221000, 0.1898354000", \
"0.4024720000, 0.4083412000, 0.4097148000, 0.4189889000, 0.4271628000, 0.4266061000, 0.4181686000, 0.3950827000, 0.3023939000, 0.2466484000, 0.1884617000", \
"0.3956393000, 0.4015085000, 0.4028822000, 0.4109356000, 0.4203301000, 0.4197734000, 0.4113359000, 0.3882500000, 0.2955612000, 0.2398158000, 0.1816290000", \
"0.3703757000, 0.3762448000, 0.3776185000, 0.3868926000, 0.3962871000, 0.3945098000, 0.3860723000, 0.3629863000, 0.2690768000, 0.2133314000, 0.1551446000", \
"0.3465182000, 0.3523874000, 0.3537611000, 0.3630351000, 0.3724297000, 0.3706523000, 0.3622148000, 0.3391289000, 0.2452194000, 0.1894740000, 0.1312872000", \
"0.3195553000, 0.3242038000, 0.3267982000, 0.3348516000, 0.3430254000, 0.3424687000, 0.3340313000, 0.3109453000, 0.2182565000, 0.1625111000, 0.1043243000", \
"0.3035788000, 0.3094479000, 0.3108216000, 0.3200957000, 0.3282695000, 0.3277129000, 0.3180547000, 0.2949688000, 0.2022799000, 0.1465345000, 0.0895684000", \
"0.3010527000, 0.3081426000, 0.3095163000, 0.3187904000, 0.3269642000, 0.3264076000, 0.3167493000, 0.2924427000, 0.1985332000, 0.1427878000, 0.0858217000", \
"0.3165150000, 0.3223841000, 0.3249785000, 0.3330319000, 0.3436471000, 0.3430905000, 0.3322116000, 0.3066843000, 0.2127747000, 0.1558086000, 0.0988425000", \
"0.3417428000, 0.3476119000, 0.3489856000, 0.3582597000, 0.3664335000, 0.3658769000, 0.3562187000, 0.3306913000, 0.2355611000, 0.1785950000, 0.1191875000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1615742000, -0.1711055000, -0.1724792000, -0.1756497000, -0.2009134000, -0.2540677000, -0.3591556000, -0.4508158000, -0.6657441000, -0.7662487000, -0.8618705000", \
"-0.1569258000, -0.1664570000, -0.1678307000, -0.1710013000, -0.1962650000, -0.2506400000, -0.3557279000, -0.4473880000, -0.6623164000, -0.7628210000, -0.8572221000", \
"-0.1555521000, -0.1663040000, -0.1664570000, -0.1708483000, -0.1948913000, -0.2492663000, -0.3543542000, -0.4460143000, -0.6609427000, -0.7614472000, -0.8558484000", \
"-0.1474987000, -0.1582507000, -0.1584036000, -0.1615742000, -0.1868379000, -0.2399922000, -0.3463008000, -0.4391816000, -0.6541100000, -0.7533939000, -0.8502364000", \
"-0.1210143000, -0.1305456000, -0.1319193000, -0.1363105000, -0.1603535000, -0.2135078000, -0.3222578000, -0.4163594000, -0.6349499000, -0.7366751000, -0.8322970000", \
"-0.0934948000, -0.1042467000, -0.1056204000, -0.1100117000, -0.1340547000, -0.1896504000, -0.3008418000, -0.3986055000, -0.6232995000, -0.7262455000, -0.8230880000", \
"-0.0640905000, -0.0736217000, -0.0749954000, -0.0781660000, -0.1034297000, -0.1602461000, -0.2775410000, -0.3789668000, -0.6097643000, -0.7139310000, -0.8144356000", \
"-0.0481139000, -0.0588659000, -0.0602396000, -0.0621895000, -0.0874531000, -0.1442695000, -0.2640059000, -0.3678730000, -0.6023327000, -0.7089408000, -0.8094454000", \
"-0.0382637000, -0.0477949000, -0.0479479000, -0.0511185000, -0.0751615000, -0.1307572000, -0.2541556000, -0.3641263000, -0.6046895000, -0.7112975000, -0.8118022000", \
"-0.0390775000, -0.0486087000, -0.0512031000, -0.0531530000, -0.0759753000, -0.1315710000, -0.2561901000, -0.3686022000, -0.6128275000, -0.7206563000, -0.8199402000", \
"-0.0423326000, -0.0518639000, -0.0532375000, -0.0564081000, -0.0792304000, -0.1336054000, -0.2594452000, -0.3742987000, -0.6221861000, -0.7312356000, -0.8329609000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1151875000, -0.1149531000, -0.1175475000, -0.1207181000, -0.1410990000, -0.1576322000, -0.1577396000, -0.1358744000, -0.0334199000, 0.0296497000, 0.0988228000", \
"-0.1117598000, -0.1115254000, -0.1141198000, -0.1185111000, -0.1376712000, -0.1542044000, -0.1530911000, -0.1300052000, -0.0263301000, 0.0379603000, 0.1071334000", \
"-0.1116068000, -0.1113724000, -0.1139668000, -0.1171374000, -0.1375182000, -0.1540514000, -0.1541588000, -0.1322936000, -0.0298392000, 0.0344512000, 0.1024036000", \
"-0.1072155000, -0.1057604000, -0.1083548000, -0.1127461000, -0.1319062000, -0.1496602000, -0.1497676000, -0.1279023000, -0.0254479000, 0.0376217000, 0.1055741000", \
"-0.1051452000, -0.1073522000, -0.1075052000, -0.1118965000, -0.1298359000, -0.1500312000, -0.1538008000, -0.1355977000, -0.0465710000, 0.0116159000, 0.0759062000", \
"-0.1142467000, -0.1164538000, -0.1166068000, -0.1209980000, -0.1389375000, -0.1615742000, -0.1751094000, -0.1654512000, -0.0910729000, -0.0414310000, 0.0143144000", \
"-0.1336706000, -0.1346569000, -0.1348099000, -0.1392012000, -0.1571406000, -0.1834395000, -0.2104023000, -0.2166133000, -0.1690905000, -0.1292142000, -0.0832345000", \
"-0.1506530000, -0.1528600000, -0.1530130000, -0.1574043000, -0.1765645000, -0.2028633000, -0.2371504000, -0.2519063000, -0.2300182000, -0.1986869000, -0.1600313000", \
"-0.2018379000, -0.2028242000, -0.2029772000, -0.2073685000, -0.2277493000, -0.2589310000, -0.3054251000, -0.3323880000, -0.3507832000, -0.3389831000, -0.3186381000", \
"-0.2295072000, -0.2304935000, -0.2306465000, -0.2350378000, -0.2554186000, -0.2878210000, -0.3379772000, -0.3710436000, -0.4028665000, -0.3983906000, -0.3841492000", \
"-0.2559557000, -0.2581627000, -0.2583157000, -0.2627070000, -0.2830878000, -0.3167109000, -0.3717499000, -0.4084784000, -0.4525084000, -0.4541360000, -0.4459981000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
test_cell () {
ff ("IQ","IQ_N") {
clear : "!RESET_B";
clocked_on : "CLK";
next_state : "D";
}
pin ("CLK") {
direction : "input";
}
pin ("D") {
direction : "input";
}
pin ("Q") {
direction : "output";
function : "IQ";
signal_type : "test_scan_out";
}
pin ("Q_N") {
direction : "output";
function : "IQ_N";
signal_type : "test_scan_out_inverted";
}
pin ("RESET_B") {
direction : "input";
}
pin ("SCD") {
direction : "input";
signal_type : "test_scan_in";
}
pin ("SCE") {
direction : "input";
signal_type : "test_scan_enable";
}
}
}
cell ("sky130_fd_sc_hvl__sdfrtp_1") {
leakage_power () {
value : 22.987212100;
when : "D&!SCD&!SCE&RESET_B&CLK";
}
leakage_power () {
value : 17.962495500;
when : "!D&!SCD&SCE&RESET_B&CLK";
}
leakage_power () {
value : 24.009281700;
when : "!D&SCD&!SCE&RESET_B&CLK";
}
leakage_power () {
value : 18.238107900;
when : "!D&!SCD&!SCE&RESET_B&!CLK";
}
leakage_power () {
value : 13.122062500;
when : "!D&!SCD&!SCE&!RESET_B&CLK";
}
leakage_power () {
value : 20.291937000;
when : "!D&!SCD&!SCE&RESET_B&CLK";
}
leakage_power () {
value : 20.775164700;
when : "D&!SCD&SCE&RESET_B&CLK";
}
leakage_power () {
value : 15.022148900;
when : "D&SCD&!SCE&RESET_B&CLK";
}
leakage_power () {
value : 16.790261600;
when : "D&!SCD&!SCE&RESET_B&!CLK";
}
leakage_power () {
value : 15.344525700;
when : "D&!SCD&!SCE&!RESET_B&CLK";
}
leakage_power () {
value : 20.080236100;
when : "!D&SCD&SCE&RESET_B&CLK";
}
leakage_power () {
value : 15.427396200;
when : "!D&!SCD&SCE&RESET_B&!CLK";
}
leakage_power () {
value : 10.602167100;
when : "!D&!SCD&SCE&!RESET_B&CLK";
}
leakage_power () {
value : 19.950565600;
when : "D&SCD&SCE&RESET_B&CLK";
}
leakage_power () {
value : 18.721561200;
when : "D&!SCD&SCE&RESET_B&!CLK";
}
leakage_power () {
value : 10.634875200;
when : "D&!SCD&SCE&!RESET_B&CLK";
}
leakage_power () {
value : 21.474301400;
when : "!D&SCD&!SCE&RESET_B&!CLK";
}
leakage_power () {
value : 13.152156700;
when : "!D&SCD&!SCE&!RESET_B&CLK";
}
leakage_power () {
value : 16.387705400;
when : "D&SCD&!SCE&RESET_B&!CLK";
}
leakage_power () {
value : 15.344461700;
when : "D&SCD&!SCE&!RESET_B&CLK";
}
leakage_power () {
value : 13.883238600;
when : "!D&SCD&SCE&RESET_B&!CLK";
}
leakage_power () {
value : 12.821940800;
when : "!D&SCD&SCE&!RESET_B&CLK";
}
leakage_power () {
value : 13.753540500;
when : "D&SCD&SCE&RESET_B&!CLK";
}
leakage_power () {
value : 12.821880400;
when : "D&SCD&SCE&!RESET_B&CLK";
}
leakage_power () {
value : 12.706537200;
when : "!D&!SCD&!SCE&!RESET_B&!CLK";
}
leakage_power () {
value : 12.736631300;
when : "!D&SCD&!SCE&!RESET_B&!CLK";
}
leakage_power () {
value : 10.186640900;
when : "!D&!SCD&SCE&!RESET_B&!CLK";
}
leakage_power () {
value : 12.406428800;
when : "!D&SCD&SCE&!RESET_B&!CLK";
}
leakage_power () {
value : 14.929014500;
when : "D&!SCD&!SCE&!RESET_B&!CLK";
}
leakage_power () {
value : 14.928948700;
when : "D&SCD&!SCE&!RESET_B&!CLK";
}
leakage_power () {
value : 10.219348900;
when : "D&!SCD&SCE&!RESET_B&!CLK";
}
leakage_power () {
value : 12.406368400;
when : "D&SCD&SCE&!RESET_B&!CLK";
}
area : 78.14400000;
cell_footprint : "sky130_fd_sc_hvl__sdfrtp";
cell_leakage_power : 15.628720000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
ff ("IQ","IQ_N") {
clear : "!RESET_B";
clocked_on : "CLK";
next_state : "(D&!SCE) | (SCD&SCE)";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("CLK") {
capacitance : 0.0025640000;
clock : "true";
direction : "input";
fall_capacitance : 0.0025850000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3734645000, 0.3756180000, 0.3779534000, 0.3906442000, 0.4304362000, 0.5213450000, 0.7031930000, 0.8987322000, 1.4437611000, 1.7266727000, 2.0095841000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2589923000, 0.2604901000, 0.2621151000, 0.2710433000, 0.2990496000, 0.3877480000, 0.5651718000, 0.7614911000, 1.3086880000, 1.5927268000, 1.8767655000");
}
}
max_transition : 3.7500000000;
min_pulse_width_high : 0.2379370000;
min_pulse_width_low : 0.3225310000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0025430000;
}
pin ("D") {
capacitance : 0.0022590000;
clock : "false";
direction : "input";
fall_capacitance : 0.0021510000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0876724000, 0.0900843000, 0.0926997000, 0.0970873000, 0.1108834000, 0.1487895000, 0.2246302000, 0.3081853000, 0.5411078000, 0.6620048000, 0.7829016000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0632084000, 0.0636219000, 0.0640721000, 0.0679664000, 0.0802178000, 0.1153224000, 0.1855602000, 0.2682963000, 0.4989357000, 0.6186477000, 0.7383596000");
}
}
max_transition : 3.7500000000;
nextstate_type : "data";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0023670000;
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2961895000, 0.3045000000, 0.3058737000, 0.3139271000, 0.3526185000, 0.4289662000, 0.5938685000, 0.7551087000, 1.1738946000, 1.3757175000, 1.5702162000", \
"0.2939824000, 0.3010723000, 0.3024460000, 0.3104993000, 0.3504115000, 0.4255384000, 0.5904407000, 0.7529017000, 1.1704668000, 1.3722897000, 1.5667885000", \
"0.2926087000, 0.2996986000, 0.3010723000, 0.3091257000, 0.3490378000, 0.4241647000, 0.5890671000, 0.7515280000, 1.1690931000, 1.3709160000, 1.5654148000", \
"0.2845553000, 0.2928659000, 0.2942396000, 0.3022930000, 0.3409844000, 0.4173320000, 0.5822344000, 0.7434746000, 1.1622604000, 1.3640833000, 1.5585821000", \
"0.2580710000, 0.2663815000, 0.2677552000, 0.2758086000, 0.3145000000, 0.3908476000, 0.5557500000, 0.7157695000, 1.1321139000, 1.3327161000, 1.5272150000", \
"0.2281100000, 0.2364206000, 0.2377943000, 0.2458477000, 0.2845391000, 0.3608867000, 0.5270098000, 0.6870293000, 1.0984909000, 1.2966517000, 1.4887091000", \
"0.1840573000, 0.1935885000, 0.1949622000, 0.2030156000, 0.2429277000, 0.3192754000, 0.4866191000, 0.6478594000, 1.0642038000, 1.2611439000, 1.4495392000", \
"0.1570944000, 0.1654049000, 0.1667786000, 0.1748320000, 0.2147441000, 0.2910918000, 0.4596562000, 0.6221172000, 1.0409030000, 1.2390638000, 1.4299005000", \
"0.1203887000, 0.1299199000, 0.1312936000, 0.1393470000, 0.1792591000, 0.2568275000, 0.4241712000, 0.5866322000, 1.0078594000, 1.2072409000, 1.3992983000", \
"0.1163197000, 0.1246302000, 0.1260039000, 0.1340573000, 0.1739694000, 0.2515378000, 0.4201022000, 0.5813425000, 1.0025696000, 1.2019511000, 1.3940085000", \
"0.1159127000, 0.1242232000, 0.1268176000, 0.1336503000, 0.1747831000, 0.2511308000, 0.4196952000, 0.5809355000, 1.0021627000, 1.2027649000, 1.3948223000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2632305000, 0.2629961000, 0.2655905000, 0.2663196000, 0.2744935000, 0.2910267000, 0.3033411000, 0.2900208000, 0.1863457000, 0.1122897000, 0.0309096000", \
"0.2610234000, 0.2595684000, 0.2633835000, 0.2641126000, 0.2710657000, 0.2875990000, 0.3011341000, 0.2878138000, 0.1841387000, 0.1137448000, 0.0287026000", \
"0.2596497000, 0.2581947000, 0.2607891000, 0.2627389000, 0.2696921000, 0.2850046000, 0.2985397000, 0.2852194000, 0.1815443000, 0.1074883000, 0.0261082000", \
"0.2515963000, 0.2501413000, 0.2539564000, 0.2534648000, 0.2616387000, 0.2769512000, 0.2892656000, 0.2771660000, 0.1734909000, 0.0994349000, 0.0168341000", \
"0.2263327000, 0.2248776000, 0.2286927000, 0.2306426000, 0.2375957000, 0.2516875000, 0.2627813000, 0.2506816000, 0.1445651000, 0.0717298000, -0.0120917000", \
"0.2097995000, 0.2120065000, 0.2121595000, 0.2128887000, 0.2198418000, 0.2339336000, 0.2425859000, 0.2292656000, 0.1231491000, 0.0503138000, -0.0322870000", \
"0.2023678000, 0.2045749000, 0.2047279000, 0.2054570000, 0.2111895000, 0.2228398000, 0.2302715000, 0.2169512000, 0.1132760000, 0.0392201000, -0.0421601000", \
"0.2047018000, 0.2069089000, 0.2070618000, 0.2077910000, 0.2135234000, 0.2263945000, 0.2338262000, 0.2192852000, 0.1204928000, 0.0464369000, -0.0337226000", \
"0.2265898000, 0.2287969000, 0.2289499000, 0.2296790000, 0.2378529000, 0.2507240000, 0.2654798000, 0.2582630000, 0.1692363000, 0.1025046000, 0.0260072000", \
"0.2420521000, 0.2442591000, 0.2444121000, 0.2451413000, 0.2533151000, 0.2686276000, 0.2858249000, 0.2847116000, 0.2042298000, 0.1374980000, 0.0646628000", \
"0.2587349000, 0.2609420000, 0.2610950000, 0.2618241000, 0.2712187000, 0.2877519000, 0.3098320000, 0.3111601000, 0.2392232000, 0.1761536000, 0.1045391000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1432637000, -0.1491328000, -0.1529479000, -0.1597806000, -0.1996927000, -0.2748197000, -0.4287357000, -0.5716653000, -0.9257539000, -1.0970593000, -1.2585991000", \
"-0.1398359000, -0.1469258000, -0.1495202000, -0.1575736000, -0.1974857000, -0.2726126000, -0.4265287000, -0.5694583000, -0.9235469000, -1.0924108000, -1.2576127000", \
"-0.1396829000, -0.1443314000, -0.1481465000, -0.1561999000, -0.1961120000, -0.2712389000, -0.4263756000, -0.5693053000, -0.9233939000, -1.0922578000, -1.2574598000", \
"-0.1316296000, -0.1374987000, -0.1413138000, -0.1493672000, -0.1880586000, -0.2656269000, -0.4183223000, -0.5624726000, -0.9165612000, -1.0878665000, -1.2518477000", \
"-0.1014831000, -0.1085729000, -0.1111673000, -0.1180000000, -0.1579121000, -0.2354805000, -0.3893965000, -0.5359883000, -0.8925182000, -1.0650442000, -1.2302462000", \
"-0.0654186000, -0.0737292000, -0.0763236000, -0.0831563000, -0.1242891000, -0.2030781000, -0.3594355000, -0.5084687000, -0.8711022000, -1.0460696000, -1.2137130000", \
"-0.0213659000, -0.0308971000, -0.0322708000, -0.0391035000, -0.0802363000, -0.1590254000, -0.3190449000, -0.4705195000, -0.8441393000, -1.0203274000, -1.1928535000", \
"0.0055970000, -0.0027135000, -0.0053079000, -0.0121406000, -0.0532734000, -0.1320625000, -0.2933027000, -0.4459981000, -0.8232800000, -1.0043509000, -1.1768770000", \
"0.0471855000, 0.0388750000, 0.0362806000, 0.0306686000, -0.0104642000, -0.0880326000, -0.2529349000, -0.4080716000, -0.7926777000, -0.9713073000, -1.1438334000", \
"0.0597995000, 0.0514889000, 0.0501152000, 0.0432826000, 0.0033704000, -0.0741979000, -0.2403210000, -0.3966784000, -0.7800638000, -0.9647969000, -1.1373230000", \
"0.0687514000, 0.0604408000, 0.0590671000, 0.0522345000, 0.0123223000, -0.0652460000, -0.2289277000, -0.3852851000, -0.7759947000, -0.9595071000, -1.1320332000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1579121000, -0.1576777000, -0.1602721000, -0.1610013000, -0.1679544000, -0.1783841000, -0.1675052000, -0.1309915000, 0.0276152000, 0.1260853000, 0.2318795000", \
"-0.1557051000, -0.1542500000, -0.1580651000, -0.1587943000, -0.1657474000, -0.1749564000, -0.1640775000, -0.1263431000, 0.0322637000, 0.1319544000, 0.2365279000", \
"-0.1531107000, -0.1528763000, -0.1554707000, -0.1561999000, -0.1643737000, -0.1723620000, -0.1627038000, -0.1249694000, 0.0348581000, 0.1345488000, 0.2403430000", \
"-0.1487194000, -0.1472643000, -0.1510794000, -0.1518086000, -0.1575410000, -0.1679707000, -0.1583125000, -0.1193574000, 0.0380286000, 0.1377194000, 0.2422929000", \
"-0.1344421000, -0.1378698000, -0.1380228000, -0.1387520000, -0.1444844000, -0.1561348000, -0.1428145000, -0.1087422000, 0.0486439000, 0.1458932000, 0.2492460000", \
"-0.1288952000, -0.1311022000, -0.1324759000, -0.1319844000, -0.1389375000, -0.1481465000, -0.1433711000, -0.1080781000, 0.0407630000, 0.1306881000, 0.2377030000", \
"-0.1287878000, -0.1322155000, -0.1323685000, -0.1330977000, -0.1376094000, -0.1492598000, -0.1469258000, -0.1226191000, 0.0152357000, 0.1051608000, 0.1975273000", \
"-0.1335632000, -0.1357702000, -0.1359232000, -0.1366523000, -0.1436055000, -0.1540352000, -0.1565840000, -0.1347187000, -0.0115124000, 0.0747507000, 0.1658964000", \
"-0.1505684000, -0.1527754000, -0.1529284000, -0.1536576000, -0.1618314000, -0.1759232000, -0.1906790000, -0.1810208000, -0.0797871000, -0.0057311000, 0.0768697000", \
"-0.1623685000, -0.1645755000, -0.1647285000, -0.1654577000, -0.1736315000, -0.1889440000, -0.2073620000, -0.2038073000, -0.1160013000, -0.0456074000, 0.0345520000", \
"-0.1753892000, -0.1775963000, -0.1777493000, -0.1796991000, -0.1878730000, -0.2031855000, -0.2264862000, -0.2265937000, -0.1497740000, -0.0854836000, -0.0089863000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("Q") {
direction : "output";
function : "IQ";
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088100000, 0.0176100000, 0.0352200000, 0.0704400000, 0.1408800000, 0.2817500000, 0.5634900000");
values("0.4429949000, 0.3070467000, 0.1716388000, -0.0976373000, -0.6322400000, -1.6991904000, -3.8306137000, -8.091600000", \
"0.4378752000, 0.3022991000, 0.1666921000, -0.1020071000, -0.6374084000, -1.7034345000, -3.8353564000, -8.096662400", \
"0.4365420000, 0.3006137000, 0.1649843000, -0.1042564000, -0.6389898000, -1.7055317000, -3.8373974000, -8.098736500", \
"0.4323751000, 0.2963973000, 0.1609724000, -0.1082998000, -0.6429085000, -1.7095567000, -3.8412299000, -8.102737200", \
"0.4441128000, 0.3086315000, 0.1730562000, -0.0960875000, -0.6311062000, -1.6979415000, -3.8294639000, -8.090149200", \
"0.4482051000, 0.3129141000, 0.1775757000, -0.0916024000, -0.6264080000, -1.6925943000, -3.8245085000, -8.085629600", \
"0.4908965000, 0.3392402000, 0.2041485000, -0.0652948000, -0.6001722000, -1.6669292000, -3.7984422000, -8.059672000", \
"0.5133011000, 0.3589001000, 0.2211115000, -0.0507632000, -0.5846363000, -1.6513921000, -3.7842044000, -8.044367400", \
"0.5566921000, 0.4030249000, 0.2644091000, -0.0068454000, -0.5433285000, -1.6102016000, -3.7411024000, -8.002816700", \
"0.5780505000, 0.4235858000, 0.2852767000, 0.0138558000, -0.5230245000, -1.5909440000, -3.7202332000, -7.9819121000", \
"0.5991325000, 0.4442683000, 0.3058419000, 0.0347703000, -0.5029336000, -1.5709514000, -3.7001707000, -7.9619514000");
}
related_pin : "CLK";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088100000, 0.0176100000, 0.0352200000, 0.0704400000, 0.1408800000, 0.2817500000, 0.5634900000");
values("0.4490869000, 0.5813561000, 0.7133801000, 0.9724074000, 1.4951439000, 2.5490726000, 4.6582626000, 8.870641400", \
"0.4514555000, 0.5832466000, 0.7153975000, 0.9751828000, 1.4981262000, 2.5519492000, 4.6591366000, 8.881684200", \
"0.4432728000, 0.5748990000, 0.7071117000, 0.9667481000, 1.4896651000, 2.5438237000, 4.6539647000, 8.869664800", \
"0.4383950000, 0.5700447000, 0.7022664000, 0.9618316000, 1.4847378000, 2.5388886000, 4.6453736000, 8.865406500", \
"0.4538554000, 0.5857519000, 0.7183822000, 0.9779148000, 1.5008662000, 2.5522069000, 4.6593347000, 8.882126700", \
"0.4637151000, 0.5957132000, 0.7284584000, 0.9877762000, 1.5106023000, 2.5608257000, 4.6687523000, 8.890196900", \
"0.5276887000, 0.6472164000, 0.7804982000, 1.0380010000, 1.5607729000, 2.6156852000, 4.7182400000, 8.942661800", \
"0.5952655000, 0.7001794000, 0.8215164000, 1.0786922000, 1.6017189000, 2.6541108000, 4.7638636000, 8.978558400", \
"0.7189469000, 0.8216274000, 0.9448511000, 1.2005285000, 1.7133902000, 2.7687669000, 4.8759640000, 9.092320700", \
"0.7778202000, 0.8794607000, 1.0014193000, 1.2561518000, 1.7767442000, 2.8167091000, 4.9300182000, 9.144982900", \
"0.8305754000, 0.9308788000, 1.0521277000, 1.3079984000, 1.8300211000, 2.8689896000, 4.9806614000, 9.194431200");
}
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088100000, 0.0176100000, 0.0352200000, 0.0704400000, 0.1408800000, 0.2817500000, 0.5634900000");
values("0.7713367000, 0.6291017000, 0.4933540000, 0.2253114000, -0.3095551000, -1.3755762000, -3.5064599000, -7.7675129000", \
"0.7588279000, 0.6359240000, 0.4811169000, 0.2127826000, -0.3217512000, -1.3877171000, -3.5186080000, -7.7800453000", \
"0.7762128000, 0.6335895000, 0.4795959000, 0.2108743000, -0.3232909000, -1.3712111000, -3.5009609000, -7.7634237000", \
"0.7822699000, 0.6396088000, 0.5046264000, 0.2357962000, -0.2980124000, -1.3645713000, -3.4958087000, -7.7570308000", \
"0.8635312000, 0.7248958000, 0.5858481000, 0.3176269000, -0.2170158000, -1.2830936000, -3.4140171000, -7.6752293000", \
"1.0362623000, 0.8941130000, 0.7590910000, 0.4902970000, -0.0440739000, -1.1099730000, -3.2412791000, -7.5025222000", \
"1.4087478000, 1.2684051000, 1.1327143000, 0.8644266000, 0.3297125000, -0.7378989000, -2.8687271000, -7.1292359000", \
"1.7911029000, 1.6367805000, 1.5074227000, 1.2388506000, 0.7052918000, -0.3606648000, -2.4918395000, -6.7527360000", \
"2.7540264000, 2.5994842000, 2.4614542000, 2.1917977000, 1.6626494000, 0.5960150000, -1.5347731000, -5.7955692000", \
"3.2211428000, 3.0646747000, 2.9273522000, 2.6564760000, 2.1236291000, 1.0616033000, -1.0692125000, -5.3299273000", \
"3.6732431000, 3.5181285000, 3.3789479000, 3.1087819000, 2.5721083000, 1.5128469000, -0.6171137000, -4.8781674000");
}
related_pin : "RESET_B";
rise_power ("scalar") {
values("0.0000000000");
}
}
max_capacitance : 0.5424600000;
max_transition : 3.8990300000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088100000, 0.0176100000, 0.0352200000, 0.0704400000, 0.1408800000, 0.2817500000, 0.5634900000");
values("0.3871879000, 0.4430035000, 0.4901066000, 0.5819069000, 0.7662646000, 1.1360543000, 1.8744701000, 3.3525220000", \
"0.3901973000, 0.4479662000, 0.4932837000, 0.5850369000, 0.7693755000, 1.1382650000, 1.8785664000, 3.3557981000", \
"0.3936296000, 0.4496086000, 0.4937635000, 0.5855962000, 0.7699057000, 1.1420836000, 1.8809250000, 3.3602332000", \
"0.4041806000, 0.4602570000, 0.5072935000, 0.5991253000, 0.7833147000, 1.1531675000, 1.8915283000, 3.3737366000", \
"0.4501793000, 0.5060154000, 0.5531887000, 0.6449853000, 0.8292870000, 1.1998046000, 1.9379194000, 3.4169094000", \
"0.5222861000, 0.5784425000, 0.6253874000, 0.7171476000, 0.9014786000, 1.2712583000, 2.0112803000, 3.4898167000", \
"0.6496311000, 0.7053949000, 0.7525326000, 0.8442844000, 1.0285638000, 1.3983811000, 2.1364714000, 3.6152923000", \
"0.7583299000, 0.8141616000, 0.8613300000, 0.9529102000, 1.1369122000, 1.5059830000, 2.2452220000, 3.7252124000", \
"1.0134346000, 1.0697504000, 1.1158223000, 1.2078125000, 1.3912368000, 1.7596603000, 2.4988196000, 3.9764667000", \
"1.1308716000, 1.1861406000, 1.2333315000, 1.3246773000, 1.5084711000, 1.8772006000, 2.6157339000, 4.0938550000", \
"1.2406645000, 1.2966427000, 1.3432945000, 1.4347378000, 1.6184921000, 1.9870769000, 2.7256066000, 4.2037462000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088100000, 0.0176100000, 0.0352200000, 0.0704400000, 0.1408800000, 0.2817500000, 0.5634900000");
values("0.0299397000, 0.0851919000, 0.1412747000, 0.2581788000, 0.5002580000, 0.9858701000, 1.9600481000, 3.8977270000", \
"0.0295408000, 0.0852852000, 0.1411273000, 0.2591717000, 0.5018466000, 0.9854189000, 1.9522473000, 3.8865102000", \
"0.0295158000, 0.0851527000, 0.1410960000, 0.2590536000, 0.5017811000, 0.9855933000, 1.9583746000, 3.8906798000", \
"0.0294847000, 0.0851140000, 0.1410448000, 0.2595839000, 0.5007541000, 0.9834867000, 1.9523234000, 3.8944443000", \
"0.0295566000, 0.0851886000, 0.1411269000, 0.2592895000, 0.5018666000, 0.9848778000, 1.9581878000, 3.8861829000", \
"0.0295791000, 0.0852717000, 0.1410990000, 0.2595436000, 0.5016572000, 0.9868611000, 1.9522599000, 3.8944727000", \
"0.0303382000, 0.0851199000, 0.1413798000, 0.2587926000, 0.5007048000, 0.9853800000, 1.9589885000, 3.8906114000", \
"0.0301560000, 0.0855157000, 0.1413813000, 0.2590718000, 0.5001086000, 0.9864528000, 1.9596882000, 3.8880832000", \
"0.0307070000, 0.0862883000, 0.1417224000, 0.2595831000, 0.5019167000, 0.9845821000, 1.9515900000, 3.8945993000", \
"0.0310240000, 0.0864327000, 0.1418366000, 0.2591896000, 0.5012561000, 0.9842090000, 1.9555704000, 3.8893866000", \
"0.0314872000, 0.0865834000, 0.1418043000, 0.2589160000, 0.5004460000, 0.9838651000, 1.9529776000, 3.8900598000");
}
related_pin : "RESET_B";
timing_sense : "positive_unate";
timing_type : "clear";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088100000, 0.0176100000, 0.0352200000, 0.0704400000, 0.1408800000, 0.2817500000, 0.5634900000");
values("0.3666055000, 0.4225937000, 0.4696483000, 0.5614836000, 0.7456223000, 1.1150989000, 1.8550135000, 3.3316019000", \
"0.3688939000, 0.4249124000, 0.4719527000, 0.5638174000, 0.7478568000, 1.1176834000, 1.8566767000, 3.3369643000", \
"0.3705299000, 0.4265568000, 0.4735537000, 0.5654163000, 0.7495675000, 1.1187177000, 1.8576228000, 3.3361172000", \
"0.3786421000, 0.4346704000, 0.4817175000, 0.5735322000, 0.7576865000, 1.1267814000, 1.8670772000, 3.3443825000", \
"0.4116946000, 0.4677339000, 0.5147360000, 0.6065905000, 0.7907525000, 1.1605055000, 1.8990751000, 3.3794287000", \
"0.4537812000, 0.5097913000, 0.5568636000, 0.6486651000, 0.8328669000, 1.2018807000, 1.9422421000, 3.4203791000", \
"0.5068904000, 0.5627905000, 0.6097587000, 0.7015601000, 0.8853857000, 1.2549869000, 1.9948201000, 3.4722916000", \
"0.5404817000, 0.5964018000, 0.6433927000, 0.7354258000, 0.9190214000, 1.2879461000, 2.0275843000, 3.5055652000", \
"0.5899052000, 0.6454746000, 0.6923714000, 0.7839725000, 0.9680119000, 1.3368429000, 2.0753681000, 3.5534931000", \
"0.6017582000, 0.6576911000, 0.7046119000, 0.7962666000, 0.9803065000, 1.3489134000, 2.0886046000, 3.5674841000", \
"0.6083060000, 0.6642432000, 0.7111383000, 0.8027660000, 0.9867435000, 1.3557570000, 2.0947601000, 3.5721215000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088100000, 0.0176100000, 0.0352200000, 0.0704400000, 0.1408800000, 0.2817500000, 0.5634900000");
values("0.3577404000, 0.4069582000, 0.4463034000, 0.5232026000, 0.6782466000, 0.9893927000, 1.6109024000, 2.8623346000", \
"0.3577841000, 0.4068857000, 0.4462061000, 0.5232509000, 0.6782233000, 0.9885163000, 1.6153485000, 2.8614497000", \
"0.3613962000, 0.4105971000, 0.4499217000, 0.5268785000, 0.6817997000, 0.9923480000, 1.6189485000, 2.8637785000", \
"0.3692960000, 0.4185077000, 0.4578368000, 0.5347415000, 0.6896090000, 1.0003919000, 1.6267382000, 2.8705094000", \
"0.4023032000, 0.4513406000, 0.4906954000, 0.5678663000, 0.7229117000, 1.0335610000, 1.6583659000, 2.9093075000", \
"0.4453955000, 0.4945011000, 0.5338264000, 0.6109631000, 0.7659683000, 1.0767514000, 1.7008823000, 2.9522551000", \
"0.5053425000, 0.5546522000, 0.5939359000, 0.6708732000, 0.8253584000, 1.1365449000, 1.7591006000, 3.0102691000", \
"0.5455407000, 0.5951669000, 0.6344252000, 0.7110859000, 0.8657967000, 1.1760511000, 1.8026309000, 3.0501692000", \
"0.6132943000, 0.6635278000, 0.7029213000, 0.7791457000, 0.9332479000, 1.2442893000, 1.8659945000, 3.1171308000", \
"0.6337675000, 0.6845278000, 0.7238182000, 0.8001544000, 0.9543099000, 1.2646790000, 1.8889694000, 3.1315333000", \
"0.6489237000, 0.6997934000, 0.7393400000, 0.8153415000, 0.9693492000, 1.2802466000, 1.9017949000, 3.1460374000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088100000, 0.0176100000, 0.0352200000, 0.0704400000, 0.1408800000, 0.2817500000, 0.5634900000");
values("0.0301014000, 0.0860811000, 0.1416852000, 0.2595501000, 0.5012258000, 0.9863041000, 1.9589848000, 3.8984882000", \
"0.0301977000, 0.0860118000, 0.1416489000, 0.2593266000, 0.5016846000, 0.9866030000, 1.9584452000, 3.8893959000", \
"0.0301010000, 0.0861763000, 0.1414571000, 0.2596340000, 0.5011662000, 0.9845554000, 1.9570410000, 3.8936663000", \
"0.0301447000, 0.0859397000, 0.1418348000, 0.2596149000, 0.5013103000, 0.9860707000, 1.9562905000, 3.8889900000", \
"0.0303050000, 0.0859650000, 0.1416268000, 0.2591861000, 0.5002059000, 0.9850114000, 1.9602002000, 3.8894599000", \
"0.0303027000, 0.0858978000, 0.1418234000, 0.2593925000, 0.5012694000, 0.9859090000, 1.9604702000, 3.8990304000", \
"0.0302133000, 0.0860122000, 0.1416822000, 0.2589792000, 0.5012917000, 0.9863176000, 1.9517972000, 3.8945185000", \
"0.0302448000, 0.0862171000, 0.1417403000, 0.2591093000, 0.4996386000, 0.9848290000, 1.9570898000, 3.8964356000", \
"0.0303854000, 0.0864007000, 0.1420877000, 0.2591942000, 0.5008328000, 0.9866348000, 1.9559698000, 3.8952983000", \
"0.0304436000, 0.0865158000, 0.1420721000, 0.2596110000, 0.5004585000, 0.9862583000, 1.9514705000, 3.8896060000", \
"0.0305643000, 0.0866223000, 0.1419582000, 0.2598451000, 0.5003249000, 0.9860062000, 1.9531643000, 3.8910497000");
}
related_pin : "CLK";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0088100000, 0.0176100000, 0.0352200000, 0.0704400000, 0.1408800000, 0.2817500000, 0.5634900000");
values("0.0354211000, 0.0848600000, 0.1377337000, 0.2504270000, 0.4824620000, 0.9485044000, 1.8838127000, 3.7513774000", \
"0.0355917000, 0.0848347000, 0.1378029000, 0.2505120000, 0.4823515000, 0.9477263000, 1.8829884000, 3.7498298000", \
"0.0357289000, 0.0848405000, 0.1378587000, 0.2505582000, 0.4822555000, 0.9484398000, 1.8837669000, 3.7496446000", \
"0.0356939000, 0.0848577000, 0.1378804000, 0.2505558000, 0.4820829000, 0.9486231000, 1.8841309000, 3.7489758000", \
"0.0355086000, 0.0848230000, 0.1377945000, 0.2502543000, 0.4817440000, 0.9468394000, 1.8847586000, 3.7481507000", \
"0.0354866000, 0.0849285000, 0.1376218000, 0.2502396000, 0.4816142000, 0.9478481000, 1.8852127000, 3.7497667000", \
"0.0362343000, 0.0853472000, 0.1385064000, 0.2504138000, 0.4821886000, 0.9493721000, 1.8849531000, 3.7711092000", \
"0.0366617000, 0.0860279000, 0.1383988000, 0.2507095000, 0.4828736000, 0.9478522000, 1.8824526000, 3.7496046000", \
"0.0388467000, 0.0877939000, 0.1398021000, 0.2517675000, 0.4818037000, 0.9474133000, 1.8807880000, 3.7486845000", \
"0.0403755000, 0.0888899000, 0.1403658000, 0.2518294000, 0.4823932000, 0.9490976000, 1.8845370000, 3.7484691000", \
"0.0407050000, 0.0896823000, 0.1409104000, 0.2520305000, 0.4830726000, 0.9493096000, 1.8819455000, 3.7478136000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
}
pin ("RESET_B") {
capacitance : 0.0078030000;
clock : "false";
direction : "input";
fall_capacitance : 0.0078000000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1152028000, 0.1139051000, 0.1125021000, 0.1117525000, 0.1094777000, 0.1109479000, 0.1139220000, 0.1141617000, 0.1148900000, 0.1152518000, 0.1156137000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0974464000, -0.1022797000, -0.1075139000, -0.1076943000, -0.1082093000, -0.1098602000, -0.1131386000, -0.1132331000, -0.1134543000, -0.1135804000, -0.1137064000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0078070000;
timing () {
fall_constraint ("pulse_width_template3") {
index_1("0.0100000000, 1.5000000000, 3.7499999000");
values("0.1939720000, 2.5000000000, 6.2499998000");
}
related_output_pin : "Q";
related_pin : "RESET_B";
sim_opt : "runlvl=5 accurate=1";
timing_type : "min_pulse_width";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3413555000, 0.3399004000, 0.3351706000, 0.3383411000, 0.3391907000, 0.3679310000, 0.4547083000, 0.5512513000, 0.7954766000, 0.9106296000, 1.0221205000", \
"0.3391484000, 0.3364726000, 0.3329635000, 0.3361341000, 0.3369837000, 0.3657240000, 0.4525013000, 0.5490442000, 0.7932695000, 0.9072018000, 1.0199135000", \
"0.3377747000, 0.3350990000, 0.3303691000, 0.3347604000, 0.3356100000, 0.3643503000, 0.4499069000, 0.5476706000, 0.7906751000, 0.9058281000, 1.0173191000", \
"0.3297214000, 0.3270456000, 0.3223157000, 0.3254863000, 0.3275566000, 0.3562969000, 0.4430742000, 0.5383965000, 0.7826217000, 0.8977747000, 1.0092657000", \
"0.3044577000, 0.3017819000, 0.2982728000, 0.3014434000, 0.3010723000, 0.3298125000, 0.4165898000, 0.5119121000, 0.7573581000, 0.8737318000, 0.9864434000", \
"0.2867038000, 0.2864694000, 0.2866224000, 0.2836894000, 0.2833184000, 0.3108379000, 0.3963945000, 0.4904961000, 0.7383835000, 0.8559779000, 0.9723517000", \
"0.2780514000, 0.2778171000, 0.2779701000, 0.2750371000, 0.2758867000, 0.3021855000, 0.3865215000, 0.4806230000, 0.7272897000, 0.8461048000, 0.9600372000", \
"0.2791647000, 0.2801510000, 0.2803040000, 0.2773711000, 0.2770000000, 0.3032988000, 0.3888555000, 0.4829571000, 0.7332858000, 0.8508802000, 0.9635919000", \
"0.2998320000, 0.3008184000, 0.2997507000, 0.2980384000, 0.2988880000, 0.3276282000, 0.4180677000, 0.5194935000, 0.7771465000, 0.8971823000, 1.0111147000", \
"0.3140736000, 0.3150599000, 0.3152129000, 0.3122799000, 0.3131296000, 0.3430905000, 0.4371920000, 0.5422799000, 0.8072571000, 0.9297344000, 1.0448874000", \
"0.3307564000, 0.3317428000, 0.3306750000, 0.3289628000, 0.3310331000, 0.3609941000, 0.4575370000, 0.5662870000, 0.8410298000, 0.9647278000, 1.0811016000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "recovery_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.2592305000, -0.2565547000, -0.2518249000, -0.2549955000, -0.2582865000, -0.2906888000, -0.3762454000, -0.4654642000, -0.6791719000, -0.7772350000, -0.8716362000", \
"-0.2570234000, -0.2543477000, -0.2496178000, -0.2527884000, -0.2560794000, -0.2884818000, -0.3752591000, -0.4632572000, -0.6757441000, -0.7750280000, -0.8694291000", \
"-0.2556497000, -0.2529740000, -0.2482441000, -0.2514147000, -0.2547057000, -0.2858874000, -0.3738854000, -0.4618835000, -0.6743705000, -0.7736543000, -0.8668347000", \
"-0.2475964000, -0.2449206000, -0.2401907000, -0.2445820000, -0.2478730000, -0.2778340000, -0.3658320000, -0.4538301000, -0.6663171000, -0.7656009000, -0.8600020000", \
"-0.2272155000, -0.2245397000, -0.2198099000, -0.2242012000, -0.2262715000, -0.2574531000, -0.3417891000, -0.4310078000, -0.6447155000, -0.7464408000, -0.8408419000", \
"-0.2143444000, -0.2153307000, -0.2142630000, -0.2113301000, -0.2134004000, -0.2433613000, -0.3276973000, -0.4156953000, -0.6306237000, -0.7323490000, -0.8316329000", \
"-0.2093542000, -0.2103405000, -0.2092728000, -0.2063398000, -0.2084102000, -0.2383711000, -0.3227070000, -0.4107051000, -0.6317370000, -0.7346829000, -0.8339669000", \
"-0.2116882000, -0.2114538000, -0.2116068000, -0.2086738000, -0.2107441000, -0.2407051000, -0.3287031000, -0.4191426000, -0.6438366000, -0.7467825000, -0.8472872000", \
"-0.2262520000, -0.2260176000, -0.2261706000, -0.2232376000, -0.2277493000, -0.2601517000, -0.3542532000, -0.4556790000, -0.6974629000, -0.8040710000, -0.9057963000", \
"-0.2368314000, -0.2365970000, -0.2367500000, -0.2338171000, -0.2383288000, -0.2719518000, -0.3709362000, -0.4760241000, -0.7300149000, -0.8390644000, -0.9420105000", \
"-0.2498521000, -0.2496178000, -0.2485501000, -0.2468378000, -0.2513495000, -0.2861933000, -0.3876191000, -0.4963691000, -0.7625670000, -0.8764993000, -0.9806661000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "removal_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("SCD") {
capacitance : 0.0026230000;
clock : "false";
direction : "input";
fall_capacitance : 0.0026240000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0409155000, 0.0411572000, 0.0414215000, 0.0410950000, 0.0401340000, 0.0400954000, 0.0400468000, 0.0402019000, 0.0406853000, 0.0409225000, 0.0411596000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0384610000, -0.0379497000, -0.0373934000, -0.0373301000, -0.0370716000, -0.0375612000, -0.0385117000, -0.0385140000, -0.0384695000, -0.0384600000, -0.0384506000");
}
}
max_transition : 3.7500000000;
nextstate_type : "scan_in";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0026220000;
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3181621000, 0.3264726000, 0.3241843000, 0.3322376000, 0.3538392000, 0.4082142000, 0.5377161000, 0.6635560000, 0.9761407000, 1.1218112000, 1.2601576000", \
"0.3159551000, 0.3230449000, 0.3207565000, 0.3288099000, 0.3516322000, 0.4060072000, 0.5342884000, 0.6613490000, 0.9739336000, 1.1196041000, 1.2579506000", \
"0.3145814000, 0.3216712000, 0.3193828000, 0.3274362000, 0.3502585000, 0.4046335000, 0.5329147000, 0.6599753000, 0.9725599000, 1.1170098000, 1.2553562000", \
"0.3065280000, 0.3148385000, 0.3125501000, 0.3206035000, 0.3422051000, 0.3978008000, 0.5260821000, 0.6519219000, 0.9645065000, 1.1101771000, 1.2485236000", \
"0.2812643000, 0.2883542000, 0.2860657000, 0.2941191000, 0.3169414000, 0.3713164000, 0.4983769000, 0.6242168000, 0.9343601000, 1.0775892000, 1.2159356000", \
"0.2513034000, 0.2608346000, 0.2622083000, 0.2653789000, 0.2869805000, 0.3413555000, 0.4696367000, 0.5942559000, 0.8982956000, 1.0403040000, 1.1762091000", \
"0.2096921000, 0.2192233000, 0.2205970000, 0.2237676000, 0.2453691000, 0.3009649000, 0.4316875000, 0.5575273000, 0.8615671000, 0.9999134000, 1.1321563000", \
"0.1827292000, 0.1922604000, 0.1936341000, 0.1955840000, 0.2196270000, 0.2752227000, 0.4047246000, 0.5330059000, 0.8419284000, 0.9802748000, 1.1112970000", \
"0.1484648000, 0.1579961000, 0.1593698000, 0.1613197000, 0.1841419000, 0.2409583000, 0.3716810000, 0.5011829000, 0.8125469000, 0.9533347000, 1.0855776000", \
"0.1431751000, 0.1527064000, 0.1540801000, 0.1572506000, 0.1800729000, 0.2356686000, 0.3676120000, 0.4971139000, 0.8096986000, 0.9504863000, 1.0827293000", \
"0.1439889000, 0.1535201000, 0.1548938000, 0.1568437000, 0.1796659000, 0.2364824000, 0.3684257000, 0.4979276000, 0.8117330000, 0.9525208000, 1.0859843000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3132793000, 0.3142656000, 0.3168600000, 0.3175892000, 0.3269837000, 0.3520618000, 0.3912318000, 0.4108704000, 0.3987481000, 0.3747409000, 0.3421889000", \
"0.3110723000, 0.3132793000, 0.3146530000, 0.3153822000, 0.3247767000, 0.3498548000, 0.3890247000, 0.4098841000, 0.3977617000, 0.3725339000, 0.3387611000", \
"0.3096986000, 0.3106849000, 0.3120586000, 0.3127878000, 0.3234030000, 0.3472604000, 0.3876510000, 0.4060690000, 0.3951673000, 0.3699395000, 0.3373875000", \
"0.3016452000, 0.3014108000, 0.3040052000, 0.3047344000, 0.3153496000, 0.3392070000, 0.3783770000, 0.3967949000, 0.3871139000, 0.3618861000, 0.3268927000", \
"0.2763815000, 0.2761471000, 0.2787415000, 0.2794707000, 0.2900859000, 0.3127227000, 0.3506719000, 0.3703105000, 0.3594089000, 0.3341810000, 0.3004083000", \
"0.2586276000, 0.2620553000, 0.2609876000, 0.2617168000, 0.2711113000, 0.2937480000, 0.3304766000, 0.3488945000, 0.3379928000, 0.3127650000, 0.2802130000", \
"0.2499753000, 0.2534030000, 0.2535560000, 0.2542852000, 0.2624590000, 0.2838750000, 0.3181621000, 0.3365801000, 0.3268991000, 0.3028919000, 0.2715606000", \
"0.2535299000, 0.2569577000, 0.2571107000, 0.2578398000, 0.2660137000, 0.2862090000, 0.3204961000, 0.3401348000, 0.3328952000, 0.3101087000, 0.2787774000", \
"0.2803008000, 0.2825078000, 0.2826608000, 0.2833900000, 0.2940052000, 0.3166419000, 0.3545912000, 0.3778919000, 0.3840801000, 0.3661764000, 0.3385072000", \
"0.2969837000, 0.2991907000, 0.2993438000, 0.3012936000, 0.3106882000, 0.3345456000, 0.3761569000, 0.4043405000, 0.4178529000, 0.4023906000, 0.3783835000", \
"0.3148873000, 0.3183150000, 0.3184680000, 0.3191972000, 0.3298124000, 0.3548906000, 0.4001640000, 0.4320097000, 0.4540670000, 0.4410461000, 0.4194805000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1542500000, -0.1637812000, -0.1651549000, -0.1683255000, -0.1911478000, -0.2443021000, -0.3542728000, -0.4520364000, -0.6803926000, -0.7870006000, -0.8887260000", \
"-0.1496016000, -0.1591328000, -0.1605065000, -0.1624564000, -0.1864994000, -0.2384330000, -0.3484037000, -0.4461673000, -0.6720820000, -0.7774694000, -0.8779740000", \
"-0.1506693000, -0.1602005000, -0.1603535000, -0.1635241000, -0.1863464000, -0.2395007000, -0.3494714000, -0.4484557000, -0.6768118000, -0.7834199000, -0.8839246000", \
"-0.1426159000, -0.1521471000, -0.1535208000, -0.1566914000, -0.1795137000, -0.2326680000, -0.3426387000, -0.4416231000, -0.6711999000, -0.7765873000, -0.8819747000", \
"-0.1124694000, -0.1220007000, -0.1233743000, -0.1253242000, -0.1493672000, -0.2049629000, -0.3149336000, -0.4151387000, -0.6495983000, -0.7549857000, -0.8603731000", \
"-0.0788464000, -0.0883776000, -0.0885306000, -0.0904805000, -0.1145234000, -0.1713398000, -0.2849727000, -0.3900606000, -0.6281823000, -0.7396732000, -0.8450606000", \
"-0.0335729000, -0.0431042000, -0.0432572000, -0.0464277000, -0.0704707000, -0.1260664000, -0.2445820000, -0.3521113000, -0.5999987000, -0.7127103000, -0.8205391000", \
"-0.0066100000, -0.0161413000, -0.0175150000, -0.0194648000, -0.0422871000, -0.0978828000, -0.2212813000, -0.3312520000, -0.5828014000, -0.6991751000, -0.8070040000", \
"0.0349785000, 0.0266680000, 0.0252943000, 0.0233444000, 0.0005221000, -0.0526322000, -0.1809134000, -0.2969876000, -0.5595234000, -0.6734557000, -0.7825053000", \
"0.0488131000, 0.0392819000, 0.0379082000, 0.0347376000, 0.0119154000, -0.0412389000, -0.1670788000, -0.2855944000, -0.5530130000, -0.6681660000, -0.7735535000", \
"0.0565444000, 0.0482338000, 0.0468601000, 0.0436895000, 0.0220880000, -0.0310663000, -0.1569062000, -0.2778632000, -0.5452818000, -0.6592141000, -0.7682637000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1811055000, -0.1845332000, -0.1846862000, -0.1854154000, -0.1960306000, -0.2150052000, -0.2285404000, -0.2176615000, -0.1384004000, -0.0814342000, -0.0195854000", \
"-0.1788984000, -0.1823262000, -0.1812585000, -0.1819876000, -0.1926029000, -0.2115775000, -0.2251126000, -0.2142337000, -0.1337520000, -0.0767858000, -0.0137162000", \
"-0.1775247000, -0.1809525000, -0.1811055000, -0.1818346000, -0.1924499000, -0.2114245000, -0.2249596000, -0.2140807000, -0.1348197000, -0.0778535000, -0.0160046000", \
"-0.1719128000, -0.1753405000, -0.1742728000, -0.1762227000, -0.1856172000, -0.2045918000, -0.2193477000, -0.2072480000, -0.1267663000, -0.0710208000, -0.0079513000", \
"-0.1588561000, -0.1622839000, -0.1624368000, -0.1619453000, -0.1725605000, -0.1915352000, -0.2062910000, -0.1966328000, -0.1198131000, -0.0640677000, -0.0034395000", \
"-0.1545300000, -0.1579577000, -0.1581107000, -0.1576191000, -0.1670137000, -0.1872090000, -0.2031855000, -0.1984102000, -0.1301354000, -0.0768314000, -0.0210860000", \
"-0.1556432000, -0.1590710000, -0.1592240000, -0.1599531000, -0.1693477000, -0.1883223000, -0.2128438000, -0.2141719000, -0.1593249000, -0.1145658000, -0.0600411000", \
"-0.1616393000, -0.1650671000, -0.1652200000, -0.1659492000, -0.1753438000, -0.1943184000, -0.2225020000, -0.2299336000, -0.1872936000, -0.1474173000, -0.0977755000", \
"-0.1823066000, -0.1857344000, -0.1858874000, -0.1866165000, -0.1972318000, -0.2210892000, -0.2553763000, -0.2762357000, -0.2628926000, -0.2340026000, -0.1953471000", \
"-0.1953275000, -0.1987552000, -0.1976875000, -0.1996374000, -0.2102526000, -0.2353307000, -0.2757213000, -0.3014635000, -0.3003275000, -0.2763203000, -0.2425476000", \
"-0.2095689000, -0.2129967000, -0.2131496000, -0.2138788000, -0.2257148000, -0.2507929000, -0.2948456000, -0.3242499000, -0.3353209000, -0.3174172000, -0.2885274000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("SCE") {
capacitance : 0.0048750000;
clock : "false";
direction : "input";
fall_capacitance : 0.0046460000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1399902000, 0.1440036000, 0.1483536000, 0.1568629000, 0.1835576000, 0.2425398000, 0.3605311000, 0.4864644000, 0.8374925000, 1.0196996000, 1.2019066000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0026731000, 0.0012182000, 0.0054363000, 0.0134492000, 0.0385980000, 0.0953728000, 0.2089528000, 0.3343370000, 0.6838417000, 0.8652560000, 1.0466703000");
}
}
max_transition : 3.7500000000;
nextstate_type : "scan_enable";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0051040000;
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3584453000, 0.3655351000, 0.3717917000, 0.3822865000, 0.4319642000, 0.5022083000, 0.6292689000, 0.7477845000, 1.0383965000, 1.1804049000, 1.3163100000", \
"0.3550176000, 0.3633281000, 0.3683639000, 0.3800794000, 0.4297572000, 0.5012220000, 0.6270618000, 0.7443568000, 1.0361894000, 1.1769772000, 1.3141030000", \
"0.3536439000, 0.3619544000, 0.3669902000, 0.3787057000, 0.4283835000, 0.4974069000, 0.6256882000, 0.7429831000, 1.0348157000, 1.1756035000, 1.3127293000", \
"0.3455905000, 0.3526803000, 0.3589368000, 0.3694316000, 0.4191094000, 0.4893535000, 0.6164141000, 0.7349297000, 1.0255417000, 1.1675501000, 1.3034552000", \
"0.3215475000, 0.3286374000, 0.3348939000, 0.3453887000, 0.3950664000, 0.4640898000, 0.5923711000, 0.7096660000, 1.0002780000, 1.1410657000, 1.2769708000", \
"0.3037936000, 0.3121042000, 0.3171400000, 0.3288555000, 0.3785332000, 0.4487773000, 0.5746172000, 0.6906914000, 0.9800827000, 1.1220911000, 1.2567755000", \
"0.2951413000, 0.3034518000, 0.3097083000, 0.3202031000, 0.3698809000, 0.4401250000, 0.5647441000, 0.6808183000, 0.9714303000, 1.1109974000, 1.2469024000", \
"0.2974753000, 0.3057858000, 0.3120423000, 0.3237578000, 0.3722148000, 0.4412383000, 0.5682988000, 0.6831524000, 0.9725436000, 1.1145521000, 1.2504571000", \
"0.3218047000, 0.3288945000, 0.3351510000, 0.3468665000, 0.3953236000, 0.4655677000, 0.5926283000, 0.7087025000, 1.0005351000, 1.1413230000, 1.2796693000", \
"0.3372669000, 0.3443568000, 0.3506133000, 0.3623288000, 0.4107858000, 0.4810299000, 0.6080905000, 0.7253854000, 1.0196595000, 1.1616679000, 1.3000144000", \
"0.3539498000, 0.3622603000, 0.3672961000, 0.3790116000, 0.4286894000, 0.4989335000, 0.6259941000, 0.7445097000, 1.0387838000, 1.1820130000, 1.3215801000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3511211000, 0.3569902000, 0.3583639000, 0.3664173000, 0.3721497000, 0.3667103000, 0.3485072000, 0.3144349000, 0.1900078000, 0.1183932000, 0.0443373000", \
"0.3489141000, 0.3547832000, 0.3561569000, 0.3642103000, 0.3699427000, 0.3645032000, 0.3463001000, 0.3110072000, 0.1878008000, 0.1161862000, 0.0409096000", \
"0.3475404000, 0.3521888000, 0.3547832000, 0.3616159000, 0.3685690000, 0.3631296000, 0.3449264000, 0.3096335000, 0.1864271000, 0.1148125000, 0.0395359000", \
"0.3394870000, 0.3453561000, 0.3467298000, 0.3547832000, 0.3605156000, 0.3550762000, 0.3368730000, 0.3015801000, 0.1783737000, 0.1067591000, 0.0314825000", \
"0.3130026000, 0.3188718000, 0.3202454000, 0.3282988000, 0.3340313000, 0.3298125000, 0.3103887000, 0.2763164000, 0.1506686000, 0.0790540000, 0.0049981000", \
"0.2830417000, 0.2889108000, 0.2902845000, 0.2983379000, 0.3040703000, 0.2986309000, 0.2804277000, 0.2451348000, 0.1219284000, 0.0503138000, -0.0237421000", \
"0.2389889000, 0.2448581000, 0.2474525000, 0.2542852000, 0.2612383000, 0.2557988000, 0.2363750000, 0.2010820000, 0.0790964000, 0.0074818000, -0.0653534000", \
"0.2120260000, 0.2178952000, 0.2192689000, 0.2273223000, 0.2330547000, 0.2276152000, 0.2069707000, 0.1728984000, 0.0509128000, -0.0194811000, -0.0935370000", \
"0.1765410000, 0.1824102000, 0.1837839000, 0.1918372000, 0.1975697000, 0.1933509000, 0.1714857000, 0.1361927000, 0.0154277000, -0.0561868000, -0.1290221000", \
"0.1712513000, 0.1771204000, 0.1784941000, 0.1865475000, 0.1922799000, 0.1868405000, 0.1661960000, 0.1321237000, 0.0089173000, -0.0614766000, -0.1343118000", \
"0.1708443000, 0.1767135000, 0.1780872000, 0.1861405000, 0.1918730000, 0.1864335000, 0.1657890000, 0.1304960000, 0.0085103000, -0.0618836000, -0.1347187000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0858906000, -0.0978633000, -0.1004577000, -0.1048490000, -0.1325540000, -0.1857083000, -0.2846927000, -0.3678079000, -0.5632050000, -0.6502819000, -0.7336967000", \
"-0.0836836000, -0.0956563000, -0.0970299000, -0.1026419000, -0.1303470000, -0.1835013000, -0.2824857000, -0.3656009000, -0.5609980000, -0.6492956000, -0.7314897000", \
"-0.0810892000, -0.0930619000, -0.0956562000, -0.1000475000, -0.1277526000, -0.1809069000, -0.2798913000, -0.3642272000, -0.5596244000, -0.6479219000, -0.7288953000", \
"-0.0742565000, -0.0862292000, -0.0876029000, -0.0932148000, -0.1209199000, -0.1740742000, -0.2742793000, -0.3573945000, -0.5540123000, -0.6423099000, -0.7245040000", \
"-0.0428893000, -0.0548620000, -0.0574564000, -0.0618477000, -0.0895527000, -0.1427070000, -0.2441328000, -0.3296895000, -0.5287487000, -0.6170462000, -0.7029024000", \
"-0.0068249000, -0.0187975000, -0.0213919000, -0.0270039000, -0.0547090000, -0.1090840000, -0.2129512000, -0.3009492000, -0.5061120000, -0.5944095000, -0.6802657000", \
"0.0347865000, 0.0252552000, 0.0214401000, 0.0170488000, -0.0094355000, -0.0638105000, -0.1713398000, -0.2642207000, -0.4754870000, -0.5698880000, -0.6606271000", \
"0.0617493000, 0.0497767000, 0.0471823000, 0.0427910000, 0.0175273000, -0.0356270000, -0.1468184000, -0.2409199000, -0.4570690000, -0.5514700000, -0.6434298000", \
"0.1033379000, 0.0913652000, 0.0875501000, 0.0831589000, 0.0603366000, 0.0084030000, -0.1040091000, -0.2042142000, -0.4240254000, -0.5220886000, -0.6128276000", \
"0.1147311000, 0.1039792000, 0.1001641000, 0.0957728000, 0.0717298000, 0.0210169000, -0.0901745000, -0.1916003000, -0.4150736000, -0.5119160000, -0.6038757000", \
"0.1224623000, 0.1104897000, 0.1066746000, 0.1022833000, 0.0794610000, 0.0299688000, -0.0812226000, -0.1863105000, -0.4122252000, -0.5102883000, -0.6010274000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1994160000, -0.2052852000, -0.2066589000, -0.2159329000, -0.2216654000, -0.2186673000, -0.2004642000, -0.1651712000, -0.0383027000, 0.0357533000, 0.1122506000", \
"-0.1972090000, -0.2018574000, -0.2044518000, -0.2125052000, -0.2194583000, -0.2164603000, -0.1982572000, -0.1617435000, -0.0348750000, 0.0379603000, 0.1144576000", \
"-0.1958353000, -0.2004837000, -0.2030781000, -0.2111315000, -0.2180846000, -0.2138659000, -0.1968835000, -0.1603698000, -0.0335013000, 0.0393340000, 0.1158313000", \
"-0.1877819000, -0.1936511000, -0.1950247000, -0.2030781000, -0.2100313000, -0.2070332000, -0.1888301000, -0.1523164000, -0.0254479000, 0.0473874000, 0.1226640000", \
"-0.1576354000, -0.1622839000, -0.1648782000, -0.1717109000, -0.1798848000, -0.1768867000, -0.1586836000, -0.1233906000, 0.0034779000, 0.0763131000, 0.1528105000", \
"-0.1227917000, -0.1286608000, -0.1300345000, -0.1380879000, -0.1450410000, -0.1408223000, -0.1238398000, -0.0873262000, 0.0358802000, 0.1099362000, 0.1864335000", \
"-0.0787389000, -0.0833874000, -0.0859818000, -0.0940352000, -0.0997676000, -0.0955488000, -0.0785664000, -0.0432734000, 0.0811536000, 0.1515475000, 0.2280448000", \
"-0.0517760000, -0.0576452000, -0.0590189000, -0.0670723000, -0.0728047000, -0.0685859000, -0.0503828000, -0.0150898000, 0.1081165000, 0.1797311000, 0.2537870000", \
"-0.0077461000, -0.0136152000, -0.0149889000, -0.0242630000, -0.0299954000, -0.0257767000, -0.0063529000, 0.0301608000, 0.1521465000, 0.2237611000, 0.2965963000", \
"0.0048678000, -0.0022220000, -0.0035957000, -0.0104284000, -0.0161608000, -0.0131628000, 0.0074818000, 0.0427747000, 0.1659811000, 0.2375957000, 0.3104309000", \
"0.0138197000, 0.0079506000, 0.0065769000, -0.0026972000, -0.0072089000, -0.0029902000, 0.0176544000, 0.0529474000, 0.1761537000, 0.2477683000, 0.3193828000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
test_cell () {
ff ("IQ","IQ_N") {
clear : "!RESET_B";
clocked_on : "CLK";
next_state : "D";
}
pin ("CLK") {
direction : "input";
}
pin ("D") {
direction : "input";
}
pin ("Q") {
direction : "output";
function : "IQ";
signal_type : "test_scan_out";
}
pin ("RESET_B") {
direction : "input";
}
pin ("SCD") {
direction : "input";
signal_type : "test_scan_in";
}
pin ("SCE") {
direction : "input";
signal_type : "test_scan_enable";
}
}
}
cell ("sky130_fd_sc_hvl__sdfsbp_1") {
leakage_power () {
value : 23.894623200;
when : "D&!SCD&!SCE&SET_B&CLK";
}
leakage_power () {
value : 19.759411900;
when : "!D&!SCD&SCE&SET_B&CLK";
}
leakage_power () {
value : 25.556524000;
when : "!D&SCD&!SCE&SET_B&CLK";
}
leakage_power () {
value : 21.036459700;
when : "!D&!SCD&!SCE&SET_B&!CLK";
}
leakage_power () {
value : 18.550750300;
when : "!D&!SCD&SCE&SET_B&!CLK";
}
leakage_power () {
value : 17.740768900;
when : "!D&!SCD&SCE&!SET_B&CLK";
}
leakage_power () {
value : 21.014919600;
when : "D&SCD&SCE&SET_B&CLK";
}
leakage_power () {
value : 21.858152600;
when : "D&!SCD&SCE&SET_B&!CLK";
}
leakage_power () {
value : 21.047947400;
when : "D&!SCD&SCE&!SET_B&CLK";
}
leakage_power () {
value : 24.347912100;
when : "!D&SCD&!SCE&SET_B&!CLK";
}
leakage_power () {
value : 23.537715800;
when : "!D&SCD&!SCE&!SET_B&CLK";
}
leakage_power () {
value : 18.858084900;
when : "D&SCD&!SCE&SET_B&!CLK";
}
leakage_power () {
value : 14.587488600;
when : "D&SCD&!SCE&!SET_B&CLK";
}
leakage_power () {
value : 16.382701400;
when : "!D&SCD&SCE&SET_B&!CLK";
}
leakage_power () {
value : 12.112105100;
when : "!D&SCD&SCE&!SET_B&CLK";
}
leakage_power () {
value : 16.236448600;
when : "D&SCD&SCE&SET_B&!CLK";
}
leakage_power () {
value : 11.965863000;
when : "D&SCD&SCE&!SET_B&CLK";
}
leakage_power () {
value : 23.367567400;
when : "!D&!SCD&!SCE&!SET_B&!CLK";
}
leakage_power () {
value : 20.226464100;
when : "!D&!SCD&!SCE&!SET_B&CLK";
}
leakage_power () {
value : 23.148913900;
when : "!D&!SCD&!SCE&SET_B&CLK";
}
leakage_power () {
value : 23.970399000;
when : "D&!SCD&SCE&SET_B&CLK";
}
leakage_power () {
value : 17.509806900;
when : "D&SCD&!SCE&SET_B&CLK";
}
leakage_power () {
value : 19.116264100;
when : "D&!SCD&!SCE&SET_B&!CLK";
}
leakage_power () {
value : 14.845650000;
when : "D&!SCD&!SCE&!SET_B&CLK";
}
leakage_power () {
value : 21.161106600;
when : "!D&SCD&SCE&SET_B&CLK";
}
leakage_power () {
value : 26.678915100;
when : "!D&SCD&!SCE&!SET_B&!CLK";
}
leakage_power () {
value : 20.881863300;
when : "!D&!SCD&SCE&!SET_B&!CLK";
}
leakage_power () {
value : 11.302709900;
when : "!D&SCD&SCE&!SET_B&!CLK";
}
leakage_power () {
value : 14.036258400;
when : "D&!SCD&!SCE&!SET_B&!CLK";
}
leakage_power () {
value : 13.778096000;
when : "D&SCD&!SCE&!SET_B&!CLK";
}
leakage_power () {
value : 24.189162700;
when : "D&!SCD&SCE&!SET_B&!CLK";
}
leakage_power () {
value : 11.156467700;
when : "D&SCD&SCE&!SET_B&!CLK";
}
area : 82.05120000;
cell_footprint : "sky130_fd_sc_hvl__sdfsbp";
cell_leakage_power : 19.183050000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
ff ("IQ","IQ_N") {
clocked_on : "CLK";
next_state : "(D&!SCE) | (SCD&SCE)";
preset : "!SET_B";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("CLK") {
capacitance : 0.0025700000;
clock : "true";
direction : "input";
fall_capacitance : 0.0025080000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3010974000, 0.3030964000, 0.3052649000, 0.3189178000, 0.3617330000, 0.4571320000, 0.6479657000, 0.8476380000, 1.4041958000, 1.6930894000, 1.9819830000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2549936000, 0.2560544000, 0.2572065000, 0.2676121000, 0.3002597000, 0.3946708000, 0.5835273000, 0.7863079000, 1.3515264000, 1.6449165000, 1.9383066000");
}
}
max_transition : 3.7500000000;
min_pulse_width_high : 0.3148410000;
min_pulse_width_low : 0.3631800000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0026310000;
}
pin ("D") {
capacitance : 0.0023060000;
clock : "false";
direction : "input";
fall_capacitance : 0.0022010000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0915934000, 0.0920473000, 0.0925419000, 0.0982918000, 0.1163619000, 0.1580511000, 0.2414625000, 0.3316897000, 0.5832148000, 0.7137666000, 0.8443182000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0560085000, 0.0547447000, 0.0533785000, 0.0576878000, 0.0712571000, 0.1107357000, 0.1897302000, 0.2792582000, 0.5288423000, 0.6583844000, 0.7879263000");
}
}
max_transition : 3.7500000000;
nextstate_type : "data";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0024120000;
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3401348000, 0.3447832000, 0.3437155000, 0.3554310000, 0.4002259000, 0.4814564000, 0.6610072000, 0.8381165000, 1.3081718000, 1.5392916000, 1.7630873000", \
"0.3379277000, 0.3425762000, 0.3415084000, 0.3544447000, 0.3980189000, 0.4792494000, 0.6588001000, 0.8359095000, 1.3059648000, 1.5370846000, 1.7608803000", \
"0.3365541000, 0.3412025000, 0.3401348000, 0.3518503000, 0.3966452000, 0.4778757000, 0.6574264000, 0.8345358000, 1.3045911000, 1.5357109000, 1.7595066000", \
"0.3297214000, 0.3331491000, 0.3320814000, 0.3450176000, 0.3885918000, 0.4710430000, 0.6493730000, 0.8264824000, 1.2977585000, 1.5276576000, 1.7514532000", \
"0.3032370000, 0.3078854000, 0.3068177000, 0.3185332000, 0.3633281000, 0.4445586000, 0.6228887000, 0.7999981000, 1.2688326000, 1.4987317000, 1.7213067000", \
"0.2769382000, 0.2828073000, 0.2829603000, 0.2922344000, 0.3358086000, 0.4182598000, 0.5965898000, 0.7736992000, 1.2413131000, 1.4699915000, 1.6913458000", \
"0.2426511000, 0.2485202000, 0.2486732000, 0.2579473000, 0.3015215000, 0.3839726000, 0.5647441000, 0.7430742000, 1.2119088000, 1.4381459000, 1.6595001000", \
"0.2205710000, 0.2264401000, 0.2278138000, 0.2370879000, 0.2818828000, 0.3643340000, 0.5438848000, 0.7234355000, 1.1934909000, 1.4221693000, 1.6435235000", \
"0.2119414000, 0.2178105000, 0.2179635000, 0.2272376000, 0.2720326000, 0.3544837000, 0.5328138000, 0.7099232000, 1.1799785000, 1.4098776000, 1.6312319000", \
"0.2237415000, 0.2283900000, 0.2297637000, 0.2390378000, 0.2826120000, 0.3626218000, 0.5397311000, 0.7168405000, 1.1856752000, 1.4167949000, 1.6369284000", \
"0.2465279000, 0.2511763000, 0.2525501000, 0.2606034000, 0.3066191000, 0.3817460000, 0.5551933000, 0.7310820000, 1.1986959000, 1.4273743000, 1.6499492000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2009746000, 0.1995195000, 0.2033346000, 0.2052845000, 0.2110169000, 0.2202259000, 0.2093470000, 0.1691712000, -0.0089668000, -0.1220853000, -0.2437486000", \
"0.1987676000, 0.1985332000, 0.2023483000, 0.2030775000, 0.2100306000, 0.2204603000, 0.2095814000, 0.1681849000, -0.0111738000, -0.1242923000, -0.2459557000", \
"0.1973939000, 0.1971595000, 0.2009746000, 0.2017038000, 0.2074362000, 0.2178659000, 0.2057663000, 0.1655905000, -0.0137682000, -0.1256660000, -0.2473293000", \
"0.1893405000, 0.1891061000, 0.1929212000, 0.1936504000, 0.2006035000, 0.2098125000, 0.1977129000, 0.1575371000, -0.0230423000, -0.1361608000, -0.2578241000", \
"0.1714010000, 0.1711667000, 0.1737611000, 0.1757109000, 0.1814434000, 0.1882109000, 0.1748906000, 0.1322734000, -0.0495267000, -0.1638659000, -0.2843085000", \
"0.1621921000, 0.1643991000, 0.1657728000, 0.1665020000, 0.1710137000, 0.1753398000, 0.1583574000, 0.1157402000, -0.0672806000, -0.1816198000, -0.3045038000", \
"0.1620846000, 0.1655124000, 0.1656654000, 0.1663945000, 0.1696855000, 0.1715703000, 0.1521465000, 0.1107500000, -0.0722708000, -0.1866100000, -0.3082734000", \
"0.1680807000, 0.1702878000, 0.1716615000, 0.1723906000, 0.1756816000, 0.1763457000, 0.1581426000, 0.1167461000, -0.0613919000, -0.1745104000, -0.2949530000", \
"0.1960723000, 0.1982793000, 0.1984323000, 0.2003822000, 0.2036732000, 0.2055579000, 0.1922376000, 0.1581654000, -0.0089863000, -0.1160013000, -0.2315611000", \
"0.2139759000, 0.2174036000, 0.2175566000, 0.2182858000, 0.2215768000, 0.2246823000, 0.2150241000, 0.1833932000, 0.0247865000, -0.0810078000, -0.1941262000", \
"0.2343209000, 0.2377486000, 0.2379016000, 0.2386308000, 0.2419218000, 0.2462480000, 0.2390312000, 0.2098417000, 0.0573385000, -0.0435730000, -0.1542500000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.2177266000, -0.2211543000, -0.2213073000, -0.2330228000, -0.2765970000, -0.3590482000, -0.5337161000, -0.7047220000, -1.1479218000, -1.3631725000, -1.5710991000", \
"-0.2106367000, -0.2140645000, -0.2142174000, -0.2247122000, -0.2695072000, -0.3519583000, -0.5266263000, -0.6976322000, -1.1383907000, -1.3536413000, -1.5603471000", \
"-0.2129251000, -0.2175736000, -0.2165059000, -0.2282214000, -0.2730163000, -0.3554674000, -0.5301354000, -0.7011413000, -1.1443411000, -1.3595918000, -1.5687390000", \
"-0.2060924000, -0.2107409000, -0.2108939000, -0.2226094000, -0.2674043000, -0.3474141000, -0.5233027000, -0.6955293000, -1.1375085000, -1.3539798000, -1.5631270000", \
"-0.1796081000, -0.1854772000, -0.1856302000, -0.1936836000, -0.2409199000, -0.3221504000, -0.5029219000, -0.6763691000, -1.1232311000, -1.3409232000, -1.5500704000", \
"-0.1496471000, -0.1555163000, -0.1556693000, -0.1649434000, -0.2109590000, -0.2958515000, -0.4766230000, -0.6512910000, -1.1042565000, -1.3243900000, -1.5335371000", \
"-0.1031530000, -0.1090221000, -0.1091751000, -0.1184492000, -0.1656855000, -0.2530195000, -0.4374531000, -0.6157832000, -1.0785143000, -1.3023099000, -1.5163399000", \
"-0.0749694000, -0.0796178000, -0.0797708000, -0.0890449000, -0.1375020000, -0.2248359000, -0.4104902000, -0.5937031000, -1.0588756000, -1.2851126000, -1.5028047000", \
"-0.0284980000, -0.0343672000, -0.0345202000, -0.0425736000, -0.0885892000, -0.1771439000, -0.3664603000, -0.5484525000, -1.0221700000, -1.2520690000, -1.4734232000", \
"-0.0146634000, -0.0205326000, -0.0206855000, -0.0287389000, -0.0747546000, -0.1620885000, -0.3514049000, -0.5346179000, -1.0119974000, -1.2443379000, -1.4620300000", \
"-0.0020494000, -0.0091392000, -0.0092922000, -0.0185663000, -0.0633612000, -0.1494745000, -0.3387909000, -0.5232245000, -0.9993834000, -1.2317239000, -1.4579609000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1493672000, -0.1491328000, -0.1517272000, -0.1536771000, -0.1594095000, -0.1637357000, -0.1382083000, -0.0846048000, 0.1277129000, 0.2567005000, 0.3917916000", \
"-0.1471602000, -0.1457051000, -0.1495202000, -0.1514701000, -0.1572025000, -0.1615286000, -0.1347806000, -0.0799564000, 0.1323613000, 0.2625696000, 0.3988814000", \
"-0.1445658000, -0.1443314000, -0.1481465000, -0.1488756000, -0.1558288000, -0.1589342000, -0.1321862000, -0.0773620000, 0.1373971000, 0.2663848000, 0.4026965000", \
"-0.1426159000, -0.1411608000, -0.1449759000, -0.1457051000, -0.1514375000, -0.1557637000, -0.1290156000, -0.0754121000, 0.1369056000, 0.2646725000, 0.4009843000", \
"-0.1320007000, -0.1354284000, -0.1355814000, -0.1363105000, -0.1408223000, -0.1451484000, -0.1159590000, -0.0623555000, 0.1450794000, 0.2704049000, 0.4091581000", \
"-0.1301159000, -0.1335436000, -0.1336966000, -0.1344258000, -0.1389375000, -0.1396016000, -0.1140742000, -0.0592500000, 0.1457435000, 0.2710690000, 0.4024980000", \
"-0.1361120000, -0.1395397000, -0.1396927000, -0.1404219000, -0.1437129000, -0.1443770000, -0.1188496000, -0.0689082000, 0.1312025000, 0.2528659000, 0.3818534000", \
"-0.1457702000, -0.1491979000, -0.1493509000, -0.1500801000, -0.1521504000, -0.1540352000, -0.1297285000, -0.0834492000, 0.1093372000, 0.2297799000, 0.3551054000", \
"-0.1798652000, -0.1832930000, -0.1834460000, -0.1841751000, -0.1874662000, -0.1893509000, -0.1735892000, -0.1334134000, 0.0447246000, 0.1554017000, 0.2758443000", \
"-0.2002103000, -0.2024173000, -0.2025703000, -0.2045202000, -0.2078112000, -0.2109167000, -0.1975964000, -0.1610827000, 0.0097311000, 0.1167461000, 0.2335266000", \
"-0.2217760000, -0.2239830000, -0.2241360000, -0.2248652000, -0.2293769000, -0.2324824000, -0.2216034000, -0.1887519000, -0.0264830000, 0.0793113000, 0.1924297000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("Q") {
direction : "output";
function : "IQ";
internal_power () {
fall_power ("scalar") {
values("0.0000000000");
}
related_pin : "SET_B";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0060700000, 0.0121300000, 0.0242500000, 0.0485100000, 0.0970200000, 0.1940300000, 0.3880600000");
values("-0.0336418000, 0.0185939000, 0.0775657000, 0.2115757000, 0.5140479000, 1.1791041000, 2.5823650000, 5.4559162000", \
"-0.0333759000, 0.0182312000, 0.0767123000, 0.2094407000, 0.5114999000, 1.1753719000, 2.5786482000, 5.4559260000", \
"-0.0330893000, 0.0195874000, 0.0788164000, 0.2126667000, 0.5161585000, 1.1815027000, 2.5863365000, 5.4590894000", \
"-0.0329313000, 0.0205410000, 0.0804949000, 0.2154865000, 0.5202853000, 1.1865112000, 2.5942940000, 5.4637884000", \
"-0.0324773000, 0.0265504000, 0.0909520000, 0.2324460000, 0.5456402000, 1.2204495000, 2.6312955000, 5.5133823000", \
"-0.0329222000, 0.0357541000, 0.1078954000, 0.2611241000, 0.5888292000, 1.2782425000, 2.7022842000, 5.5932493000", \
"-0.0338311000, 0.0582190000, 0.1489692000, 0.3300260000, 0.6926870000, 1.4169857000, 2.8700799000, 5.7781117000", \
"-0.0338928000, 0.0810020000, 0.1899615000, 0.3983947000, 0.7946887000, 1.5539533000, 3.0339305000, 5.9542031000", \
"-0.0340984000, 0.1382951000, 0.2931251000, 0.5700846000, 1.0541070000, 1.8996646000, 3.4479161000, 6.4144725000", \
"-0.0342008000, 0.1662206000, 0.3434797000, 0.6547871000, 1.1802728000, 2.0686439000, 3.6471902000, 6.6407139000", \
"-0.0343047000, 0.1935625000, 0.3927994000, 0.7365667000, 1.3014960000, 2.2314711000, 3.8454097000, 6.8574511000");
}
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0060700000, 0.0121300000, 0.0242500000, 0.0485100000, 0.0970200000, 0.1940300000, 0.3880600000");
values("-0.1275235000, 0.0337984000, 0.1436708000, 0.2625134000, 0.2717535000, -0.0810655000, -1.2453574000, -3.9782433000", \
"-0.1280540000, 0.0335812000, 0.1436528000, 0.2628855000, 0.2728414000, -0.0798975000, -1.2438456000, -3.9772121000", \
"-0.1286303000, 0.0325292000, 0.1418381000, 0.2598293000, 0.2693888000, -0.0845152000, -1.2490632000, -3.9812331000", \
"-0.1338340000, 0.0277872000, 0.1379527000, 0.2567541000, 0.2668069000, -0.0854615000, -1.2493597000, -3.9830008000", \
"-0.1501610000, 0.0165064000, 0.1305340000, 0.2558337000, 0.2727234000, -0.0714475000, -1.2300596000, -3.9588369000", \
"-0.1973707000, -0.0208241000, 0.1010931000, 0.2382146000, 0.2703617000, -0.0600984000, -1.2061745000, -3.9270100000", \
"-0.2918067000, -0.0931345000, 0.0464338000, 0.2099417000, 0.2753485000, -0.0216240000, -1.1411677000, -3.8443414000", \
"-0.3932044000, -0.1715690000, -0.0137469000, 0.1770967000, 0.2765118000, 0.0140438000, -1.0777493000, -3.7625703000", \
"-0.6758391000, -0.3904366000, -0.1814931000, 0.0859010000, 0.2806503000, 0.1080958000, -0.9083886000, -3.5433388000", \
"-0.8225532000, -0.5042445000, -0.2691582000, 0.0376282000, 0.2819293000, 0.1592210000, -0.8189448000, -3.4271875000", \
"-0.9692672000, -0.6183846000, -0.3572794000, -0.0110302000, 0.2828776000, 0.2087971000, -0.7301534000, -3.3124056000");
}
related_pin : "CLK";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0060700000, 0.0121300000, 0.0242500000, 0.0485100000, 0.0970200000, 0.1940300000, 0.3880600000");
values("-0.1275226000, -0.0956130000, -0.0533922000, 0.0543315000, 0.3246733000, 0.9567564000, 2.3348271000, 5.1868575000", \
"-0.1280530000, -0.0958693000, -0.0534570000, 0.0548077000, 0.3252929000, 0.9573169000, 2.3363793000, 5.1924241000", \
"-0.1286295000, -0.0970998000, -0.0552627000, 0.0519277000, 0.3212229000, 0.9528587000, 2.3295980000, 5.1865010000", \
"-0.1338332000, -0.1017958000, -0.0594761000, 0.0484180000, 0.3189334000, 0.9512491000, 2.3310411000, 5.1820093000", \
"-0.1501599000, -0.1128385000, -0.0663279000, 0.0478772000, 0.3263419000, 0.9661971000, 2.3511358000, 5.2071743000", \
"-0.1973695000, -0.1487147000, -0.0932326000, 0.0345503000, 0.3296570000, 0.9863411000, 2.3858755000, 5.2550762000", \
"-0.2918056000, -0.2165382000, -0.1396531000, 0.0196232000, 0.3547165000, 1.0514425000, 2.4811062000, 5.3716221000", \
"-0.3932035000, -0.2900679000, -0.1910929000, 0.0017939000, 0.3782475000, 1.1164333000, 2.5792455000, 5.4872470000", \
"-0.6758391000, -0.4938212000, -0.3321056000, -0.0448486000, 0.4475849000, 1.3029126000, 2.8596376000, 5.8326197000", \
"-0.8225532000, -0.6000805000, -0.4060694000, -0.0703258000, 0.4846006000, 1.3980444000, 3.0018958000, 6.0076575000", \
"-0.9692672000, -0.7068295000, -0.4810044000, -0.0980987000, 0.5175953000, 1.4873732000, 3.1394279000, 6.1777683000");
}
}
max_capacitance : 0.3879650000;
max_transition : 3.7628790000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0060700000, 0.0121300000, 0.0242500000, 0.0485100000, 0.0970200000, 0.1940300000, 0.3880600000");
values("0.4074706000, 0.4513707000, 0.4897039000, 0.5668551000, 0.7229351000, 1.0360827000, 1.6640346000, 2.9207259000", \
"0.4104898000, 0.4543586000, 0.4929304000, 0.5702124000, 0.7259336000, 1.0390638000, 1.6667328000, 2.9266087000", \
"0.4140213000, 0.4578916000, 0.4964620000, 0.5737486000, 0.7294766000, 1.0428534000, 1.6710319000, 2.9275107000", \
"0.4269284000, 0.4708288000, 0.5093612000, 0.5865820000, 0.7422091000, 1.0555621000, 1.6835272000, 2.9447151000", \
"0.4764183000, 0.5203136000, 0.5588601000, 0.6362293000, 0.7920390000, 1.1049097000, 1.7331895000, 2.9973595000", \
"0.5479656000, 0.5917944000, 0.6304094000, 0.7078035000, 0.8636096000, 1.1766140000, 1.8044990000, 3.0637470000", \
"0.6816049000, 0.7253782000, 0.7638942000, 0.8409065000, 0.9970207000, 1.3106265000, 1.9384923000, 3.1971790000", \
"0.7923860000, 0.8364138000, 0.8748042000, 0.9519205000, 1.1073972000, 1.4208545000, 2.0488180000, 3.3105840000", \
"1.0521582000, 1.0963104000, 1.1346192000, 1.2119066000, 1.3676104000, 1.6802671000, 2.3077733000, 3.5705940000", \
"1.1724026000, 1.2164757000, 1.2549043000, 1.3319641000, 1.4874603000, 1.8002192000, 2.4287994000, 3.6865329000", \
"1.2883109000, 1.3322320000, 1.3707143000, 1.4479065000, 1.6037314000, 1.9161284000, 2.5444820000, 3.8021843000");
}
related_pin : "SET_B";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0060700000, 0.0121300000, 0.0242500000, 0.0485100000, 0.0970200000, 0.1940300000, 0.3880600000");
values("0.0317367000, 0.0823989000, 0.1368735000, 0.2507519000, 0.4838884000, 0.9526337000, 1.8815174000, 3.7478682000", \
"0.0319453000, 0.0825249000, 0.1371012000, 0.2513166000, 0.4840769000, 0.9484648000, 1.8837538000, 3.7471279000", \
"0.0319440000, 0.0825201000, 0.1371051000, 0.2513114000, 0.4857952000, 0.9487883000, 1.8819466000, 3.7478447000", \
"0.0319346000, 0.0825326000, 0.1370388000, 0.2513438000, 0.4838641000, 0.9493857000, 1.8801389000, 3.7513739000", \
"0.0318699000, 0.0824118000, 0.1371522000, 0.2511169000, 0.4839957000, 0.9492946000, 1.8814461000, 3.7562344000", \
"0.0321507000, 0.0824822000, 0.1370145000, 0.2512347000, 0.4841054000, 0.9485241000, 1.8837216000, 3.7481951000", \
"0.0328743000, 0.0825828000, 0.1373413000, 0.2508158000, 0.4854803000, 0.9514430000, 1.8808437000, 3.7455021000", \
"0.0326864000, 0.0827242000, 0.1378048000, 0.2510360000, 0.4827271000, 0.9493553000, 1.8803308000, 3.7516981000", \
"0.0328847000, 0.0832377000, 0.1374719000, 0.2512886000, 0.4837474000, 0.9511767000, 1.8835571000, 3.7451824000", \
"0.0332446000, 0.0833398000, 0.1377164000, 0.2510428000, 0.4837148000, 0.9492668000, 1.8798922000, 3.7484802000", \
"0.0333702000, 0.0832552000, 0.1375743000, 0.2510623000, 0.4837693000, 0.9494987000, 1.8835871000, 3.7463370000");
}
timing_sense : "negative_unate";
timing_type : "preset";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0060700000, 0.0121300000, 0.0242500000, 0.0485100000, 0.0970200000, 0.1940300000, 0.3880600000");
values("0.4054076000, 0.4476861000, 0.4813662000, 0.5449977000, 0.6711289000, 0.9240583000, 1.4314862000, 2.4473510000", \
"0.4049890000, 0.4472670000, 0.4809499000, 0.5445387000, 0.6706620000, 0.9236064000, 1.4310714000, 2.4475741000", \
"0.4086643000, 0.4509480000, 0.4845621000, 0.5482037000, 0.6743385000, 0.9272975000, 1.4346276000, 2.4511351000", \
"0.4171485000, 0.4593803000, 0.4930629000, 0.5566925000, 0.6828265000, 0.9357082000, 1.4431788000, 2.4595683000", \
"0.4506265000, 0.4929223000, 0.5265740000, 0.5901873000, 0.7163197000, 0.9692518000, 1.4767239000, 2.4927707000", \
"0.4882380000, 0.5304684000, 0.5641590000, 0.6278142000, 0.7539203000, 1.0069072000, 1.5142397000, 2.5309230000", \
"0.5341024000, 0.5762431000, 0.6099913000, 0.6735755000, 0.7996382000, 1.0526755000, 1.5600533000, 2.5759859000", \
"0.5612263000, 0.6034288000, 0.6370814000, 0.7007195000, 0.8268328000, 1.0797531000, 1.5871930000, 2.6038101000", \
"0.5935423000, 0.6357397000, 0.6693793000, 0.7329803000, 0.8590372000, 1.1119831000, 1.6194502000, 2.6356175000", \
"0.5970334000, 0.6392198000, 0.6728322000, 0.7363970000, 0.8624917000, 1.1154860000, 1.6229287000, 2.6383284000", \
"0.5972603000, 0.6394107000, 0.6730077000, 0.7366695000, 0.8628032000, 1.1157094000, 1.6231527000, 2.6393872000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0060700000, 0.0121300000, 0.0242500000, 0.0485100000, 0.0970200000, 0.1940300000, 0.3880600000");
values("0.4106166000, 0.4563605000, 0.4950413000, 0.5718176000, 0.7272259000, 1.0388408000, 1.6662578000, 2.9287563000", \
"0.4100658000, 0.4559310000, 0.4946907000, 0.5709678000, 0.7263461000, 1.0385091000, 1.6664148000, 2.9276990000", \
"0.4137618000, 0.4597102000, 0.4983747000, 0.5748478000, 0.7304348000, 1.0423488000, 1.6702164000, 2.9334521000", \
"0.4219487000, 0.4676250000, 0.5063898000, 0.5831101000, 0.7384453000, 1.0500605000, 1.6775266000, 2.9394389000", \
"0.4522071000, 0.4980342000, 0.5366888000, 0.6134578000, 0.7689281000, 1.0803989000, 1.7082543000, 2.9691793000", \
"0.4885357000, 0.5344935000, 0.5731136000, 0.6496796000, 0.8052476000, 1.1173642000, 1.7448571000, 3.0054621000", \
"0.5370228000, 0.5829129000, 0.6215274000, 0.6981363000, 0.8536843000, 1.1652208000, 1.7922716000, 3.0532978000", \
"0.5690677000, 0.6151986000, 0.6537448000, 0.7299856000, 0.8849702000, 1.1966571000, 1.8238463000, 3.0846139000", \
"0.6194074000, 0.6658427000, 0.7043381000, 0.7805725000, 0.9353428000, 1.2462543000, 1.8735737000, 3.1355656000", \
"0.6327650000, 0.6792956000, 0.7180427000, 0.7937697000, 0.9477392000, 1.2593435000, 1.8863444000, 3.1415186000", \
"0.6408566000, 0.6875047000, 0.7258946000, 0.8019935000, 0.9560337000, 1.2668582000, 1.8939859000, 3.1484322000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0060700000, 0.0121300000, 0.0242500000, 0.0485100000, 0.0970200000, 0.1940300000, 0.3880600000");
values("0.0310368000, 0.0689494000, 0.1056575000, 0.1835964000, 0.3475789000, 0.6785392000, 1.3466260000, 2.6793721000", \
"0.0310411000, 0.0689453000, 0.1054182000, 0.1837350000, 0.3479339000, 0.6785558000, 1.3469640000, 2.6793365000", \
"0.0307716000, 0.0690207000, 0.1056636000, 0.1833323000, 0.3464704000, 0.6783545000, 1.3467554000, 2.6808438000", \
"0.0307528000, 0.0689218000, 0.1056749000, 0.1836437000, 0.3474365000, 0.6783940000, 1.3472315000, 2.6777938000", \
"0.0308696000, 0.0690225000, 0.1057855000, 0.1837109000, 0.3473802000, 0.6779792000, 1.3450339000, 2.6752187000", \
"0.0306499000, 0.0688839000, 0.1056630000, 0.1836638000, 0.3476708000, 0.6784162000, 1.3453552000, 2.6770692000", \
"0.0306178000, 0.0689215000, 0.1057727000, 0.1833628000, 0.3477925000, 0.6775974000, 1.3435287000, 2.6770670000", \
"0.0308139000, 0.0686508000, 0.1056678000, 0.1836428000, 0.3474491000, 0.6779576000, 1.3465202000, 2.6789866000", \
"0.0309620000, 0.0688329000, 0.1055549000, 0.1836442000, 0.3477167000, 0.6785526000, 1.3439281000, 2.6794837000", \
"0.0308159000, 0.0688872000, 0.1056242000, 0.1836830000, 0.3470880000, 0.6782966000, 1.3455052000, 2.6725018000", \
"0.0306062000, 0.0687107000, 0.1057344000, 0.1836244000, 0.3475949000, 0.6779780000, 1.3474903000, 2.6744778000");
}
related_pin : "CLK";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0060700000, 0.0121300000, 0.0242500000, 0.0485100000, 0.0970200000, 0.1940300000, 0.3880600000");
values("0.0385195000, 0.0876387000, 0.1406357000, 0.2536076000, 0.4841054000, 0.9525599000, 1.8834718000, 3.7502668000", \
"0.0387083000, 0.0876525000, 0.1407436000, 0.2528906000, 0.4846212000, 0.9496606000, 1.8823285000, 3.7492489000", \
"0.0384212000, 0.0877687000, 0.1407814000, 0.2532582000, 0.4842596000, 0.9496187000, 1.8829571000, 3.7551042000", \
"0.0384059000, 0.0876552000, 0.1409550000, 0.2535926000, 0.4839710000, 0.9525751000, 1.8832746000, 3.7512100000", \
"0.0389691000, 0.0876311000, 0.1405846000, 0.2535505000, 0.4840802000, 0.9496892000, 1.8828887000, 3.7513361000", \
"0.0384399000, 0.0879026000, 0.1408576000, 0.2528430000, 0.4845976000, 0.9501608000, 1.8820601000, 3.7494292000", \
"0.0393975000, 0.0878939000, 0.1408443000, 0.2532514000, 0.4841771000, 0.9526199000, 1.8834314000, 3.7628793000", \
"0.0395358000, 0.0884672000, 0.1413212000, 0.2532247000, 0.4850330000, 0.9528104000, 1.8825770000, 3.7509618000", \
"0.0409373000, 0.0898689000, 0.1423681000, 0.2537699000, 0.4843379000, 0.9497273000, 1.8820143000, 3.7478278000", \
"0.0424238000, 0.0903893000, 0.1430314000, 0.2540833000, 0.4846390000, 0.9512193000, 1.8846773000, 3.7481480000", \
"0.0424682000, 0.0910564000, 0.1433713000, 0.2544128000, 0.4846649000, 0.9491259000, 1.8809754000, 3.7443408000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
}
pin ("Q_N") {
direction : "output";
function : "IQ_N";
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085200000, 0.0170400000, 0.0340700000, 0.0681400000, 0.1362800000, 0.2725500000, 0.5451000000");
values("-0.0336648000, 0.1919635000, 0.3436754000, 0.5042655000, 0.5090138000, 0.0016656000, -1.6430354000, -5.4891729000", \
"-0.0333987000, 0.1926914000, 0.3443602000, 0.5055846000, 0.5121524000, 0.0029571000, -1.6390871000, -5.4842253000", \
"-0.0331120000, 0.1926912000, 0.3448675000, 0.5052678000, 0.5116665000, 0.0019456000, -1.6417261000, -5.4872449000", \
"-0.0329542000, 0.1937317000, 0.3463793000, 0.5076581000, 0.5156861000, 0.0093417000, -1.6346703000, -5.4793864000", \
"-0.0325001000, 0.2000626000, 0.3565447000, 0.5253595000, 0.5418203000, 0.0445129000, -1.5909137000, -5.4308400000", \
"-0.0329449000, 0.2087726000, 0.3734693000, 0.5533666000, 0.5843660000, 0.1001991000, -1.5228379000, -5.3547654000", \
"-0.0338538000, 0.2314641000, 0.4142681000, 0.6225008000, 0.6884247000, 0.2401068000, -1.3549496000, -5.1677542000", \
"-0.0339158000, 0.2542944000, 0.4555136000, 0.6907708000, 0.7898430000, 0.3734550000, -1.1940176000, -4.9892682000", \
"-0.0341211000, 0.3123793000, 0.5600805000, 0.8644723000, 1.0488758000, 0.7177734000, -0.7826436000, -4.5327651000", \
"-0.0342183000, 0.3402742000, 0.6103285000, 0.9478372000, 1.1749372000, 0.8875233000, -0.5808823000, -4.3089612000", \
"-0.0343155000, 0.3676290000, 0.6594538000, 1.0300815000, 1.2979015000, 1.0502407000, -0.3849959000, -4.0914161000");
}
related_pin : "SET_B";
rise_power ("scalar") {
values("0.0000000000");
}
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085200000, 0.0170400000, 0.0340700000, 0.0681400000, 0.1362800000, 0.2725500000, 0.5451000000");
values("-0.1275447000, 0.0798116000, 0.2142065000, 0.3473981000, 0.3172329000, -0.2306759000, -1.9080195000, -5.7761238000", \
"-0.1280753000, 0.0795046000, 0.2141401000, 0.3475848000, 0.3181468000, -0.2297036000, -1.9059098000, -5.7746852000", \
"-0.1286514000, 0.0782377000, 0.2127192000, 0.3456864000, 0.3138649000, -0.2346097000, -1.9119135000, -5.7805811000", \
"-0.1338552000, 0.0736055000, 0.2081749000, 0.3414305000, 0.3116575000, -0.2364770000, -1.9127223000, -5.7812835000", \
"-0.1501819000, 0.0622488000, 0.2006449000, 0.3400711000, 0.3171165000, -0.2231875000, -1.8935579000, -5.7588608000", \
"-0.1973916000, 0.0270743000, 0.1751220000, 0.3285514000, 0.3228631000, -0.1997204000, -1.8564841000, -5.7117814000", \
"-0.2918279000, -0.0407126000, 0.1283378000, 0.3133920000, 0.3463151000, -0.1384890000, -1.7646546000, -5.5998877000", \
"-0.3932255000, -0.1138056000, 0.0777677000, 0.2951594000, 0.3687649000, -0.0758915000, -1.6707664000, -5.4851729000", \
"-0.6758553000, -0.3166832000, -0.0623241000, 0.2483391000, 0.4365983000, 0.1045621000, -1.4029429000, -5.1593503000", \
"-0.8225603000, -0.4221715000, -0.1351865000, 0.2236446000, 0.4708185000, 0.1959556000, -1.2650813000, -4.9912973000", \
"-0.9692672000, -0.5284740000, -0.2093378000, 0.1968539000, 0.5026249000, 0.2827199000, -1.1334433000, -4.8306697000");
}
related_pin : "CLK";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085200000, 0.0170400000, 0.0340700000, 0.0681400000, 0.1362800000, 0.2725500000, 0.5451000000");
values("-0.1275297000, -0.1341995000, -0.1167659000, -0.0292190000, 0.2676627000, 1.0784318000, 2.9444632000, 6.9090138000", \
"-0.1280602000, -0.1344553000, -0.1168510000, -0.0285063000, 0.2681898000, 1.0790909000, 2.9453906000, 6.9108757000", \
"-0.1286365000, -0.1356925000, -0.1186340000, -0.0316012000, 0.2652454000, 1.0749220000, 2.9400682000, 6.9096548000", \
"-0.1338402000, -0.1402977000, -0.1227678000, -0.0351315000, 0.2619644000, 1.0725621000, 2.9392200000, 6.9105310000", \
"-0.1501671000, -0.1518701000, -0.1304491000, -0.0370106000, 0.2670850000, 1.0843730000, 2.9577701000, 6.9281290000", \
"-0.1973767000, -0.1887550000, -0.1592413000, -0.0529390000, 0.2667988000, 1.0990315000, 2.9839270000, 6.9597781000", \
"-0.2918127000, -0.2610922000, -0.2138171000, -0.0815739000, 0.2709222000, 1.1377320000, 3.0480555000, 7.0490813000", \
"-0.3932105000, -0.3396977000, -0.2743097000, -0.1147428000, 0.2724740000, 1.1717243000, 3.1095781000, 7.1257380000", \
"-0.6758397000, -0.5590754000, -0.4432336000, -0.2083066000, 0.2722167000, 1.2663801000, 3.2795338000, 7.3475268000", \
"-0.8225532000, -0.6728509000, -0.5307277000, -0.2561195000, 0.2781502000, 1.3157728000, 3.3708929000, 7.4564435000", \
"-0.9692672000, -0.7868792000, -0.6185305000, -0.3053741000, 0.2781524000, 1.3633575000, 3.4595371000, 7.5701431000");
}
}
max_capacitance : 0.5430320000;
max_transition : 3.7618000000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085200000, 0.0170400000, 0.0340700000, 0.0681400000, 0.1362800000, 0.2725500000, 0.5451000000");
values("0.3363634000, 0.4034613000, 0.4548573000, 0.5464367000, 0.7224494000, 1.0758068000, 1.7868922000, 3.2123912000", \
"0.3409708000, 0.4080700000, 0.4595294000, 0.5510559000, 0.7270469000, 1.0804821000, 1.7914689000, 3.2169918000", \
"0.3429804000, 0.4101194000, 0.4615541000, 0.5531271000, 0.7292444000, 1.0825464000, 1.7936767000, 3.2191096000", \
"0.3556214000, 0.4228409000, 0.4742789000, 0.5658714000, 0.7419776000, 1.0954589000, 1.8064182000, 3.2316909000", \
"0.4050024000, 0.4721240000, 0.5236475000, 0.6153375000, 0.7915139000, 1.1451115000, 1.8562748000, 3.2821537000", \
"0.4766890000, 0.5441461000, 0.5959201000, 0.6879455000, 0.8645819000, 1.2185077000, 1.9300841000, 3.3556198000", \
"0.6100393000, 0.6779350000, 0.7300124000, 0.8219987000, 0.9982775000, 1.3520368000, 2.0635098000, 3.4891980000", \
"0.7202881000, 0.7890394000, 0.8413908000, 0.9336802000, 1.1100657000, 1.4635394000, 2.1745397000, 3.6001400000", \
"0.9796877000, 1.0495466000, 1.1022339000, 1.1946886000, 1.3709776000, 1.7240358000, 2.4351203000, 3.8604178000", \
"1.1009669000, 1.1710847000, 1.2239683000, 1.3164926000, 1.4926597000, 1.8454493000, 2.5564699000, 3.9820187000", \
"1.2158324000, 1.2861077000, 1.3390555000, 1.4316045000, 1.6077106000, 1.9602706000, 2.6712388000, 4.0961257000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085200000, 0.0170400000, 0.0340700000, 0.0681400000, 0.1362800000, 0.2725500000, 0.5451000000");
values("0.0499789000, 0.1114480000, 0.1628968000, 0.2669140000, 0.4880926000, 0.9516453000, 1.8837274000, 3.7532497000", \
"0.0497879000, 0.1119728000, 0.1629829000, 0.2676677000, 0.4898694000, 0.9524682000, 1.8865662000, 3.7592227000", \
"0.0497551000, 0.1116013000, 0.1630254000, 0.2673177000, 0.4895295000, 0.9525368000, 1.8879334000, 3.7547725000", \
"0.0504977000, 0.1115612000, 0.1631003000, 0.2677930000, 0.4887877000, 0.9513438000, 1.8873230000, 3.7511860000", \
"0.0503329000, 0.1114243000, 0.1628441000, 0.2675563000, 0.4899546000, 0.9540205000, 1.8860540000, 3.7476644000", \
"0.0492664000, 0.1112933000, 0.1625151000, 0.2670046000, 0.4897805000, 0.9526041000, 1.8882163000, 3.7562065000", \
"0.0509562000, 0.1126277000, 0.1637231000, 0.2679246000, 0.4899294000, 0.9538724000, 1.8876793000, 3.7591072000", \
"0.0526182000, 0.1147520000, 0.1658527000, 0.2692517000, 0.4901994000, 0.9532393000, 1.8879158000, 3.7562522000", \
"0.0551246000, 0.1181505000, 0.1685540000, 0.2717581000, 0.4913300000, 0.9539124000, 1.8855968000, 3.7582937000", \
"0.0560993000, 0.1190815000, 0.1693420000, 0.2718319000, 0.4924584000, 0.9515301000, 1.8845032000, 3.7532271000", \
"0.0564120000, 0.1196874000, 0.1701702000, 0.2729879000, 0.4926155000, 0.9517115000, 1.8879014000, 3.7593901000");
}
related_pin : "SET_B";
timing_sense : "positive_unate";
timing_type : "clear";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085200000, 0.0170400000, 0.0340700000, 0.0681400000, 0.1362800000, 0.2725500000, 0.5451000000");
values("0.3315348000, 0.4151567000, 0.4769491000, 0.5784839000, 0.7611305000, 1.1146057000, 1.8238102000, 3.2475258000", \
"0.3310145000, 0.4146554000, 0.4765252000, 0.5780043000, 0.7606454000, 1.1140897000, 1.8233381000, 3.2469776000", \
"0.3347241000, 0.4184713000, 0.4802244000, 0.5816783000, 0.7644421000, 1.1178820000, 1.8268930000, 3.2507401000", \
"0.3427078000, 0.4263520000, 0.4881347000, 0.5897637000, 0.7723939000, 1.1258893000, 1.8349785000, 3.2587304000", \
"0.3733969000, 0.4569878000, 0.5187724000, 0.6203447000, 0.8030066000, 1.1564675000, 1.8653952000, 3.2897085000", \
"0.4092066000, 0.4926797000, 0.5542871000, 0.6555857000, 0.8380586000, 1.1914119000, 1.9004764000, 3.3245451000", \
"0.4583792000, 0.5420930000, 0.6033911000, 0.7043483000, 0.8862205000, 1.2391155000, 1.9476896000, 3.3708871000", \
"0.4904374000, 0.5744395000, 0.6356868000, 0.7364837000, 0.9180814000, 1.2702582000, 1.9781099000, 3.4016019000", \
"0.5408990000, 0.6263934000, 0.6877021000, 0.7877305000, 0.9676741000, 1.3179551000, 2.0240731000, 3.4460659000", \
"0.5541713000, 0.6406030000, 0.7019818000, 0.8015409000, 0.9806310000, 1.3297560000, 2.0351660000, 3.4559970000", \
"0.5622148000, 0.6498456000, 0.7109962000, 0.8103033000, 0.9884978000, 1.3365414000, 2.0410527000, 3.4612255000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085200000, 0.0170400000, 0.0340700000, 0.0681400000, 0.1362800000, 0.2725500000, 0.5451000000");
values("0.3261593000, 0.3856245000, 0.4303839000, 0.5119853000, 0.6625223000, 0.9580428000, 1.5492801000, 2.7361453000", \
"0.3255580000, 0.3851370000, 0.4299162000, 0.5115742000, 0.6621337000, 0.9576816000, 1.5490483000, 2.7356633000", \
"0.3291868000, 0.3888297000, 0.4336120000, 0.5152294000, 0.6657544000, 0.9612190000, 1.5528682000, 2.7394993000", \
"0.3376165000, 0.3972556000, 0.4420392000, 0.5236585000, 0.6741540000, 0.9697649000, 1.5606298000, 2.7471589000", \
"0.3713475000, 0.4308842000, 0.4756553000, 0.5572598000, 0.7080127000, 1.0036315000, 1.5947580000, 2.7821438000", \
"0.4088687000, 0.4683403000, 0.5131081000, 0.5946642000, 0.7451810000, 1.0405977000, 1.6321876000, 2.8173663000", \
"0.4549568000, 0.5142696000, 0.5589018000, 0.6404238000, 0.7909992000, 1.0863372000, 1.6779772000, 2.8647635000", \
"0.4821792000, 0.5413578000, 0.5858663000, 0.6672003000, 0.8174933000, 1.1126572000, 1.7042641000, 2.8905014000", \
"0.5148906000, 0.5736117000, 0.6177581000, 0.6988678000, 0.8490551000, 1.1442756000, 1.7349938000, 2.9226714000", \
"0.5191647000, 0.5778499000, 0.6219028000, 0.7029572000, 0.8532562000, 1.1480458000, 1.7393140000, 2.9229728000", \
"0.5189341000, 0.5773582000, 0.6212590000, 0.7021247000, 0.8522810000, 1.1469389000, 1.7379111000, 2.9221214000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085200000, 0.0170400000, 0.0340700000, 0.0681400000, 0.1362800000, 0.2725500000, 0.5451000000");
values("0.0762985000, 0.1505319000, 0.2019701000, 0.3015908000, 0.5101382000, 0.9607741000, 1.8914379000, 3.7599213000", \
"0.0764237000, 0.1502491000, 0.2020608000, 0.3014257000, 0.5103973000, 0.9609918000, 1.8912891000, 3.7574743000", \
"0.0763913000, 0.1501519000, 0.2019476000, 0.3016012000, 0.5105421000, 0.9604989000, 1.8885753000, 3.7581778000", \
"0.0764308000, 0.1504687000, 0.2020366000, 0.3015245000, 0.5099464000, 0.9604855000, 1.8892983000, 3.7618002000", \
"0.0759811000, 0.1501613000, 0.2019337000, 0.3012094000, 0.5107134000, 0.9612808000, 1.8862771000, 3.7538221000", \
"0.0762373000, 0.1504755000, 0.2024019000, 0.3017810000, 0.5104725000, 0.9602316000, 1.8901825000, 3.7522803000", \
"0.0784280000, 0.1529806000, 0.2047288000, 0.3031818000, 0.5114196000, 0.9611972000, 1.8902580000, 3.7617109000", \
"0.0807639000, 0.1560526000, 0.2079060000, 0.3058507000, 0.5131490000, 0.9614441000, 1.8886017000, 3.7558419000", \
"0.0905980000, 0.1663563000, 0.2168583000, 0.3131287000, 0.5169876000, 0.9626758000, 1.8920325000, 3.7532195000", \
"0.0965099000, 0.1712580000, 0.2215353000, 0.3165708000, 0.5181513000, 0.9640041000, 1.8868429000, 3.7608650000", \
"0.1009889000, 0.1765113000, 0.2259583000, 0.3196926000, 0.5198117000, 0.9647295000, 1.8880515000, 3.7609391000");
}
related_pin : "CLK";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085200000, 0.0170400000, 0.0340700000, 0.0681400000, 0.1362800000, 0.2725500000, 0.5451000000");
values("0.0477538000, 0.1045400000, 0.1565727000, 0.2593510000, 0.4704847000, 0.9073045000, 1.7906817000, 3.5687169000", \
"0.0478899000, 0.1043865000, 0.1566396000, 0.2593906000, 0.4701691000, 0.9052052000, 1.7916584000, 3.5616494000", \
"0.0478040000, 0.1043151000, 0.1566148000, 0.2593724000, 0.4704800000, 0.9061804000, 1.7899797000, 3.5701686000", \
"0.0482554000, 0.1044044000, 0.1566129000, 0.2593838000, 0.4704913000, 0.9076745000, 1.7910247000, 3.5641248000", \
"0.0484035000, 0.1045068000, 0.1564253000, 0.2592306000, 0.4702847000, 0.9052369000, 1.7912524000, 3.5604897000", \
"0.0480393000, 0.1043168000, 0.1564053000, 0.2592635000, 0.4704084000, 0.9063757000, 1.7921279000, 3.5630117000", \
"0.0483598000, 0.1042852000, 0.1564231000, 0.2602678000, 0.4701071000, 0.9064185000, 1.7896197000, 3.5751868000", \
"0.0484584000, 0.1040713000, 0.1563737000, 0.2592532000, 0.4701897000, 0.9075551000, 1.7895998000, 3.5627563000", \
"0.0485942000, 0.1045689000, 0.1564531000, 0.2593597000, 0.4703915000, 0.9076675000, 1.7896370000, 3.5739876000", \
"0.0489416000, 0.1048410000, 0.1567066000, 0.2595088000, 0.4705716000, 0.9064605000, 1.7912029000, 3.5661274000", \
"0.0499666000, 0.1049314000, 0.1566864000, 0.2595859000, 0.4703961000, 0.9054770000, 1.7916383000, 3.5644889000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
}
pin ("SCD") {
capacitance : 0.0022790000;
clock : "false";
direction : "input";
fall_capacitance : 0.0022670000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0341567000, 0.0338343000, 0.0334880000, 0.0333520000, 0.0329959000, 0.0330218000, 0.0331063000, 0.0332223000, 0.0336036000, 0.0337859000, 0.0339681000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0308485000, -0.0307161000, -0.0305695000, -0.0309643000, -0.0321203000, -0.0320781000, -0.0319562000, -0.0319032000, -0.0316887000, -0.0315953000, -0.0315020000");
}
}
max_transition : 3.7500000000;
nextstate_type : "scan_in";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0022900000;
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3718730000, 0.3777422000, 0.3778952000, 0.3810658000, 0.4087708000, 0.4729115000, 0.6243861000, 0.7770814000, 1.1799980000, 1.3732761000, 1.5604506000", \
"0.3696660000, 0.3755351000, 0.3756881000, 0.3788587000, 0.4065638000, 0.4707044000, 0.6221790000, 0.7760951000, 1.1777910000, 1.3710690000, 1.5582436000", \
"0.3682923000, 0.3741615000, 0.3743145000, 0.3774850000, 0.4051901000, 0.4693307000, 0.6208053000, 0.7735006000, 1.1764173000, 1.3696953000, 1.5568699000", \
"0.3614596000, 0.3648874000, 0.3674818000, 0.3694316000, 0.3983574000, 0.4612773000, 0.6127519000, 0.7666680000, 1.1683639000, 1.3616420000, 1.5488165000", \
"0.3374167000, 0.3396237000, 0.3422181000, 0.3453887000, 0.3730937000, 0.4360137000, 0.5874883000, 0.7401836000, 1.1382175000, 1.3314954000, 1.5174493000", \
"0.3123385000, 0.3182077000, 0.3183607000, 0.3203105000, 0.3480156000, 0.4121562000, 0.5624102000, 0.7138848000, 1.1106979000, 1.3003138000, 1.4838262000", \
"0.2829342000, 0.2888034000, 0.2877357000, 0.2909063000, 0.3186113000, 0.3827520000, 0.5330059000, 0.6844805000, 1.0812937000, 1.2696888000, 1.4519806000", \
"0.2645163000, 0.2691647000, 0.2693177000, 0.2724883000, 0.3001934000, 0.3643340000, 0.5158086000, 0.6685039000, 1.0665378000, 1.2561537000, 1.4372247000", \
"0.2522246000, 0.2580938000, 0.2582467000, 0.2601966000, 0.2891224000, 0.3544837000, 0.5047376000, 0.6586536000, 1.0579082000, 1.2475241000, 1.4298159000", \
"0.2579212000, 0.2637904000, 0.2651641000, 0.2671139000, 0.2948190000, 0.3601803000, 0.5116549000, 0.6643503000, 1.0648255000, 1.2556621000, 1.4379539000", \
"0.2709420000, 0.2755904000, 0.2769641000, 0.2789140000, 0.3078398000, 0.3719804000, 0.5210136000, 0.6749296000, 1.0754048000, 1.2674622000, 1.4485332000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2290508000, 0.2300371000, 0.2326315000, 0.2333607000, 0.2415345000, 0.2568470000, 0.2630580000, 0.2424134000, 0.1167656000, 0.0305026000, -0.0618639000", \
"0.2268438000, 0.2278301000, 0.2304245000, 0.2311536000, 0.2393275000, 0.2546400000, 0.2608509000, 0.2402064000, 0.1182207000, 0.0295163000, -0.0640709000", \
"0.2254701000, 0.2264564000, 0.2290508000, 0.2297799000, 0.2379538000, 0.2532663000, 0.2594772000, 0.2388327000, 0.1131849000, 0.0269219000, -0.0654446000", \
"0.2186374000, 0.2184030000, 0.2209974000, 0.2217266000, 0.2299004000, 0.2452129000, 0.2514238000, 0.2295586000, 0.1039108000, 0.0188685000, -0.0759394000", \
"0.1982565000, 0.1980221000, 0.2006165000, 0.2025664000, 0.2095195000, 0.2236113000, 0.2286016000, 0.2055156000, 0.0774264000, -0.0063952000, -0.1024237000", \
"0.1866061000, 0.1900339000, 0.1901869000, 0.1909160000, 0.1978691000, 0.2095195000, 0.2120684000, 0.1877617000, 0.0608932000, -0.0253698000, -0.1189570000", \
"0.1840573000, 0.1874850000, 0.1876380000, 0.1883672000, 0.1940996000, 0.2045293000, 0.2046367000, 0.1839922000, 0.0559030000, -0.0303600000, -0.1227265000", \
"0.1888327000, 0.1922604000, 0.1924134000, 0.1931426000, 0.1988750000, 0.2080840000, 0.2106328000, 0.1899883000, 0.0680026000, -0.0170397000, -0.1081855000", \
"0.2131621000, 0.2165898000, 0.2167428000, 0.2174720000, 0.2244251000, 0.2348548000, 0.2422865000, 0.2289662000, 0.1228496000, 0.0451315000, -0.0423521000", \
"0.2310658000, 0.2332728000, 0.2334258000, 0.2341549000, 0.2411081000, 0.2527585000, 0.2638522000, 0.2541940000, 0.1554017000, 0.0813457000, -0.0024758000", \
"0.2501900000, 0.2523970000, 0.2525501000, 0.2532792000, 0.2602323000, 0.2731034000, 0.2866386000, 0.2806425000, 0.1903951000, 0.1187805000, 0.0386211000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.2360371000, -0.2406855000, -0.2420592000, -0.2452298000, -0.2729349000, -0.3370755000, -0.4824466000, -0.6253763000, -0.9843477000, -1.1568737000, -1.3245170000", \
"-0.2301680000, -0.2335957000, -0.2349694000, -0.2381400000, -0.2670657000, -0.3299857000, -0.4765775000, -0.6182864000, -0.9760371000, -1.1473424000, -1.3137651000", \
"-0.2336771000, -0.2371048000, -0.2384785000, -0.2416491000, -0.2693542000, -0.3347155000, -0.4800866000, -0.6217956000, -0.9819877000, -1.1545137000, -1.3221570000", \
"-0.2268444000, -0.2314928000, -0.2316458000, -0.2348164000, -0.2637422000, -0.3266621000, -0.4732539000, -0.6174043000, -0.9763756000, -1.1501224000, -1.3177657000", \
"-0.2028014000, -0.2074499000, -0.2088236000, -0.2107734000, -0.2409199000, -0.3050605000, -0.4516523000, -0.5982441000, -0.9633190000, -1.1358450000, -1.3047091000", \
"-0.1801647000, -0.1848131000, -0.1849661000, -0.1881367000, -0.2170625000, -0.2824238000, -0.4302363000, -0.5768282000, -0.9480065000, -1.1254154000, -1.2967208000", \
"-0.1409948000, -0.1468639000, -0.1470169000, -0.1501875000, -0.1791133000, -0.2469160000, -0.4008320000, -0.5535273000, -0.9332507000, -1.1143216000, -1.2856270000", \
"-0.1189147000, -0.1247839000, -0.1249368000, -0.1268867000, -0.1570332000, -0.2260566000, -0.3824141000, -0.5363301000, -0.9233776000, -1.1068900000, -1.2794161000", \
"-0.0870918000, -0.0929609000, -0.0931139000, -0.0962845000, -0.1252103000, -0.1942337000, -0.3554740000, -0.5130521000, -0.9098652000, -1.0958191000, -1.2768901000", \
"-0.0805814000, -0.0864505000, -0.0866035000, -0.0885534000, -0.1186999000, -0.1865026000, -0.3489635000, -0.5077623000, -0.9106790000, -1.0954122000, -1.2752624000", \
"-0.0740709000, -0.0811607000, -0.0813137000, -0.0844843000, -0.1121894000, -0.1812128000, -0.3436737000, -0.5073554000, -0.9090513000, -1.0986672000, -1.2797383000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1615742000, -0.1613398000, -0.1639342000, -0.1646634000, -0.1728372000, -0.1832669000, -0.1711673000, -0.1322122000, 0.0386016000, 0.1443958000, 0.2562935000", \
"-0.1581465000, -0.1591328000, -0.1617272000, -0.1624564000, -0.1706302000, -0.1798392000, -0.1665189000, -0.1275638000, 0.0444707000, 0.1502650000, 0.2633834000", \
"-0.1592142000, -0.1589798000, -0.1615742000, -0.1623034000, -0.1704772000, -0.1796862000, -0.1675866000, -0.1286315000, 0.0409616000, 0.1467559000, 0.2586536000", \
"-0.1523815000, -0.1533678000, -0.1559622000, -0.1566914000, -0.1636445000, -0.1740742000, -0.1607539000, -0.1217988000, 0.0477943000, 0.1535885000, 0.2642655000", \
"-0.1417663000, -0.1451940000, -0.1453470000, -0.1460762000, -0.1530293000, -0.1610176000, -0.1476973000, -0.1124043000, 0.0559681000, 0.1568796000, 0.2663359000", \
"-0.1386608000, -0.1420885000, -0.1422415000, -0.1429707000, -0.1487031000, -0.1566914000, -0.1470332000, -0.1105195000, 0.0529701000, 0.1489987000, 0.2584550000", \
"-0.1422155000, -0.1444225000, -0.1445755000, -0.1453047000, -0.1510371000, -0.1578047000, -0.1505879000, -0.1189570000, 0.0347669000, 0.1295749000, 0.2292655000", \
"-0.1494323000, -0.1528600000, -0.1530130000, -0.1525215000, -0.1594746000, -0.1674629000, -0.1614668000, -0.1334980000, 0.0104603000, 0.1040475000, 0.2037382000", \
"-0.1786445000, -0.1808516000, -0.1810046000, -0.1817337000, -0.1886869000, -0.1978958000, -0.2016654000, -0.1810208000, -0.0602559000, 0.0260072000, 0.1171529000", \
"-0.1965482000, -0.1987552000, -0.1989082000, -0.1996374000, -0.2065905000, -0.2170202000, -0.2232311000, -0.2074694000, -0.0952493000, -0.0163105000, 0.0736145000", \
"-0.2144517000, -0.2178795000, -0.2180325000, -0.2175409000, -0.2244941000, -0.2373651000, -0.2460175000, -0.2351386000, -0.1302428000, -0.0549661000, 0.0300762000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("SCE") {
capacitance : 0.0042750000;
clock : "false";
direction : "input";
fall_capacitance : 0.0040840000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1219779000, 0.1252650000, 0.1288288000, 0.1378178000, 0.1660275000, 0.2271192000, 0.3493357000, 0.4767734000, 0.8320060000, 1.0163924000, 1.2007788000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0069972000, -0.0037580000, -0.0002457000, 0.0077409000, 0.0328212000, 0.0927247000, 0.2125687000, 0.3396683000, 0.6939660000, 0.8778652000, 1.0617643000");
}
}
max_transition : 3.7500000000;
nextstate_type : "scan_enable";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0044660000;
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2766582000, 0.2837480000, 0.2875632000, 0.3004993000, 0.3489564000, 0.4155384000, 0.5364954000, 0.6440247000, 0.9138848000, 1.0449069000, 1.1710463000", \
"0.2744512000, 0.2815410000, 0.2865768000, 0.2982923000, 0.3479701000, 0.4145521000, 0.5342884000, 0.6430384000, 0.9128984000, 1.0426999000, 1.1688393000", \
"0.2730775000, 0.2801673000, 0.2839824000, 0.2969186000, 0.3453757000, 0.4131784000, 0.5329147000, 0.6404440000, 0.9115247000, 1.0413262000, 1.1674656000", \
"0.2650241000, 0.2733346000, 0.2771497000, 0.2888652000, 0.3385430000, 0.4051250000, 0.5248613000, 0.6336113000, 0.9034713000, 1.0332727000, 1.1594122000", \
"0.2458639000, 0.2541745000, 0.2579896000, 0.2697051000, 0.3193828000, 0.3871856000, 0.5057012000, 0.6120098000, 0.8818698000, 1.0116712000, 1.1365900000", \
"0.2366549000, 0.2437448000, 0.2475599000, 0.2604961000, 0.3089531000, 0.3767559000, 0.4952715000, 0.6003594000, 0.8665573000, 0.9963587000, 1.1224981000", \
"0.2353268000, 0.2436374000, 0.2474525000, 0.2591680000, 0.3076250000, 0.3742070000, 0.4915019000, 0.5965898000, 0.8627878000, 0.9913685000, 1.1175079000", \
"0.2413229000, 0.2484128000, 0.2522279000, 0.2651641000, 0.3136211000, 0.3802031000, 0.4962773000, 0.6013652000, 0.8675632000, 0.9961439000, 1.1222833000", \
"0.2680938000, 0.2764043000, 0.2789987000, 0.2919349000, 0.3416126000, 0.4069740000, 0.5242689000, 0.6293568000, 0.8967754000, 1.0265769000, 1.1539369000", \
"0.2872181000, 0.2943079000, 0.2981230000, 0.3110593000, 0.3595163000, 0.4248776000, 0.5433932000, 0.6484811000, 0.9171204000, 1.0481426000, 1.1742819000", \
"0.3075631000, 0.3146529000, 0.3184680000, 0.3314042000, 0.3798612000, 0.4452226000, 0.5637382000, 0.6700468000, 0.9386861000, 1.0697083000, 1.1982891000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3791973000, 0.3838457000, 0.3827780000, 0.3932728000, 0.3977845000, 0.3923451000, 0.3729212000, 0.3388490000, 0.2144219000, 0.1440280000, 0.0699721000", \
"0.3769902000, 0.3816387000, 0.3805710000, 0.3910658000, 0.3967982000, 0.3901380000, 0.3707142000, 0.3366419000, 0.2122148000, 0.1418210000, 0.0689858000", \
"0.3756165000, 0.3802650000, 0.3791973000, 0.3896921000, 0.3954245000, 0.3887643000, 0.3693405000, 0.3352682000, 0.2108412000, 0.1404473000, 0.0663914000", \
"0.3675632000, 0.3734323000, 0.3711439000, 0.3828594000, 0.3873711000, 0.3807109000, 0.3612871000, 0.3259941000, 0.2027878000, 0.1323939000, 0.0595587000", \
"0.3435202000, 0.3481686000, 0.3471009000, 0.3575957000, 0.3621074000, 0.3566680000, 0.3360234000, 0.3019512000, 0.1787448000, 0.1071302000, 0.0342950000", \
"0.3184421000, 0.3230905000, 0.3256849000, 0.3325176000, 0.3382500000, 0.3315899000, 0.3121660000, 0.2780938000, 0.1536667000, 0.0820521000, 0.0092169000", \
"0.2865963000, 0.2924655000, 0.2938392000, 0.3018926000, 0.3064043000, 0.3009649000, 0.2803203000, 0.2462480000, 0.1218210000, 0.0514271000, -0.0214081000", \
"0.2681784000, 0.2740475000, 0.2754212000, 0.2834746000, 0.2879863000, 0.2813262000, 0.2606816000, 0.2253887000, 0.1046237000, 0.0330091000, -0.0398261000", \
"0.2546660000, 0.2617559000, 0.2631296000, 0.2699622000, 0.2756947000, 0.2690345000, 0.2496107000, 0.2143177000, 0.0911113000, 0.0207174000, -0.0521178000", \
"0.2628040000, 0.2686732000, 0.2700469000, 0.2768796000, 0.2813913000, 0.2759518000, 0.2553073000, 0.2200143000, 0.0968079000, 0.0264141000, -0.0464211000", \
"0.2746041000, 0.2804732000, 0.2806262000, 0.2886796000, 0.2944120000, 0.2889726000, 0.2658866000, 0.2305937000, 0.1086080000, 0.0369934000, -0.0346211000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1920918000, -0.2004023000, -0.2005553000, -0.2037259000, -0.2326517000, -0.2967923000, -0.4311771000, -0.5582376000, -0.8671601000, -1.0128308000, -1.1536186000", \
"-0.1850020000, -0.1933125000, -0.1934655000, -0.1966361000, -0.2255618000, -0.2897025000, -0.4240872000, -0.5499271000, -0.8576289000, -1.0020788000, -1.1416460000", \
"-0.1872904000, -0.1956009000, -0.1969746000, -0.2001452000, -0.2290710000, -0.2932116000, -0.4275964000, -0.5546569000, -0.8635794000, -1.0092500000, -1.1500378000", \
"-0.1804577000, -0.1887682000, -0.1901419000, -0.1933125000, -0.2222383000, -0.2863789000, -0.4207637000, -0.5490449000, -0.8579674000, -1.0048587000, -1.1456465000", \
"-0.1539733000, -0.1622839000, -0.1624369000, -0.1668281000, -0.1957539000, -0.2598945000, -0.3967207000, -0.5262226000, -0.8400280000, -0.9881400000, -1.1289278000", \
"-0.1203503000, -0.1298815000, -0.1300345000, -0.1332051000, -0.1621309000, -0.2274922000, -0.3679805000, -0.5011445000, -0.8210534000, -0.9716068000, -1.1148361000", \
"-0.0726354000, -0.0821667000, -0.0823197000, -0.0854902000, -0.1156367000, -0.1822188000, -0.3275899000, -0.4656367000, -0.7977526000, -0.9495267000, -1.0951973000", \
"-0.0432311000, -0.0527624000, -0.0529154000, -0.0560859000, -0.0850117000, -0.1515937000, -0.3006270000, -0.4398945000, -0.7744518000, -0.9274466000, -1.0743380000", \
"-0.0004219000, -0.0099531000, -0.0088854000, -0.0120560000, -0.0397611000, -0.1026810000, -0.2504935000, -0.3922025000, -0.7316426000, -0.8882995000, -1.0351909000", \
"0.0121921000, 0.0026608000, 0.0025078000, -0.0006628000, -0.0271471000, -0.0864050000, -0.2329967000, -0.3734850000, -0.7165872000, -0.8708027000, -1.0201355000", \
"0.0211440000, 0.0116127000, 0.0114597000, 0.0082891000, -0.0181952000, -0.0750116000, -0.2154999000, -0.3559882000, -0.6990904000, -0.8569680000, -1.0063008000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1457051000, -0.1454707000, -0.1444030000, -0.1536771000, -0.1752787000, -0.2003568000, -0.2102298000, -0.1908060000, -0.0737031000, 0.0040150000, 0.0878365000", \
"-0.1434980000, -0.1432637000, -0.1409753000, -0.1514701000, -0.1730716000, -0.1969290000, -0.2055814000, -0.1861576000, -0.0666133000, 0.0123255000, 0.0961471000", \
"-0.1409036000, -0.1406693000, -0.1396016000, -0.1488756000, -0.1704772000, -0.1943346000, -0.2029870000, -0.1823424000, -0.0627982000, 0.0173613000, 0.1024036000", \
"-0.1377331000, -0.1374987000, -0.1352103000, -0.1457051000, -0.1673066000, -0.1911641000, -0.2010371000, -0.1816133000, -0.0645104000, 0.0132077000, 0.0970292000", \
"-0.1271178000, -0.1293249000, -0.1294779000, -0.1350898000, -0.1542500000, -0.1768867000, -0.1855391000, -0.1685566000, -0.0575573000, 0.0152780000, 0.1003202000", \
"-0.1252331000, -0.1262194000, -0.1275931000, -0.1319844000, -0.1499238000, -0.1701191000, -0.1787715000, -0.1642305000, -0.0617760000, 0.0086178000, 0.0875566000", \
"-0.1287878000, -0.1309948000, -0.1323685000, -0.1367598000, -0.1534785000, -0.1724531000, -0.1835469000, -0.1714473000, -0.0787585000, -0.0132474000, 0.0583671000", \
"-0.1384460000, -0.1394323000, -0.1408060000, -0.1451973000, -0.1619160000, -0.1808906000, -0.1944258000, -0.1859883000, -0.1030651000, -0.0412161000, 0.0279569000", \
"-0.1688789000, -0.1698652000, -0.1712389000, -0.1756302000, -0.1935697000, -0.2137650000, -0.2346244000, -0.2335111000, -0.1713398000, -0.1192565000, -0.0598490000", \
"-0.1867826000, -0.1877689000, -0.1891426000, -0.1935339000, -0.2114733000, -0.2328893000, -0.2561901000, -0.2599596000, -0.2075540000, -0.1603535000, -0.1033875000", \
"-0.2059068000, -0.2081139000, -0.2082668000, -0.2126581000, -0.2305976000, -0.2520136000, -0.2789765000, -0.2851874000, -0.2413267000, -0.1977883000, -0.1469258000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("SET_B") {
capacitance : 0.0047090000;
clock : "false";
direction : "input";
fall_capacitance : 0.0046510000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0672337000, 0.0667014000, 0.0661278000, 0.0658098000, 0.0648952000, 0.0657755000, 0.0675742000, 0.0676798000, 0.0680420000, 0.0682118000, 0.0683816000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0129216000, 0.0092167000, 0.0052057000, 0.0125829000, 0.0357450000, 0.0876021000, 0.1913485000, 0.3062328000, 0.6264767000, 0.7927008000, 0.9589249000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0047680000;
timing () {
fall_constraint ("pulse_width_template3") {
index_1("0.0100000000, 1.5000000000, 3.7499999000");
values("0.2500015000, 2.5000000000, 6.2499998000");
}
related_output_pin : "Q";
related_pin : "SET_B";
sim_opt : "runlvl=5 accurate=1";
timing_type : "min_pulse_width";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1737813000, -0.1727949000, -0.1726419000, -0.1743542000, -0.1771667000, -0.1874889000, -0.2130163000, -0.2409850000, -0.3214668000, -0.3637845000, -0.4048814000", \
"-0.1747676000, -0.1737813000, -0.1736283000, -0.1753405000, -0.1793737000, -0.1884753000, -0.2140026000, -0.2431921000, -0.3236738000, -0.3659915000, -0.4083092000", \
"-0.1761413000, -0.1751549000, -0.1750020000, -0.1767142000, -0.1807474000, -0.1910697000, -0.2165970000, -0.2457865000, -0.3262682000, -0.3685859000, -0.4121243000", \
"-0.1854154000, -0.1856497000, -0.1854967000, -0.1859883000, -0.1900215000, -0.2003438000, -0.2258711000, -0.2562813000, -0.3416458000, -0.3839635000, -0.4323847000", \
"-0.2228861000, -0.2206790000, -0.2217467000, -0.2222383000, -0.2262715000, -0.2365938000, -0.2633418000, -0.2986348000, -0.4035306000, -0.4580553000, -0.5186835000", \
"-0.2626126000, -0.2616263000, -0.2614733000, -0.2619648000, -0.2672188000, -0.2763203000, -0.3067305000, -0.3420234000, -0.4640091000, -0.5246373000, -0.5913691000", \
"-0.3103275000, -0.3081204000, -0.3079674000, -0.3096797000, -0.3137129000, -0.3252559000, -0.3544453000, -0.3934004000, -0.5239310000, -0.5918834000, -0.6671601000", \
"-0.3360697000, -0.3350833000, -0.3361510000, -0.3366426000, -0.3406758000, -0.3522187000, -0.3826289000, -0.4215840000, -0.5557767000, -0.6310534000, -0.7026679000", \
"-0.3654512000, -0.3644648000, -0.3643118000, -0.3660241000, -0.3700573000, -0.3816003000, -0.4107897000, -0.4509655000, -0.5875996000, -0.6579935000, -0.7357115000", \
"-0.3670788000, -0.3660924000, -0.3659395000, -0.3676517000, -0.3716849000, -0.3832279000, -0.4136380000, -0.4525931000, -0.5867858000, -0.6632832000, -0.7361184000", \
"-0.3638236000, -0.3628373000, -0.3626843000, -0.3631759000, -0.3684298000, -0.3799727000, -0.4091622000, -0.4481173000, -0.5823100000, -0.6563660000, -0.7328633000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "recovery_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2144023000, 0.2134160000, 0.2132630000, 0.2137546000, 0.2214499000, 0.2390963000, 0.2890378000, 0.3463034000, 0.5183379000, 0.6119251000, 0.7079537000", \
"0.2141680000, 0.2131816000, 0.2130287000, 0.2135202000, 0.2212155000, 0.2413034000, 0.2912448000, 0.3472897000, 0.5205449000, 0.6141322000, 0.7089400000", \
"0.2143210000, 0.2133346000, 0.2131816000, 0.2136732000, 0.2213685000, 0.2426771000, 0.2926185000, 0.3498841000, 0.5219186000, 0.6155059000, 0.7103137000", \
"0.2260365000, 0.2250501000, 0.2248971000, 0.2266094000, 0.2282012000, 0.2507305000, 0.3006719000, 0.3579375000, 0.5299720000, 0.6235592000, 0.7183671000", \
"0.2598451000, 0.2588587000, 0.2587057000, 0.2591973000, 0.2668926000, 0.2845391000, 0.3332598000, 0.3893047000, 0.5613392000, 0.6549264000, 0.7497343000", \
"0.2971302000, 0.2961439000, 0.2959909000, 0.2977031000, 0.3053984000, 0.3230449000, 0.3693242000, 0.4253691000, 0.5961829000, 0.6885495000, 0.7833573000", \
"0.3436243000, 0.3426380000, 0.3424850000, 0.3429766000, 0.3506719000, 0.3683184000, 0.4133770000, 0.4669805000, 0.6365736000, 0.7277194000, 0.8225273000", \
"0.3681458000, 0.3659388000, 0.3670065000, 0.3699395000, 0.3764141000, 0.3940606000, 0.4378984000, 0.4915019000, 0.6586536000, 0.7497995000, 0.8446073000", \
"0.3987481000, 0.3977617000, 0.3988294000, 0.3993210000, 0.4057956000, 0.4222214000, 0.4660592000, 0.5172214000, 0.6819317000, 0.7730775000, 0.8654439000", \
"0.4015964000, 0.4006100000, 0.3992363000, 0.4009486000, 0.4074232000, 0.4238489000, 0.4664661000, 0.5176283000, 0.6823386000, 0.7722637000, 0.8646301000", \
"0.3983412000, 0.3973549000, 0.3984226000, 0.3989141000, 0.4041680000, 0.4218145000, 0.4644317000, 0.5143731000, 0.6790834000, 0.7690086000, 0.8625957000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "removal_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
test_cell () {
ff ("IQ","IQ_N") {
clocked_on : "CLK";
next_state : "D";
preset : "!SET_B";
}
pin ("CLK") {
direction : "input";
}
pin ("D") {
direction : "input";
}
pin ("Q") {
direction : "output";
function : "IQ";
signal_type : "test_scan_out";
}
pin ("Q_N") {
direction : "output";
function : "IQ_N";
signal_type : "test_scan_out_inverted";
}
pin ("SCD") {
direction : "input";
signal_type : "test_scan_in";
}
pin ("SCE") {
direction : "input";
signal_type : "test_scan_enable";
}
pin ("SET_B") {
direction : "input";
}
}
}
cell ("sky130_fd_sc_hvl__sdfstp_1") {
leakage_power () {
value : 22.229739800;
when : "D&!SCD&!SCE&SET_B&CLK";
}
leakage_power () {
value : 18.103319700;
when : "!D&!SCD&SCE&SET_B&CLK";
}
leakage_power () {
value : 23.870507300;
when : "!D&SCD&!SCE&SET_B&CLK";
}
leakage_power () {
value : 19.336669200;
when : "!D&!SCD&!SCE&SET_B&!CLK";
}
leakage_power () {
value : 18.669995300;
when : "!D&!SCD&!SCE&!SET_B&CLK";
}
leakage_power () {
value : 21.590437900;
when : "!D&!SCD&!SCE&SET_B&CLK";
}
leakage_power () {
value : 22.419248700;
when : "D&!SCD&SCE&SET_B&CLK";
}
leakage_power () {
value : 20.165686000;
when : "D&!SCD&SCE&SET_B&!CLK";
}
leakage_power () {
value : 19.498804300;
when : "D&!SCD&SCE&!SET_B&CLK";
}
leakage_power () {
value : 22.634774100;
when : "!D&SCD&!SCE&SET_B&!CLK";
}
leakage_power () {
value : 21.967899500;
when : "!D&SCD&!SCE&!SET_B&CLK";
}
leakage_power () {
value : 17.364643100;
when : "D&SCD&!SCE&SET_B&!CLK";
}
leakage_power () {
value : 13.038064000;
when : "D&SCD&!SCE&!SET_B&CLK";
}
leakage_power () {
value : 14.925738900;
when : "!D&SCD&SCE&SET_B&!CLK";
}
leakage_power () {
value : 15.958384800;
when : "D&SCD&!SCE&SET_B&CLK";
}
leakage_power () {
value : 17.623616300;
when : "D&!SCD&!SCE&SET_B&!CLK";
}
leakage_power () {
value : 13.297019500;
when : "D&!SCD&!SCE&!SET_B&CLK";
}
leakage_power () {
value : 19.531977800;
when : "!D&SCD&SCE&SET_B&CLK";
}
leakage_power () {
value : 16.867536700;
when : "!D&!SCD&SCE&SET_B&!CLK";
}
leakage_power () {
value : 16.200877100;
when : "!D&!SCD&SCE&!SET_B&CLK";
}
leakage_power () {
value : 19.384996700;
when : "D&SCD&SCE&SET_B&CLK";
}
leakage_power () {
value : 10.599159800;
when : "!D&SCD&SCE&!SET_B&CLK";
}
leakage_power () {
value : 14.778729400;
when : "D&SCD&SCE&SET_B&!CLK";
}
leakage_power () {
value : 10.452160000;
when : "D&SCD&SCE&!SET_B&CLK";
}
leakage_power () {
value : 21.795092000;
when : "!D&!SCD&!SCE&!SET_B&!CLK";
}
leakage_power () {
value : 25.093093800;
when : "!D&SCD&!SCE&!SET_B&!CLK";
}
leakage_power () {
value : 19.325966600;
when : "!D&!SCD&SCE&!SET_B&!CLK";
}
leakage_power () {
value : 9.776941000;
when : "!D&SCD&SCE&!SET_B&!CLK";
}
leakage_power () {
value : 12.474804300;
when : "D&!SCD&!SCE&!SET_B&!CLK";
}
leakage_power () {
value : 12.215848800;
when : "D&SCD&!SCE&!SET_B&!CLK";
}
leakage_power () {
value : 22.624012900;
when : "D&!SCD&SCE&!SET_B&!CLK";
}
leakage_power () {
value : 9.629942200;
when : "D&SCD&SCE&!SET_B&!CLK";
}
area : 76.19040000;
cell_footprint : "sky130_fd_sc_hvl__sdfstp";
cell_leakage_power : 17.607680000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
ff ("IQ","IQ_N") {
clocked_on : "CLK";
next_state : "(D&!SCE) | (SCD&SCE)";
preset : "!SET_B";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("CLK") {
capacitance : 0.0026810000;
clock : "true";
direction : "input";
fall_capacitance : 0.0026060000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3299126000, 0.3319403000, 0.3341396000, 0.3471379000, 0.3878976000, 0.4827945000, 0.6726211000, 0.8735461000, 1.4335900000, 1.7242948000, 2.0149995000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2550089000, 0.2540847000, 0.2530862000, 0.2644516000, 0.3000971000, 0.3939742000, 0.5817599000, 0.7853542000, 1.3528353000, 1.6474013000, 1.9419672000");
}
}
max_transition : 3.7500000000;
min_pulse_width_high : 0.2137680000;
min_pulse_width_low : 0.3576870000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0027550000;
}
pin ("D") {
capacitance : 0.0023070000;
clock : "false";
direction : "input";
fall_capacitance : 0.0022000000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0937530000, 0.0924857000, 0.0911152000, 0.0976373000, 0.1181185000, 0.1600077000, 0.2438161000, 0.3340578000, 0.5856184000, 0.7161899000, 0.8467613000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0534460000, 0.0522118000, 0.0508774000, 0.0556919000, 0.0708363000, 0.1097020000, 0.1874675000, 0.2770495000, 0.5267789000, 0.6563977000, 0.7860165000");
}
}
max_transition : 3.7500000000;
nextstate_type : "data";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0024140000;
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3328105000, 0.3374590000, 0.3363913000, 0.3493275000, 0.3929017000, 0.4741322000, 0.6536829000, 0.8295716000, 1.2996269000, 1.5295260000, 1.7533216000", \
"0.3306035000, 0.3340312000, 0.3341843000, 0.3458997000, 0.3906947000, 0.4719252000, 0.6502552000, 0.8273646000, 1.2974199000, 1.5273190000, 1.7498939000", \
"0.3292298000, 0.3326576000, 0.3328105000, 0.3445260000, 0.3893210000, 0.4705514000, 0.6488815000, 0.8259909000, 1.2960462000, 1.5259453000, 1.7485203000", \
"0.3211764000, 0.3258249000, 0.3247572000, 0.3376934000, 0.3812676000, 0.4624981000, 0.6408281000, 0.8179375000, 1.2879929000, 1.5178920000, 1.7416876000", \
"0.2946921000, 0.2993405000, 0.2982728000, 0.3112090000, 0.3547832000, 0.4360137000, 0.6155645000, 0.7914531000, 1.2602878000, 1.4889661000, 1.7115411000", \
"0.2671725000, 0.2730417000, 0.2731947000, 0.2824688000, 0.3260430000, 0.4084941000, 0.5868242000, 0.7639336000, 1.2315476000, 1.4590052000, 1.6803595000", \
"0.2292233000, 0.2363132000, 0.2364661000, 0.2457402000, 0.2905352000, 0.3729863000, 0.5525371000, 0.7320879000, 1.2009225000, 1.4283802000, 1.6497345000", \
"0.2083639000, 0.2142331000, 0.2143861000, 0.2236602000, 0.2684551000, 0.3521270000, 0.5316777000, 0.7112285000, 1.1825046000, 1.4124037000, 1.6325371000", \
"0.1960723000, 0.2019414000, 0.2033151000, 0.2125892000, 0.2586048000, 0.3410560000, 0.5181654000, 0.6964955000, 1.1677715000, 1.3988913000, 1.6202455000", \
"0.2139759000, 0.2186243000, 0.2175566000, 0.2280514000, 0.2704049000, 0.3504147000, 0.5275241000, 0.7034128000, 1.1722473000, 1.4033671000, 1.6259421000", \
"0.2379830000, 0.2438521000, 0.2440051000, 0.2532792000, 0.2956327000, 0.3780839000, 0.5454276000, 0.7200956000, 1.1889302000, 1.4176086000, 1.6389629000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1924297000, 0.1909746000, 0.1947897000, 0.1955189000, 0.2024720000, 0.2116810000, 0.1995814000, 0.1594056000, -0.0211738000, -0.1342923000, -0.2571763000", \
"0.1902227000, 0.1887676000, 0.1925827000, 0.1945325000, 0.2002650000, 0.2094740000, 0.1973743000, 0.1559779000, -0.0246016000, -0.1377201000, -0.2593834000", \
"0.1876283000, 0.1873939000, 0.1912090000, 0.1931589000, 0.1988913000, 0.2081003000, 0.1960006000, 0.1546042000, -0.0259753000, -0.1403145000, -0.2619778000", \
"0.1807956000, 0.1805612000, 0.1843763000, 0.1851055000, 0.1908379000, 0.2000469000, 0.1879473000, 0.1465508000, -0.0352493000, -0.1495885000, -0.2712519000", \
"0.1628561000, 0.1650631000, 0.1652161000, 0.1659453000, 0.1728984000, 0.1784453000, 0.1639043000, 0.1212871000, -0.0629544000, -0.1772936000, -0.3001776000", \
"0.1524264000, 0.1558542000, 0.1560072000, 0.1567363000, 0.1612480000, 0.1655742000, 0.1473711000, 0.1035332000, -0.0819290000, -0.1974889000, -0.3191523000", \
"0.1523190000, 0.1545260000, 0.1558997000, 0.1566289000, 0.1599199000, 0.1605840000, 0.1411602000, 0.0985430000, -0.0856986000, -0.2000378000, -0.3217011000", \
"0.1583151000, 0.1605221000, 0.1606751000, 0.1626250000, 0.1659160000, 0.1665801000, 0.1483770000, 0.1057598000, -0.0735990000, -0.1854967000, -0.3071601000", \
"0.1863066000, 0.1897344000, 0.1898874000, 0.1906165000, 0.1939076000, 0.1970130000, 0.1836927000, 0.1483997000, -0.0163105000, -0.1221048000, -0.2376646000", \
"0.2066517000, 0.2088587000, 0.2090117000, 0.2097409000, 0.2142526000, 0.2173581000, 0.2076999000, 0.1760690000, 0.0199036000, -0.0834492000, -0.1965676000", \
"0.2282174000, 0.2304244000, 0.2305774000, 0.2325273000, 0.2358183000, 0.2389237000, 0.2329277000, 0.2049589000, 0.0548971000, -0.0447937000, -0.1542500000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.2177266000, -0.2211543000, -0.2213073000, -0.2330228000, -0.2765970000, -0.3602689000, -0.5361576000, -0.7083841000, -1.1528047000, -1.3692761000, -1.5784232000", \
"-0.2094160000, -0.2140645000, -0.2129967000, -0.2247122000, -0.2695072000, -0.3519583000, -0.5278470000, -0.7000736000, -1.1444941000, -1.3597448000, -1.5688920000", \
"-0.2056009000, -0.2102494000, -0.2091816000, -0.2208971000, -0.2656921000, -0.3469225000, -0.5240319000, -0.6962585000, -1.1394584000, -1.3547090000, -1.5638562000", \
"-0.2060924000, -0.2107409000, -0.2096732000, -0.2213887000, -0.2674043000, -0.3486348000, -0.5245234000, -0.6967500000, -1.1436119000, -1.3600833000, -1.5704513000", \
"-0.1783874000, -0.1842565000, -0.1844095000, -0.1924629000, -0.2396992000, -0.3209297000, -0.5017012000, -0.6739278000, -1.1244518000, -1.3433646000, -1.5561739000", \
"-0.1459850000, -0.1530749000, -0.1532279000, -0.1612812000, -0.2085176000, -0.2934102000, -0.4729610000, -0.6512910000, -1.1054772000, -1.3268314000, -1.5408614000", \
"-0.0958288000, -0.1016979000, -0.1018509000, -0.1111250000, -0.1583613000, -0.2456953000, -0.4325703000, -0.6109004000, -1.0760730000, -1.2998685000, -1.5138985000", \
"-0.0578796000, -0.0637487000, -0.0639017000, -0.0719551000, -0.1204121000, -0.2089668000, -0.3958418000, -0.5753926000, -1.0503308000, -1.2741263000, -1.4942599000", \
"0.0044609000, -0.0014082000, -0.0015612000, -0.0096146000, -0.0568509000, -0.1441849000, -0.3335013000, -0.5167142000, -0.9953145000, -1.2227722000, -1.4404643000", \
"0.0268405000, 0.0209714000, 0.0208184000, 0.0103236000, -0.0332506000, -0.1193639000, -0.3099010000, -0.4931139000, -0.9668313000, -1.1967304000, -1.4193054000", \
"0.0431166000, 0.0372475000, 0.0370945000, 0.0278204000, -0.0169745000, -0.1006464000, -0.2875214000, -0.4719550000, -0.9468932000, -1.1755715000, -1.4030293000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1481465000, -0.1515742000, -0.1517272000, -0.1524564000, -0.1594095000, -0.1637357000, -0.1382083000, -0.0858255000, 0.1240508000, 0.2518177000, 0.3856881000", \
"-0.1471602000, -0.1493672000, -0.1495202000, -0.1514701000, -0.1572025000, -0.1615286000, -0.1360013000, -0.0836185000, 0.1262578000, 0.2540247000, 0.3891158000", \
"-0.1457865000, -0.1479935000, -0.1481465000, -0.1500963000, -0.1558288000, -0.1601549000, -0.1346276000, -0.0822448000, 0.1276315000, 0.2553984000, 0.3904895000", \
"-0.1401745000, -0.1423815000, -0.1437552000, -0.1444844000, -0.1502168000, -0.1545430000, -0.1290156000, -0.0754121000, 0.1356849000, 0.2634518000, 0.3973222000", \
"-0.1283385000, -0.1317663000, -0.1319193000, -0.1326484000, -0.1383809000, -0.1427070000, -0.1135176000, -0.0599141000, 0.1463001000, 0.2728463000, 0.4091581000", \
"-0.1252331000, -0.1286608000, -0.1288138000, -0.1295430000, -0.1340547000, -0.1347187000, -0.1091914000, -0.0543672000, 0.1506263000, 0.2747311000, 0.4024980000", \
"-0.1300085000, -0.1334362000, -0.1335892000, -0.1343184000, -0.1376094000, -0.1370527000, -0.1127461000, -0.0628047000, 0.1348646000, 0.2577487000, 0.3879569000", \
"-0.1396667000, -0.1418737000, -0.1420267000, -0.1427559000, -0.1460469000, -0.1467109000, -0.1236250000, -0.0761250000, 0.1142201000, 0.2346628000, 0.3599882000", \
"-0.1725410000, -0.1759688000, -0.1761217000, -0.1768509000, -0.1801419000, -0.1820267000, -0.1662650000, -0.1273099000, 0.0496074000, 0.1602845000, 0.2807271000", \
"-0.1928861000, -0.1963138000, -0.1964668000, -0.1971960000, -0.2004870000, -0.2035924000, -0.1914928000, -0.1549792000, 0.0133932000, 0.1216289000, 0.2384094000", \
"-0.2144517000, -0.2178795000, -0.2180325000, -0.2187616000, -0.2220527000, -0.2263788000, -0.2179413000, -0.1850898000, -0.0228209000, 0.0817527000, 0.1948711000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("Q") {
direction : "output";
function : "IQ";
internal_power () {
fall_power ("scalar") {
values("0.0000000000");
}
related_pin : "SET_B";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0060900000, 0.0121900000, 0.0243900000, 0.0487700000, 0.0975400000, 0.1950800000, 0.3901600000");
values("0.9097194000, 1.0001181000, 1.0887718000, 1.2709418000, 1.6337200000, 2.3644136000, 3.8246371000, 6.7440138000", \
"0.9078023000, 0.9983060000, 1.0871961000, 1.2672700000, 1.6320904000, 2.3635062000, 3.8251448000, 6.7406553000", \
"0.9223176000, 1.0128140000, 1.1018154000, 1.2815248000, 1.6451172000, 2.3757560000, 3.8382414000, 6.7593863000", \
"0.9273732000, 1.0176849000, 1.1065594000, 1.2866402000, 1.6513030000, 2.3799829000, 3.8450276000, 6.7589161000", \
"0.9688029000, 1.0594341000, 1.1482728000, 1.3291895000, 1.6936925000, 2.4219808000, 3.8878803000, 6.8015269000", \
"1.0531844000, 1.1437462000, 1.2324916000, 1.4127786000, 1.7777492000, 2.5088078000, 3.9701426000, 6.8920184000", \
"1.2554121000, 1.3461210000, 1.4343843000, 1.6149648000, 1.9799422000, 2.7099761000, 4.1703487000, 7.0926616000", \
"1.4640177000, 1.5430463000, 1.6343780000, 1.8153074000, 2.1772975000, 2.9099369000, 4.3708195000, 7.2922019000", \
"1.9825803000, 2.0618333000, 2.1502292000, 2.3288329000, 2.6939507000, 3.4275010000, 4.8862815000, 7.8018548000", \
"2.2339944000, 2.3133116000, 2.4009381000, 2.5824606000, 2.9453626000, 3.6775381000, 5.1325546000, 8.052924300", \
"2.4792073000, 2.5584187000, 2.6459988000, 2.8275469000, 3.1895291000, 3.9193999000, 5.3802242000, 8.298485900");
}
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0060900000, 0.0121900000, 0.0243900000, 0.0487700000, 0.0975400000, 0.1950800000, 0.3901600000");
values("0.3974124000, 0.3049577000, 0.2125991000, 0.0263962000, -0.3435364000, -1.0815913000, -2.5578360000, -5.5084997000", \
"0.3956924000, 0.3036238000, 0.2110947000, 0.0249882000, -0.3449981000, -1.0834696000, -2.5592223000, -5.5099569000", \
"0.3966077000, 0.3044720000, 0.2121221000, 0.0259466000, -0.3442106000, -1.0825775000, -2.5583145000, -5.5089442000", \
"0.3905400000, 0.2980379000, 0.2054271000, 0.0194847000, -0.3506021000, -1.0891341000, -2.5647125000, -5.5154438000", \
"0.3965775000, 0.3040500000, 0.2118534000, 0.0255923000, -0.3443745000, -1.0823743000, -2.5586440000, -5.5093582000", \
"0.3935681000, 0.3016226000, 0.2093819000, 0.0231611000, -0.3467874000, -1.0855454000, -2.5610469000, -5.5117611000", \
"0.4198119000, 0.3136050000, 0.2205539000, 0.0342048000, -0.3356779000, -1.0741142000, -2.5498296000, -5.5003727000", \
"0.4253013000, 0.3189485000, 0.2232603000, 0.0351463000, -0.3351691000, -1.0732513000, -2.5488036000, -5.4999798000", \
"0.4306302000, 0.3240442000, 0.2280334000, 0.0402853000, -0.3311249000, -1.0710081000, -2.5449725000, -5.4956034000", \
"0.4301587000, 0.3234808000, 0.2277986000, 0.0404448000, -0.3322188000, -1.0717848000, -2.5464669000, -5.4966012000", \
"0.4304476000, 0.3241027000, 0.2278757000, 0.0404497000, -0.3317353000, -1.0718273000, -2.5483629000, -5.4962759000");
}
related_pin : "CLK";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0060900000, 0.0121900000, 0.0243900000, 0.0487700000, 0.0975400000, 0.1950800000, 0.3901600000");
values("0.4372678000, 0.5299465000, 0.6195812000, 0.7989947000, 1.1608256000, 1.8907954000, 3.3485515000, 6.2719082000", \
"0.4416685000, 0.5343907000, 0.6239885000, 0.8033868000, 1.1653062000, 1.8953461000, 3.3532038000, 6.2767166000", \
"0.4367652000, 0.5293475000, 0.6193702000, 0.7992709000, 1.1603118000, 1.8924371000, 3.3508403000, 6.2724306000", \
"0.4297945000, 0.5225124000, 0.6121239000, 0.7915258000, 1.1534209000, 1.8834443000, 3.3412556000, 6.2647327000", \
"0.4425168000, 0.5352134000, 0.6247249000, 0.8037977000, 1.1664168000, 1.8946651000, 3.3545712000, 6.2728768000", \
"0.4548992000, 0.5475851000, 0.6375433000, 0.8170770000, 1.1778056000, 1.9085579000, 3.3704253000, 6.2885733000", \
"0.5279156000, 0.6067575000, 0.6976110000, 0.8749993000, 1.2364736000, 1.9660778000, 3.4234708000, 6.3468714000", \
"0.5893746000, 0.6629719000, 0.7473682000, 0.9246780000, 1.2857305000, 2.0144828000, 3.4773760000, 6.3939777000", \
"0.7262105000, 0.7976215000, 0.8828398000, 1.0591822000, 1.4133155000, 2.1438459000, 3.5995507000, 6.5170431000", \
"0.7877355000, 0.8590587000, 0.9435520000, 1.1184542000, 1.4776071000, 2.1995553000, 3.6593773000, 6.5803833000", \
"0.8476885000, 0.9173350000, 1.0011525000, 1.1756419000, 1.5370511000, 2.2524946000, 3.7161175000, 6.6318387000");
}
}
max_capacitance : 0.3896810000;
max_transition : 3.7607950000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0060900000, 0.0121900000, 0.0243900000, 0.0487700000, 0.0975400000, 0.1950800000, 0.3901600000");
values("0.3727128000, 0.4160313000, 0.4550582000, 0.5326952000, 0.6893077000, 1.0025071000, 1.6307053000, 2.8891820000", \
"0.3752050000, 0.4186709000, 0.4575735000, 0.5353374000, 0.6914793000, 1.0070716000, 1.6364646000, 2.8965814000", \
"0.3787313000, 0.4223125000, 0.4610489000, 0.5387321000, 0.6959772000, 1.0088829000, 1.6429029000, 2.8979485000", \
"0.3919020000, 0.4354726000, 0.4742404000, 0.5519361000, 0.7085568000, 1.0229055000, 1.6526976000, 2.9108393000", \
"0.4409238000, 0.4844651000, 0.5232647000, 0.6009867000, 0.7575958000, 1.0715037000, 1.7019480000, 2.9649629000", \
"0.5119006000, 0.5555078000, 0.5942765000, 0.6720104000, 0.8289253000, 1.1424759000, 1.7747721000, 3.0298384000", \
"0.6430181000, 0.6864045000, 0.7252583000, 0.8033297000, 0.9600648000, 1.2745489000, 1.9055864000, 3.1655685000", \
"0.7520107000, 0.7956640000, 0.8345685000, 0.9124834000, 1.0686763000, 1.3823742000, 2.0162279000, 3.2696144000", \
"1.0098736000, 1.0535441000, 1.0922263000, 1.1701333000, 1.3271230000, 1.6407712000, 2.2678788000, 3.5311107000", \
"1.1291778000, 1.1729202000, 1.2115632000, 1.2893943000, 1.4453432000, 1.7616771000, 2.3880831000, 3.6468235000", \
"1.2444521000, 1.2882015000, 1.3268303000, 1.4046322000, 1.5605413000, 1.8768316000, 2.5067766000, 3.7607757000");
}
related_pin : "SET_B";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0060900000, 0.0121900000, 0.0243900000, 0.0487700000, 0.0975400000, 0.1950800000, 0.3901600000");
values("0.0301246000, 0.0810490000, 0.1364219000, 0.2509037000, 0.4835111000, 0.9488984000, 1.8849340000, 3.7607952000", \
"0.0299223000, 0.0812645000, 0.1363830000, 0.2514276000, 0.4839500000, 0.9513440000, 1.8817758000, 3.7463810000", \
"0.0301839000, 0.0812557000, 0.1365427000, 0.2513180000, 0.4850430000, 0.9491938000, 1.8830693000, 3.7482448000", \
"0.0299517000, 0.0812354000, 0.1366204000, 0.2511060000, 0.4833415000, 0.9504882000, 1.8849295000, 3.7508392000", \
"0.0301894000, 0.0812779000, 0.1366604000, 0.2511398000, 0.4850221000, 0.9517103000, 1.8847577000, 3.7446122000", \
"0.0300473000, 0.0811708000, 0.1366595000, 0.2508243000, 0.4834669000, 0.9500148000, 1.8843298000, 3.7506087000", \
"0.0302729000, 0.0812988000, 0.1364631000, 0.2513241000, 0.4831339000, 0.9514328000, 1.8836412000, 3.7508046000", \
"0.0303390000, 0.0816032000, 0.1363572000, 0.2510958000, 0.4845340000, 0.9496814000, 1.8858375000, 3.7480588000", \
"0.0305456000, 0.0815562000, 0.1366271000, 0.2519291000, 0.4839685000, 0.9511562000, 1.8835704000, 3.7503796000", \
"0.0308649000, 0.0819748000, 0.1365419000, 0.2515193000, 0.4849819000, 0.9508895000, 1.8828210000, 3.7505723000", \
"0.0309683000, 0.0820384000, 0.1365725000, 0.2515453000, 0.4849829000, 0.9507796000, 1.8889763000, 3.7461549000");
}
timing_sense : "negative_unate";
timing_type : "preset";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0060900000, 0.0121900000, 0.0243900000, 0.0487700000, 0.0975400000, 0.1950800000, 0.3901600000");
values("0.3697166000, 0.4113825000, 0.4451844000, 0.5093925000, 0.6366553000, 0.8919384000, 1.4023994000, 2.4258042000", \
"0.3720384000, 0.4137132000, 0.4475933000, 0.5117549000, 0.6390242000, 0.8942218000, 1.4043078000, 2.4281523000", \
"0.3734501000, 0.4151775000, 0.4489799000, 0.5132353000, 0.6404602000, 0.8964134000, 1.4065624000, 2.4296269000", \
"0.3817321000, 0.4234161000, 0.4572705000, 0.5214850000, 0.6487142000, 0.9039370000, 1.4145721000, 2.4363009000", \
"0.4158414000, 0.4575370000, 0.4913275000, 0.5555560000, 0.6828052000, 0.9381156000, 1.4487683000, 2.4719216000", \
"0.4546949000, 0.4963266000, 0.5301994000, 0.5944450000, 0.7217051000, 0.9769173000, 1.4871918000, 2.5105353000", \
"0.5013408000, 0.5428997000, 0.5767685000, 0.6409933000, 0.7681928000, 1.0233594000, 1.5337586000, 2.5551274000", \
"0.5288995000, 0.5705703000, 0.6043575000, 0.6686973000, 0.7959188000, 1.0505897000, 1.5616760000, 2.5828732000", \
"0.5608398000, 0.6024177000, 0.6362587000, 0.7004378000, 0.8276065000, 1.0825734000, 1.5933859000, 2.6144611000", \
"0.5640166000, 0.6056319000, 0.6393855000, 0.7035397000, 0.8307384000, 1.0860476000, 1.5970686000, 2.6169109000", \
"0.5622606000, 0.6037667000, 0.6375896000, 0.7017904000, 0.8288946000, 1.0843024000, 1.5947499000, 2.6169464000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0060900000, 0.0121900000, 0.0243900000, 0.0487700000, 0.0975400000, 0.1950800000, 0.3901600000");
values("0.3501392000, 0.3948648000, 0.4336582000, 0.5108892000, 0.6670889000, 0.9808376000, 1.6096403000, 2.8738480000", \
"0.3503953000, 0.3951187000, 0.4339053000, 0.5111244000, 0.6673750000, 0.9810120000, 1.6096036000, 2.8742533000", \
"0.3541047000, 0.3988676000, 0.4377141000, 0.5152985000, 0.6714367000, 0.9855156000, 1.6132573000, 2.8757596000", \
"0.3621159000, 0.4068401000, 0.4456288000, 0.5228516000, 0.6790865000, 0.9927598000, 1.6214227000, 2.8859166000", \
"0.3935841000, 0.4383081000, 0.4770614000, 0.5544872000, 0.7108079000, 1.0239523000, 1.6534187000, 2.9174554000", \
"0.4331895000, 0.4778904000, 0.5167646000, 0.5940828000, 0.7499095000, 1.0642032000, 1.6950560000, 2.9547469000", \
"0.4845317000, 0.5293679000, 0.5680544000, 0.6452462000, 0.8009136000, 1.1148673000, 1.7442419000, 3.0076142000", \
"0.5173141000, 0.5623055000, 0.6009798000, 0.6777852000, 0.8333271000, 1.1463130000, 1.7761889000, 3.0318870000", \
"0.5648563000, 0.6101408000, 0.6488248000, 0.7250817000, 0.8800398000, 1.1935445000, 1.8210767000, 3.0804395000", \
"0.5757328000, 0.6213599000, 0.6598717000, 0.7362309000, 0.8911277000, 1.2038948000, 1.8337393000, 3.0866500000", \
"0.5805402000, 0.6266027000, 0.6650252000, 0.7410235000, 0.8953943000, 1.2074262000, 1.8365977000, 3.0906699000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0060900000, 0.0121900000, 0.0243900000, 0.0487700000, 0.0975400000, 0.1950800000, 0.3901600000");
values("0.0281201000, 0.0668769000, 0.1045076000, 0.1841688000, 0.3498842000, 0.6853952000, 1.3527143000, 2.6953313000", \
"0.0279681000, 0.0668741000, 0.1044921000, 0.1841682000, 0.3498974000, 0.6832385000, 1.3545187000, 2.6955593000", \
"0.0282754000, 0.0666338000, 0.1044318000, 0.1839787000, 0.3488931000, 0.6852325000, 1.3543536000, 2.6951266000", \
"0.0280393000, 0.0668371000, 0.1045416000, 0.1838888000, 0.3497926000, 0.6828564000, 1.3545580000, 2.6917910000", \
"0.0281202000, 0.0668342000, 0.1044397000, 0.1840781000, 0.3498402000, 0.6856769000, 1.3533300000, 2.6965026000", \
"0.0280568000, 0.0668362000, 0.1043843000, 0.1840777000, 0.3498393000, 0.6832477000, 1.3541427000, 2.6939340000", \
"0.0280127000, 0.0665400000, 0.1043622000, 0.1837502000, 0.3493440000, 0.6839517000, 1.3545493000, 2.6912541000", \
"0.0281356000, 0.0666296000, 0.1043564000, 0.1842342000, 0.3490929000, 0.6825114000, 1.3499430000, 2.6880851000", \
"0.0280113000, 0.0668403000, 0.1045251000, 0.1838686000, 0.3500075000, 0.6845515000, 1.3519951000, 2.6928453000", \
"0.0280928000, 0.0669341000, 0.1045234000, 0.1842011000, 0.3488761000, 0.6824635000, 1.3545102000, 2.6938149000", \
"0.0282648000, 0.0667075000, 0.1044808000, 0.1839688000, 0.3501147000, 0.6824438000, 1.3532770000, 2.6885771000");
}
related_pin : "CLK";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0060900000, 0.0121900000, 0.0243900000, 0.0487700000, 0.0975400000, 0.1950800000, 0.3901600000");
values("0.0340057000, 0.0841664000, 0.1386972000, 0.2522364000, 0.4837888000, 0.9503498000, 1.8848199000, 3.7489709000", \
"0.0339958000, 0.0841684000, 0.1386933000, 0.2522063000, 0.4839203000, 0.9504568000, 1.8842883000, 3.7494416000", \
"0.0341952000, 0.0843037000, 0.1383992000, 0.2520905000, 0.4842567000, 0.9505320000, 1.8863597000, 3.7491406000", \
"0.0339977000, 0.0841667000, 0.1386945000, 0.2522162000, 0.4838802000, 0.9504307000, 1.8844661000, 3.7493071000", \
"0.0342863000, 0.0842265000, 0.1384434000, 0.2518172000, 0.4844983000, 0.9521055000, 1.8811914000, 3.7500847000", \
"0.0342068000, 0.0844029000, 0.1385432000, 0.2521921000, 0.4842451000, 0.9505298000, 1.8840700000, 3.7496561000", \
"0.0352846000, 0.0848878000, 0.1388681000, 0.2521374000, 0.4839665000, 0.9494356000, 1.8867718000, 3.7487582000", \
"0.0357965000, 0.0854594000, 0.1393390000, 0.2526142000, 0.4844212000, 0.9501532000, 1.8850392000, 3.7469472000", \
"0.0383469000, 0.0871199000, 0.1403928000, 0.2534922000, 0.4837326000, 0.9500536000, 1.8921926000, 3.7513184000", \
"0.0392096000, 0.0880855000, 0.1409677000, 0.2532937000, 0.4844655000, 0.9506990000, 1.8854940000, 3.7447960000", \
"0.0406738000, 0.0888100000, 0.1412958000, 0.2535913000, 0.4853279000, 0.9516127000, 1.8891371000, 3.7499577000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
}
pin ("SCD") {
capacitance : 0.0023160000;
clock : "false";
direction : "input";
fall_capacitance : 0.0023490000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0334756000, 0.0331410000, 0.0327810000, 0.0323636000, 0.0311206000, 0.0315267000, 0.0323688000, 0.0324790000, 0.0328393000, 0.0330120000, 0.0331847000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0304916000, -0.0301857000, -0.0298515000, -0.0297999000, -0.0295645000, -0.0301374000, -0.0312488000, -0.0312261000, -0.0311012000, -0.0310530000, -0.0310047000");
}
}
max_transition : 3.7500000000;
nextstate_type : "scan_in";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0022830000;
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3633281000, 0.3667559000, 0.3693503000, 0.3725208000, 0.4002259000, 0.4643665000, 0.6146205000, 0.7685364000, 1.1677910000, 1.3598483000, 1.5458022000", \
"0.3611211000, 0.3645488000, 0.3659225000, 0.3690931000, 0.3967982000, 0.4609388000, 0.6124134000, 0.7651087000, 1.1643633000, 1.3576413000, 1.5423744000", \
"0.3585267000, 0.3619544000, 0.3645488000, 0.3677194000, 0.3954245000, 0.4595651000, 0.6110397000, 0.7637350000, 1.1629896000, 1.3550469000, 1.5410007000", \
"0.3516940000, 0.3551218000, 0.3577162000, 0.3608867000, 0.3885918000, 0.4527324000, 0.6029863000, 0.7556816000, 1.1549362000, 1.3482141000, 1.5329474000", \
"0.3264303000, 0.3298581000, 0.3324525000, 0.3356230000, 0.3633281000, 0.4274687000, 0.5777226000, 0.7291972000, 1.1260104000, 1.3168470000, 1.5015801000", \
"0.3013522000, 0.3072213000, 0.3073743000, 0.3105449000, 0.3382500000, 0.4023906000, 0.5514238000, 0.7028985000, 1.0972702000, 1.2868861000, 1.4691778000", \
"0.2695065000, 0.2753757000, 0.2755286000, 0.2774785000, 0.3051836000, 0.3693242000, 0.5207988000, 0.6722734000, 1.0678658000, 1.2562610000, 1.4373321000", \
"0.2486471000, 0.2545163000, 0.2558900000, 0.2578398000, 0.2867656000, 0.3496855000, 0.5011602000, 0.6550761000, 1.0518893000, 1.2415052000, 1.4225762000", \
"0.2363555000, 0.2434453000, 0.2423776000, 0.2455482000, 0.2744740000, 0.3386146000, 0.4900892000, 0.6440052000, 1.0432597000, 1.2340963000, 1.4151674000", \
"0.2432728000, 0.2491419000, 0.2492949000, 0.2536862000, 0.2813913000, 0.3455319000, 0.4970065000, 0.6497018000, 1.0501771000, 1.2410137000, 1.4233054000", \
"0.2587349000, 0.2621627000, 0.2647571000, 0.2654862000, 0.2944120000, 0.3573320000, 0.5075859000, 0.6615018000, 1.0631978000, 1.2540344000, 1.4351054000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2205059000, 0.2202715000, 0.2240866000, 0.2248158000, 0.2317689000, 0.2483021000, 0.2545130000, 0.2326478000, 0.1070000000, 0.0207370000, -0.0728502000", \
"0.2182988000, 0.2180644000, 0.2218796000, 0.2213880000, 0.2295618000, 0.2460950000, 0.2510853000, 0.2304408000, 0.1060137000, 0.0173092000, -0.0750572000", \
"0.2157044000, 0.2154701000, 0.2192852000, 0.2200143000, 0.2281882000, 0.2435007000, 0.2497116000, 0.2290671000, 0.1009779000, 0.0159355000, -0.0776516000", \
"0.2088717000, 0.2086374000, 0.2124525000, 0.2131816000, 0.2201348000, 0.2354473000, 0.2416582000, 0.2197930000, 0.0929245000, 0.0078822000, -0.0869257000", \
"0.1884909000, 0.1906979000, 0.1896302000, 0.1928008000, 0.2009746000, 0.2138457000, 0.2176152000, 0.1945293000, 0.0664401000, -0.0198229000, -0.1134101000", \
"0.1768405000, 0.1802682000, 0.1804212000, 0.1811504000, 0.1881035000, 0.1985332000, 0.2010820000, 0.1767754000, 0.0474655000, -0.0375768000, -0.1323847000", \
"0.1742917000, 0.1777194000, 0.1778724000, 0.1786016000, 0.1843340000, 0.1935430000, 0.1948711000, 0.1717852000, 0.0449167000, -0.0413464000, -0.1337128000", \
"0.1790671000, 0.1812741000, 0.1814271000, 0.1821563000, 0.1891094000, 0.1983184000, 0.2008672000, 0.1802227000, 0.0582370000, -0.0255846000, -0.1179511000", \
"0.2046172000, 0.2080449000, 0.2081979000, 0.2089271000, 0.2158802000, 0.2263099000, 0.2337415000, 0.2228626000, 0.1167461000, 0.0402487000, -0.0435728000", \
"0.2237415000, 0.2259486000, 0.2261016000, 0.2268307000, 0.2337839000, 0.2454342000, 0.2577487000, 0.2493112000, 0.1529603000, 0.0813457000, -0.0012551000", \
"0.2440865000, 0.2475142000, 0.2476672000, 0.2483964000, 0.2553495000, 0.2682206000, 0.2817558000, 0.2769804000, 0.1903951000, 0.1212219000, 0.0410625000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.2348164000, -0.2394648000, -0.2408385000, -0.2452298000, -0.2729349000, -0.3358548000, -0.4836673000, -0.6253763000, -0.9880097000, -1.1617565000, -1.3281791000", \
"-0.2277266000, -0.2323750000, -0.2349694000, -0.2369193000, -0.2646244000, -0.3287650000, -0.4753568000, -0.6170657000, -0.9784785000, -1.1510046000, -1.3174271000", \
"-0.2300150000, -0.2334427000, -0.2360371000, -0.2392077000, -0.2669128000, -0.3310534000, -0.4776452000, -0.6205749000, -0.9819877000, -1.1557344000, -1.3233777000", \
"-0.2256237000, -0.2290514000, -0.2316458000, -0.2335957000, -0.2625215000, -0.3254414000, -0.4720332000, -0.6161836000, -0.9775964000, -1.1525638000, -1.3202071000", \
"-0.2003600000, -0.2037878000, -0.2051615000, -0.2083320000, -0.2384785000, -0.3026191000, -0.4504316000, -0.5945820000, -0.9633190000, -1.1395071000, -1.3071505000", \
"-0.1740612000, -0.1799303000, -0.1800833000, -0.1832539000, -0.2121797000, -0.2763203000, -0.4265742000, -0.5731660000, -0.9455651000, -1.1229740000, -1.2955001000", \
"-0.1312292000, -0.1383190000, -0.1384720000, -0.1404219000, -0.1693477000, -0.2371504000, -0.3898457000, -0.5437617000, -0.9259265000, -1.1069974000, -1.2795236000", \
"-0.0993835000, -0.1064733000, -0.1066263000, -0.1085762000, -0.1387227000, -0.2077461000, -0.3653242000, -0.5180195000, -0.9099498000, -1.0910208000, -1.2659884000", \
"-0.0516914000, -0.0575606000, -0.0564928000, -0.0596634000, -0.0885892000, -0.1576126000, -0.3212943000, -0.4788724000, -0.8769062000, -1.0653015000, -1.2414896000", \
"-0.0354154000, -0.0412845000, -0.0426582000, -0.0446081000, -0.0735339000, -0.1413366000, -0.3062389000, -0.4650377000, -0.8691751000, -1.0526874000, -1.2349793000", \
"-0.0240221000, -0.0311119000, -0.0312649000, -0.0332148000, -0.0633612000, -0.1299433000, -0.2948456000, -0.4548652000, -0.8590025000, -1.0522806000, -1.2309101000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1603535000, -0.1601191000, -0.1627135000, -0.1646634000, -0.1728372000, -0.1832669000, -0.1723880000, -0.1346536000, 0.0324980000, 0.1358509000, 0.2465279000", \
"-0.1569258000, -0.1579121000, -0.1605065000, -0.1612357000, -0.1694095000, -0.1786185000, -0.1677396000, -0.1300052000, 0.0371465000, 0.1417201000, 0.2523970000", \
"-0.1567728000, -0.1565384000, -0.1603535000, -0.1610827000, -0.1692565000, -0.1784655000, -0.1688073000, -0.1310729000, 0.0360788000, 0.1394316000, 0.2501086000", \
"-0.1511608000, -0.1509264000, -0.1547415000, -0.1554707000, -0.1624238000, -0.1716328000, -0.1607539000, -0.1230195000, 0.0429115000, 0.1462643000, 0.2569413000", \
"-0.1393249000, -0.1415319000, -0.1416849000, -0.1424141000, -0.1493672000, -0.1585762000, -0.1476973000, -0.1099629000, 0.0510853000, 0.1532174000, 0.2626737000", \
"-0.1337780000, -0.1372057000, -0.1373587000, -0.1380879000, -0.1438203000, -0.1518086000, -0.1409297000, -0.1044160000, 0.0529701000, 0.1502194000, 0.2560136000", \
"-0.1361120000, -0.1395397000, -0.1396927000, -0.1404219000, -0.1461543000, -0.1529219000, -0.1457051000, -0.1140742000, 0.0372083000, 0.1332370000, 0.2329277000", \
"-0.1433288000, -0.1467565000, -0.1469095000, -0.1476387000, -0.1533711000, -0.1601387000, -0.1553633000, -0.1286152000, 0.0129017000, 0.1064889000, 0.2061796000", \
"-0.1725410000, -0.1747480000, -0.1749010000, -0.1756302000, -0.1825833000, -0.1930130000, -0.1955619000, -0.1761380000, -0.0553730000, 0.0272279000, 0.1195943000", \
"-0.1904447000, -0.1926517000, -0.1928047000, -0.1935339000, -0.2004870000, -0.2109167000, -0.2195690000, -0.2038073000, -0.0928079000, -0.0138691000, 0.0748352000", \
"-0.2095689000, -0.2129967000, -0.2131496000, -0.2138788000, -0.2208319000, -0.2324824000, -0.2423554000, -0.2326972000, -0.1290221000, -0.0537454000, 0.0300762000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("SCE") {
capacitance : 0.0041480000;
clock : "false";
direction : "input";
fall_capacitance : 0.0039230000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1213392000, 0.1243067000, 0.1275240000, 0.1369868000, 0.1666741000, 0.2274861000, 0.3491405000, 0.4768350000, 0.8327783000, 1.0175350000, 1.2022917000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0004086000, -0.0004159000, -0.0004209000, 0.0085413000, 0.0366695000, 0.0954953000, 0.2131814000, 0.3405278000, 0.6955078000, 0.8797625000, 1.0640173000");
}
}
max_transition : 3.7500000000;
nextstate_type : "scan_enable";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0043730000;
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2681133000, 0.2739824000, 0.2777975000, 0.2907337000, 0.3391907000, 0.4069935000, 0.5255091000, 0.6330384000, 0.9016777000, 1.0314792000, 1.1563979000", \
"0.2646855000, 0.2717754000, 0.2755905000, 0.2885267000, 0.3369837000, 0.4035658000, 0.5233021000, 0.6308314000, 0.8994707000, 1.0280515000, 1.1541908000", \
"0.2633119000, 0.2704017000, 0.2742168000, 0.2859323000, 0.3356100000, 0.4021921000, 0.5219284000, 0.6294577000, 0.8980970000, 1.0266778000, 1.1515964000", \
"0.2552585000, 0.2635690000, 0.2661634000, 0.2790996000, 0.3275566000, 0.3941387000, 0.5138750000, 0.6226250000, 0.8900436000, 1.0198450000, 1.1447638000", \
"0.2373190000, 0.2444088000, 0.2482240000, 0.2611602000, 0.3096172000, 0.3761992000, 0.4947149000, 0.6010234000, 0.8684421000, 0.9970228000, 1.1219415000", \
"0.2268893000, 0.2339792000, 0.2377943000, 0.2507305000, 0.2991875000, 0.3657695000, 0.4830645000, 0.5881523000, 0.8531296000, 0.9817103000, 1.1054083000", \
"0.2255612000, 0.2326510000, 0.2364661000, 0.2494023000, 0.2978594000, 0.3644414000, 0.4792949000, 0.5843828000, 0.8481393000, 0.9754994000, 1.1004180000", \
"0.2303366000, 0.2374264000, 0.2412415000, 0.2541777000, 0.3026348000, 0.3692168000, 0.4852910000, 0.5879375000, 0.8529147000, 0.9814955000, 1.1051934000", \
"0.2595488000, 0.2666387000, 0.2704538000, 0.2821693000, 0.3318470000, 0.3972083000, 0.5132826000, 0.6183705000, 0.8845684000, 1.0131491000, 1.1392885000", \
"0.2786732000, 0.2857630000, 0.2895781000, 0.3025143000, 0.3509714000, 0.4163327000, 0.5336276000, 0.6387155000, 0.9061341000, 1.0359355000, 1.1608542000", \
"0.3002389000, 0.3073287000, 0.3111438000, 0.3240800000, 0.3725370000, 0.4378983000, 0.5551933000, 0.6615018000, 0.9289205000, 1.0599426000, 1.1860821000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3718730000, 0.3765215000, 0.3778952000, 0.3859486000, 0.3904603000, 0.3850208000, 0.3668177000, 0.3327454000, 0.2083184000, 0.1391452000, 0.0663100000", \
"0.3696660000, 0.3743145000, 0.3756881000, 0.3837415000, 0.3882533000, 0.3815931000, 0.3633900000, 0.3293177000, 0.2061113000, 0.1369381000, 0.0641029000", \
"0.3682923000, 0.3729408000, 0.3743145000, 0.3823678000, 0.3868796000, 0.3802194000, 0.3620163000, 0.3279440000, 0.2047376000, 0.1355645000, 0.0627293000", \
"0.3602389000, 0.3648874000, 0.3662611000, 0.3743145000, 0.3788262000, 0.3733867000, 0.3551836000, 0.3198906000, 0.1979049000, 0.1275111000, 0.0546759000", \
"0.3349753000, 0.3396237000, 0.3409974000, 0.3490508000, 0.3535625000, 0.3481230000, 0.3286992000, 0.2946270000, 0.1726413000, 0.1022474000, 0.0294122000", \
"0.3098971000, 0.3145456000, 0.3159193000, 0.3239726000, 0.3284844000, 0.3230449000, 0.3036211000, 0.2695488000, 0.1463425000, 0.0759486000, 0.0031134000", \
"0.2756100000, 0.2802585000, 0.2816322000, 0.2896855000, 0.2941973000, 0.2887578000, 0.2693340000, 0.2352617000, 0.1132760000, 0.0428822000, -0.0287323000", \
"0.2547507000, 0.2606198000, 0.2619935000, 0.2688262000, 0.2733379000, 0.2678984000, 0.2484746000, 0.2156230000, 0.0936374000, 0.0220228000, -0.0483710000", \
"0.2412383000, 0.2471074000, 0.2484811000, 0.2553138000, 0.2610462000, 0.2556068000, 0.2349622000, 0.2008900000, 0.0801250000, 0.0097311000, -0.0618834000", \
"0.2505970000, 0.2552455000, 0.2553984000, 0.2646725000, 0.2691842000, 0.2613034000, 0.2418796000, 0.2078073000, 0.0858216000, 0.0154277000, -0.0561868000", \
"0.2636178000, 0.2682662000, 0.2696399000, 0.2764726000, 0.2822050000, 0.2779862000, 0.2549003000, 0.2208280000, 0.0988424000, 0.0284485000, -0.0443867000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1920918000, -0.2004023000, -0.2005553000, -0.2049466000, -0.2326517000, -0.2967923000, -0.4323978000, -0.5606790000, -0.8720429000, -1.0201549000, -1.1621635000", \
"-0.1886641000, -0.1969746000, -0.1971276000, -0.2015189000, -0.2304447000, -0.2933646000, -0.4289701000, -0.5572513000, -0.8686152000, -1.0167272000, -1.1575150000", \
"-0.1872904000, -0.1956009000, -0.1957539000, -0.2001452000, -0.2278503000, -0.2919909000, -0.4275964000, -0.5558776000, -0.8684623000, -1.0165742000, -1.1573621000", \
"-0.1804577000, -0.1887682000, -0.1901419000, -0.1933125000, -0.2222383000, -0.2863789000, -0.4207637000, -0.5502656000, -0.8628502000, -1.0097416000, -1.1517501000", \
"-0.1539733000, -0.1610632000, -0.1612161000, -0.1643867000, -0.1933125000, -0.2586738000, -0.3955000000, -0.5262226000, -0.8436901000, -0.9905814000, -1.1350314000", \
"-0.1166882000, -0.1249987000, -0.1251517000, -0.1295430000, -0.1572480000, -0.2238301000, -0.3655391000, -0.5011445000, -0.8222741000, -0.9728274000, -1.1172774000", \
"-0.0640905000, -0.0748424000, -0.0737747000, -0.0757246000, -0.1070918000, -0.1724531000, -0.3190449000, -0.4570918000, -0.7928698000, -0.9458646000, -1.0939766000", \
"-0.0285827000, -0.0381139000, -0.0382669000, -0.0402168000, -0.0703633000, -0.1369453000, -0.2847578000, -0.4264668000, -0.7646862000, -0.9164603000, -1.0682345000", \
"0.0337578000, 0.0242266000, 0.0240736000, 0.0209030000, -0.0055814000, -0.0685013000, -0.2126517000, -0.3531400000, -0.6938008000, -0.8504577000, -0.9985697000", \
"0.0512546000, 0.0417233000, 0.0415703000, 0.0383997000, 0.0131361000, -0.0449010000, -0.1853893000, -0.3246569000, -0.6628763000, -0.8195332000, -0.9676453000", \
"0.0675307000, 0.0592201000, 0.0578464000, 0.0534552000, 0.0281915000, -0.0249628000, -0.1569062000, -0.2912909000, -0.6282897000, -0.7837258000, -0.9342793000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1457051000, -0.1442500000, -0.1431823000, -0.1536771000, -0.1752787000, -0.2003568000, -0.2102298000, -0.1932474000, -0.0798066000, -0.0033092000, 0.0792916000", \
"-0.1422774000, -0.1420430000, -0.1409753000, -0.1502494000, -0.1718509000, -0.1969290000, -0.2068021000, -0.1885990000, -0.0739375000, 0.0025599000, 0.0863814000", \
"-0.1409036000, -0.1394486000, -0.1383809000, -0.1476549000, -0.1692565000, -0.1943346000, -0.2042077000, -0.1847839000, -0.0701224000, 0.0075957000, 0.0914172000", \
"-0.1365124000, -0.1362780000, -0.1339896000, -0.1444844000, -0.1648652000, -0.1899434000, -0.1998164000, -0.1828340000, -0.0693932000, 0.0058835000, 0.0884843000", \
"-0.1246764000, -0.1268835000, -0.1270365000, -0.1326484000, -0.1493672000, -0.1744453000, -0.1843184000, -0.1673359000, -0.0599987000, 0.0140573000, 0.0966581000", \
"-0.1203503000, -0.1225573000, -0.1227103000, -0.1283223000, -0.1462617000, -0.1664570000, -0.1751094000, -0.1605684000, -0.0593346000, 0.0110592000, 0.0887773000", \
"-0.1239050000, -0.1261120000, -0.1262650000, -0.1318770000, -0.1485957000, -0.1675703000, -0.1774434000, -0.1665645000, -0.0750964000, -0.0095853000, 0.0620292000", \
"-0.1323425000, -0.1333288000, -0.1347025000, -0.1390937000, -0.1558125000, -0.1747871000, -0.1883223000, -0.1811055000, -0.0981823000, -0.0363333000, 0.0316191000", \
"-0.1627754000, -0.1637617000, -0.1639147000, -0.1695267000, -0.1874662000, -0.2088822000, -0.2297415000, -0.2286283000, -0.1676777000, -0.1168151000, -0.0574076000", \
"-0.1806790000, -0.1828861000, -0.1830391000, -0.1886510000, -0.2053698000, -0.2280065000, -0.2525280000, -0.2562975000, -0.2038919000, -0.1579121000, -0.1021668000", \
"-0.2010240000, -0.2020103000, -0.2033840000, -0.2077753000, -0.2257148000, -0.2483515000, -0.2753144000, -0.2827460000, -0.2401060000, -0.1977883000, -0.1469258000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("SET_B") {
capacitance : 0.0045210000;
clock : "false";
direction : "input";
fall_capacitance : 0.0043050000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0540541000, 0.0489803000, 0.0434866000, 0.0456069000, 0.0523187000, 0.0530081000, 0.0544220000, 0.0545062000, 0.0548033000, 0.0549408000, 0.0550782000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0039846000, 0.0040696000, 0.0041642000, 0.0116643000, 0.0352051000, 0.0871596000, 0.1910978000, 0.3056709000, 0.6250424000, 0.7908150000, 0.9565875000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0047370000;
timing () {
fall_constraint ("pulse_width_template3") {
index_1("0.0100000000, 1.5000000000, 3.7499999000");
values("0.2247333000, 2.5000000000, 6.2499998000");
}
related_output_pin : "Q";
related_pin : "SET_B";
sim_opt : "runlvl=5 accurate=1";
timing_type : "min_pulse_width";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1920918000, -0.1923262000, -0.1921732000, -0.1926647000, -0.1954772000, -0.2082409000, -0.2532995000, -0.3081237000, -0.4801582000, -0.5749661000, -0.6722154000", \
"-0.1942988000, -0.1933125000, -0.1943802000, -0.1948718000, -0.1976842000, -0.2104479000, -0.2555065000, -0.3103307000, -0.4835860000, -0.5771732000, -0.6744224000", \
"-0.1956725000, -0.1946862000, -0.1945332000, -0.1950247000, -0.1990579000, -0.2130423000, -0.2568802000, -0.3129251000, -0.4849596000, -0.5797676000, -0.6757962000", \
"-0.2061673000, -0.2051810000, -0.2050280000, -0.2055195000, -0.2083320000, -0.2210957000, -0.2661543000, -0.3221992000, -0.4954544000, -0.5902624000, -0.6862909000", \
"-0.2411966000, -0.2414310000, -0.2412780000, -0.2417695000, -0.2458027000, -0.2585664000, -0.3036250000, -0.3608906000, -0.5353665000, -0.6301745000, -0.7262031000", \
"-0.2821439000, -0.2811576000, -0.2822253000, -0.2827168000, -0.2867500000, -0.2995137000, -0.3457930000, -0.4018379000, -0.5763138000, -0.6723425000, -0.7683710000", \
"-0.3298587000, -0.3288724000, -0.3299401000, -0.3304316000, -0.3356855000, -0.3484492000, -0.3935078000, -0.4495527000, -0.6228079000, -0.7176159000, -0.8148651000", \
"-0.3568216000, -0.3570560000, -0.3569030000, -0.3573945000, -0.3626485000, -0.3766328000, -0.4204707000, -0.4765156000, -0.6485501000, -0.7421374000, -0.8393866000", \
"-0.3874238000, -0.3864375000, -0.3875052000, -0.3879967000, -0.3920299000, -0.4060143000, -0.4486315000, -0.5022350000, -0.6718281000, -0.7666360000, -0.8614439000", \
"-0.3890514000, -0.3880651000, -0.3891328000, -0.3896243000, -0.3936576000, -0.4064212000, -0.4478177000, -0.5014212000, -0.6710143000, -0.7646016000, -0.8569680000", \
"-0.3857963000, -0.3848100000, -0.3858777000, -0.3863692000, -0.3904024000, -0.4019454000, -0.4433419000, -0.4957247000, -0.6628764000, -0.7564636000, -0.8512715000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "recovery_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2180644000, 0.2170781000, 0.2169251000, 0.2186374000, 0.2251120000, 0.2403171000, 0.2878171000, 0.3450827000, 0.5207793000, 0.6155872000, 0.7128365000", \
"0.2190508000, 0.2180644000, 0.2179115000, 0.2184030000, 0.2248776000, 0.2425241000, 0.2912448000, 0.3485104000, 0.5229863000, 0.6190150000, 0.7162643000", \
"0.2179831000, 0.2169967000, 0.2180645000, 0.2185560000, 0.2250306000, 0.2438978000, 0.2926185000, 0.3498841000, 0.5243600000, 0.6203887000, 0.7176379000", \
"0.2309193000, 0.2299329000, 0.2297799000, 0.2302715000, 0.2379668000, 0.2531719000, 0.3006719000, 0.3579375000, 0.5324134000, 0.6284421000, 0.7256913000", \
"0.2647279000, 0.2637415000, 0.2635886000, 0.2640801000, 0.2717754000, 0.2869805000, 0.3332598000, 0.3893047000, 0.5637806000, 0.6598093000, 0.7570585000", \
"0.3032337000, 0.3022474000, 0.3033151000, 0.3038066000, 0.3115020000, 0.3279277000, 0.3717656000, 0.4278106000, 0.6010657000, 0.6958737000, 0.7931229000", \
"0.3509486000, 0.3499622000, 0.3498093000, 0.3503008000, 0.3592168000, 0.3756426000, 0.4182598000, 0.4730840000, 0.6438978000, 0.7374850000, 0.8335136000", \
"0.3779115000, 0.3769251000, 0.3767721000, 0.3784844000, 0.3849590000, 0.4038262000, 0.4452227000, 0.4976054000, 0.6671986000, 0.7607858000, 0.8568144000", \
"0.4085137000, 0.4087481000, 0.4085951000, 0.4103073000, 0.4167819000, 0.4344284000, 0.4758249000, 0.5282077000, 0.6941387000, 0.7865052000, 0.8800924000", \
"0.4125827000, 0.4115964000, 0.4126641000, 0.4131556000, 0.4196302000, 0.4372767000, 0.4786732000, 0.5286146000, 0.6945456000, 0.7869121000, 0.8817200000", \
"0.4093276000, 0.4083412000, 0.4094089000, 0.4099005000, 0.4163751000, 0.4340216000, 0.4754180000, 0.5253595000, 0.6900698000, 0.7824363000, 0.8760234000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "removal_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
test_cell () {
ff ("IQ","IQ_N") {
clocked_on : "CLK";
next_state : "D";
preset : "!SET_B";
}
pin ("CLK") {
direction : "input";
}
pin ("D") {
direction : "input";
}
pin ("Q") {
direction : "output";
function : "IQ";
signal_type : "test_scan_out";
}
pin ("SCD") {
direction : "input";
signal_type : "test_scan_in";
}
pin ("SCE") {
direction : "input";
signal_type : "test_scan_enable";
}
pin ("SET_B") {
direction : "input";
}
}
}
cell ("sky130_fd_sc_hvl__sdfxbp_1") {
leakage_power () {
value : 21.378307100;
when : "D&!SCD&!SCE&CLK";
}
leakage_power () {
value : 17.068659400;
when : "!D&!SCD&SCE&CLK";
}
leakage_power () {
value : 22.287855100;
when : "!D&SCD&!SCE&CLK";
}
leakage_power () {
value : 17.606590000;
when : "!D&!SCD&!SCE&!CLK";
}
leakage_power () {
value : 23.502620300;
when : "!D&!SCD&!SCE&CLK";
}
leakage_power () {
value : 24.743155100;
when : "D&!SCD&SCE&CLK";
}
leakage_power () {
value : 18.530647200;
when : "D&SCD&!SCE&CLK";
}
leakage_power () {
value : 20.919896900;
when : "D&!SCD&!SCE&!CLK";
}
leakage_power () {
value : 18.811253000;
when : "!D&SCD&SCE&CLK";
}
leakage_power () {
value : 15.637452200;
when : "!D&!SCD&SCE&!CLK";
}
leakage_power () {
value : 18.534622700;
when : "D&SCD&SCE&CLK";
}
leakage_power () {
value : 18.847531500;
when : "D&!SCD&SCE&!CLK";
}
leakage_power () {
value : 20.856841600;
when : "!D&SCD&!SCE&!CLK";
}
leakage_power () {
value : 20.466954400;
when : "D&SCD&!SCE&!CLK";
}
leakage_power () {
value : 18.352793100;
when : "!D&SCD&SCE&!CLK";
}
leakage_power () {
value : 18.076139700;
when : "D&SCD&SCE&!CLK";
}
area : 80.09760000;
cell_footprint : "sky130_fd_sc_hvl__sdfxbp";
cell_leakage_power : 19.726330000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
ff ("IQ","IQ_N") {
clocked_on : "CLK";
next_state : "(D&!SCE) | (SCD&SCE)";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("CLK") {
capacitance : 0.0025330000;
clock : "true";
direction : "input";
fall_capacitance : 0.0024230000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3019624000, 0.3032349000, 0.3046166000, 0.3170204000, 0.3559298000, 0.4479728000, 0.6320962000, 0.8256280000, 1.3650754000, 1.6450860000, 1.9250966000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1961897000, 0.1938103000, 0.1912355000, 0.2024054000, 0.2374452000, 0.3237941000, 0.4965263000, 0.6884166000, 1.2232835000, 1.5009180000, 1.7785524000");
}
}
max_transition : 3.7500000000;
min_pulse_width_high : 0.1786120000;
min_pulse_width_low : 0.2983620000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0026420000;
}
pin ("D") {
capacitance : 0.0024680000;
clock : "false";
direction : "input";
fall_capacitance : 0.0023250000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1070779000, 0.1069241000, 0.1067605000, 0.1121941000, 0.1292820000, 0.1716014000, 0.2562769000, 0.3494133000, 0.6090540000, 0.7438164000, 0.8785789000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0611280000, 0.0596876000, 0.0581300000, 0.0624948000, 0.0762330000, 0.1153170000, 0.1935199000, 0.2857206000, 0.5427497000, 0.6761574000, 0.8095652000");
}
}
max_transition : 3.7500000000;
nextstate_type : "data";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0026120000;
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3437969000, 0.3460039000, 0.3461569000, 0.3554310000, 0.3977845000, 0.4802357000, 0.6573451000, 0.8307923000, 1.2947441000, 1.5222019000, 1.7423353000", \
"0.3428105000, 0.3462383000, 0.3439499000, 0.3544447000, 0.3980189000, 0.4792494000, 0.6551380000, 0.8298060000, 1.2937578000, 1.5212155000, 1.7413490000", \
"0.3402161000, 0.3436439000, 0.3425762000, 0.3530710000, 0.3954245000, 0.4778757000, 0.6537643000, 0.8284323000, 1.2923841000, 1.5198419000, 1.7399753000", \
"0.3333835000, 0.3368112000, 0.3357435000, 0.3462383000, 0.3885918000, 0.4710430000, 0.6481524000, 0.8215996000, 1.2855515000, 1.5130091000, 1.7331427000", \
"0.3105612000, 0.3139889000, 0.3129212000, 0.3234160000, 0.3657695000, 0.4470000000, 0.6228887000, 0.7975566000, 1.2590670000, 1.4853040000, 1.7042169000", \
"0.2903659000, 0.2950143000, 0.2951673000, 0.3020000000, 0.3443535000, 0.4268047000, 0.6039141000, 0.7761406000, 1.2339890000, 1.4590052000, 1.6766974000", \
"0.2682858000, 0.2741549000, 0.2730872000, 0.2811406000, 0.3234941000, 0.4047246000, 0.5842754000, 0.7577227000, 1.2155709000, 1.4369252000, 1.6521759000", \
"0.2571921000, 0.2630612000, 0.2632142000, 0.2700469000, 0.3136211000, 0.3960723000, 0.5744023000, 0.7490703000, 1.2093600000, 1.4319349000, 1.6471857000", \
"0.2632109000, 0.2666387000, 0.2667917000, 0.2748451000, 0.3184193000, 0.4020912000, 0.5816419000, 0.7563099000, 1.2190410000, 1.4440573000, 1.6605288000", \
"0.2750111000, 0.2808802000, 0.2810332000, 0.2878659000, 0.3314401000, 0.4163327000, 0.5946628000, 0.7705514000, 1.2345032000, 1.4595195000, 1.6759909000", \
"0.2941353000, 0.2987838000, 0.2989368000, 0.3069901000, 0.3493437000, 0.4342362000, 0.6125663000, 0.7884550000, 1.2524068000, 1.4786438000, 1.6963359000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1826641000, 0.1824297000, 0.1850241000, 0.1869740000, 0.1939271000, 0.2031361000, 0.1898157000, 0.1484193000, -0.0248359000, -0.1318509000, -0.2461900000", \
"0.1816777000, 0.1802227000, 0.1840378000, 0.1847669000, 0.1941615000, 0.2021497000, 0.1888294000, 0.1462122000, -0.0221602000, -0.1328372000, -0.2483971000", \
"0.1803040000, 0.1788490000, 0.1826641000, 0.1833932000, 0.1903464000, 0.1995553000, 0.1862350000, 0.1448385000, -0.0284167000, -0.1354316000, -0.2497707000", \
"0.1722506000, 0.1720163000, 0.1746107000, 0.1753398000, 0.1822930000, 0.1915020000, 0.1781816000, 0.1367852000, -0.0364701000, -0.1447057000, -0.2590448000", \
"0.1518698000, 0.1552975000, 0.1554505000, 0.1561797000, 0.1619121000, 0.1699004000, 0.1541387000, 0.1115215000, -0.0629544000, -0.1699694000, -0.2843085000", \
"0.1438815000, 0.1460885000, 0.1462415000, 0.1469707000, 0.1527031000, 0.1582500000, 0.1400469000, 0.0986504000, -0.0758255000, -0.1828405000, -0.2971796000", \
"0.1474362000, 0.1496432000, 0.1497962000, 0.1505254000, 0.1550371000, 0.1593633000, 0.1423809000, 0.1009844000, -0.0722708000, -0.1780651000, -0.2899628000", \
"0.1570944000, 0.1605221000, 0.1606751000, 0.1614043000, 0.1671367000, 0.1714629000, 0.1569219000, 0.1155254000, -0.0516263000, -0.1561999000, -0.2680976000", \
"0.2046172000, 0.2080449000, 0.2081979000, 0.2089271000, 0.2158802000, 0.2263099000, 0.2190931000, 0.1862415000, 0.0325176000, -0.0683939000, -0.1766295000", \
"0.2359486000, 0.2381556000, 0.2383086000, 0.2390378000, 0.2459909000, 0.2588620000, 0.2589694000, 0.2297799000, 0.0821595000, -0.0138691000, -0.1184426000", \
"0.2685006000, 0.2719283000, 0.2720813000, 0.2728105000, 0.2797636000, 0.2938554000, 0.2988456000, 0.2757597000, 0.1366842000, 0.0430969000, -0.0590352000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1969746000, -0.2004023000, -0.1993346000, -0.2098294000, -0.2521830000, -0.3334134000, -0.5031985000, -0.6656595000, -1.0820039000, -1.2862683000, -1.4819877000", \
"-0.1911055000, -0.1945332000, -0.1934655000, -0.2039603000, -0.2463138000, -0.3287650000, -0.4973294000, -0.6585697000, -1.0749141000, -1.2767370000, -1.4736771000", \
"-0.1885111000, -0.1907181000, -0.1896504000, -0.2001452000, -0.2437194000, -0.3249499000, -0.4935143000, -0.6547545000, -1.0698783000, -1.2717011000, -1.4662000000", \
"-0.1865612000, -0.1899889000, -0.1889212000, -0.1994160000, -0.2417695000, -0.3230000000, -0.4927852000, -0.6564668000, -1.0752526000, -1.2782962000, -1.4752364000", \
"-0.1637389000, -0.1683874000, -0.1685404000, -0.1741523000, -0.2177266000, -0.3013984000, -0.4711836000, -0.6348652000, -1.0585339000, -1.2627982000, -1.4597384000", \
"-0.1398815000, -0.1433093000, -0.1434622000, -0.1490742000, -0.1938691000, -0.2787617000, -0.4497676000, -0.6183320000, -1.0432214000, -1.2474856000, -1.4505294000", \
"-0.1043737000, -0.1102428000, -0.1091751000, -0.1172285000, -0.1608027000, -0.2493574000, -0.4240254000, -0.5950313000, -1.0211412000, -1.2278470000, -1.4321114000", \
"-0.0847350000, -0.0881628000, -0.0895365000, -0.0951484000, -0.1436055000, -0.2297188000, -0.4080488000, -0.5753926000, -1.0100476000, -1.2191947000, -1.4210177000", \
"-0.0577949000, -0.0624434000, -0.0625963000, -0.0682083000, -0.1130033000, -0.2015579000, -0.3823294000, -0.5508939000, -0.9843281000, -1.1910339000, -1.3916362000", \
"-0.0512845000, -0.0571536000, -0.0573066000, -0.0629186000, -0.1064928000, -0.1938268000, -0.3745983000, -0.5431627000, -0.9741556000, -1.1796407000, -1.3778015000", \
"-0.0520982000, -0.0567467000, -0.0568997000, -0.0637323000, -0.1060859000, -0.1897577000, -0.3668671000, -0.5354315000, -0.9615416000, -1.1645852000, -1.3615254000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0980977000, -0.0978633000, -0.1016784000, -0.1024076000, -0.1069193000, -0.1027005000, -0.0576419000, 0.0118307000, 0.2485625000, 0.3848743000, 0.5260689000", \
"-0.0971113000, -0.0956563000, -0.0994714000, -0.1002005000, -0.1059329000, -0.1004935000, -0.0542142000, 0.0140378000, 0.2519902000, 0.3883021000, 0.5294967000", \
"-0.0957376000, -0.0942826000, -0.0980977000, -0.0988268000, -0.1033385000, -0.0991198000, -0.0528405000, 0.0154115000, 0.2533639000, 0.3908965000, 0.5333118000", \
"-0.0913464000, -0.0898913000, -0.0937064000, -0.0944355000, -0.0989473000, -0.0947285000, -0.0484492000, 0.0198027000, 0.2577552000, 0.3940670000, 0.5352617000", \
"-0.0807311000, -0.0829382000, -0.0843118000, -0.0838203000, -0.0895527000, -0.0865547000, -0.0427168000, 0.0243145000, 0.2561634000, 0.3936960000, 0.5324491000", \
"-0.0764049000, -0.0798327000, -0.0799857000, -0.0807148000, -0.0840059000, -0.0858906000, -0.0481563000, 0.0139922000, 0.2421790000, 0.3736081000, 0.5111406000", \
"-0.0787389000, -0.0809460000, -0.0810990000, -0.0818281000, -0.0863398000, -0.0894453000, -0.0626973000, -0.0090938000, 0.1995619000, 0.3285495000, 0.4624198000", \
"-0.0847350000, -0.0869421000, -0.0883158000, -0.0890449000, -0.0935566000, -0.0991035000, -0.0784590000, -0.0309590000, 0.1654896000, 0.2859323000, 0.4136991000", \
"-0.1151680000, -0.1185957000, -0.1187487000, -0.1194779000, -0.1264310000, -0.1356400000, -0.1284232000, -0.0906888000, 0.0801250000, 0.1883607000, 0.3051412000", \
"-0.1367337000, -0.1389408000, -0.1390937000, -0.1398229000, -0.1467760000, -0.1584264000, -0.1560924000, -0.1244616000, 0.0365866000, 0.1399395000, 0.2530579000", \
"-0.1595201000, -0.1617271000, -0.1618801000, -0.1626093000, -0.1695624000, -0.1836542000, -0.1849823000, -0.1570136000, -0.0057310000, 0.0939597000, 0.2021953000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("Q") {
direction : "output";
function : "IQ";
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170300000, 0.0340600000, 0.0681100000, 0.1362300000, 0.2724700000, 0.5449400000");
values("-0.0981310000, 0.0891561000, 0.2116455000, 0.3320429000, 0.2877616000, -0.2664405000, -1.9493965000, -5.8187872000", \
"-0.0969415000, 0.0898565000, 0.2121026000, 0.3317947000, 0.2867881000, -0.2677971000, -1.9504134000, -5.8198512000", \
"-0.0956542000, 0.0910818000, 0.2133772000, 0.3324403000, 0.2876914000, -0.2677960000, -1.9502480000, -5.8197170000", \
"-0.1012400000, 0.0859999000, 0.2086632000, 0.3285388000, 0.2842791000, -0.2697267000, -1.9518053000, -5.8209432000", \
"-0.1187630000, 0.0736222000, 0.2002093000, 0.3267343000, 0.2900681000, -0.2564641000, -1.9333404000, -5.7959350000", \
"-0.1619415000, 0.0405255000, 0.1754490000, 0.3137149000, 0.2923087000, -0.2383575000, -1.9015766000, -5.7578075000", \
"-0.2483156000, -0.0231302000, 0.1297548000, 0.2953022000, 0.3072086000, -0.1887579000, -1.8249707000, -5.6634096000", \
"-0.3442680000, -0.0957849000, 0.0760925000, 0.2692460000, 0.3171593000, -0.1447515000, -1.7527965000, -5.5726092000", \
"-0.6117216000, -0.2986490000, -0.0753633000, 0.1961392000, 0.3396895000, -0.0250995000, -1.5573031000, -5.3241354000", \
"-0.7505566000, -0.4033208000, -0.1523048000, 0.1598017000, 0.3558185000, 0.0362434000, -1.4543505000, -5.1961107000", \
"-0.8893933000, -0.5085279000, -0.2306645000, 0.1212141000, 0.3668002000, 0.0948557000, -1.3568312000, -5.0722846000");
}
related_pin : "CLK";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170300000, 0.0340600000, 0.0681100000, 0.1362300000, 0.2724700000, 0.5449400000");
values("-0.0981288000, -0.1101111000, -0.0949805000, -0.0093823000, 0.2863693000, 1.0968124000, 2.9641403000, 6.9279418000", \
"-0.0969392000, -0.1087062000, -0.0933109000, -0.0073795000, 0.2897987000, 1.0990009000, 2.9663593000, 6.9302567000", \
"-0.0956519000, -0.1081650000, -0.0935564000, -0.0082766000, 0.2872697000, 1.0958344000, 2.9631300000, 6.9256863000", \
"-0.1012379000, -0.1132161000, -0.0981324000, -0.0124996000, 0.2831878000, 1.0942010000, 2.9603901000, 6.9257226000", \
"-0.1187608000, -0.1250588000, -0.1055181000, -0.0131352000, 0.2912135000, 1.1103975000, 2.9848365000, 6.9542810000", \
"-0.1619393000, -0.1567845000, -0.1280212000, -0.0218350000, 0.2992775000, 1.1351569000, 3.0241854000, 7.0090100000", \
"-0.2483133000, -0.2176319000, -0.1685650000, -0.0317690000, 0.3269329000, 1.2012775000, 3.1185238000, 7.1225880000", \
"-0.3442660000, -0.2870679000, -0.2170019000, -0.0487059000, 0.3499287000, 1.2617653000, 3.2116844000, 7.2309461000", \
"-0.6117198000, -0.4811784000, -0.3524665000, -0.0971283000, 0.4108837000, 1.4320489000, 3.4678722000, 7.5482521000", \
"-0.7505566000, -0.5827072000, -0.4242037000, -0.1243630000, 0.4427712000, 1.5147479000, 3.5979145000, 7.7009264000", \
"-0.8893933000, -0.6846232000, -0.4967189000, -0.1525371000, 0.4700760000, 1.5946870000, 3.7227068000, 7.8547545000");
}
}
max_capacitance : 0.5436040000;
max_transition : 3.7591180000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170300000, 0.0340600000, 0.0681100000, 0.1362300000, 0.2724700000, 0.5449400000");
values("0.3415271000, 0.4017767000, 0.4506154000, 0.5416171000, 0.7196487000, 1.0755977000, 1.7883366000, 3.2150156000", \
"0.3430678000, 0.4032761000, 0.4521135000, 0.5431505000, 0.7210877000, 1.0771315000, 1.7897642000, 3.2166614000", \
"0.3443711000, 0.4046380000, 0.4534669000, 0.5444968000, 0.7223863000, 1.0785152000, 1.7912735000, 3.2173355000", \
"0.3524468000, 0.4127235000, 0.4615393000, 0.5525961000, 0.7304306000, 1.0865578000, 1.7991802000, 3.2260201000", \
"0.3829335000, 0.4431515000, 0.4919872000, 0.5830108000, 0.7609857000, 1.1170094000, 1.8296930000, 3.2565015000", \
"0.4154113000, 0.4755329000, 0.5243763000, 0.6153150000, 0.7933187000, 1.1493803000, 1.8621292000, 3.2887164000", \
"0.4513067000, 0.5114761000, 0.5602862000, 0.6513086000, 0.8291733000, 1.1854441000, 1.8980837000, 3.3244689000", \
"0.4690450000, 0.5292848000, 0.5780750000, 0.6690822000, 0.8469802000, 1.2029353000, 1.9157609000, 3.3430541000", \
"0.4790068000, 0.5391583000, 0.5879651000, 0.6789625000, 0.8570282000, 1.2128335000, 1.9256556000, 3.3530581000", \
"0.4723541000, 0.5323079000, 0.5811000000, 0.6720792000, 0.8499936000, 1.2059129000, 1.9188060000, 3.3458061000", \
"0.4606592000, 0.5207325000, 0.5693785000, 0.6603814000, 0.8381526000, 1.1942940000, 1.9071873000, 3.3329468000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170300000, 0.0340600000, 0.0681100000, 0.1362300000, 0.2724700000, 0.5449400000");
values("0.3046218000, 0.3549169000, 0.3953384000, 0.4719600000, 0.6222847000, 0.9222175000, 1.5227972000, 2.7249611000", \
"0.3038582000, 0.3541057000, 0.3945906000, 0.4710768000, 0.6211151000, 0.9215925000, 1.5219314000, 2.7256979000", \
"0.3073261000, 0.3576174000, 0.3980425000, 0.4746634000, 0.6249949000, 0.9248927000, 1.5258654000, 2.7275284000", \
"0.3151248000, 0.3654364000, 0.4058484000, 0.4824635000, 0.6327703000, 0.9328206000, 1.5333956000, 2.7368585000", \
"0.3463284000, 0.3965784000, 0.4369073000, 0.5137192000, 0.6639759000, 0.9642446000, 1.5642317000, 2.7658180000", \
"0.3785561000, 0.4289735000, 0.4693465000, 0.5458681000, 0.6960215000, 0.9963466000, 1.5964882000, 2.7991549000", \
"0.4171251000, 0.4674281000, 0.5077856000, 0.5841594000, 0.7340781000, 1.0342865000, 1.6348770000, 2.8361080000", \
"0.4372535000, 0.4878459000, 0.5280987000, 0.6044534000, 0.7544049000, 1.0543296000, 1.6550824000, 2.8577776000", \
"0.4530718000, 0.5043350000, 0.5445531000, 0.6206684000, 0.7704556000, 1.0700140000, 1.6704036000, 2.8730278000", \
"0.4487669000, 0.5004080000, 0.5406338000, 0.6166810000, 0.7666997000, 1.0658121000, 1.6662766000, 2.8665315000", \
"0.4396454000, 0.4915640000, 0.5317647000, 0.6077048000, 0.7571446000, 1.0567246000, 1.6568645000, 2.8580991000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170300000, 0.0340600000, 0.0681100000, 0.1362300000, 0.2724700000, 0.5449400000");
values("0.0391484000, 0.0948285000, 0.1476076000, 0.2575098000, 0.4863951000, 0.9508452000, 1.8883086000, 3.7585628000", \
"0.0394787000, 0.0948072000, 0.1476101000, 0.2579837000, 0.4870026000, 0.9535085000, 1.8885822000, 3.7554830000", \
"0.0391464000, 0.0948021000, 0.1476099000, 0.2579564000, 0.4862774000, 0.9509326000, 1.8874533000, 3.7590349000", \
"0.0391481000, 0.0949017000, 0.1476879000, 0.2577514000, 0.4871361000, 0.9535843000, 1.8885551000, 3.7529313000", \
"0.0392622000, 0.0948190000, 0.1476203000, 0.2580053000, 0.4868878000, 0.9505988000, 1.8886230000, 3.7591179000", \
"0.0396050000, 0.0948242000, 0.1476078000, 0.2577852000, 0.4870665000, 0.9534226000, 1.8885795000, 3.7511403000", \
"0.0395633000, 0.0948230000, 0.1475924000, 0.2572076000, 0.4868589000, 0.9509876000, 1.8878434000, 3.7548804000", \
"0.0391207000, 0.0947958000, 0.1476158000, 0.2579679000, 0.4859287000, 0.9533334000, 1.8879733000, 3.7537697000", \
"0.0392190000, 0.0950388000, 0.1480258000, 0.2576338000, 0.4853191000, 0.9532862000, 1.8864483000, 3.7508361000", \
"0.0398436000, 0.0948387000, 0.1478017000, 0.2581530000, 0.4856404000, 0.9532116000, 1.8831574000, 3.7498711000", \
"0.0398540000, 0.0952044000, 0.1477558000, 0.2579582000, 0.4871868000, 0.9536708000, 1.8869424000, 3.7572554000");
}
related_pin : "CLK";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0085100000, 0.0170300000, 0.0340600000, 0.0681100000, 0.1362300000, 0.2724700000, 0.5449400000");
values("0.0381305000, 0.0883328000, 0.1397616000, 0.2468293000, 0.4690426000, 0.9193031000, 1.8184471000, 3.6211565000", \
"0.0380485000, 0.0880145000, 0.1397726000, 0.2474976000, 0.4685358000, 0.9195420000, 1.8168220000, 3.6201220000", \
"0.0381165000, 0.0883343000, 0.1397701000, 0.2468436000, 0.4690359000, 0.9181830000, 1.8171957000, 3.6192773000", \
"0.0381758000, 0.0883292000, 0.1397371000, 0.2467570000, 0.4690646000, 0.9179475000, 1.8187215000, 3.6141521000", \
"0.0378132000, 0.0880874000, 0.1396839000, 0.2471328000, 0.4681905000, 0.9196320000, 1.8192318000, 3.6191454000", \
"0.0380844000, 0.0883446000, 0.1398294000, 0.2467943000, 0.4688043000, 0.9197261000, 1.8179233000, 3.6265440000", \
"0.0386302000, 0.0888533000, 0.1406710000, 0.2469820000, 0.4689060000, 0.9191077000, 1.8176215000, 3.6191055000", \
"0.0398584000, 0.0893708000, 0.1408388000, 0.2478032000, 0.4694946000, 0.9175506000, 1.8188772000, 3.6216026000", \
"0.0420381000, 0.0915014000, 0.1418599000, 0.2482116000, 0.4692399000, 0.9203746000, 1.8235494000, 3.6176664000", \
"0.0427894000, 0.0921653000, 0.1424237000, 0.2487208000, 0.4693182000, 0.9180540000, 1.8171475000, 3.6169192000", \
"0.0440128000, 0.0932001000, 0.1430701000, 0.2487938000, 0.4693177000, 0.9178157000, 1.8174759000, 3.6158414000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
}
pin ("Q_N") {
direction : "output";
function : "IQ_N";
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0084100000, 0.0168200000, 0.0336500000, 0.0672800000, 0.1345800000, 0.2691600000, 0.5383200000");
values("-0.0981292000, 0.0868563000, 0.2090296000, 0.3294487000, 0.2864115000, -0.2582436000, -1.9185212000, -5.7395943000", \
"-0.0969400000, 0.0883376000, 0.2104701000, 0.3315483000, 0.2886042000, -0.2563015000, -1.9159872000, -5.7368382000", \
"-0.0956528000, 0.0891605000, 0.2107434000, 0.3306538000, 0.2873643000, -0.2577316000, -1.9186946000, -5.7398963000", \
"-0.1012387000, 0.0838699000, 0.2060666000, 0.3266528000, 0.2832213000, -0.2613248000, -1.9214168000, -5.7422494000", \
"-0.1187615000, 0.0718523000, 0.1985503000, 0.3256252000, 0.2913212000, -0.2455675000, -1.8981258000, -5.7146227000", \
"-0.1619405000, 0.0401363000, 0.1761336000, 0.3170803000, 0.2993457000, -0.2198806000, -1.8592804000, -5.6665232000", \
"-0.2483140000, -0.0208823000, 0.1353355000, 0.3063562000, 0.3269998000, -0.1544527000, -1.7633930000, -5.5505571000", \
"-0.3442669000, -0.0902862000, 0.0871044000, 0.2896021000, 0.3501224000, -0.0906433000, -1.6675258000, -5.4332729000", \
"-0.6117205000, -0.2849243000, -0.0494077000, 0.2411099000, 0.4110136000, 0.0775029000, -1.4130202000, -5.1206942000", \
"-0.7505566000, -0.3853821000, -0.1193572000, 0.2165374000, 0.4428874000, 0.1609506000, -1.2842180000, -4.9623299000", \
"-0.8893933000, -0.4875157000, -0.1922723000, 0.1881731000, 0.4701590000, 0.2429745000, -1.1583635000, -4.8070560000");
}
related_pin : "CLK";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0084100000, 0.0168200000, 0.0336500000, 0.0672800000, 0.1345800000, 0.2691600000, 0.5383200000");
values("-0.0981273000, -0.1092521000, -0.0936089000, -0.0073136000, 0.2878279000, 1.0892825000, 2.9346845000, 6.8546683000", \
"-0.0969377000, -0.1085248000, -0.0933148000, -0.0075389000, 0.2868009000, 1.0877159000, 2.9350276000, 6.8589867000", \
"-0.0956506000, -0.1072984000, -0.0920278000, -0.0058937000, 0.2878844000, 1.0886429000, 2.9334256000, 6.8517450000", \
"-0.1012365000, -0.1123173000, -0.0967594000, -0.0103242000, 0.2846471000, 1.0857174000, 2.9333740000, 6.8507560000", \
"-0.1187594000, -0.1248299000, -0.1052069000, -0.0128030000, 0.2898049000, 1.0988003000, 2.9510711000, 6.8734447000", \
"-0.1619380000, -0.1577599000, -0.1299568000, -0.0245397000, 0.2931783000, 1.1176229000, 2.9816421000, 6.9121044000", \
"-0.2483119000, -0.2214871000, -0.1754096000, -0.0437410000, 0.3080997000, 1.1660009000, 3.0574349000, 7.0065313000", \
"-0.3442646000, -0.2942998000, -0.2299256000, -0.0700316000, 0.3167864000, 1.2089945000, 3.1281507000, 7.0956582000", \
"-0.6117198000, -0.4970961000, -0.3807921000, -0.1436360000, 0.3395337000, 1.3314587000, 3.3247267000, 7.3441600000", \
"-0.7505566000, -0.6026416000, -0.4598842000, -0.1826100000, 0.3553149000, 1.3900164000, 3.4294079000, 7.4750429000", \
"-0.8893933000, -0.7080030000, -0.5384197000, -0.2209597000, 0.3673907000, 1.4511774000, 3.5326347000, 7.6002219000");
}
}
max_capacitance : 0.5367380000;
max_transition : 3.7663340000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0084100000, 0.0168200000, 0.0336500000, 0.0672800000, 0.1345800000, 0.2691600000, 0.5383200000");
values("0.3873683000, 0.4422562000, 0.4889563000, 0.5801030000, 0.7618745000, 1.1222347000, 1.8361977000, 3.2627305000", \
"0.3865756000, 0.4414849000, 0.4881452000, 0.5795057000, 0.7612175000, 1.1214257000, 1.8356365000, 3.2617131000", \
"0.3900879000, 0.4449538000, 0.4916548000, 0.5828016000, 0.7645725000, 1.1249248000, 1.8390487000, 3.2660001000", \
"0.3978847000, 0.4527725000, 0.4994666000, 0.5906173000, 0.7723790000, 1.1327473000, 1.8467002000, 3.2736460000", \
"0.4291303000, 0.4839136000, 0.5307091000, 0.6218723000, 0.8036489000, 1.1640122000, 1.8781294000, 3.3040577000", \
"0.4614913000, 0.5163744000, 0.5630286000, 0.6542216000, 0.8360136000, 1.1963275000, 1.9102555000, 3.3385588000", \
"0.5001167000, 0.5550335000, 0.6016334000, 0.6928887000, 0.8744577000, 1.2348909000, 1.9488955000, 3.3756975000", \
"0.5206149000, 0.5752281000, 0.6219523000, 0.7130637000, 0.8947870000, 1.2551977000, 1.9691822000, 3.3960905000", \
"0.5374455000, 0.5921701000, 0.6388102000, 0.7298567000, 0.9115146000, 1.2718422000, 1.9859641000, 3.4113390000", \
"0.5336090000, 0.5883163000, 0.6350332000, 0.7260064000, 0.9076924000, 1.2679552000, 1.9818798000, 3.4078975000", \
"0.5249474000, 0.5795819000, 0.6262623000, 0.7172438000, 0.8988320000, 1.2591147000, 1.9732340000, 3.3984802000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0084100000, 0.0168200000, 0.0336500000, 0.0672800000, 0.1345800000, 0.2691600000, 0.5383200000");
values("0.4047666000, 0.4491142000, 0.4863071000, 0.5595244000, 0.7066837000, 0.9990636000, 1.5813670000, 2.7431966000", \
"0.4064034000, 0.4506860000, 0.4878114000, 0.5609710000, 0.7080315000, 1.0005726000, 1.5829957000, 2.7435971000", \
"0.4076810000, 0.4519589000, 0.4890869000, 0.5622504000, 0.7093216000, 1.0020065000, 1.5843947000, 2.7459737000", \
"0.4156661000, 0.4600341000, 0.4970883000, 0.5703682000, 0.7168792000, 1.0098685000, 1.5922588000, 2.7537990000", \
"0.4467172000, 0.4909978000, 0.5281232000, 0.6012784000, 0.7483229000, 1.0408806000, 1.6227936000, 2.7855163000", \
"0.4786466000, 0.5229225000, 0.5600389000, 0.6332187000, 0.7801492000, 1.0729170000, 1.6546760000, 2.8183589000", \
"0.5147017000, 0.5590651000, 0.5961154000, 0.6694213000, 0.8159872000, 1.1090510000, 1.6911438000, 2.8535752000", \
"0.5325601000, 0.5766440000, 0.6138244000, 0.6869531000, 0.8342088000, 1.1265940000, 1.7090951000, 2.8707463000", \
"0.5424209000, 0.5866869000, 0.6236918000, 0.6968519000, 0.8438561000, 1.1366630000, 1.7190578000, 2.8805949000", \
"0.5356798000, 0.5799540000, 0.6169721000, 0.6903623000, 0.8368386000, 1.1297436000, 1.7117664000, 2.8724605000", \
"0.5236609000, 0.5677993000, 0.6049029000, 0.6782274000, 0.8243711000, 1.1177095000, 1.6998394000, 2.8612599000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0084100000, 0.0168200000, 0.0336500000, 0.0672800000, 0.1345800000, 0.2691600000, 0.5383200000");
values("0.0304498000, 0.0848408000, 0.1404932000, 0.2577794000, 0.4934004000, 0.9571093000, 1.8890181000, 3.7632972000", \
"0.0308727000, 0.0852075000, 0.1403748000, 0.2577798000, 0.4933917000, 0.9571283000, 1.8929305000, 3.7663344000", \
"0.0304712000, 0.0848417000, 0.1404954000, 0.2577836000, 0.4933693000, 0.9578615000, 1.8894830000, 3.7576569000", \
"0.0304066000, 0.0848596000, 0.1405214000, 0.2577820000, 0.4931660000, 0.9573828000, 1.8923845000, 3.7538586000", \
"0.0305915000, 0.0851847000, 0.1404903000, 0.2574584000, 0.4939845000, 0.9579969000, 1.8915431000, 3.7547507000", \
"0.0304554000, 0.0849284000, 0.1406115000, 0.2577211000, 0.4926442000, 0.9571856000, 1.8923683000, 3.7575427000", \
"0.0306549000, 0.0850096000, 0.1407023000, 0.2561602000, 0.4927930000, 0.9572885000, 1.8870319000, 3.7589594000", \
"0.0309463000, 0.0852050000, 0.1406223000, 0.2571607000, 0.4926154000, 0.9571333000, 1.8891078000, 3.7576622000", \
"0.0309220000, 0.0851282000, 0.1405634000, 0.2569769000, 0.4927811000, 0.9566448000, 1.8910855000, 3.7617967000", \
"0.0309082000, 0.0852291000, 0.1405855000, 0.2572963000, 0.4932904000, 0.9592888000, 1.8887250000, 3.7629402000", \
"0.0310462000, 0.0853310000, 0.1406919000, 0.2574121000, 0.4938367000, 0.9576477000, 1.8882782000, 3.7613592000");
}
related_pin : "CLK";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0084100000, 0.0168200000, 0.0336500000, 0.0672800000, 0.1345800000, 0.2691600000, 0.5383200000");
values("0.0308270000, 0.0777171000, 0.1288385000, 0.2354262000, 0.4542109000, 0.8909653000, 1.7540038000, 3.4897469000", \
"0.0304428000, 0.0777915000, 0.1287839000, 0.2353978000, 0.4540884000, 0.8888007000, 1.7602420000, 3.4914183000", \
"0.0304531000, 0.0777936000, 0.1287819000, 0.2353768000, 0.4547820000, 0.8902784000, 1.7545589000, 3.4932854000", \
"0.0305254000, 0.0777090000, 0.1287305000, 0.2358882000, 0.4546640000, 0.8909705000, 1.7547186000, 3.4916379000", \
"0.0304283000, 0.0777890000, 0.1287795000, 0.2354159000, 0.4541559000, 0.8889161000, 1.7556498000, 3.4916092000", \
"0.0303996000, 0.0777593000, 0.1287532000, 0.2356792000, 0.4543945000, 0.8914750000, 1.7571941000, 3.4905139000", \
"0.0304703000, 0.0776955000, 0.1287292000, 0.2357410000, 0.4540567000, 0.8918906000, 1.7571994000, 3.4934751000", \
"0.0304200000, 0.0777424000, 0.1287730000, 0.2357153000, 0.4539133000, 0.8894490000, 1.7540139000, 3.4932730000", \
"0.0305243000, 0.0777937000, 0.1287038000, 0.2357451000, 0.4542653000, 0.8898461000, 1.7579316000, 3.4928884000", \
"0.0307579000, 0.0777535000, 0.1286464000, 0.2360528000, 0.4546539000, 0.8912339000, 1.7557445000, 3.4887999000", \
"0.0306741000, 0.0778124000, 0.1289997000, 0.2356243000, 0.4546343000, 0.8914855000, 1.7556272000, 3.4918557000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
}
pin ("SCD") {
capacitance : 0.0022700000;
clock : "false";
direction : "input";
fall_capacitance : 0.0022550000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0316463000, 0.0313369000, 0.0310047000, 0.0308074000, 0.0302657000, 0.0303053000, 0.0304203000, 0.0305247000, 0.0308790000, 0.0310458000, 0.0312127000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0283693000, -0.0280126000, -0.0276231000, -0.0276866000, -0.0278077000, -0.0283039000, -0.0292601000, -0.0292570000, -0.0291836000, -0.0291630000, -0.0291424000");
}
}
max_transition : 3.7500000000;
nextstate_type : "scan_in";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0022850000;
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3828594000, 0.3862871000, 0.3888815000, 0.3920521000, 0.4173158000, 0.4790150000, 0.6304896000, 0.7868470000, 1.1946464000, 1.3903659000, 1.5787612000", \
"0.3818730000, 0.3853008000, 0.3866745000, 0.3922864000, 0.4151087000, 0.4780287000, 0.6282825000, 0.7846400000, 1.1924394000, 1.3881589000, 1.5765542000", \
"0.3792787000, 0.3827064000, 0.3865215000, 0.3896921000, 0.4137350000, 0.4766549000, 0.6269088000, 0.7832663000, 1.1910657000, 1.3867852000, 1.5751805000", \
"0.3724460000, 0.3758737000, 0.3796888000, 0.3828594000, 0.4069023000, 0.4698223000, 0.6200761000, 0.7764336000, 1.1842331000, 1.3799524000, 1.5683477000", \
"0.3496237000, 0.3530514000, 0.3556458000, 0.3600371000, 0.3840801000, 0.4457793000, 0.5960332000, 0.7511699000, 1.1565280000, 1.3522474000, 1.5394220000", \
"0.3282077000, 0.3340768000, 0.3354505000, 0.3386211000, 0.3638848000, 0.4268047000, 0.5758379000, 0.7285332000, 1.1290085000, 1.3222864000, 1.5082404000", \
"0.3073483000, 0.3144382000, 0.3158118000, 0.3189824000, 0.3430254000, 0.4071660000, 0.5574199000, 0.7113359000, 1.1081491000, 1.2977649000, 1.4800567000", \
"0.2999167000, 0.3057858000, 0.3071595000, 0.3103301000, 0.3355938000, 0.3985137000, 0.5499883000, 0.7039043000, 1.1031588000, 1.2915540000, 1.4726251000", \
"0.3071563000, 0.3130254000, 0.3143991000, 0.3175697000, 0.3416126000, 0.4057533000, 0.5584486000, 0.7148060000, 1.1177226000, 1.3085592000, 1.4896302000", \
"0.3213978000, 0.3260462000, 0.3274199000, 0.3318112000, 0.3558542000, 0.4212155000, 0.5739108000, 0.7302682000, 1.1356263000, 1.3252422000, 1.5075339000", \
"0.3393014000, 0.3451705000, 0.3465442000, 0.3497147000, 0.3749784000, 0.4391191000, 0.5930351000, 0.7493925000, 1.1559713000, 1.3468078000, 1.5290996000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2144023000, 0.2141680000, 0.2167624000, 0.2174915000, 0.2256654000, 0.2421986000, 0.2484095000, 0.2277650000, 0.1106621000, 0.0329440000, -0.0533189000", \
"0.2134160000, 0.2144023000, 0.2145553000, 0.2177259000, 0.2246790000, 0.2412122000, 0.2474232000, 0.2267786000, 0.1145586000, 0.0307370000, -0.0543053000", \
"0.2120423000, 0.2105872000, 0.2131816000, 0.2139108000, 0.2233053000, 0.2398386000, 0.2460495000, 0.2254049000, 0.1083021000, 0.0293633000, -0.0556790000", \
"0.2039889000, 0.2025339000, 0.2063490000, 0.2058574000, 0.2152520000, 0.2305645000, 0.2379961000, 0.2173516000, 0.0990280000, 0.0200892000, -0.0649530000", \
"0.1823874000, 0.1845944000, 0.1835267000, 0.1854766000, 0.1936504000, 0.2089629000, 0.2139531000, 0.1920879000, 0.0749850000, -0.0039538000, -0.0889960000", \
"0.1731784000, 0.1753854000, 0.1755384000, 0.1762676000, 0.1832207000, 0.1973125000, 0.2010820000, 0.1792168000, 0.0621139000, -0.0156042000, -0.1006464000", \
"0.1767331000, 0.1789401000, 0.1790931000, 0.1798223000, 0.1867754000, 0.1984258000, 0.2009746000, 0.1815508000, 0.0668893000, -0.0083874000, -0.0922089000", \
"0.1888327000, 0.1910397000, 0.1911927000, 0.1919219000, 0.1988750000, 0.2105254000, 0.2155156000, 0.1960918000, 0.0875339000, 0.0122572000, -0.0691230000", \
"0.2375762000, 0.2410039000, 0.2399362000, 0.2406654000, 0.2500599000, 0.2653724000, 0.2801283000, 0.2680286000, 0.1716777000, 0.1025046000, 0.0260072000", \
"0.2689076000, 0.2711146000, 0.2712676000, 0.2732174000, 0.2813913000, 0.2991452000, 0.3187838000, 0.3115671000, 0.2237611000, 0.1570293000, 0.0829734000", \
"0.3039009000, 0.3061080000, 0.3062610000, 0.3069901000, 0.3163847000, 0.3353593000, 0.3598808000, 0.3575468000, 0.2782857000, 0.2152161000, 0.1423809000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.2116230000, -0.2150508000, -0.2176452000, -0.2220365000, -0.2460794000, -0.3089993000, -0.4482669000, -0.5838724000, -0.9208711000, -1.0836315000, -1.2390678000", \
"-0.2057539000, -0.2091816000, -0.2129967000, -0.2161673000, -0.2402103000, -0.3031302000, -0.4423978000, -0.5780033000, -0.9125605000, -1.0741002000, -1.2283158000", \
"-0.2019388000, -0.2053665000, -0.2091816000, -0.2123522000, -0.2376159000, -0.2993151000, -0.4385827000, -0.5729675000, -0.9063040000, -1.0678438000, -1.2220593000", \
"-0.2012096000, -0.2046374000, -0.2084525000, -0.2116230000, -0.2368867000, -0.2985859000, -0.4390742000, -0.5759004000, -0.9141198000, -1.0781009000, -1.2323165000", \
"-0.1783874000, -0.1830358000, -0.1831888000, -0.1888008000, -0.2128438000, -0.2769844000, -0.4174727000, -0.5555195000, -0.8974011000, -1.0613822000, -1.2180392000", \
"-0.1520885000, -0.1579577000, -0.1581107000, -0.1625020000, -0.1877656000, -0.2543477000, -0.3948359000, -0.5377656000, -0.8808678000, -1.0509525000, -1.2051680000", \
"-0.1202428000, -0.1261120000, -0.1262650000, -0.1306562000, -0.1571406000, -0.2237227000, -0.3703145000, -0.5169063000, -0.8636706000, -1.0337553000, -1.1940744000", \
"-0.1018249000, -0.1052526000, -0.1066263000, -0.1097969000, -0.1375020000, -0.2053047000, -0.3567793000, -0.4997090000, -0.8562389000, -1.0238822000, -1.1829806000", \
"-0.0724434000, -0.0783125000, -0.0796862000, -0.0828568000, -0.1068997000, -0.1734818000, -0.3298392000, -0.4752103000, -0.8305196000, -0.9945007000, -1.1535991000", \
"-0.0671536000, -0.0730228000, -0.0743965000, -0.0775671000, -0.1016100000, -0.1657506000, -0.3233288000, -0.4723620000, -0.8179056000, -0.9818867000, -1.1373230000", \
"-0.0679674000, -0.0726158000, -0.0739895000, -0.0771601000, -0.0999823000, -0.1604609000, -0.3143769000, -0.4609687000, -0.8052916000, -0.9643899000, -1.1173848000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1054219000, -0.1051875000, -0.1077819000, -0.1085111000, -0.1154642000, -0.1161283000, -0.0820560000, -0.0260111000, 0.1753203000, 0.2933216000, 0.4149850000", \
"-0.1044355000, -0.1029805000, -0.1067956000, -0.1075247000, -0.1132572000, -0.1139212000, -0.0810697000, -0.0238040000, 0.1787480000, 0.2967493000, 0.4196334000", \
"-0.1030619000, -0.1016068000, -0.1054219000, -0.1049303000, -0.1118835000, -0.1125475000, -0.0784753000, -0.0224303000, 0.1813424000, 0.3005645000, 0.4222278000", \
"-0.0986706000, -0.0972155000, -0.1010306000, -0.1005391000, -0.1074922000, -0.1081563000, -0.0753047000, -0.0192598000, 0.1845130000, 0.3012936000, 0.4241777000", \
"-0.0892760000, -0.0914831000, -0.0916361000, -0.0911445000, -0.0980977000, -0.1024238000, -0.0720137000, -0.0159687000, 0.1804798000, 0.2972604000, 0.4189237000", \
"-0.0861706000, -0.0883776000, -0.0885306000, -0.0880391000, -0.0949922000, -0.1017598000, -0.0774531000, -0.0299531000, 0.1640540000, 0.2747311000, 0.3927323000", \
"-0.0885046000, -0.0907116000, -0.0908646000, -0.0915938000, -0.0973262000, -0.1077559000, -0.0956563000, -0.0542598000, 0.1177747000, 0.2247897000, 0.3354667000", \
"-0.0957214000, -0.0979284000, -0.0980814000, -0.0988105000, -0.1057637000, -0.1174141000, -0.1114180000, -0.0785664000, 0.0763783000, 0.1760690000, 0.2830839000", \
"-0.1273750000, -0.1295820000, -0.1297350000, -0.1304642000, -0.1386380000, -0.1551712000, -0.1626029000, -0.1407376000, -0.0187520000, 0.0650697000, 0.1598775000", \
"-0.1489408000, -0.1511478000, -0.1513008000, -0.1520299000, -0.1602038000, -0.1779577000, -0.1902721000, -0.1745104000, -0.0622904000, 0.0154277000, 0.1041321000", \
"-0.1717271000, -0.1739342000, -0.1740872000, -0.1748163000, -0.1829901000, -0.2019648000, -0.2191620000, -0.2082831000, -0.1082701000, -0.0329934000, 0.0496074000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("SCE") {
capacitance : 0.0044520000;
clock : "false";
direction : "input";
fall_capacitance : 0.0042400000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1204625000, 0.1194588000, 0.1183744000, 0.1304375000, 0.1682734000, 0.2334449000, 0.3638223000, 0.4987490000, 0.8748560000, 1.0700778000, 1.2652996000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0071846000, -0.0040883000, -0.0007308000, 0.0090754000, 0.0398532000, 0.1023201000, 0.2272920000, 0.3620443000, 0.7376717000, 0.9326429000, 1.1276140000");
}
}
max_transition : 3.7500000000;
nextstate_type : "scan_enable";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0046640000;
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3083965000, 0.3118242000, 0.3156393000, 0.3200306000, 0.3440736000, 0.4033314000, 0.5389368000, 0.6745423000, 1.0042168000, 1.1584323000, 1.3041030000", \
"0.3061895000, 0.3108379000, 0.3134323000, 0.3190443000, 0.3430872000, 0.4023451000, 0.5379505000, 0.6723353000, 1.0032304000, 1.1562253000, 1.3031167000", \
"0.3048157000, 0.3094642000, 0.3132793000, 0.3164499000, 0.3417135000, 0.3997507000, 0.5365768000, 0.6709616000, 1.0018568000, 1.1560723000, 1.3017429000", \
"0.2992038000, 0.3026315000, 0.3064466000, 0.3108379000, 0.3348809000, 0.3941387000, 0.5297441000, 0.6641289000, 0.9950241000, 1.1480188000, 1.2949102000", \
"0.2751608000, 0.2798092000, 0.2824036000, 0.2867949000, 0.3120586000, 0.3700957000, 0.5057012000, 0.6388652000, 0.9660984000, 1.1190931000, 1.2647638000", \
"0.2549655000, 0.2620553000, 0.2634290000, 0.2665996000, 0.2906426000, 0.3499004000, 0.4842852000, 0.6162285000, 0.9385788000, 1.0879115000, 1.2311407000", \
"0.2316647000, 0.2387546000, 0.2389075000, 0.2432988000, 0.2685625000, 0.3278203000, 0.4646465000, 0.5965898000, 0.9140573000, 1.0609486000, 1.2017364000", \
"0.2205710000, 0.2276608000, 0.2290345000, 0.2334258000, 0.2574688000, 0.3179473000, 0.4559941000, 0.5891582000, 0.9090670000, 1.0547377000, 1.1930841000", \
"0.2253691000, 0.2324590000, 0.2338327000, 0.2382240000, 0.2634876000, 0.3239661000, 0.4632337000, 0.5976185000, 0.9236309000, 1.0705222000, 1.2088686000", \
"0.2396107000, 0.2467005000, 0.2468535000, 0.2512448000, 0.2765085000, 0.3369870000, 0.4774753000, 0.6130807000, 0.9403138000, 1.0872050000, 1.2267722000", \
"0.2575142000, 0.2646041000, 0.2659778000, 0.2703690000, 0.2944120000, 0.3548906000, 0.4953788000, 0.6322050000, 0.9606588000, 1.1087708000, 1.2483379000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3816387000, 0.3875078000, 0.3901022000, 0.3969349000, 0.4014466000, 0.3984486000, 0.3851283000, 0.3571595000, 0.2559258000, 0.1965182000, 0.1346693000", \
"0.3806523000, 0.3853008000, 0.3891159000, 0.3947279000, 0.3992396000, 0.3962415000, 0.3829212000, 0.3561732000, 0.2537188000, 0.1955319000, 0.1336830000", \
"0.3792787000, 0.3839271000, 0.3865215000, 0.3933542000, 0.3978659000, 0.3948678000, 0.3827682000, 0.3547995000, 0.2523451000, 0.1929375000, 0.1323093000", \
"0.3724460000, 0.3770944000, 0.3796888000, 0.3865215000, 0.3910332000, 0.3880351000, 0.3759355000, 0.3479668000, 0.2467331000, 0.1873255000, 0.1254766000", \
"0.3496237000, 0.3542721000, 0.3568665000, 0.3636992000, 0.3682110000, 0.3652129000, 0.3518926000, 0.3251445000, 0.2214694000, 0.1632826000, 0.1014337000", \
"0.3294284000, 0.3340768000, 0.3366712000, 0.3435039000, 0.3480156000, 0.3450176000, 0.3316973000, 0.3037285000, 0.2024948000, 0.1430872000, 0.0812384000", \
"0.3073483000, 0.3119968000, 0.3145911000, 0.3214238000, 0.3259355000, 0.3229375000, 0.3096172000, 0.2816484000, 0.1804147000, 0.1222279000, 0.0603790000", \
"0.2962546000, 0.3021237000, 0.3047181000, 0.3115508000, 0.3160625000, 0.3130645000, 0.2997441000, 0.2705547000, 0.1705417000, 0.1111341000, 0.0505059000", \
"0.3010527000, 0.3069219000, 0.3095163000, 0.3163490000, 0.3208607000, 0.3178626000, 0.3033216000, 0.2753529000, 0.1753398000, 0.1159323000, 0.0553041000", \
"0.3152943000, 0.3199427000, 0.3225371000, 0.3293698000, 0.3338815000, 0.3308834000, 0.3163424000, 0.2883737000, 0.1883607000, 0.1301738000, 0.0695457000", \
"0.3331978000, 0.3390670000, 0.3404407000, 0.3472734000, 0.3517851000, 0.3500077000, 0.3342460000, 0.3062773000, 0.2062642000, 0.1480774000, 0.0874492000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1566914000, -0.1601191000, -0.1590514000, -0.1683255000, -0.1935892000, -0.2504056000, -0.3725833000, -0.4788919000, -0.7353242000, -0.8553600000, -0.9705131000", \
"-0.1508223000, -0.1542500000, -0.1531823000, -0.1624564000, -0.1877201000, -0.2457571000, -0.3654935000, -0.4730228000, -0.7257930000, -0.8458288000, -0.9597611000", \
"-0.1470072000, -0.1516556000, -0.1493672000, -0.1586413000, -0.1839049000, -0.2419421000, -0.3616784000, -0.4667663000, -0.7195364000, -0.8383516000, -0.9522840000", \
"-0.1462780000, -0.1509264000, -0.1486380000, -0.1579121000, -0.1831758000, -0.2412129000, -0.3621699000, -0.4709199000, -0.7285729000, -0.8486088000, -0.9637618000", \
"-0.1210143000, -0.1281042000, -0.1294779000, -0.1338691000, -0.1579121000, -0.2171699000, -0.3405684000, -0.4493184000, -0.7118541000, -0.8318900000, -0.9494844000", \
"-0.0959362000, -0.1018053000, -0.1031790000, -0.1075703000, -0.1328340000, -0.1920918000, -0.3179316000, -0.4315645000, -0.6953210000, -0.8202396000, -0.9366134000", \
"-0.0616491000, -0.0687389000, -0.0688919000, -0.0732832000, -0.0997676000, -0.1614668000, -0.2921895000, -0.4107051000, -0.6817858000, -0.8030423000, -0.9242989000", \
"-0.0407897000, -0.0478796000, -0.0492533000, -0.0524238000, -0.0789082000, -0.1418281000, -0.2762129000, -0.3947285000, -0.6658093000, -0.7907279000, -0.9107638000", \
"-0.0162910000, -0.0221602000, -0.0235339000, -0.0267044000, -0.0519681000, -0.1124466000, -0.2480521000, -0.3677884000, -0.6400898000, -0.7637878000, -0.8801616000", \
"-0.0122220000, -0.0193119000, -0.0206855000, -0.0238561000, -0.0478991000, -0.1059362000, -0.2378796000, -0.3563952000, -0.6250345000, -0.7462910000, -0.8565613000", \
"-0.0130357000, -0.0201256000, -0.0214993000, -0.0258905000, -0.0499335000, -0.1043085000, -0.2264862000, -0.3425605000, -0.6063170000, -0.7251321000, -0.8402851000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0846699000, -0.0832148000, -0.0821471000, -0.0914212000, -0.1118021000, -0.1234525000, -0.1101322000, -0.0748392000, 0.0532500000, 0.1273060000, 0.2050240000", \
"-0.0824629000, -0.0810078000, -0.0799401000, -0.0892142000, -0.1095951000, -0.1212454000, -0.1067044000, -0.0726322000, 0.0566777000, 0.1307337000, 0.2084517000", \
"-0.0823099000, -0.0796341000, -0.0785664000, -0.0878405000, -0.1082214000, -0.1198717000, -0.1053307000, -0.0712585000, 0.0592721000, 0.1333281000, 0.2110461000", \
"-0.0766979000, -0.0752428000, -0.0753958000, -0.0834492000, -0.1038301000, -0.1154805000, -0.1021602000, -0.0668672000, 0.0612220000, 0.1352780000, 0.2129960000", \
"-0.0685241000, -0.0695104000, -0.0708841000, -0.0752754000, -0.0944355000, -0.1085273000, -0.0976484000, -0.0660176000, 0.0571888000, 0.1312448000, 0.2053007000", \
"-0.0666393000, -0.0676257000, -0.0689993000, -0.0733906000, -0.0913301000, -0.1090840000, -0.1067500000, -0.0800020000, 0.0371009000, 0.1062741000, 0.1791093000", \
"-0.0701940000, -0.0711803000, -0.0725540000, -0.0769453000, -0.0961055000, -0.1163008000, -0.1261738000, -0.1116328000, -0.0091784000, 0.0563327000, 0.1230644000", \
"-0.0786315000, -0.0783971000, -0.0797708000, -0.0841621000, -0.1045430000, -0.1284004000, -0.1443770000, -0.1371602000, -0.0542370000, 0.0063913000, 0.0731230000", \
"-0.1102852000, -0.1112715000, -0.1126452000, -0.1170365000, -0.1398587000, -0.1685990000, -0.1980033000, -0.2042142000, -0.1566914000, -0.1131530000, -0.0598490000", \
"-0.1318509000, -0.1328372000, -0.1342109000, -0.1386022000, -0.1614245000, -0.1926061000, -0.2281139000, -0.2392077000, -0.2038919000, -0.1652363000, -0.1180359000", \
"-0.1558580000, -0.1568443000, -0.1569973000, -0.1613886000, -0.1842109000, -0.2166132000, -0.2582245000, -0.2742011000, -0.2498717000, -0.2160989000, -0.1737813000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
test_cell () {
ff ("IQ","IQ_N") {
clocked_on : "CLK";
next_state : "D";
}
pin ("CLK") {
direction : "input";
}
pin ("D") {
direction : "input";
}
pin ("Q") {
direction : "output";
function : "IQ";
signal_type : "test_scan_out";
}
pin ("Q_N") {
direction : "output";
function : "IQ_N";
signal_type : "test_scan_out_inverted";
}
pin ("SCD") {
direction : "input";
signal_type : "test_scan_in";
}
pin ("SCE") {
direction : "input";
signal_type : "test_scan_enable";
}
}
}
cell ("sky130_fd_sc_hvl__sdfxtp_1") {
leakage_power () {
value : 13.223449900;
when : "!D&SCD&SCE&!CLK";
}
leakage_power () {
value : 13.077243300;
when : "D&SCD&SCE&!CLK";
}
leakage_power () {
value : 18.613558700;
when : "D&!SCD&!SCE&CLK";
}
leakage_power () {
value : 14.319199200;
when : "!D&!SCD&SCE&CLK";
}
leakage_power () {
value : 20.096894800;
when : "!D&SCD&!SCE&CLK";
}
leakage_power () {
value : 15.665323300;
when : "!D&!SCD&!SCE&!CLK";
}
leakage_power () {
value : 18.652475100;
when : "!D&!SCD&!SCE&CLK";
}
leakage_power () {
value : 19.470771700;
when : "D&!SCD&SCE&CLK";
}
leakage_power () {
value : 12.863369900;
when : "D&SCD&!SCE&CLK";
}
leakage_power () {
value : 15.931732400;
when : "D&!SCD&!SCE&!CLK";
}
leakage_power () {
value : 15.905328600;
when : "!D&SCD&SCE&CLK";
}
leakage_power () {
value : 13.185681900;
when : "!D&!SCD&SCE&!CLK";
}
leakage_power () {
value : 15.759171700;
when : "D&SCD&SCE&CLK";
}
leakage_power () {
value : 16.483895100;
when : "D&!SCD&SCE&!CLK";
}
leakage_power () {
value : 18.963493900;
when : "!D&SCD&!SCE&!CLK";
}
leakage_power () {
value : 15.672556600;
when : "D&SCD&!SCE&!CLK";
}
area : 60.561600000;
cell_footprint : "sky130_fd_sc_hvl__sdfxtp";
cell_leakage_power : 16.117760000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
ff ("IQ","IQ_N") {
clocked_on : "CLK";
next_state : "(D&!SCE) | (SCD&SCE)";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("CLK") {
capacitance : 0.0025710000;
clock : "true";
direction : "input";
fall_capacitance : 0.0025050000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3053915000, 0.3064135000, 0.3075231000, 0.3207909000, 0.3623906000, 0.4581316000, 0.6496443000, 0.8508103000, 1.4115225000, 1.7025750000, 1.9936274000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2300913000, 0.2309551000, 0.2318932000, 0.2424154000, 0.2754143000, 0.3703161000, 0.5601476000, 0.7637827000, 1.3313711000, 1.6259946000, 1.9206180000");
}
}
max_transition : 3.7500000000;
min_pulse_width_high : 0.1906970000;
min_pulse_width_low : 0.3115450000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0026370000;
}
pin ("D") {
capacitance : 0.0024680000;
clock : "false";
direction : "input";
fall_capacitance : 0.0023650000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0945600000, 0.0929074000, 0.0911197000, 0.0979891000, 0.1195558000, 0.1623251000, 0.2478929000, 0.3384805000, 0.5910038000, 0.7220755000, 0.8531470000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0279878000, 0.0266118000, 0.0251237000, 0.0303126000, 0.0466195000, 0.0867710000, 0.1671035000, 0.2571066000, 0.5080013000, 0.6382272000, 0.7684532000");
}
}
max_transition : 3.7500000000;
nextstate_type : "data";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0025720000;
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2620098000, 0.2654375000, 0.2643698000, 0.2773060000, 0.3233216000, 0.4094349000, 0.5902064000, 0.7660951000, 1.2300469000, 1.4562838000, 1.6776381000", \
"0.2610234000, 0.2644512000, 0.2633835000, 0.2750990000, 0.3223353000, 0.4084486000, 0.5892201000, 0.7638880000, 1.2278399000, 1.4552975000, 1.6766518000", \
"0.2596497000, 0.2630775000, 0.2620098000, 0.2737253000, 0.3209616000, 0.4070749000, 0.5866256000, 0.7625143000, 1.2264662000, 1.4539238000, 1.6752781000", \
"0.2515963000, 0.2562448000, 0.2551771000, 0.2668926000, 0.3141289000, 0.4002422000, 0.5797930000, 0.7556816000, 1.2208541000, 1.4470912000, 1.6684454000", \
"0.2287741000, 0.2322018000, 0.2311341000, 0.2428496000, 0.2888652000, 0.3761992000, 0.5557500000, 0.7316387000, 1.1943698000, 1.4206068000, 1.6419611000", \
"0.2036960000, 0.2095651000, 0.2097181000, 0.2189922000, 0.2662285000, 0.3523418000, 0.5331133000, 0.7090020000, 1.1692917000, 1.3943079000, 1.6132208000", \
"0.1694089000, 0.1740573000, 0.1754310000, 0.1834844000, 0.2319414000, 0.3192754000, 0.5024883000, 0.6808183000, 1.1459909000, 1.3697864000, 1.5874786000", \
"0.1497702000, 0.1556393000, 0.1557923000, 0.1650664000, 0.2123027000, 0.3008574000, 0.4852910000, 0.6636211000, 1.1312350000, 1.3574720000, 1.5763848000", \
"0.1350371000, 0.1396855000, 0.1410593000, 0.1491126000, 0.1975697000, 0.2873451000, 0.4705580000, 0.6501087000, 1.1213848000, 1.3488424000, 1.5689760000", \
"0.1395130000, 0.1441615000, 0.1455352000, 0.1548092000, 0.2020456000, 0.2918210000, 0.4762546000, 0.6545847000, 1.1258607000, 1.3545391000, 1.5746727000", \
"0.1488717000, 0.1547408000, 0.1548938000, 0.1641679000, 0.2126249000, 0.2999589000, 0.4856132000, 0.6651640000, 1.1364400000, 1.3638977000, 1.5852520000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1362773000, 0.1397051000, 0.1398581000, 0.1405872000, 0.1487611000, 0.1518665000, 0.1238978000, 0.0702943000, -0.1334785000, -0.2575833000, -0.3865709000", \
"0.1352910000, 0.1387187000, 0.1388717000, 0.1396009000, 0.1477747000, 0.1508802000, 0.1229115000, 0.0693079000, -0.1356855000, -0.2561283000, -0.3887779000", \
"0.1339173000, 0.1361244000, 0.1374980000, 0.1382272000, 0.1451803000, 0.1495065000, 0.1203171000, 0.0679342000, -0.1370592000, -0.2599434000, -0.3889309000", \
"0.1270846000, 0.1292917000, 0.1306654000, 0.1313945000, 0.1383477000, 0.1414531000, 0.1122637000, 0.0586602000, -0.1475540000, -0.2704382000, -0.3994257000", \
"0.1103659000, 0.1125729000, 0.1127259000, 0.1134551000, 0.1191875000, 0.1210723000, 0.0882207000, 0.0333965000, -0.1752591000, -0.2981432000, -0.4283515000", \
"0.0987155000, 0.1021432000, 0.1022962000, 0.1030254000, 0.1075371000, 0.1069805000, 0.0729082000, 0.0156426000, -0.1942337000, -0.3183385000, -0.4485468000", \
"0.0937253000, 0.0971530000, 0.0973060000, 0.0980352000, 0.1025469000, 0.0995488000, 0.0666973000, 0.0106523000, -0.1992240000, -0.3233288000, -0.4523163000", \
"0.0960592000, 0.0982663000, 0.0984193000, 0.1003691000, 0.1048809000, 0.1043242000, 0.0739141000, 0.0203105000, -0.1871244000, -0.3087878000, -0.4389960000", \
"0.1191680000, 0.1213750000, 0.1227487000, 0.1234779000, 0.1292103000, 0.1323158000, 0.1104505000, 0.0629505000, -0.1298359000, -0.2466165000, -0.3707213000", \
"0.1370716000, 0.1392786000, 0.1406523000, 0.1413815000, 0.1471139000, 0.1514401000, 0.1344577000, 0.0906198000, -0.0948425000, -0.2091816000, -0.3308450000", \
"0.1574166000, 0.1596236000, 0.1597766000, 0.1605058000, 0.1662382000, 0.1717851000, 0.1584648000, 0.1170683000, -0.0610697000, -0.1729675000, -0.2909688000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1505879000, -0.1540156000, -0.1529479000, -0.1658841000, -0.2118997000, -0.2980130000, -0.4714603000, -0.6375833000, -1.0612519000, -1.2703991000, -1.4710014000", \
"-0.1459395000, -0.1493672000, -0.1495202000, -0.1612357000, -0.2084720000, -0.2945853000, -0.4680326000, -0.6329349000, -1.0566035000, -1.2645300000, -1.4651322000", \
"-0.1421244000, -0.1455521000, -0.1457051000, -0.1574206000, -0.2046569000, -0.2907702000, -0.4642175000, -0.6291198000, -1.0515677000, -1.2594941000, -1.4600964000", \
"-0.1401745000, -0.1436022000, -0.1425345000, -0.1542500000, -0.2014863000, -0.2875996000, -0.4622676000, -0.6283906000, -1.0545007000, -1.2624271000, -1.4642501000", \
"-0.1112487000, -0.1183385000, -0.1172708000, -0.1277656000, -0.1737813000, -0.2623359000, -0.4370039000, -0.6043476000, -1.0353405000, -1.2444876000, -1.4475314000", \
"-0.0812878000, -0.0871569000, -0.0885306000, -0.0978047000, -0.1474824000, -0.2360371000, -0.4131465000, -0.5829317000, -1.0224694000, -1.2316165000, -1.4383223000", \
"-0.0470007000, -0.0528698000, -0.0530228000, -0.0622969000, -0.1119746000, -0.2017500000, -0.3849629000, -0.5584102000, -1.0040515000, -1.2168607000, -1.4272286000", \
"-0.0261413000, -0.0320104000, -0.0321634000, -0.0414375000, -0.0911152000, -0.1833320000, -0.3665449000, -0.5436543000, -0.9905163000, -1.2082084000, -1.4173555000", \
"-0.0016426000, -0.0062910000, -0.0064440000, -0.0169388000, -0.0653958000, -0.1576126000, -0.3457083000, -0.5228177000, -0.9782246000, -1.1983580000, -1.4148295000", \
"0.0036471000, -0.0022220000, -0.0023750000, -0.0116491000, -0.0601061000, -0.1523229000, -0.3428600000, -0.5224108000, -0.9814799000, -1.2028340000, -1.4168640000", \
"0.0052748000, 0.0006264000, 0.0004734000, -0.0088007000, -0.0572577000, -0.1506952000, -0.3448945000, -0.5244452000, -0.9908385000, -1.2085306000, -1.4237813000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0810078000, -0.0795527000, -0.0833678000, -0.0853177000, -0.0898294000, -0.0831693000, -0.0344486000, 0.0386862000, 0.2925078000, 0.4373646000, 0.5871041000", \
"-0.0800215000, -0.0785664000, -0.0823815000, -0.0843314000, -0.0888431000, -0.0821829000, -0.0334622000, 0.0408932000, 0.2947149000, 0.4395716000, 0.5905318000", \
"-0.0786478000, -0.0784134000, -0.0810078000, -0.0829577000, -0.0874694000, -0.0808092000, -0.0320885000, 0.0410462000, 0.2948678000, 0.4397246000, 0.5906848000", \
"-0.0730358000, -0.0715807000, -0.0753958000, -0.0761250000, -0.0818574000, -0.0751973000, -0.0252559000, 0.0490996000, 0.3029212000, 0.4477780000, 0.5987382000", \
"-0.0599792000, -0.0621862000, -0.0623392000, -0.0630684000, -0.0663594000, -0.0596992000, -0.0121992000, 0.0584941000, 0.3086536000, 0.4522897000, 0.6008085000", \
"-0.0519909000, -0.0541979000, -0.0543509000, -0.0550801000, -0.0583711000, -0.0541523000, -0.0090938000, 0.0603789000, 0.3019935000, 0.4431881000, 0.5904863000", \
"-0.0482214000, -0.0504284000, -0.0505814000, -0.0513105000, -0.0558223000, -0.0528242000, -0.0138691000, 0.0495000000, 0.2776869000, 0.4127780000, 0.5551933000", \
"-0.0493346000, -0.0515417000, -0.0529154000, -0.0536445000, -0.0581562000, -0.0588203000, -0.0247480000, 0.0337383000, 0.2546009000, 0.3848093000, 0.5223417000", \
"-0.0687813000, -0.0709883000, -0.0723620000, -0.0730911000, -0.0788236000, -0.0831497000, -0.0612845000, -0.0125638000, 0.1875469000, 0.3092103000, 0.4369771000", \
"-0.0830228000, -0.0852298000, -0.0866035000, -0.0873327000, -0.0930651000, -0.0998327000, -0.0828503000, -0.0390124000, 0.1525534000, 0.2693340000, 0.3934387000", \
"-0.0997057000, -0.1019127000, -0.1020657000, -0.1027948000, -0.1085273000, -0.1165155000, -0.1056366000, -0.0642402000, 0.1175600000, 0.2306785000, 0.3523418000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("Q") {
direction : "output";
function : "IQ";
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0090200000, 0.0180300000, 0.0360600000, 0.0721300000, 0.1442600000, 0.2885200000, 0.5770300000");
values("0.3934388000, 0.2470457000, 0.1079409000, -0.1662333000, -0.7125564000, -1.8041827000, -3.9859852000, -8.350321800", \
"0.3878628000, 0.2414154000, 0.1024720000, -0.1716667000, -0.7181610000, -1.8092880000, -3.9917540000, -8.355454100", \
"0.3867674000, 0.2402159000, 0.1012483000, -0.1728499000, -0.7191574000, -1.8109398000, -3.9928216000, -8.356912800", \
"0.3818263000, 0.2353080000, 0.0962696000, -0.1779004000, -0.7244212000, -1.8154032000, -3.9979005000, -8.361554800", \
"0.3962344000, 0.2499675000, 0.1109994000, -0.1629282000, -0.7094565000, -1.8011451000, -3.9832373000, -8.346927200", \
"0.4011417000, 0.2549825000, 0.1160616000, -0.1581199000, -0.7044124000, -1.7962483000, -3.9783494000, -8.342013100", \
"0.4376849000, 0.2819197000, 0.1427310000, -0.1315793000, -0.6783153000, -1.7697740000, -3.9517727000, -8.315399000", \
"0.4550989000, 0.2995182000, 0.1590578000, -0.1163612000, -0.6629637000, -1.7540914000, -3.9362066000, -8.299930000", \
"0.4980864000, 0.3418016000, 0.2017248000, -0.0745027000, -0.6222682000, -1.7136328000, -3.8943313000, -8.257873400", \
"0.5168856000, 0.3614838000, 0.2200366000, -0.0557107000, -0.6039272000, -1.6971411000, -3.8767541000, -8.240340600", \
"0.5339673000, 0.3777281000, 0.2377394000, -0.0372726000, -0.5870255000, -1.6804162000, -3.8596158000, -8.223122700");
}
related_pin : "CLK";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0090200000, 0.0180300000, 0.0360600000, 0.0721300000, 0.1442600000, 0.2885200000, 0.5770300000");
values("0.4066804000, 0.5394647000, 0.6726372000, 0.9407184000, 1.4778400000, 2.5594708000, 4.7187506000, 9.041139500", \
"0.4078081000, 0.5405638000, 0.6733673000, 0.9405897000, 1.4792740000, 2.5577836000, 4.7185508000, 9.036214900", \
"0.4007441000, 0.5335419000, 0.6663699000, 0.9345146000, 1.4717955000, 2.5501025000, 4.7093586000, 9.028468700", \
"0.3951386000, 0.5283437000, 0.6611347000, 0.9292599000, 1.4665856000, 2.5450628000, 4.7039488000, 9.022886400", \
"0.4151003000, 0.5479904000, 0.6807428000, 0.9488532000, 1.4861266000, 2.5644938000, 4.7256732000, 9.042682100", \
"0.4292817000, 0.5619670000, 0.6946966000, 0.9626436000, 1.4993726000, 2.5812000000, 4.7398060000, 9.062784200", \
"0.5002682000, 0.6170567000, 0.7506238000, 1.0174455000, 1.5542656000, 2.6349964000, 4.7924861000, 9.115889000", \
"0.5531160000, 0.6685917000, 0.7972397000, 1.0607556000, 1.5996127000, 2.6753757000, 4.8401256000, 9.159029500", \
"0.6802920000, 0.7928014000, 0.9205254000, 1.1861426000, 1.7146336000, 2.7939142000, 4.9569181000, 9.278366500", \
"0.7353310000, 0.8465121000, 0.9749781000, 1.2391062000, 1.7751919000, 2.8471093000, 5.0079686000, 9.324082300", \
"0.7904060000, 0.8998860000, 1.0268575000, 1.2910503000, 1.8286036000, 2.8952082000, 5.0573783000, 9.378729900");
}
}
max_capacitance : 0.5333050000;
max_transition : 4.0587440000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0090200000, 0.0180300000, 0.0360600000, 0.0721300000, 0.1442600000, 0.2885200000, 0.5770300000");
values("0.3345616000, 0.3937746000, 0.4434809000, 0.5396681000, 0.7310908000, 1.1160712000, 1.8828759000, 3.4200134000", \
"0.3357689000, 0.3947688000, 0.4446702000, 0.5408440000, 0.7326517000, 1.1158729000, 1.8847963000, 3.4199246000", \
"0.3372132000, 0.3962607000, 0.4461819000, 0.5423564000, 0.7337316000, 1.1185999000, 1.8859583000, 3.4209047000", \
"0.3456908000, 0.4046800000, 0.4545417000, 0.5507049000, 0.7425167000, 1.1258032000, 1.8945716000, 3.4297079000", \
"0.3785096000, 0.4377245000, 0.4874328000, 0.5836958000, 0.7751065000, 1.1599530000, 1.9276249000, 3.4634313000", \
"0.4150364000, 0.4742579000, 0.5239122000, 0.6202031000, 0.8117318000, 1.1962544000, 1.9643442000, 3.4982390000", \
"0.4591517000, 0.5181316000, 0.5679205000, 0.6641929000, 0.8557894000, 1.2401918000, 2.0080813000, 3.5442982000", \
"0.4841868000, 0.5432286000, 0.5929777000, 0.6892243000, 0.8808432000, 1.2640219000, 2.0329578000, 3.5681060000", \
"0.5130454000, 0.5714488000, 0.6211840000, 0.7176366000, 0.9090492000, 1.2931306000, 2.0593212000, 3.5945336000", \
"0.5150222000, 0.5741142000, 0.6237076000, 0.7198291000, 0.9112647000, 1.2957829000, 2.0625044000, 3.5961456000", \
"0.5132419000, 0.5722900000, 0.6218667000, 0.7179599000, 0.9094496000, 1.2936671000, 2.0601076000, 3.5943697000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0090200000, 0.0180300000, 0.0360600000, 0.0721300000, 0.1442600000, 0.2885200000, 0.5770300000");
values("0.3103151000, 0.3585738000, 0.3983119000, 0.4759291000, 0.6309546000, 0.9402661000, 1.5611810000, 2.8073874000", \
"0.3092108000, 0.3573575000, 0.3970402000, 0.4743745000, 0.6295500000, 0.9399265000, 1.5619656000, 2.8009357000", \
"0.3129460000, 0.3611345000, 0.4008316000, 0.4783424000, 0.6334384000, 0.9437463000, 1.5654328000, 2.8054248000", \
"0.3212363000, 0.3693007000, 0.4089880000, 0.4863955000, 0.6416132000, 0.9517838000, 1.5724504000, 2.8139828000", \
"0.3542941000, 0.4023911000, 0.4420768000, 0.5195305000, 0.6746644000, 0.9849269000, 1.6065113000, 2.8470086000", \
"0.3941242000, 0.4423014000, 0.4819793000, 0.5595446000, 0.7145123000, 1.0235732000, 1.6448343000, 2.8903506000", \
"0.4444282000, 0.4927020000, 0.5324725000, 0.6097591000, 0.7641711000, 1.0737673000, 1.6945001000, 2.9397307000", \
"0.4764657000, 0.5250743000, 0.5647111000, 0.6419133000, 0.7967960000, 1.1056464000, 1.7267349000, 2.9726572000", \
"0.5240046000, 0.5734315000, 0.6130934000, 0.6899011000, 0.8440934000, 1.1541691000, 1.7739471000, 3.0183924000", \
"0.5360704000, 0.5856809000, 0.6253897000, 0.7022199000, 0.8564855000, 1.1661422000, 1.7868508000, 3.0241309000", \
"0.5423837000, 0.5923967000, 0.6320889000, 0.7089237000, 0.8627251000, 1.1724915000, 1.7915016000, 3.0311349000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0090200000, 0.0180300000, 0.0360600000, 0.0721300000, 0.1442600000, 0.2885200000, 0.5770300000");
values("0.0347925000, 0.0925666000, 0.1505706000, 0.2725301000, 0.5235553000, 1.0277371000, 2.0405404000, 4.0473798000", \
"0.0351485000, 0.0925450000, 0.1505919000, 0.2725797000, 0.5231914000, 1.0288550000, 2.0346098000, 4.0527244000", \
"0.0347575000, 0.0925086000, 0.1502300000, 0.2727504000, 0.5234633000, 1.0263885000, 2.0340987000, 4.0521080000", \
"0.0346275000, 0.0926803000, 0.1505072000, 0.2726064000, 0.5232261000, 1.0287798000, 2.0351791000, 4.0528363000", \
"0.0353529000, 0.0924721000, 0.1504622000, 0.2726431000, 0.5235087000, 1.0289094000, 2.0319326000, 4.0546211000", \
"0.0348413000, 0.0924736000, 0.1505951000, 0.2722262000, 0.5235696000, 1.0288023000, 2.0332995000, 4.0587436000", \
"0.0345671000, 0.0926299000, 0.1508721000, 0.2716209000, 0.5237245000, 1.0273996000, 2.0332860000, 4.0572128000", \
"0.0346755000, 0.0922446000, 0.1505524000, 0.2726303000, 0.5233872000, 1.0265303000, 2.0394806000, 4.0513219000", \
"0.0347352000, 0.0924290000, 0.1504285000, 0.2727393000, 0.5240881000, 1.0285448000, 2.0314610000, 4.0581023000", \
"0.0349690000, 0.0927778000, 0.1507141000, 0.2721215000, 0.5236018000, 1.0273624000, 2.0330260000, 4.0531551000", \
"0.0348690000, 0.0926654000, 0.1507315000, 0.2731210000, 0.5236011000, 1.0286517000, 2.0343982000, 4.0530583000");
}
related_pin : "CLK";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0090200000, 0.0180300000, 0.0360600000, 0.0721300000, 0.1442600000, 0.2885200000, 0.5770300000");
values("0.0348203000, 0.0845477000, 0.1380199000, 0.2514044000, 0.4833126000, 0.9485105000, 1.8875959000, 3.7450998000", \
"0.0348888000, 0.0845628000, 0.1383447000, 0.2513646000, 0.4824855000, 0.9489707000, 1.8813877000, 3.7477403000", \
"0.0348871000, 0.0844037000, 0.1382944000, 0.2508392000, 0.4833116000, 0.9483698000, 1.8805726000, 3.7486028000", \
"0.0347614000, 0.0845530000, 0.1383744000, 0.2514008000, 0.4831973000, 0.9493193000, 1.8787139000, 3.7488186000", \
"0.0348203000, 0.0845283000, 0.1383751000, 0.2510931000, 0.4832597000, 0.9486100000, 1.8806365000, 3.7488523000", \
"0.0349763000, 0.0847119000, 0.1382614000, 0.2514483000, 0.4831238000, 0.9489642000, 1.8866237000, 3.7456065000", \
"0.0357426000, 0.0852242000, 0.1389819000, 0.2517281000, 0.4831545000, 0.9487050000, 1.8880577000, 3.7497325000", \
"0.0365822000, 0.0858103000, 0.1390162000, 0.2517144000, 0.4824519000, 0.9481370000, 1.8797672000, 3.7458370000", \
"0.0390952000, 0.0879627000, 0.1403154000, 0.2518978000, 0.4827819000, 0.9479874000, 1.8835238000, 3.7450665000", \
"0.0402422000, 0.0888348000, 0.1410704000, 0.2524295000, 0.4836349000, 0.9469140000, 1.8822892000, 3.7449333000", \
"0.0412139000, 0.0898834000, 0.1417754000, 0.2529117000, 0.4827022000, 0.9475680000, 1.8887563000, 3.7458094000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
}
pin ("SCD") {
capacitance : 0.0024200000;
clock : "false";
direction : "input";
fall_capacitance : 0.0024180000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0366336000, 0.0363883000, 0.0361252000, 0.0360300000, 0.0357935000, 0.0357269000, 0.0356227000, 0.0357425000, 0.0361278000, 0.0363141000, 0.0365003000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0336954000, -0.0334593000, -0.0332008000, -0.0332113000, -0.0331798000, -0.0336976000, -0.0347030000, -0.0346888000, -0.0345951000, -0.0345610000, -0.0345269000");
}
}
max_transition : 3.7500000000;
nextstate_type : "scan_in";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0024220000;
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2925274000, 0.2983965000, 0.2985495000, 0.3004993000, 0.3318665000, 0.4008900000, 0.5560267000, 0.7087220000, 1.1055352000, 1.2963717000, 1.4811049000", \
"0.2915410000, 0.2974101000, 0.2975632000, 0.2995130000, 0.3308802000, 0.3999037000, 0.5550403000, 0.7077357000, 1.1045488000, 1.2953854000, 1.4801185000", \
"0.2901673000, 0.2960365000, 0.2961895000, 0.2981393000, 0.3295065000, 0.3985299000, 0.5536667000, 0.7063620000, 1.1031752000, 1.2940117000, 1.4787448000", \
"0.2833346000, 0.2892038000, 0.2893568000, 0.2913066000, 0.3226738000, 0.3916973000, 0.5468340000, 0.6995293000, 1.0963424000, 1.2871791000, 1.4719122000", \
"0.2617331000, 0.2663815000, 0.2665345000, 0.2697051000, 0.2998515000, 0.3688750000, 0.5227910000, 0.6754863000, 1.0698580000, 1.2606947000, 1.4454278000", \
"0.2403171000, 0.2461862000, 0.2463392000, 0.2495098000, 0.2796563000, 0.3474590000, 0.5013750000, 0.6540703000, 1.0435593000, 1.2319545000, 1.4154669000", \
"0.2121335000, 0.2180026000, 0.2169349000, 0.2201055000, 0.2502519000, 0.3192754000, 0.4756328000, 0.6307695000, 1.0226999000, 1.2098744000, 1.3885040000", \
"0.1961569000, 0.2008053000, 0.2009583000, 0.2041289000, 0.2354961000, 0.3045195000, 0.4608770000, 0.6172344000, 1.0128269000, 1.2012221000, 1.3810723000", \
"0.1863066000, 0.1921758000, 0.1923288000, 0.1942787000, 0.2268665000, 0.2971107000, 0.4559095000, 0.6122669000, 1.0127422000, 1.2023580000, 1.3846498000", \
"0.1932240000, 0.1990931000, 0.1980254000, 0.2011960000, 0.2325632000, 0.3040280000, 0.4628268000, 0.6204049000, 1.0233216000, 1.2129375000, 1.3952292000", \
"0.2038033000, 0.2084517000, 0.2086047000, 0.2117753000, 0.2431425000, 0.3146073000, 0.4746269000, 0.6322050000, 1.0363424000, 1.2271790000, 1.4094707000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1643535000, 0.1653398000, 0.1679342000, 0.1686634000, 0.1780579000, 0.1897083000, 0.1824915000, 0.1508607000, 0.0044609000, -0.0879056000, -0.1875963000", \
"0.1633672000, 0.1643535000, 0.1669479000, 0.1676771000, 0.1782923000, 0.1887220000, 0.1815052000, 0.1498744000, 0.0034746000, -0.0888919000, -0.1873619000", \
"0.1619935000, 0.1617591000, 0.1655742000, 0.1663034000, 0.1744772000, 0.1873483000, 0.1801315000, 0.1485007000, 0.0021009000, -0.0902656000, -0.1899563000", \
"0.1539401000, 0.1549264000, 0.1575208000, 0.1582500000, 0.1676445000, 0.1792949000, 0.1720781000, 0.1392266000, -0.0071732000, -0.0995397000, -0.1980097000", \
"0.1347800000, 0.1382077000, 0.1383607000, 0.1390898000, 0.1472637000, 0.1576934000, 0.1468145000, 0.1139629000, -0.0336576000, -0.1272448000, -0.2269355000", \
"0.1231296000, 0.1265573000, 0.1267103000, 0.1274395000, 0.1343926000, 0.1436016000, 0.1315020000, 0.0962090000, -0.0538529000, -0.1449987000, -0.2446894000", \
"0.1169186000, 0.1191257000, 0.1192786000, 0.1200078000, 0.1269609000, 0.1361699000, 0.1252910000, 0.0912188000, -0.0576224000, -0.1499889000, -0.2484589000", \
"0.1168112000, 0.1202389000, 0.1203919000, 0.1211211000, 0.1280742000, 0.1385039000, 0.1325078000, 0.0996563000, -0.0455228000, -0.1378893000, -0.2351386000", \
"0.1350371000, 0.1384648000, 0.1386178000, 0.1393470000, 0.1487415000, 0.1616126000, 0.1653822000, 0.1410755000, 0.0129863000, -0.0732767000, -0.1656431000", \
"0.1517201000, 0.1539271000, 0.1540801000, 0.1560299000, 0.1642038000, 0.1795163000, 0.1869479000, 0.1663034000, 0.0467591000, -0.0358418000, -0.1245461000", \
"0.1696236000, 0.1718306000, 0.1719836000, 0.1727128000, 0.1833280000, 0.1986405000, 0.2097343000, 0.1939726000, 0.0805318000, 0.0028137000, -0.0834492000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1676777000, -0.1698848000, -0.1724792000, -0.1756497000, -0.2057962000, -0.2748197000, -0.4165287000, -0.5533548000, -0.8927949000, -1.0579968000, -1.2170952000", \
"-0.1630293000, -0.1664570000, -0.1678307000, -0.1710013000, -0.2023685000, -0.2701712000, -0.4131009000, -0.5487064000, -0.8869258000, -1.0509069000, -1.2112260000", \
"-0.1604349000, -0.1638626000, -0.1652363000, -0.1671862000, -0.1985534000, -0.2663561000, -0.4105065000, -0.5448913000, -0.8818900000, -1.0470918000, -1.2049695000", \
"-0.1560436000, -0.1594714000, -0.1620657000, -0.1640156000, -0.1953828000, -0.2631855000, -0.4073359000, -0.5429414000, -0.8848229000, -1.0512455000, -1.2091231000", \
"-0.1320007000, -0.1378698000, -0.1392435000, -0.1399727000, -0.1713398000, -0.2403633000, -0.3845137000, -0.5237813000, -0.8693248000, -1.0369681000, -1.1985079000", \
"-0.1069225000, -0.1115710000, -0.1129447000, -0.1148945000, -0.1462617000, -0.2177266000, -0.3630976000, -0.5084687000, -0.8576745000, -1.0289799000, -1.1929610000", \
"-0.0775182000, -0.0821667000, -0.0835404000, -0.0854902000, -0.1168574000, -0.1883223000, -0.3397969000, -0.4851680000, -0.8502428000, -1.0227689000, -1.1904122000", \
"-0.0615417000, -0.0674108000, -0.0687845000, -0.0695137000, -0.1021016000, -0.1747871000, -0.3287031000, -0.4765156000, -0.8452526000, -1.0189994000, -1.1866426000", \
"-0.0504707000, -0.0563398000, -0.0564928000, -0.0584427000, -0.0898099000, -0.1624954000, -0.3237357000, -0.4776517000, -0.8512715000, -1.0274596000, -1.1975444000", \
"-0.0537259000, -0.0595951000, -0.0597480000, -0.0616979000, -0.0930651000, -0.1657506000, -0.3306530000, -0.4821276000, -0.8618509000, -1.0392597000, -1.2093445000", \
"-0.0594224000, -0.0652916000, -0.0654446000, -0.0673944000, -0.0999823000, -0.1738886000, -0.3375702000, -0.4939276000, -0.8736509000, -1.0583840000, -1.2235860000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0919941000, -0.0917598000, -0.0955749000, -0.0963040000, -0.1032572000, -0.1039212000, -0.0698490000, -0.0113626000, 0.1972930000, 0.3189564000, 0.4442818000", \
"-0.0910078000, -0.0907734000, -0.0933678000, -0.0953177000, -0.1022708000, -0.1029349000, -0.0676419000, -0.0091556000, 0.1995000000, 0.3211634000, 0.4464888000", \
"-0.0896341000, -0.0893997000, -0.0919941000, -0.0927233000, -0.0996764000, -0.1003405000, -0.0662682000, -0.0077819000, 0.2033151000, 0.3237578000, 0.4503039000", \
"-0.0840221000, -0.0837878000, -0.0863822000, -0.0822285000, -0.0940645000, -0.0947285000, -0.0594355000, -0.0009492000, 0.2077064000, 0.3293698000, 0.4546952000", \
"-0.0685241000, -0.0719518000, -0.0721048000, -0.0728340000, -0.0797871000, -0.0792305000, -0.0475996000, 0.0072246000, 0.2109974000, 0.3314401000, 0.4543241000", \
"-0.0605358000, -0.0627428000, -0.0628958000, -0.0636250000, -0.0705781000, -0.0749043000, -0.0444941000, 0.0078887000, 0.2031165000, 0.3174557000, 0.4403398000", \
"-0.0543249000, -0.0577526000, -0.0579056000, -0.0586348000, -0.0655879000, -0.0723555000, -0.0517109000, -0.0066523000, 0.1751478000, 0.2833835000, 0.3989433000", \
"-0.0554382000, -0.0576452000, -0.0577982000, -0.0585273000, -0.0667012000, -0.0759102000, -0.0601484000, -0.0211934000, 0.1471790000, 0.2505319000, 0.3612089000", \
"-0.0712227000, -0.0734297000, -0.0735827000, -0.0743118000, -0.0837064000, -0.0977982000, -0.0966849000, -0.0674954000, 0.0740215000, 0.1676087000, 0.2672994000", \
"-0.0830228000, -0.0852298000, -0.0866035000, -0.0873327000, -0.0967272000, -0.1120397000, -0.1182507000, -0.0939440000, 0.0390280000, 0.1252910000, 0.2213196000", \
"-0.0972642000, -0.0994713000, -0.0996243000, -0.1015741000, -0.1109687000, -0.1275019000, -0.1385956000, -0.1191718000, 0.0028139000, 0.0866355000, 0.1765605000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("SCE") {
capacitance : 0.0044390000;
clock : "false";
direction : "input";
fall_capacitance : 0.0042260000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1256264000, 0.1285800000, 0.1317820000, 0.1415963000, 0.1723782000, 0.2331601000, 0.3547515000, 0.4821097000, 0.8371114000, 1.0213805000, 1.2056496000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0177910000, -0.0142447000, -0.0104002000, -0.0013012000, 0.0272491000, 0.0861442000, 0.2039659000, 0.3310503000, 0.6852949000, 0.8691694000, 1.0530439000");
}
}
max_transition : 3.7500000000;
nextstate_type : "scan_enable";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0046510000;
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2058574000, 0.2129473000, 0.2155417000, 0.2296986000, 0.2793763000, 0.3447376000, 0.4632533000, 0.5683411000, 0.8320977000, 0.9582370000, 1.0819350000", \
"0.2036504000, 0.2119609000, 0.2145553000, 0.2287122000, 0.2796107000, 0.3449720000, 0.4622669000, 0.5673548000, 0.8298906000, 0.9572507000, 1.0809486000", \
"0.2022767000, 0.2105872000, 0.2131816000, 0.2273385000, 0.2770163000, 0.3423776000, 0.4608932000, 0.5659811000, 0.8297377000, 0.9558770000, 1.0783542000", \
"0.1954440000, 0.2037546000, 0.2051283000, 0.2192852000, 0.2689629000, 0.3355449000, 0.4528398000, 0.5579277000, 0.8204635000, 0.9478235000, 1.0703009000", \
"0.1775046000, 0.1858151000, 0.1884095000, 0.2025664000, 0.2510234000, 0.3176055000, 0.4336797000, 0.5387676000, 0.7988620000, 0.9250013000, 1.0474786000", \
"0.1658542000, 0.1741647000, 0.1779798000, 0.1909160000, 0.2393730000, 0.3059551000, 0.4220293000, 0.5246758000, 0.7847702000, 0.9109095000, 1.0321661000", \
"0.1608639000, 0.1679538000, 0.1717689000, 0.1847051000, 0.2331621000, 0.2985234000, 0.4145977000, 0.5184649000, 0.7785593000, 0.9034779000, 1.0271758000", \
"0.1619772000, 0.1702878000, 0.1741029000, 0.1870391000, 0.2354961000, 0.3008574000, 0.4169316000, 0.5207988000, 0.7821139000, 0.9082532000, 1.0307305000", \
"0.1863066000, 0.1933965000, 0.1972116000, 0.2101478000, 0.2586048000, 0.3251868000, 0.4412611000, 0.5475696000, 0.8113262000, 0.9386862000, 1.0636049000", \
"0.2042103000, 0.2113001000, 0.2151152000, 0.2280514000, 0.2765085000, 0.3430905000, 0.4603854000, 0.5654733000, 0.8316712000, 0.9602519000, 1.0851707000", \
"0.2233345000, 0.2304244000, 0.2342395000, 0.2471757000, 0.2956327000, 0.3622147000, 0.4807304000, 0.5858183000, 0.8532369000, 0.9842591000, 1.1091777000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2949688000, 0.2996172000, 0.2985495000, 0.3090443000, 0.3123353000, 0.3068958000, 0.2874720000, 0.2509583000, 0.1253105000, 0.0536960000, -0.0191392000", \
"0.2939824000, 0.2986309000, 0.2975632000, 0.3080579000, 0.3113490000, 0.3059095000, 0.2864857000, 0.2487513000, 0.1243242000, 0.0527096000, -0.0201256000", \
"0.2926087000, 0.2972572000, 0.2961895000, 0.3066843000, 0.3099753000, 0.3045358000, 0.2851120000, 0.2473776000, 0.1229505000, 0.0513359000, -0.0214993000", \
"0.2857760000, 0.2904245000, 0.2893568000, 0.2998515000, 0.3031426000, 0.2977031000, 0.2770586000, 0.2405449000, 0.1161178000, 0.0445033000, -0.0283319000", \
"0.2629538000, 0.2688229000, 0.2665345000, 0.2782500000, 0.2815410000, 0.2748809000, 0.2542363000, 0.2177227000, 0.0932956000, 0.0216810000, -0.0523749000", \
"0.2415378000, 0.2461862000, 0.2487806000, 0.2556133000, 0.2589043000, 0.2534648000, 0.2315996000, 0.1963066000, 0.0718796000, 0.0002650000, -0.0725702000", \
"0.2109128000, 0.2155612000, 0.2181556000, 0.2249883000, 0.2282793000, 0.2228398000, 0.2009746000, 0.1656816000, 0.0412546000, -0.0291393000, -0.1007538000", \
"0.1937155000, 0.1983639000, 0.2009583000, 0.2077910000, 0.2110820000, 0.2056426000, 0.1837773000, 0.1460430000, 0.0252780000, -0.0451159000, -0.1179511000", \
"0.1826445000, 0.1872930000, 0.1886667000, 0.1954994000, 0.2000111000, 0.1933509000, 0.1714857000, 0.1361927000, 0.0142070000, -0.0561868000, -0.1278014000", \
"0.1883412000, 0.1929896000, 0.1943633000, 0.2011960000, 0.2044870000, 0.1990475000, 0.1759616000, 0.1406686000, 0.0186829000, -0.0504902000, -0.1221047000", \
"0.1976998000, 0.2023482000, 0.2037219000, 0.2117753000, 0.2150663000, 0.2084062000, 0.1865409000, 0.1512480000, 0.0292623000, -0.0399109000, -0.1127461000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1249531000, -0.1344844000, -0.1346374000, -0.1378079000, -0.1703958000, -0.2357572000, -0.3664798000, -0.4849954000, -0.7731660000, -0.9102917000, -1.0437553000", \
"-0.1215254000, -0.1298359000, -0.1299889000, -0.1331595000, -0.1657474000, -0.2311087000, -0.3618314000, -0.4791263000, -0.7672968000, -0.9044225000, -1.0366655000", \
"-0.1177103000, -0.1260208000, -0.1261738000, -0.1305651000, -0.1619323000, -0.2272936000, -0.3580163000, -0.4753112000, -0.7622610000, -0.8969453000, -1.0291882000", \
"-0.1145397000, -0.1240710000, -0.1242240000, -0.1273945000, -0.1599824000, -0.2253438000, -0.3560664000, -0.4770234000, -0.7651940000, -0.9035404000, -1.0370040000", \
"-0.0868346000, -0.0951452000, -0.0965189000, -0.0996895000, -0.1310566000, -0.1964180000, -0.3308027000, -0.4529805000, -0.7448132000, -0.8868216000, -1.0202853000", \
"-0.0544323000, -0.0639635000, -0.0641165000, -0.0672871000, -0.0986543000, -0.1676777000, -0.3057246000, -0.4291231000, -0.7270593000, -0.8702884000, -1.0061934000", \
"-0.0177038000, -0.0260143000, -0.0261673000, -0.0293379000, -0.0619258000, -0.1309492000, -0.2738789000, -0.4021601000, -0.7098620000, -0.8518704000, -0.9950997000", \
"0.0019349000, -0.0063757000, -0.0077493000, -0.0096992000, -0.0410664000, -0.1100898000, -0.2542402000, -0.3861836000, -0.6963268000, -0.8444388000, -0.9840059000", \
"0.0252129000, 0.0169023000, 0.0167494000, 0.0123581000, -0.0165677000, -0.0843704000, -0.2309622000, -0.3677884000, -0.6852559000, -0.8309265000, -0.9729350000", \
"0.0305026000, 0.0221921000, 0.0208184000, 0.0176478000, -0.0112780000, -0.0778600000, -0.2256725000, -0.3624987000, -0.6836283000, -0.8329609000, -0.9725281000", \
"0.0321303000, 0.0238197000, 0.0224460000, 0.0180548000, -0.0096503000, -0.0750116000, -0.2228241000, -0.3608710000, -0.6881041000, -0.8349954000, -0.9770039000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0785664000, -0.0783320000, -0.0809264000, -0.0865384000, -0.1056986000, -0.1173490000, -0.0991458000, -0.0577494000, 0.1032988000, 0.1956654000, 0.2916939000", \
"-0.0775801000, -0.0773457000, -0.0799401000, -0.0855521000, -0.1047122000, -0.1151419000, -0.0969388000, -0.0555423000, 0.1055059000, 0.1990931000, 0.2951217000", \
"-0.0762064000, -0.0759720000, -0.0785664000, -0.0841784000, -0.1033385000, -0.1149889000, -0.0967858000, -0.0541686000, 0.1056589000, 0.1992461000, 0.2952747000", \
"-0.0705944000, -0.0691393000, -0.0705130000, -0.0785664000, -0.0965059000, -0.1069355000, -0.0887324000, -0.0473359000, 0.1137122000, 0.2060788000, 0.3008866000", \
"-0.0563171000, -0.0585241000, -0.0586771000, -0.0642891000, -0.0810078000, -0.0914375000, -0.0756758000, -0.0367207000, 0.1194447000, 0.2093698000, 0.3029570000", \
"-0.0483288000, -0.0505358000, -0.0506888000, -0.0563008000, -0.0730195000, -0.0846699000, -0.0737910000, -0.0397187000, 0.1042396000, 0.1929440000, 0.2840898000", \
"-0.0445592000, -0.0467663000, -0.0469193000, -0.0525313000, -0.0692500000, -0.0833418000, -0.0810078000, -0.0542598000, 0.0713880000, 0.1515475000, 0.2378105000", \
"-0.0456725000, -0.0478796000, -0.0480326000, -0.0536445000, -0.0728047000, -0.0893379000, -0.0906660000, -0.0700215000, 0.0409779000, 0.1150339000, 0.1951933000", \
"-0.0626777000, -0.0648848000, -0.0650378000, -0.0718704000, -0.0910306000, -0.1136673000, -0.1284232000, -0.1187650000, -0.0346211000, 0.0272279000, 0.0964010000", \
"-0.0769193000, -0.0779056000, -0.0792793000, -0.0848913000, -0.1040514000, -0.1279088000, -0.1487682000, -0.1439928000, -0.0720560000, -0.0163105000, 0.0492004000", \
"-0.0911607000, -0.0933678000, -0.0935207000, -0.0991327000, -0.1195136000, -0.1445917000, -0.1703339000, -0.1704413000, -0.1070494000, -0.0561868000, 0.0032207000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
test_cell () {
ff ("IQ","IQ_N") {
clocked_on : "CLK";
next_state : "D";
}
pin ("CLK") {
direction : "input";
}
pin ("D") {
direction : "input";
}
pin ("Q") {
direction : "output";
function : "IQ";
signal_type : "test_scan_out";
}
pin ("SCD") {
direction : "input";
signal_type : "test_scan_in";
}
pin ("SCE") {
direction : "input";
signal_type : "test_scan_enable";
}
}
}
cell ("sky130_fd_sc_hvl__sdlclkp_1") {
leakage_power () {
value : 17.700131100;
when : "!GATE&!SCE&CLK";
}
leakage_power () {
value : 11.459031100;
when : "GATE&!SCE&!CLK";
}
leakage_power () {
value : 11.322330600;
when : "!GATE&SCE&!CLK";
}
leakage_power () {
value : 10.907477600;
when : "!GATE&SCE&CLK";
}
leakage_power () {
value : 11.079459100;
when : "GATE&SCE&!CLK";
}
leakage_power () {
value : 12.806594000;
when : "!GATE&!SCE&!CLK";
}
leakage_power () {
value : 11.044173600;
when : "GATE&!SCE&CLK";
}
leakage_power () {
value : 10.664626500;
when : "GATE&SCE&CLK";
}
clock_gating_integrated_cell : "latch_posedge_precontrol";
area : 44.932800000;
cell_footprint : "sky130_fd_sc_hvl__sdlclkp";
cell_leakage_power : 12.122980000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("CLK") {
clock_gate_clock_pin : "true";
capacitance : 0.0056190000;
clock : "true";
direction : "input";
fall_capacitance : 0.0054120000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3159667000, 0.3162927000, 0.3166486000, 0.3302999000, 0.3731031000, 0.4686915000, 0.6599007000, 0.8556040000, 1.4010940000, 1.6842439000, 1.9673938000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0631961000, 0.0693947000, 0.0761117000, 0.0858301000, 0.1162983000, 0.2080509000, 0.3915773000, 0.5878967000, 1.1350841000, 1.4191205000, 1.7031568000");
}
}
max_transition : 3.7500000000;
min_pulse_width_low : 0.1798330000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0058250000;
}
pin ("GATE") {
clock_gate_enable_pin : "true";
capacitance : 0.0025350000;
clock : "false";
direction : "input";
fall_capacitance : 0.0024290000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3265599000, 0.3280457000, 0.3296571000, 0.3424900000, 0.3827055000, 0.4524235000, 0.5918797000, 0.7431599000, 1.1648193000, 1.3836942000, 1.6025691000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1405218000, 0.1482205000, 0.1565628000, 0.1647574000, 0.1904615000, 0.2578845000, 0.3927544000, 0.5436342000, 0.9641844000, 1.1824818000, 1.4007790000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0026420000;
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1045391000, 0.1116289000, 0.1142233000, 0.1247181000, 0.1731751000, 0.2458607000, 0.3802454000, 0.5012025000, 0.7942559000, 0.9350436000, 1.0697279000", \
"0.1011113000, 0.1082012000, 0.1107956000, 0.1212904000, 0.1697474000, 0.2436536000, 0.3780384000, 0.4977748000, 0.7920488000, 0.9316159000, 1.0675208000", \
"0.0997376000, 0.1068275000, 0.1094219000, 0.1211374000, 0.1683737000, 0.2422800000, 0.3766647000, 0.4964010000, 0.7906751000, 0.9314629000, 1.0661471000", \
"0.0916842000, 0.0987741000, 0.1013685000, 0.1130840000, 0.1603203000, 0.2342266000, 0.3698320000, 0.4895684000, 0.7838424000, 0.9234095000, 1.0593145000", \
"0.0676413000, 0.0759518000, 0.0773255000, 0.0890410000, 0.1374980000, 0.2138457000, 0.3482305000, 0.4679668000, 0.7610202000, 0.9005873000, 1.0352715000", \
"0.0425632000, 0.0508737000, 0.0522474000, 0.0639629000, 0.1136406000, 0.1899883000, 0.3280351000, 0.4489922000, 0.7420456000, 0.8816126000, 1.0150762000", \
"0.0180417000, 0.0263522000, 0.0277259000, 0.0394414000, 0.0891191000, 0.1642461000, 0.3022930000, 0.4256914000, 0.7224069000, 0.8619740000, 0.9954376000", \
"0.0093893000, 0.0176999000, 0.0190736000, 0.0295684000, 0.0780254000, 0.1543730000, 0.2924199000, 0.4158184000, 0.7137545000, 0.8545423000, 0.9867851000", \
"0.0129668000, 0.0212773000, 0.0226510000, 0.0331458000, 0.0803822000, 0.1555091000, 0.2947767000, 0.4193958000, 0.7185528000, 0.8568991000, 0.9903626000", \
"0.0235462000, 0.0318568000, 0.0332305000, 0.0437253000, 0.0909616000, 0.1648678000, 0.3041354000, 0.4287545000, 0.7291321000, 0.8699199000, 1.0033834000", \
"0.0365671000, 0.0448776000, 0.0462513000, 0.0579668000, 0.1039824000, 0.1766680000, 0.3171563000, 0.4429961000, 0.7445944000, 0.8841615000, 1.0188457000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1960918000, 0.2007402000, 0.2057760000, 0.2138294000, 0.2403138000, 0.2592884000, 0.2654993000, 0.2497376000, 0.1631523000, 0.1049655000, 0.0406751000", \
"0.1963262000, 0.2046367000, 0.2096725000, 0.2128431000, 0.2393275000, 0.2583021000, 0.2657337000, 0.2499720000, 0.1633867000, 0.1088620000, 0.0409095000", \
"0.1961732000, 0.2008216000, 0.2058574000, 0.2126901000, 0.2391745000, 0.2581491000, 0.2655807000, 0.2498190000, 0.1620130000, 0.1050469000, 0.0395358000", \
"0.1954440000, 0.2000924000, 0.2051283000, 0.2131816000, 0.2384453000, 0.2586406000, 0.2636309000, 0.2478691000, 0.1600631000, 0.1030970000, 0.0388066000", \
"0.1933737000, 0.1980221000, 0.1993958000, 0.2111113000, 0.2363750000, 0.2553496000, 0.2603398000, 0.2445781000, 0.1555514000, 0.0973646000, 0.0330742000", \
"0.2085788000, 0.2144479000, 0.2170423000, 0.2263164000, 0.2491387000, 0.2681133000, 0.2706621000, 0.2549004000, 0.1658737000, 0.1064661000, 0.0421758000", \
"0.2572995000, 0.2643893000, 0.2657630000, 0.2750371000, 0.2966387000, 0.3143926000, 0.3181621000, 0.2999590000, 0.2097116000, 0.1503040000, 0.0860137000", \
"0.3096823000, 0.3167721000, 0.3181458000, 0.3261992000, 0.3502422000, 0.3655547000, 0.3705449000, 0.3535625000, 0.2633151000, 0.2051283000, 0.1408379000", \
"0.4475371000, 0.4546270000, 0.4572214000, 0.4652748000, 0.4868763000, 0.5058509000, 0.5108411000, 0.4963002000, 0.4121562000, 0.3551901000, 0.2908997000", \
"0.5179310000, 0.5250208000, 0.5263945000, 0.5356686000, 0.5560495000, 0.5762448000, 0.5824557000, 0.5679147000, 0.4862122000, 0.4316875000, 0.3686178000", \
"0.5858834000, 0.5929733000, 0.5955677000, 0.6036211000, 0.6264434000, 0.6466387000, 0.6528496000, 0.6395293000, 0.5614890000, 0.5057435000, 0.4475566000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0773457000, -0.0856563000, -0.0870299000, -0.0975247000, -0.1447611000, -0.2137845000, -0.3335208000, -0.4410501000, -0.7011445000, -0.8260632000, -0.9473196000", \
"-0.0726973000, -0.0810078000, -0.0823815000, -0.0940970000, -0.1388919000, -0.2091361000, -0.3300931000, -0.4351810000, -0.6928340000, -0.8165319000, -0.9365677000", \
"-0.0713236000, -0.0796341000, -0.0810078000, -0.0915026000, -0.1375182000, -0.2065417000, -0.3274987000, -0.4313659000, -0.6877982000, -0.8102754000, -0.9303112000", \
"-0.0681530000, -0.0764635000, -0.0778372000, -0.0895527000, -0.1355684000, -0.2058125000, -0.3279902000, -0.4355195000, -0.6968347000, -0.8217532000, -0.9430097000", \
"-0.0477721000, -0.0573034000, -0.0586771000, -0.0691719000, -0.1188496000, -0.1903145000, -0.3173750000, -0.4273457000, -0.6947643000, -0.8209036000, -0.9433809000", \
"-0.0239147000, -0.0322253000, -0.0335990000, -0.0453145000, -0.0949922000, -0.1688984000, -0.2984004000, -0.4108125000, -0.6831139000, -0.8116947000, -0.9341719000", \
"0.0018275000, -0.0064831000, -0.0078568000, -0.0183516000, -0.0680293000, -0.1407148000, -0.2714375000, -0.3862910000, -0.6610339000, -0.7908353000, -0.9133125000", \
"0.0129212000, 0.0046107000, 0.0032370000, -0.0072578000, -0.0557148000, -0.1284004000, -0.2591230000, -0.3739766000, -0.6462780000, -0.7760794000, -0.8985566000", \
"0.0117852000, 0.0034746000, 0.0021009000, -0.0096146000, -0.0544095000, -0.1258743000, -0.2590384000, -0.3714505000, -0.6437520000, -0.7723327000, -0.8948099000", \
"0.0024264000, -0.0071048000, -0.0072578000, -0.0189733000, -0.0637682000, -0.1340124000, -0.2659557000, -0.3808093000, -0.6543314000, -0.7816914000, -0.9029479000", \
"-0.0105944000, -0.0201257000, -0.0202786000, -0.0319941000, -0.0755684000, -0.1470332000, -0.2789766000, -0.3950508000, -0.6685729000, -0.7947123000, -0.9159688000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0846699000, -0.0905391000, -0.0931335000, -0.1024076000, -0.1276712000, -0.1454251000, -0.1455326000, -0.1248880000, -0.0236543000, 0.0418568000, 0.1122506000", \
"-0.0836836000, -0.0895527000, -0.0921471000, -0.1002005000, -0.1254642000, -0.1432181000, -0.1445462000, -0.1239017000, -0.0226680000, 0.0440638000, 0.1144577000", \
"-0.0823099000, -0.0881790000, -0.0907734000, -0.0988268000, -0.1240905000, -0.1418444000, -0.1431725000, -0.1225280000, -0.0200736000, 0.0454375000, 0.1158314000", \
"-0.0766979000, -0.0825671000, -0.0851615000, -0.0944355000, -0.1184785000, -0.1350117000, -0.1351191000, -0.1144746000, -0.0120202000, 0.0534909000, 0.1238848000", \
"-0.0697448000, -0.0768346000, -0.0782083000, -0.0862617000, -0.1078633000, -0.1231758000, -0.1196211000, -0.0989766000, 0.0034779000, 0.0689889000, 0.1381621000", \
"-0.0690807000, -0.0761706000, -0.0787650000, -0.0868184000, -0.1059785000, -0.1200703000, -0.1165156000, -0.0958711000, 0.0041419000, 0.0696530000, 0.1388262000", \
"-0.0762975000, -0.0833874000, -0.0847611000, -0.0928145000, -0.1119746000, -0.1260664000, -0.1261738000, -0.1067500000, -0.0103991000, 0.0526706000, 0.1194023000", \
"-0.0859557000, -0.0930456000, -0.0956400000, -0.1036934000, -0.1228535000, -0.1381660000, -0.1407148000, -0.1225117000, -0.0334850000, 0.0271432000, 0.0926543000", \
"-0.1237129000, -0.1295820000, -0.1321764000, -0.1402298000, -0.1606107000, -0.1783646000, -0.1906790000, -0.1810208000, -0.1078633000, -0.0533385000, 0.0060690000", \
"-0.1452786000, -0.1523685000, -0.1537422000, -0.1617956000, -0.1833971000, -0.2023717000, -0.2183483000, -0.2147936000, -0.1465189000, -0.0968770000, -0.0411315000", \
"-0.1680651000, -0.1751550000, -0.1777493000, -0.1845820000, -0.2061836000, -0.2263789000, -0.2460176000, -0.2449043000, -0.1863952000, -0.1391947000, -0.0858906000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("GCLK") {
clock_gate_out_pin : "true";
direction : "output";
internal_power () {
fall_power ("pwr_template11x12") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0094100000, 0.0141200000, 0.0211800000, 0.0317700000, 0.0476500000, 0.0714700000, 0.1072100000, 0.1608100000, 0.2412100000, 0.3618200000, 0.5427300000");
values("0.2207481000, 0.2078498000, 0.1928342000, 0.1069560000, -0.0446682000, -0.2833387000, -0.6440427000, -1.1851961000, -1.9962506000, -3.2126497000, -5.0370274000, -7.7733309000", \
"0.2199144000, 0.2063801000, 0.1944839000, 0.1094101000, -0.0418674000, -0.2802596000, -0.6411950000, -1.1823355000, -1.9933884000, -3.2097396000, -5.0341096000, -7.7704157000", \
"0.2040555000, 0.1907909000, 0.1784180000, 0.0933005000, -0.0581379000, -0.2964902000, -0.6571831000, -1.1983130000, -2.0093666000, -3.2257023000, -5.0500509000, -7.7863463000", \
"0.2181362000, 0.2031772000, 0.1915306000, 0.1064891000, -0.0449097000, -0.2836247000, -0.6442984000, -1.1854430000, -1.9965904000, -3.2129979000, -5.0373917000, -7.7737010000", \
"0.2964152000, 0.2687588000, 0.2517349000, 0.1636104000, 0.0097040000, -0.2307991000, -0.5931951000, -1.1356729000, -1.9477008000, -3.1647979000, -4.9896809000, -7.7263133000", \
"0.4232613000, 0.3807360000, 0.3530080000, 0.2590729000, 0.1004704000, -0.1445250000, -0.5106971000, -1.0562456000, -1.8708319000, -3.0897345000, -4.9160286000, -7.6536677000", \
"0.8556671000, 0.6558668000, 0.5691708000, 0.4666718000, 0.3005555000, 0.0475652000, -0.3266131000, -0.8793943000, -1.7001091000, -2.9242818000, -4.7539366000, -7.4946061000", \
"1.0898630000, 0.8807513000, 0.7903669000, 0.6625316000, 0.4831206000, 0.2287440000, -0.1517730000, -0.7114412000, -1.5386124000, -2.7679457000, -4.6027571000, -7.3465270000", \
"1.5851806000, 1.3609986000, 1.2638933000, 1.1282397000, 0.9365013000, 0.6616465000, 0.2649022000, -0.3046934000, -1.1479046000, -2.3931314000, -4.2419014000, -6.9971909000", \
"1.8136200000, 1.5847035000, 1.4856816000, 1.3474800000, 1.1524392000, 0.8730546000, 0.4703306000, -0.1077309000, -0.9589184000, -2.2114060000, -4.0671897000, -6.8287745000", \
"2.0309088000, 1.7984159000, 1.6981259000, 1.5589326000, 1.3610689000, 1.0778829000, 0.6700772000, 0.0797911000, -0.7727909000, -2.0330967000, -3.8962195000, -6.6642579000");
}
related_pin : "CLK";
rise_power ("pwr_template11x12") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0094100000, 0.0141200000, 0.0211800000, 0.0317700000, 0.0476500000, 0.0714700000, 0.1072100000, 0.1608100000, 0.2412100000, 0.3618200000, 0.5427300000");
values("0.2015277000, 0.3240365000, 0.3915993000, 0.4939497000, 0.6493450000, 0.8850281000, 1.2417632000, 1.7745565000, 2.5757287000, 3.7795759000, 5.5819451000, 8.289941100", \
"0.2040072000, 0.3131564000, 0.3804740000, 0.4832461000, 0.6384694000, 0.8741678000, 1.2309323000, 1.7628583000, 2.5645847000, 3.7665115000, 5.5737757000, 8.281235200", \
"0.2002294000, 0.3055857000, 0.3729631000, 0.4754108000, 0.6308597000, 0.8682940000, 1.2217290000, 1.7579661000, 2.5576458000, 3.7603007000, 5.5639300000, 8.271051100", \
"0.1844449000, 0.3060264000, 0.3732023000, 0.4756420000, 0.6308692000, 0.8679580000, 1.2231040000, 1.7548975000, 2.5573464000, 3.7604231000, 5.5642632000, 8.271351100", \
"0.2542575000, 0.3640525000, 0.4291721000, 0.5297555000, 0.6826788000, 0.9163572000, 1.2708910000, 1.8026846000, 2.6040761000, 3.8072154000, 5.6071209000, 8.315315900", \
"0.3538544000, 0.4544139000, 0.5166398000, 0.6125884000, 0.7613165000, 0.9905661000, 1.3418203000, 1.8700377000, 2.6691598000, 3.8702205000, 5.6732304000, 8.375015400", \
"0.5869551000, 0.6725878000, 0.7265977000, 0.8103917000, 0.9523041000, 1.1749854000, 1.5165551000, 2.0353624000, 2.8301502000, 4.0229054000, 5.8208749000, 8.528783100", \
"0.8032505000, 0.8810824000, 0.9328392000, 1.0153346000, 1.1475540000, 1.3562919000, 1.6911815000, 2.2065170000, 2.9896712000, 4.1769579000, 5.9761869000, 8.672081200", \
"1.3973170000, 1.4635338000, 1.5061289000, 1.5823834000, 1.7017189000, 1.8984403000, 2.2091754000, 2.6970616000, 3.4600417000, 4.6338348000, 6.4098630000, 9.098413300", \
"1.7018808000, 1.7637048000, 1.8059273000, 1.8786324000, 1.9941105000, 2.1825796000, 2.4881047000, 2.9595280000, 3.7097407000, 4.8743106000, 6.6469589000, 9.330187900", \
"2.0024052000, 2.0638304000, 2.1044798000, 2.1725638000, 2.2890322000, 2.4737911000, 2.7735409000, 3.2442924000, 3.9763089000, 5.1212819000, 6.8850905000, 9.555716800");
}
}
max_capacitance : 0.5430320000;
max_transition : 3.7463130000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
state_function : "(CLK*M0)";
timing () {
cell_fall ("delay_template11x12") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0094100000, 0.0141200000, 0.0211800000, 0.0317700000, 0.0476500000, 0.0714700000, 0.1072100000, 0.1608100000, 0.2412100000, 0.3618200000, 0.5427300000");
values("0.1102312000, 0.1722960000, 0.1981840000, 0.2358760000, 0.2920206000, 0.3765651000, 0.5015257000, 0.6883007000, 0.9688765000, 1.3900798000, 2.0221811000, 2.9720744000", \
"0.1112194000, 0.1732875000, 0.1991496000, 0.2368229000, 0.2929446000, 0.3774920000, 0.5025181000, 0.6892699000, 0.9698794000, 1.3910366000, 2.0234772000, 2.9727703000", \
"0.1112199000, 0.1731557000, 0.1989975000, 0.2366790000, 0.2927976000, 0.3773530000, 0.5023826000, 0.6891692000, 0.9697585000, 1.3909353000, 2.0225042000, 2.9727332000", \
"0.1248590000, 0.1864003000, 0.2121677000, 0.2497507000, 0.3058109000, 0.3903192000, 0.5153301000, 0.7021326000, 0.9825243000, 1.4039082000, 2.0358488000, 2.9858707000", \
"0.1675172000, 0.2282781000, 0.2533741000, 0.2901442000, 0.3452881000, 0.4289421000, 0.5532775000, 0.7395095000, 1.0196340000, 1.4405264000, 2.0722755000, 3.0221867000", \
"0.2159916000, 0.2803360000, 0.3055247000, 0.3419863000, 0.3961754000, 0.4781537000, 0.6008585000, 0.7858292000, 1.0647099000, 1.4845734000, 2.1165178000, 3.0632987000", \
"0.2883709000, 0.3600883000, 0.3869732000, 0.4246855000, 0.4789814000, 0.5589483000, 0.6787001000, 0.8607578000, 1.1370714000, 1.5548486000, 2.1851445000, 3.1312859000", \
"0.3468376000, 0.4246396000, 0.4531875000, 0.4925753000, 0.5475179000, 0.6266281000, 0.7435922000, 0.9228222000, 1.1966925000, 1.6122145000, 2.2406204000, 3.1853362000", \
"0.4825788000, 0.5730031000, 0.6057702000, 0.6485549000, 0.7035884000, 0.7787900000, 0.8895473000, 1.0621555000, 1.3290571000, 1.7383885000, 2.3600994000, 3.3037482000", \
"0.5446308000, 0.6405995000, 0.6748909000, 0.7186458000, 0.7727862000, 0.8457893000, 0.9541087000, 1.1238548000, 1.3876459000, 1.7936959000, 2.4128302000, 3.3507128000", \
"0.6035064000, 0.7044355000, 0.7401819000, 0.7843426000, 0.8369806000, 0.9079095000, 1.0141237000, 1.1813267000, 1.4424298000, 1.8455705000, 2.4619216000, 3.3965335000");
}
cell_rise ("delay_template11x12") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0094100000, 0.0141200000, 0.0211800000, 0.0317700000, 0.0476500000, 0.0714700000, 0.1072100000, 0.1608100000, 0.2412100000, 0.3618200000, 0.5427300000");
values("0.1259071000, 0.1818746000, 0.2045484000, 0.2370490000, 0.2845930000, 0.3551019000, 0.4601257000, 0.6198834000, 0.8566776000, 1.2157210000, 1.7511970000, 2.5516267000", \
"0.1240281000, 0.1824243000, 0.2049895000, 0.2375072000, 0.2849225000, 0.3552897000, 0.4611504000, 0.6205762000, 0.8568669000, 1.2160399000, 1.7514283000, 2.5538238000", \
"0.1222645000, 0.1824538000, 0.2051093000, 0.2376578000, 0.2851641000, 0.3556792000, 0.4616755000, 0.6194131000, 0.8596534000, 1.2134118000, 1.7538612000, 2.5525231000", \
"0.1284899000, 0.1844684000, 0.2070238000, 0.2395230000, 0.2869606000, 0.3573423000, 0.4632625000, 0.6223932000, 0.8598757000, 1.2166976000, 1.7536199000, 2.5584193000", \
"0.1447450000, 0.2013117000, 0.2237463000, 0.2558860000, 0.3028347000, 0.3725716000, 0.4775373000, 0.6363147000, 0.8739839000, 1.2319313000, 1.7677507000, 2.5710392000", \
"0.1612169000, 0.2225540000, 0.2454689000, 0.2778096000, 0.3247995000, 0.3940289000, 0.4983999000, 0.6556507000, 0.8925108000, 1.2483895000, 1.7870329000, 2.5837112000", \
"0.1748537000, 0.2445174000, 0.2695309000, 0.3039654000, 0.3527741000, 0.4238732000, 0.5289265000, 0.6847525000, 0.9195938000, 1.2761339000, 1.8091550000, 2.6100293000", \
"0.1762503000, 0.2523828000, 0.2793614000, 0.3156557000, 0.3662760000, 0.4395015000, 0.5478291000, 0.7072760000, 0.9422275000, 1.2938628000, 1.8297912000, 2.6272002000", \
"0.1534583000, 0.2429516000, 0.2738175000, 0.3146207000, 0.3701190000, 0.4480949000, 0.5618943000, 0.7301827000, 0.9784042000, 1.3408550000, 1.8699233000, 2.6668283000", \
"0.1336183000, 0.2288260000, 0.2614650000, 0.3043778000, 0.3619263000, 0.4424612000, 0.5585030000, 0.7298848000, 0.9830630000, 1.3516238000, 1.8900570000, 2.6834537000", \
"0.1103614000, 0.2108009000, 0.2449473000, 0.2899178000, 0.3494742000, 0.4318245000, 0.5500762000, 0.7239538000, 0.9807807000, 1.3574647000, 1.9048545000, 2.7003761000");
}
fall_transition ("delay_template11x12") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0094100000, 0.0141200000, 0.0211800000, 0.0317700000, 0.0476500000, 0.0714700000, 0.1072100000, 0.1608100000, 0.2412100000, 0.3618200000, 0.5427300000");
values("0.0298265000, 0.0898322000, 0.1195879000, 0.1657198000, 0.2374716000, 0.3449665000, 0.5068741000, 0.7505964000, 1.1173044000, 1.6694336000, 2.4990707000, 3.7394394000", \
"0.0300349000, 0.0896043000, 0.1193625000, 0.1656673000, 0.2374607000, 0.3450016000, 0.5070478000, 0.7506179000, 1.1172482000, 1.6725151000, 2.5032703000, 3.7463126000", \
"0.0299334000, 0.0897504000, 0.1196727000, 0.1656715000, 0.2375055000, 0.3445186000, 0.5072890000, 0.7500718000, 1.1166018000, 1.6694344000, 2.4976781000, 3.7425769000", \
"0.0299503000, 0.0897712000, 0.1194495000, 0.1657350000, 0.2374971000, 0.3453240000, 0.5069385000, 0.7514793000, 1.1176515000, 1.6694097000, 2.4970150000, 3.7440526000", \
"0.0360682000, 0.0935648000, 0.1224511000, 0.1673972000, 0.2383791000, 0.3451198000, 0.5070231000, 0.7507289000, 1.1172108000, 1.6690488000, 2.4964597000, 3.7374908000", \
"0.0481242000, 0.1051866000, 0.1324859000, 0.1750232000, 0.2428496000, 0.3472564000, 0.5077996000, 0.7504997000, 1.1168315000, 1.6746433000, 2.5026292000, 3.7434384000", \
"0.0685812000, 0.1296637000, 0.1548439000, 0.1946320000, 0.2575994000, 0.3562718000, 0.5117075000, 0.7518801000, 1.1176168000, 1.6742602000, 2.5048201000, 3.7440469000", \
"0.0859506000, 0.1509211000, 0.1757636000, 0.2138586000, 0.2731900000, 0.3667797000, 0.5174925000, 0.7525768000, 1.1175785000, 1.6749323000, 2.5018487000, 3.7448928000", \
"0.1283436000, 0.2007723000, 0.2234350000, 0.2565913000, 0.3062466000, 0.3894985000, 0.5278651000, 0.7558288000, 1.1183460000, 1.6672811000, 2.5014102000, 3.7416643000", \
"0.1471710000, 0.2228084000, 0.2443518000, 0.2748889000, 0.3204592000, 0.3984511000, 0.5312742000, 0.7561924000, 1.1171228000, 1.6683038000, 2.4951072000, 3.7458454000", \
"0.1666779000, 0.2432184000, 0.2610838000, 0.2890226000, 0.3312889000, 0.4059417000, 0.5345602000, 0.7563853000, 1.1179248000, 1.6695864000, 2.4946329000, 3.7430010000");
}
related_pin : "CLK";
rise_transition ("delay_template11x12") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0094100000, 0.0141200000, 0.0211800000, 0.0317700000, 0.0476500000, 0.0714700000, 0.1072100000, 0.1608100000, 0.2412100000, 0.3618200000, 0.5427300000");
values("0.0342102000, 0.0916396000, 0.1204659000, 0.1645644000, 0.2319671000, 0.3360310000, 0.4926814000, 0.7293591000, 1.0888198000, 1.6209659000, 2.4250382000, 3.6290413000", \
"0.0342110000, 0.0916967000, 0.1204394000, 0.1644859000, 0.2319352000, 0.3357691000, 0.4930583000, 0.7310221000, 1.0876663000, 1.6204736000, 2.4243738000, 3.6283694000", \
"0.0341334000, 0.0915722000, 0.1204344000, 0.1646277000, 0.2322961000, 0.3356626000, 0.4932890000, 0.7302620000, 1.0878105000, 1.6240980000, 2.4274369000, 3.6274876000", \
"0.0344077000, 0.0916723000, 0.1203698000, 0.1644072000, 0.2323682000, 0.3352024000, 0.4923659000, 0.7316943000, 1.0869665000, 1.6202176000, 2.4251716000, 3.6253649000", \
"0.0394194000, 0.0953488000, 0.1234801000, 0.1664687000, 0.2328892000, 0.3364307000, 0.4925109000, 0.7304338000, 1.0890646000, 1.6224819000, 2.4268165000, 3.6312544000", \
"0.0499041000, 0.1060099000, 0.1326664000, 0.1742340000, 0.2392275000, 0.3403827000, 0.4947240000, 0.7312857000, 1.0870618000, 1.6240517000, 2.4245452000, 3.6270349000", \
"0.0688065000, 0.1278716000, 0.1542695000, 0.1945427000, 0.2582450000, 0.3557752000, 0.5071695000, 0.7375761000, 1.0890615000, 1.6218042000, 2.4269944000, 3.6275432000", \
"0.0839291000, 0.1470665000, 0.1735803000, 0.2138642000, 0.2768570000, 0.3753691000, 0.5254909000, 0.7527068000, 1.1006166000, 1.6262119000, 2.4272480000, 3.6314360000", \
"0.1208026000, 0.1920999000, 0.2195510000, 0.2603893000, 0.3229895000, 0.4212039000, 0.5754751000, 0.8091638000, 1.1533154000, 1.6698055000, 2.4490960000, 3.6365027000", \
"0.1415222000, 0.2118235000, 0.2402954000, 0.2825646000, 0.3455835000, 0.4442084000, 0.5974828000, 0.8337546000, 1.1837914000, 1.6997184000, 2.4863105000, 3.6474199000", \
"0.1543622000, 0.2312818000, 0.2597416000, 0.3018038000, 0.3669510000, 0.4655308000, 0.6186545000, 0.8557848000, 1.2112243000, 1.7303126000, 2.5018185000, 3.6647565000");
}
timing_sense : "positive_unate";
}
}
pin ("M0") {
direction : "internal";
internal_node : "M0";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("SCE") {
clock_gate_test_pin : "true";
capacitance : 0.0024500000;
clock : "false";
direction : "input";
fall_capacitance : 0.0023940000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3588015000, 0.3533399000, 0.3474250000, 0.3621562000, 0.4083141000, 0.4908102000, 0.6558224000, 0.8354910000, 1.3362697000, 1.5962157000, 1.8561618000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1599914000, 0.1619427000, 0.1640586000, 0.1722725000, 0.1980371000, 0.2765922000, 0.4337266000, 0.6136042000, 1.1149727000, 1.3752230000, 1.6354732000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0025060000;
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1191875000, 0.1201738000, 0.1191061000, 0.1271595000, 0.1585267000, 0.2129017000, 0.3106654000, 0.3901185000, 0.5733086000, 0.6567233000, 0.7340345000", \
"0.1169805000, 0.1167461000, 0.1156784000, 0.1249525000, 0.1563197000, 0.2106947000, 0.3084583000, 0.3879114000, 0.5711015000, 0.6532956000, 0.7318275000", \
"0.1156068000, 0.1165931000, 0.1143047000, 0.1235788000, 0.1549460000, 0.2093210000, 0.3070847000, 0.3865378000, 0.5697279000, 0.6519219000, 0.7304538000", \
"0.1075534000, 0.1085397000, 0.1062513000, 0.1155254000, 0.1468926000, 0.2012676000, 0.2990313000, 0.3784844000, 0.5616745000, 0.6450892000, 0.7236211000", \
"0.0835104000, 0.0869382000, 0.0870911000, 0.0914824000, 0.1240703000, 0.1796660000, 0.2774297000, 0.3581035000, 0.5400729000, 0.6222669000, 0.7007989000", \
"0.0572116000, 0.0606393000, 0.0620130000, 0.0664043000, 0.1002129000, 0.1582500000, 0.2596758000, 0.3403496000, 0.5210983000, 0.6032923000, 0.6793828000", \
"0.0326901000, 0.0361178000, 0.0362708000, 0.0418828000, 0.0744707000, 0.1337285000, 0.2363750000, 0.3194902000, 0.5039010000, 0.5848744000, 0.6609648000", \
"0.0240378000, 0.0262448000, 0.0276185000, 0.0320098000, 0.0645977000, 0.1226348000, 0.2265020000, 0.3096172000, 0.4952487000, 0.5786634000, 0.6523125000", \
"0.0263945000, 0.0298223000, 0.0311960000, 0.0355872000, 0.0657337000, 0.1249915000, 0.2313001000, 0.3180775000, 0.5049297000, 0.5871237000, 0.6632142000", \
"0.0369740000, 0.0404017000, 0.0405547000, 0.0449460000, 0.0763131000, 0.1343503000, 0.2418796000, 0.3274362000, 0.5179505000, 0.6001445000, 0.6762350000", \
"0.0499948000, 0.0534225000, 0.0547962000, 0.0591875000, 0.0893340000, 0.1461504000, 0.2549004000, 0.3428984000, 0.5346334000, 0.6168275000, 0.6929180000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2180644000, 0.2190508000, 0.2216452000, 0.2296986000, 0.2598451000, 0.2910267000, 0.3240931000, 0.3400697000, 0.3413750000, 0.3320163000, 0.3165540000", \
"0.2170781000, 0.2180644000, 0.2218796000, 0.2299329000, 0.2588587000, 0.2900404000, 0.3231068000, 0.3390833000, 0.3403887000, 0.3310299000, 0.3167884000", \
"0.2169251000, 0.2179115000, 0.2217266000, 0.2285592000, 0.2587057000, 0.2911081000, 0.3229538000, 0.3377096000, 0.3402357000, 0.3308770000, 0.3166354000", \
"0.2161960000, 0.2171823000, 0.2209974000, 0.2290508000, 0.2579766000, 0.2891582000, 0.3222246000, 0.3369805000, 0.3395065000, 0.3289271000, 0.3146855000", \
"0.2141257000, 0.2151120000, 0.2189271000, 0.2269805000, 0.2559063000, 0.2870879000, 0.3189336000, 0.3336895000, 0.3349948000, 0.3244154000, 0.3089531000", \
"0.2281100000, 0.2315378000, 0.2329115000, 0.2409648000, 0.2698906000, 0.2998515000, 0.3316973000, 0.3452324000, 0.3453171000, 0.3347376000, 0.3192754000", \
"0.2780514000, 0.2814792000, 0.2828529000, 0.2884649000, 0.3186113000, 0.3473516000, 0.3779766000, 0.3902910000, 0.3891549000, 0.3785755000, 0.3631133000", \
"0.3304343000, 0.3338620000, 0.3340150000, 0.3432891000, 0.3697735000, 0.3997344000, 0.4291387000, 0.4438945000, 0.4427585000, 0.4309583000, 0.4167168000", \
"0.4682891000, 0.4704961000, 0.4730905000, 0.4799232000, 0.5076283000, 0.5375892000, 0.5694349000, 0.5866322000, 0.5915996000, 0.5810202000, 0.5692200000", \
"0.5399037000, 0.5421107000, 0.5422637000, 0.5503171000, 0.5768014000, 0.6079831000, 0.6422702000, 0.6594675000, 0.6668763000, 0.6599590000, 0.6457175000", \
"0.6090768000, 0.6112838000, 0.6138783000, 0.6207109000, 0.6484160000, 0.6771563000, 0.7138848000, 0.7310820000, 0.7421530000, 0.7352357000, 0.7246563000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0919941000, -0.0929805000, -0.0919128000, -0.0999661000, -0.1301126000, -0.1783841000, -0.2578372000, -0.3226419000, -0.4692110000, -0.5355358000, -0.5994192000", \
"-0.0897871000, -0.0907734000, -0.0884850000, -0.0977591000, -0.1279056000, -0.1761771000, -0.2544095000, -0.3204349000, -0.4657832000, -0.5321081000, -0.5959915000", \
"-0.0884134000, -0.0893997000, -0.0883320000, -0.0963854000, -0.1265319000, -0.1748034000, -0.2542565000, -0.3190612000, -0.4644095000, -0.5307344000, -0.5933971000", \
"-0.0840221000, -0.0850085000, -0.0827201000, -0.0919941000, -0.1221406000, -0.1704121000, -0.2510859000, -0.3171113000, -0.4636803000, -0.5312259000, -0.5938887000", \
"-0.0636413000, -0.0670690000, -0.0672220000, -0.0728340000, -0.1042012000, -0.1561348000, -0.2416914000, -0.3101582000, -0.4628307000, -0.5291556000, -0.5954805000", \
"-0.0397839000, -0.0419909000, -0.0433646000, -0.0477559000, -0.0803437000, -0.1347187000, -0.2263789000, -0.2972871000, -0.4524010000, -0.5223880000, -0.5874922000", \
"-0.0128210000, -0.0162487000, -0.0164017000, -0.0207930000, -0.0533809000, -0.1089766000, -0.2006367000, -0.2715449000, -0.4315417000, -0.5027494000, -0.5690742000", \
"-0.0017272000, -0.0051550000, -0.0053079000, -0.0096992000, -0.0410664000, -0.0966621000, -0.1895430000, -0.2604512000, -0.4192272000, -0.4892142000, -0.5530976000", \
"-0.0028633000, -0.0062910000, -0.0064440000, -0.0108353000, -0.0397611000, -0.0953568000, -0.1918997000, -0.2628080000, -0.4203633000, -0.4891296000, -0.5530130000", \
"-0.0122220000, -0.0156497000, -0.0158027000, -0.0201940000, -0.0491198000, -0.1034948000, -0.2000378000, -0.2746081000, -0.4309427000, -0.4984883000, -0.5623718000", \
"-0.0252428000, -0.0286706000, -0.0288236000, -0.0332148000, -0.0621406000, -0.1152949000, -0.2130586000, -0.2876289000, -0.4451842000, -0.5127298000, -0.5753926000");
}
related_pin : "CLK";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1042012000, -0.1051875000, -0.1090026000, -0.1170560000, -0.1459818000, -0.1771634000, -0.2053470000, -0.2139993000, -0.2006563000, -0.1827526000, -0.1599661000", \
"-0.1032148000, -0.1042012000, -0.1080163000, -0.1148490000, -0.1449954000, -0.1749564000, -0.2031400000, -0.2117923000, -0.1984492000, -0.1805456000, -0.1577591000", \
"-0.1018412000, -0.1028275000, -0.1066426000, -0.1134753000, -0.1436218000, -0.1735827000, -0.2017663000, -0.2104186000, -0.1970755000, -0.1791719000, -0.1563854000", \
"-0.0962292000, -0.0972155000, -0.0998099000, -0.1078633000, -0.1367891000, -0.1667500000, -0.1937129000, -0.2023652000, -0.1890221000, -0.1711185000, -0.1483320000", \
"-0.0892760000, -0.0914831000, -0.0928568000, -0.1009102000, -0.1273945000, -0.1536934000, -0.1794355000, -0.1880879000, -0.1747448000, -0.1568411000, -0.1352754000", \
"-0.0898327000, -0.0920397000, -0.0934134000, -0.1002461000, -0.1267305000, -0.1518086000, -0.1775508000, -0.1849824000, -0.1728600000, -0.1573978000, -0.1358320000", \
"-0.0970495000, -0.1004772000, -0.1018509000, -0.1086836000, -0.1327266000, -0.1578047000, -0.1859883000, -0.1958613000, -0.1886217000, -0.1743802000, -0.1564766000", \
"-0.1091491000, -0.1113561000, -0.1127298000, -0.1195625000, -0.1448262000, -0.1699043000, -0.2017500000, -0.2140645000, -0.2129284000, -0.2023490000, -0.1844453000", \
"-0.1481270000, -0.1503340000, -0.1517077000, -0.1585404000, -0.1838040000, -0.2137650000, -0.2517142000, -0.2725736000, -0.2873066000, -0.2816100000, -0.2710306000", \
"-0.1709134000, -0.1731204000, -0.1744941000, -0.1813268000, -0.2078112000, -0.2365514000, -0.2793835000, -0.3039049000, -0.3271829000, -0.3251484000, -0.3182311000", \
"-0.1936999000, -0.1971276000, -0.1985013000, -0.2053340000, -0.2305976000, -0.2617793000, -0.3082734000, -0.3364570000, -0.3670593000, -0.3686868000, -0.3617695000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
statetable ("CLK GATE SCE","M0") {
table : "L L L : - : L,L L H : - : H,L H L : - : H,L H H : - : H,H - - : - : N";
}
}
cell ("sky130_fd_sc_hvl__sdlxtp_1") {
leakage_power () {
value : 9.263266600;
when : "!D&!SCD&SCE&GATE";
}
leakage_power () {
value : 15.069209900;
when : "!D&SCD&!SCE&GATE";
}
leakage_power () {
value : 12.242522600;
when : "D&!SCD&!SCE&GATE";
}
leakage_power () {
value : 14.654741400;
when : "!D&!SCD&!SCE&!GATE";
}
leakage_power () {
value : 11.769509800;
when : "!D&!SCD&!SCE&GATE";
}
leakage_power () {
value : 9.385961300;
when : "!D&SCD&SCE&GATE";
}
leakage_power () {
value : 12.563456100;
when : "D&!SCD&SCE&GATE";
}
leakage_power () {
value : 12.148528400;
when : "!D&!SCD&SCE&!GATE";
}
leakage_power () {
value : 11.931627200;
when : "D&SCD&!SCE&GATE";
}
leakage_power () {
value : 17.954214100;
when : "!D&SCD&!SCE&!GATE";
}
leakage_power () {
value : 9.228492600;
when : "D&SCD&SCE&GATE";
}
leakage_power () {
value : 10.802041900;
when : "!D&SCD&SCE&!GATE";
}
leakage_power () {
value : 13.658565800;
when : "D&!SCD&!SCE&!GATE";
}
leakage_power () {
value : 15.448472700;
when : "D&!SCD&SCE&!GATE";
}
leakage_power () {
value : 13.347702500;
when : "D&SCD&!SCE&!GATE";
}
leakage_power () {
value : 10.644586500;
when : "D&SCD&SCE&!GATE";
}
area : 46.886400000;
cell_footprint : "sky130_fd_sc_hvl__sdlxtp";
cell_leakage_power : 12.507060000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
latch ("IQ","IQ_N") {
data_in : "(SCE&SCD) | (D&!SCE)";
enable : "GATE";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("D") {
capacitance : 0.0027430000;
clock : "false";
direction : "input";
fall_capacitance : 0.0025480000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0398581000, 0.0396872000, 0.0395041000, 0.0400014000, 0.0416067000, 0.0416314000, 0.0417034000, 0.0418158000, 0.0421699000, 0.0423428000, 0.0425157000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0387048000, -0.0381426000, -0.0375314000, -0.0378278000, -0.0387074000, -0.0394405000, -0.0408845000, -0.0409028000, -0.0409133000, -0.0409296000, -0.0409460000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0029380000;
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2925274000, 0.2935137000, 0.2936667000, 0.3053822000, 0.3440736000, 0.4130970000, 0.5487025000, 0.6769837000, 0.9968926000, 1.1498873000, 1.2992200000", \
"0.2890996000, 0.2913066000, 0.2902389000, 0.3019544000, 0.3406458000, 0.4096693000, 0.5464954000, 0.6735560000, 0.9934648000, 1.1464596000, 1.2957923000", \
"0.2865052000, 0.2887122000, 0.2888652000, 0.3005807000, 0.3380514000, 0.4070749000, 0.5439010000, 0.6721823000, 0.9920911000, 1.1438653000, 1.2931979000", \
"0.2772311000, 0.2794382000, 0.2783705000, 0.2900859000, 0.3287774000, 0.3965801000, 0.5334063000, 0.6616875000, 0.9803756000, 1.1321498000, 1.2814824000", \
"0.2629538000, 0.2651608000, 0.2653138000, 0.2758086000, 0.3132793000, 0.3786406000, 0.5130254000, 0.6376445000, 0.9551120000, 1.1081068000, 1.2549980000", \
"0.2598483000, 0.2657174000, 0.2683119000, 0.2727031000, 0.3077324000, 0.3730937000, 0.5025957000, 0.6223320000, 0.9336959000, 1.0866908000, 1.2348027000", \
"0.2487546000, 0.2546237000, 0.2572181000, 0.2616094000, 0.2978594000, 0.3632207000, 0.4915019000, 0.6087969000, 0.9103952000, 1.0633900000, 1.2115019000", \
"0.2388815000, 0.2435299000, 0.2473450000, 0.2505156000, 0.2867656000, 0.3509062000, 0.4816289000, 0.6001445000, 0.8944186000, 1.0461927000, 1.1955254000", \
"0.2192656000, 0.2251348000, 0.2289499000, 0.2308997000, 0.2659290000, 0.3251868000, 0.4522474000, 0.5756459000, 0.8833477000, 1.0277976000, 1.1698060000", \
"0.2151966000, 0.2222865000, 0.2261016000, 0.2268307000, 0.2606393000, 0.3174557000, 0.4420749000, 0.5642526000, 0.8780579000, 1.0273907000, 1.1681784000", \
"0.2147897000, 0.2231003000, 0.2281361000, 0.2264238000, 0.2590117000, 0.3133867000, 0.4331231000, 0.5553008000, 0.8739889000, 1.0257631000, 1.1702129000");
}
related_pin : "GATE";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1838848000, 0.1836504000, 0.1862448000, 0.1881947000, 0.1975892000, 0.2190052000, 0.2337611000, 0.2265443000, 0.1485039000, 0.0903171000, 0.1346693000", \
"0.1792363000, 0.1777813000, 0.1815964000, 0.1835462000, 0.1929407000, 0.2131361000, 0.2291126000, 0.2218958000, 0.1450762000, 0.0868893000, 0.1324622000", \
"0.1766419000, 0.1764076000, 0.1802227000, 0.1809518000, 0.1915671000, 0.2117624000, 0.2277389000, 0.2205221000, 0.1424818000, 0.0842949000, 0.0212253000", \
"0.1649264000, 0.1646921000, 0.1672865000, 0.1692363000, 0.1786309000, 0.1988262000, 0.2160234000, 0.2088066000, 0.1319870000, 0.0750208000, 0.1169316000", \
"0.1213522000, 0.1260007000, 0.1249329000, 0.1268828000, 0.1362773000, 0.1576934000, 0.1748906000, 0.1688945000, 0.0932956000, 0.0363294000, -0.0255195000", \
"0.0669772000, 0.0716257000, 0.0705579000, 0.0725078000, 0.0843437000, 0.1069805000, 0.1253984000, 0.1194023000, 0.0462448000, -0.0107214000, -0.0725703000", \
"-0.0173587000, -0.0139310000, -0.0137780000, -0.0130488000, 0.0000078126, 0.0250859000, 0.0459453000, 0.0411699000, -0.0307669000, -0.0865124000, -0.1471406000", \
"-0.0833841000, -0.0787357000, -0.0798034000, -0.0790742000, -0.0660176000, -0.0409395000, -0.0188594000, -0.0236348000, -0.0943509000, -0.1476549000, -0.2082832000", \
"-0.2287324000, -0.2228633000, -0.2239310000, -0.2232018000, -0.2113659000, -0.1899499000, -0.1666491000, -0.1702038000, -0.2360371000, -0.2905618000, -0.3511901000", \
"-0.2913952000, -0.2867467000, -0.2878145000, -0.2870853000, -0.2764701000, -0.2574955000, -0.2354154000, -0.2365286000, -0.2999206000, -0.3532246000, -0.4138529000", \
"-0.3516165000, -0.3469681000, -0.3480358000, -0.3473066000, -0.3354707000, -0.3189375000, -0.2956367000, -0.2967500000, -0.3601419000, -0.4122253000, -0.4728535000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_falling";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.2531269000, -0.2541133000, -0.2542663000, -0.2659818000, -0.3071146000, -0.3810208000, -0.5251713000, -0.6583353000, -0.9867891000, -1.1422253000, -1.2927786000", \
"-0.2496992000, -0.2519063000, -0.2508385000, -0.2637747000, -0.3049076000, -0.3788138000, -0.5229642000, -0.6561283000, -0.9845820000, -1.1400183000, -1.2893509000", \
"-0.2471048000, -0.2493119000, -0.2494648000, -0.2611803000, -0.3023132000, -0.3762194000, -0.5203698000, -0.6535338000, -0.9819877000, -1.1374238000, -1.2867565000", \
"-0.2366100000, -0.2388171000, -0.2389700000, -0.2506855000, -0.2918184000, -0.3645039000, -0.5086543000, -0.6418183000, -0.9702722000, -1.1257084000, -1.2750410000", \
"-0.2125671000, -0.2135534000, -0.2137064000, -0.2266426000, -0.2677754000, -0.3392402000, -0.4846113000, -0.6165547000, -0.9425671000, -1.1004447000, -1.2509980000", \
"-0.1899303000, -0.1933581000, -0.1947318000, -0.2040059000, -0.2451387000, -0.3178242000, -0.4631953000, -0.5951387000, -0.9223717000, -1.0778079000, -1.2283614000", \
"-0.1593053000, -0.1627331000, -0.1641068000, -0.1733809000, -0.2145137000, -0.2871992000, -0.4301289000, -0.5645137000, -0.8954089000, -1.0532864000, -1.2038399000", \
"-0.1347839000, -0.1394323000, -0.1408060000, -0.1488594000, -0.1887715000, -0.2614570000, -0.4056074000, -0.5412129000, -0.8757702000, -1.0324271000, -1.1854218000", \
"-0.0761055000, -0.0795332000, -0.0809069000, -0.0889603000, -0.1300931000, -0.2003372000, -0.3457083000, -0.4849759000, -0.8317402000, -0.9945007000, -1.1499369000", \
"-0.0464017000, -0.0498294000, -0.0512031000, -0.0604772000, -0.1003893000, -0.1706335000, -0.3172253000, -0.4577135000, -0.8118021000, -0.9770039000, -1.1348815000", \
"-0.0166979000, -0.0213464000, -0.0214993000, -0.0307734000, -0.0719062000, -0.1421504000, -0.2899629000, -0.4316719000, -0.7906432000, -0.9595072000, -1.1198262000");
}
related_pin : "GATE";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1652363000, -0.1650020000, -0.1688171000, -0.1707669000, -0.1801615000, -0.2015775000, -0.2199954000, -0.2127786000, -0.1371797000, -0.0789928000, -0.0147025000", \
"-0.1605879000, -0.1603535000, -0.1641686000, -0.1648978000, -0.1755130000, -0.1969290000, -0.2153470000, -0.2093509000, -0.1325312000, -0.0755651000, -0.0100540000", \
"-0.1592142000, -0.1577591000, -0.1615742000, -0.1635241000, -0.1741393000, -0.1943346000, -0.2127526000, -0.2067565000, -0.1311576000, -0.0741914000, -0.0086803000", \
"-0.1462780000, -0.1460436000, -0.1498587000, -0.1505879000, -0.1612031000, -0.1826191000, -0.2022578000, -0.1950410000, -0.1194421000, -0.0624759000, 0.0018145000", \
"-0.1027038000, -0.1061315000, -0.1062845000, -0.1070137000, -0.1176289000, -0.1390449000, -0.1599043000, -0.1539082000, -0.0807506000, -0.0237845000, 0.0392852000", \
"-0.0471081000, -0.0505358000, -0.0506888000, -0.0514180000, -0.0644746000, -0.0871113000, -0.1079707000, -0.1044160000, -0.0312585000, 0.0244870000, 0.0875566000", \
"0.0396693000, 0.0362415000, 0.0360885000, 0.0341387000, 0.0210820000, -0.0039961000, -0.0272969000, -0.0249629000, 0.0457533000, 0.1014987000, 0.1633477000", \
"0.1056947000, 0.1022669000, 0.1021139000, 0.1001641000, 0.0883281000, 0.0632500000, 0.0387285000, 0.0410625000, 0.1105579000, 0.1638620000, 0.2257109000", \
"0.2534844000, 0.2500566000, 0.2499036000, 0.2491745000, 0.2373386000, 0.2147018000, 0.1901803000, 0.1900729000, 0.2534648000, 0.3067689000, 0.3686178000", \
"0.3198093000, 0.3163815000, 0.3162285000, 0.3154993000, 0.3048841000, 0.2834681000, 0.2565052000, 0.2563978000, 0.3185690000, 0.3706523000, 0.4312806000", \
"0.3824720000, 0.3790443000, 0.3788913000, 0.3769414000, 0.3663262000, 0.3449101000, 0.3191680000, 0.3178399000, 0.3775697000, 0.4296530000, 0.4902812000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_falling";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("GATE") {
capacitance : 0.0028690000;
clock : "true";
direction : "input";
fall_capacitance : 0.0028330000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2351068000, 0.2356027000, 0.2361418000, 0.2501743000, 0.2941467000, 0.3905076000, 0.5832499000, 0.7797319000, 1.3273717000, 1.6116431000, 1.8959146000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1377633000, 0.1398289000, 0.1420686000, 0.1553217000, 0.1968626000, 0.2939117000, 0.4880347000, 0.6880561000, 1.2455676000, 1.5349615000, 1.8243554000");
}
}
max_transition : 3.7500000000;
min_pulse_width_high : 0.2258530000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0029050000;
}
pin ("Q") {
direction : "output";
function : "IQ";
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087800000, 0.0175600000, 0.0351200000, 0.0702300000, 0.1404600000, 0.2809200000, 0.5618400000");
values("0.6682783000, 0.4770751000, 0.3162895000, 0.0201253000, -0.5441795000, -1.6355375000, -3.7819918000, -8.045486600", \
"0.6767958000, 0.4851139000, 0.3249350000, 0.0289605000, -0.5352571000, -1.6267335000, -3.7731499000, -8.036694300", \
"0.6790579000, 0.4885381000, 0.3279931000, 0.0316485000, -0.5329125000, -1.6243827000, -3.7704079000, -8.033982900", \
"0.6720948000, 0.4817318000, 0.3209394000, 0.0251617000, -0.5389735000, -1.6300064000, -3.7762845000, -8.039939500", \
"0.6943994000, 0.5037007000, 0.3431345000, 0.0467015000, -0.5172376000, -1.6086375000, -3.7547848000, -8.017951700", \
"0.7624493000, 0.5711652000, 0.4090972000, 0.1106979000, -0.4564317000, -1.5503294000, -3.6986851000, -7.9636100000", \
"0.9750975000, 0.7805836000, 0.6130730000, 0.3052909000, -0.2735244000, -1.3795593000, -3.5373298000, -7.8093183000", \
"1.2128677000, 1.0112177000, 0.8412551000, 0.5251122000, -0.0659471000, -1.1863800000, -3.3572487000, -7.6387006000", \
"1.9158749000, 1.7031895000, 1.5112825000, 1.1613127000, 0.5425466000, -0.6139314000, -2.8223045000, -7.1344238000", \
"2.2611072000, 2.0454962000, 1.8515212000, 1.4963088000, 0.8557344000, -0.3145182000, -2.5403489000, -6.8684446000", \
"2.6025213000, 2.3845483000, 2.1891210000, 1.8291186000, 1.1733095000, -0.0124410000, -2.2549939000, -6.5996907000");
}
related_pin : "SCE";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087800000, 0.0175600000, 0.0351200000, 0.0702300000, 0.1404600000, 0.2809200000, 0.5618400000");
values("0.5137338000, 0.6063300000, 0.7174146000, 0.9550041000, 1.4590779000, 2.4917411000, 4.5863718000, 8.786116200", \
"0.5310140000, 0.6235838000, 0.7349007000, 0.9725201000, 1.4758889000, 2.5133656000, 4.6015770000, 8.799274700", \
"0.5277312000, 0.6205939000, 0.7315794000, 0.9697073000, 1.4759767000, 2.5060012000, 4.5992652000, 8.793698200", \
"0.5140910000, 0.6068424000, 0.7181102000, 0.9556730000, 1.4587721000, 2.4960621000, 4.5849961000, 8.787735600", \
"0.5538122000, 0.6454753000, 0.7545849000, 0.9903364000, 1.4909649000, 2.5258371000, 4.6138214000, 8.811388700", \
"0.6498968000, 0.7376963000, 0.8431127000, 1.0721621000, 1.5669473000, 2.5905463000, 4.6751939000, 8.873623100", \
"0.9062822000, 0.9872058000, 1.0852888000, 1.3016946000, 1.7794249000, 2.7837667000, 4.8581127000, 9.041176200", \
"1.1867304000, 1.2567182000, 1.3381709000, 1.5442411000, 2.0056444000, 2.9923177000, 5.0482287000, 9.211575100", \
"1.9266441000, 1.9894250000, 2.0669672000, 2.2475744000, 2.6661059000, 3.6173344000, 5.6126459000, 9.736358200", \
"2.3014523000, 2.3628233000, 2.4359898000, 2.6102031000, 3.0231911000, 3.9448949000, 5.9254486000, 10.022196500", \
"2.6730012000, 2.7325213000, 2.8049360000, 2.9732354000, 3.3765200000, 4.2726676000, 6.2370582000, 10.312133400");
}
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087800000, 0.0175600000, 0.0351200000, 0.0702300000, 0.1404600000, 0.2809200000, 0.5618400000");
values("0.4803899000, 0.2997145000, 0.1460847000, -0.1403174000, -0.6943550000, -1.7770825000, -3.9171233000, -8.176281000", \
"0.4827109000, 0.3018870000, 0.1494164000, -0.1375679000, -0.6917152000, -1.7744400000, -3.9144120000, -8.174036400", \
"0.4812701000, 0.2991884000, 0.1464227000, -0.1404120000, -0.6946593000, -1.7772916000, -3.9171936000, -8.176548200", \
"0.4725969000, 0.2924121000, 0.1390163000, -0.1474690000, -0.7014709000, -1.7842090000, -3.9241085000, -8.183422400", \
"0.4850708000, 0.3030646000, 0.1501986000, -0.1366249000, -0.6906886000, -1.7731896000, -3.9129894000, -8.172323900", \
"0.4940464000, 0.3118773000, 0.1582073000, -0.1285516000, -0.6826951000, -1.7654258000, -3.9053483000, -8.164460700", \
"0.5292046000, 0.3463063000, 0.1925514000, -0.0965207000, -0.6519853000, -1.7358517000, -3.8765110000, -8.136233000", \
"0.5872484000, 0.3984136000, 0.2316958000, -0.0648131000, -0.6218585000, -1.7070581000, -3.8485808000, -8.109085000", \
"0.6929806000, 0.5022274000, 0.3336449000, 0.0275946000, -0.5390581000, -1.6294470000, -3.7740941000, -8.036637000", \
"0.7367379000, 0.5450183000, 0.3749941000, 0.0671894000, -0.5013591000, -1.5951749000, -3.7409471000, -8.004490100", \
"0.7793920000, 0.5855826000, 0.4143578000, 0.1043807000, -0.4664042000, -1.5627450000, -3.7095213000, -7.9743634000");
}
related_pin : "GATE";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087800000, 0.0175600000, 0.0351200000, 0.0702300000, 0.1404600000, 0.2809200000, 0.5618400000");
values("0.4467454000, 0.5435060000, 0.6584377000, 0.9004967000, 1.4097000000, 2.4462413000, 4.5434030000, 8.740106500", \
"0.4558345000, 0.5529666000, 0.6681754000, 0.9103939000, 1.4193145000, 2.4588969000, 4.5578019000, 8.751529900", \
"0.4469190000, 0.5442763000, 0.6594543000, 0.9017618000, 1.4090874000, 2.4499971000, 4.5443024000, 8.740246100", \
"0.4411582000, 0.5379271000, 0.6533158000, 0.8970391000, 1.4043062000, 2.4435132000, 4.5365330000, 8.736869600", \
"0.4539073000, 0.5514180000, 0.6665407000, 0.9087036000, 1.4166987000, 2.4564985000, 4.5499369000, 8.748372500", \
"0.4545808000, 0.5514943000, 0.6666031000, 0.9082554000, 1.4169054000, 2.4585815000, 4.5495283000, 8.756186300", \
"0.4786129000, 0.5693060000, 0.6839720000, 0.9259618000, 1.4325827000, 2.4709173000, 4.5644077000, 8.762843400", \
"0.5083122000, 0.5963631000, 0.7025933000, 0.9371149000, 1.4460344000, 2.4828842000, 4.5794988000, 8.772232700", \
"0.5521018000, 0.6388906000, 0.7443779000, 0.9813708000, 1.4793387000, 2.5169882000, 4.6084384000, 8.809642200", \
"0.5676644000, 0.6535495000, 0.7586421000, 0.9943017000, 1.4999055000, 2.5254858000, 4.6243504000, 8.815441700", \
"0.5865536000, 0.6711971000, 0.7744803000, 1.0112310000, 1.5186843000, 2.5397716000, 4.6343828000, 8.828661400");
}
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087800000, 0.0175600000, 0.0351200000, 0.0702300000, 0.1404600000, 0.2809200000, 0.5618400000");
values("0.6620602000, 0.4698986000, 0.3090623000, 0.0126098000, -0.5522597000, -1.6440242000, -3.7908803000, -8.055063500", \
"0.6632665000, 0.4673412000, 0.3062081000, 0.0095529000, -0.5501640000, -1.6422698000, -3.7893173000, -8.052767300", \
"0.6625593000, 0.4728441000, 0.3111467000, 0.0142212000, -0.5506936000, -1.6427305000, -3.7897658000, -8.053754300", \
"0.6654653000, 0.4748978000, 0.3135082000, 0.0168990000, -0.5480015000, -1.6401868000, -3.7872340000, -8.051109200", \
"0.6884843000, 0.4968142000, 0.3348521000, 0.0392782000, -0.5261224000, -1.6185543000, -3.7657230000, -8.029405300", \
"0.7570016000, 0.5658344000, 0.4032840000, 0.1039084000, -0.4645264000, -1.5598094000, -3.7092206000, -7.9744805000", \
"0.9454219000, 0.7513580000, 0.5841213000, 0.2772126000, -0.3008412000, -1.4062620000, -3.5638573000, -7.8349393000", \
"1.1582065000, 0.9611581000, 0.7885976000, 0.4749043000, -0.1140026000, -1.2315506000, -3.3994022000, -7.6784321000", \
"1.7966367000, 1.5833924000, 1.3943597000, 1.0549512000, 0.4431000000, -0.7075378000, -2.9093028000, -7.2154991000", \
"2.1178136000, 1.9018260000, 1.7098372000, 1.3587873000, 0.7341418000, -0.4311348000, -2.6494587000, -6.9703687000", \
"2.4308743000, 2.2140961000, 2.0199937000, 1.6637058000, 1.0237299000, -0.1533034000, -2.3889996000, -6.7245820000");
}
related_pin : "D";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087800000, 0.0175600000, 0.0351200000, 0.0702300000, 0.1404600000, 0.2809200000, 0.5618400000");
values("0.5426305000, 0.6367550000, 0.7508588000, 0.9909945000, 1.4970946000, 2.5349438000, 4.6305978000, 8.822995000", \
"0.5489271000, 0.6387249000, 0.7521147000, 0.9923554000, 1.4996164000, 2.5376477000, 4.6333406000, 8.827059400", \
"0.5434010000, 0.6386198000, 0.7519704000, 0.9917966000, 1.4979797000, 2.5339632000, 4.6270339000, 8.832154200", \
"0.5465051000, 0.6411673000, 0.7546154000, 0.9947281000, 1.5012989000, 2.5420714000, 4.6357894000, 8.829422300", \
"0.5737656000, 0.6664938000, 0.7789974000, 1.0177282000, 1.5221282000, 2.5564752000, 4.6539073000, 8.848717500", \
"0.6519601000, 0.7434465000, 0.8532191000, 1.0879999000, 1.5867101000, 2.6183344000, 4.7075017000, 8.899836000", \
"0.8720250000, 0.9504899000, 1.0534244000, 1.2766626000, 1.7593034000, 2.7748239000, 4.8537438000, 9.039266100", \
"1.1090336000, 1.1828377000, 1.2724159000, 1.4771379000, 1.9517575000, 2.9517818000, 5.0131332000, 9.191959100", \
"1.7236951000, 1.7890457000, 1.8710511000, 2.0620060000, 2.4999086000, 3.4652812000, 5.4948076000, 9.641492700", \
"2.0378240000, 2.1060430000, 2.1808818000, 2.3649891000, 2.7984347000, 3.7417180000, 5.7544184000, 9.887323100", \
"2.3468815000, 2.4126685000, 2.4883790000, 2.6713784000, 3.0924580000, 4.0134676000, 6.0131762000, 10.127705600");
}
}
internal_power () {
fall_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087800000, 0.0175600000, 0.0351200000, 0.0702300000, 0.1404600000, 0.2809200000, 0.5618400000");
values("0.7595943000, 0.5654769000, 0.4034661000, 0.1055891000, -0.4625130000, -1.5588511000, -3.7094307000, -7.9758094000", \
"0.7647078000, 0.5712530000, 0.4091808000, 0.1115836000, -0.4567023000, -1.5530417000, -3.7035807000, -7.9702247000", \
"0.7670963000, 0.5750299000, 0.4128941000, 0.1148959000, -0.4530377000, -1.5494395000, -3.6999218000, -7.9662850000", \
"0.7670140000, 0.5737634000, 0.4106783000, 0.1129999000, -0.4550272000, -1.5512873000, -3.7016901000, -7.9684094000", \
"0.7876851000, 0.5938772000, 0.4307003000, 0.1325133000, -0.4360894000, -1.5327767000, -3.6837053000, -7.9505289000", \
"0.8617058000, 0.6668931000, 0.5032956000, 0.2027061000, -0.3692174000, -1.4690498000, -3.6225225000, -7.8908936000", \
"1.0798199000, 0.8810650000, 0.7126160000, 0.4044453000, -0.1784302000, -1.2903319000, -3.4536283000, -7.7291242000", \
"1.3322648000, 1.1309762000, 0.9582650000, 0.6415204000, 0.0456969000, -1.0810449000, -3.2581543000, -7.5440389000", \
"2.0918660000, 1.8762006000, 1.6839333000, 1.3314373000, 0.7107876000, -0.4547158000, -2.6726956000, -6.9929254000", \
"2.4713905000, 2.2533531000, 2.0581447000, 1.6993995000, 1.0575568000, -0.1244331000, -2.3624121000, -6.7015814000", \
"2.8447182000, 2.6247342000, 2.4272749000, 2.0634757000, 1.4034619000, 0.2084290000, -2.0489488000, -6.4067189000");
}
related_pin : "SCD";
rise_power ("pwr_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087800000, 0.0175600000, 0.0351200000, 0.0702300000, 0.1404600000, 0.2809200000, 0.5618400000");
values("0.5753834000, 0.6670679000, 0.7773897000, 1.0144469000, 1.5166378000, 2.5521886000, 4.6399350000, 8.840461200", \
"0.5867801000, 0.6782374000, 0.7884714000, 1.0258633000, 1.5292270000, 2.5617589000, 4.6525531000, 8.847334300", \
"0.5785518000, 0.6703584000, 0.7806510000, 1.0175667000, 1.5209819000, 2.5553320000, 4.6484731000, 8.848006500", \
"0.5792220000, 0.6711206000, 0.7819351000, 1.0184574000, 1.5204962000, 2.5560821000, 4.6453069000, 8.842463200", \
"0.6032425000, 0.6945567000, 0.8044168000, 1.0401751000, 1.5421607000, 2.5729189000, 4.6635938000, 8.860706000", \
"0.6823004000, 0.7730214000, 0.8804555000, 1.1112567000, 1.6070181000, 2.6356139000, 4.7237810000, 8.913150700", \
"0.9136067000, 0.9975700000, 1.0981803000, 1.3178221000, 1.7985442000, 2.8117078000, 4.8837813000, 9.072872400", \
"1.1873386000, 1.2604458000, 1.3466269000, 1.5546860000, 2.0236789000, 3.0164257000, 5.0705913000, 9.250516300", \
"1.9161627000, 1.9850420000, 2.0641396000, 2.2507718000, 2.6765011000, 3.6336313000, 5.6455077000, 9.777853500", \
"2.2930668000, 2.3581053000, 2.4343284000, 2.6146989000, 3.0355330000, 3.9677436000, 5.9594252000, 10.066942300", \
"2.6643066000, 2.7304230000, 2.8070646000, 2.9820635000, 3.3905196000, 4.3025367000, 6.2761156000, 10.362301200");
}
}
max_capacitance : 0.5390270000;
max_transition : 3.8999750000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087800000, 0.0175600000, 0.0351200000, 0.0702300000, 0.1404600000, 0.2809200000, 0.5618400000");
values("0.3367465000, 0.4358969000, 0.5049581000, 0.6185826000, 0.8163398000, 1.1866063000, 1.9177626000, 3.3856828000", \
"0.3406732000, 0.4379514000, 0.5068725000, 0.6201793000, 0.8200561000, 1.1904600000, 1.9214772000, 3.3919634000", \
"0.3416628000, 0.4406711000, 0.5097645000, 0.6236591000, 0.8212531000, 1.1916439000, 1.9227460000, 3.3903806000", \
"0.3511935000, 0.4503325000, 0.5193075000, 0.6330701000, 0.8306253000, 1.2009117000, 1.9320692000, 3.4002110000", \
"0.3919617000, 0.4910533000, 0.5597400000, 0.6736275000, 0.8710754000, 1.2413212000, 1.9723339000, 3.4406360000", \
"0.4666316000, 0.5653217000, 0.6334026000, 0.7461035000, 0.9425343000, 1.3117417000, 2.0417792000, 3.5108090000", \
"0.6178574000, 0.7173828000, 0.7852700000, 0.8963696000, 1.0901990000, 1.4560370000, 2.1831617000, 3.6508268000", \
"0.7606827000, 0.8624765000, 0.9307624000, 1.0418605000, 1.2346028000, 1.5978575000, 2.3210753000, 3.7843271000", \
"1.1168090000, 1.2278871000, 1.3014017000, 1.4200230000, 1.6174954000, 1.9789115000, 2.6922926000, 4.1450265000", \
"1.2861229000, 1.4008774000, 1.4773476000, 1.5998676000, 1.8028941000, 2.1681992000, 2.8789104000, 4.3254866000", \
"1.4492409000, 1.5672791000, 1.6452097000, 1.7719642000, 1.9808293000, 2.3513800000, 3.0627074000, 4.5047925000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087800000, 0.0175600000, 0.0351200000, 0.0702300000, 0.1404600000, 0.2809200000, 0.5618400000");
values("0.2388648000, 0.3136098000, 0.3682348000, 0.4617397000, 0.6270799000, 0.9397479000, 1.5624985000, 2.8082172000", \
"0.2385189000, 0.3166869000, 0.3712479000, 0.4648565000, 0.6300939000, 0.9427132000, 1.5634375000, 2.8081604000", \
"0.2421374000, 0.3177602000, 0.3717692000, 0.4651983000, 0.6305398000, 0.9430868000, 1.5650821000, 2.8083975000", \
"0.2436331000, 0.3184560000, 0.3731052000, 0.4665898000, 0.6319348000, 0.9446289000, 1.5670529000, 2.8103466000", \
"0.2538962000, 0.3292167000, 0.3832796000, 0.4763877000, 0.6412912000, 0.9537015000, 1.5760346000, 2.8175746000", \
"0.2696298000, 0.3460504000, 0.4005089000, 0.4931410000, 0.6571670000, 0.9678179000, 1.5872571000, 2.8360754000", \
"0.2712368000, 0.3544230000, 0.4121339000, 0.5081263000, 0.6743109000, 0.9834737000, 1.6000273000, 2.8463274000", \
"0.2484651000, 0.3399944000, 0.4011660000, 0.5023845000, 0.6723395000, 0.9837299000, 1.5967800000, 2.8381182000", \
"0.1366521000, 0.2424105000, 0.3115050000, 0.4246463000, 0.6078761000, 0.9327344000, 1.5582067000, 2.7885381000", \
"0.0629729000, 0.1748625000, 0.2481601000, 0.3663166000, 0.5558782000, 0.8858942000, 1.5207793000, 2.7543101000", \
"-0.0164231000, 0.1005961000, 0.1767236000, 0.3004045000, 0.4961945000, 0.8309109000, 1.4741420000, 2.7190343000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087800000, 0.0175600000, 0.0351200000, 0.0702300000, 0.1404600000, 0.2809200000, 0.5618400000");
values("0.1095968000, 0.1923759000, 0.2491501000, 0.3501430000, 0.5618507000, 1.0125841000, 1.9618547000, 3.8893906000", \
"0.1072721000, 0.1932823000, 0.2490945000, 0.3503540000, 0.5616418000, 1.0118983000, 1.9606734000, 3.8832000000", \
"0.1100931000, 0.1924318000, 0.2494716000, 0.3501875000, 0.5618203000, 1.0128329000, 1.9616211000, 3.8862269000", \
"0.1072923000, 0.1931402000, 0.2489572000, 0.3502000000, 0.5617024000, 1.0126485000, 1.9615467000, 3.8881729000", \
"0.1087609000, 0.1927553000, 0.2497302000, 0.3505259000, 0.5620353000, 1.0128985000, 1.9602606000, 3.8817305000", \
"0.1070940000, 0.1937835000, 0.2505030000, 0.3522789000, 0.5622116000, 1.0122434000, 1.9633994000, 3.8834820000", \
"0.1216641000, 0.2061438000, 0.2630226000, 0.3613418000, 0.5689593000, 1.0151329000, 1.9627742000, 3.8835797000", \
"0.1376593000, 0.2232455000, 0.2783954000, 0.3732260000, 0.5781708000, 1.0187213000, 1.9633364000, 3.8850199000", \
"0.1886581000, 0.2790452000, 0.3374304000, 0.4330340000, 0.6245334000, 1.0460375000, 1.9686002000, 3.8860772000", \
"0.2134380000, 0.3059205000, 0.3665561000, 0.4641814000, 0.6542308000, 1.0685270000, 1.9813500000, 3.8898369000", \
"0.2339200000, 0.3287591000, 0.3915858000, 0.4927357000, 0.6850065000, 1.0974907000, 1.9939372000, 3.8900834000");
}
related_pin : "D";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087800000, 0.0175600000, 0.0351200000, 0.0702300000, 0.1404600000, 0.2809200000, 0.5618400000");
values("0.0656639000, 0.1366074000, 0.1911667000, 0.2975861000, 0.5129188000, 0.9609131000, 1.8865145000, 3.7525059000", \
"0.0662903000, 0.1365565000, 0.1915686000, 0.2974480000, 0.5133563000, 0.9618962000, 1.8851072000, 3.7432866000", \
"0.0660324000, 0.1365774000, 0.1913879000, 0.2974976000, 0.5125413000, 0.9616270000, 1.8874360000, 3.7492929000", \
"0.0666643000, 0.1358051000, 0.1910447000, 0.2975238000, 0.5131295000, 0.9609890000, 1.8860824000, 3.7430605000", \
"0.0660093000, 0.1364517000, 0.1915147000, 0.2980541000, 0.5134668000, 0.9627310000, 1.8866899000, 3.7491752000", \
"0.0728190000, 0.1445393000, 0.1975204000, 0.3023634000, 0.5154954000, 0.9623591000, 1.8875184000, 3.7457237000", \
"0.0920794000, 0.1683349000, 0.2216614000, 0.3229681000, 0.5278981000, 0.9672351000, 1.8891171000, 3.7441192000", \
"0.1110625000, 0.1921309000, 0.2463048000, 0.3478119000, 0.5507605000, 0.9792717000, 1.8897213000, 3.7520724000", \
"0.1576015000, 0.2457047000, 0.3065742000, 0.4100079000, 0.6117994000, 1.0374622000, 1.9334909000, 3.7553156000", \
"0.1785820000, 0.2703720000, 0.3334500000, 0.4397084000, 0.6394714000, 1.0668636000, 1.9513542000, 3.7705559000", \
"0.1988070000, 0.2934991000, 0.3620631000, 0.4689705000, 0.6683180000, 1.0913179000, 1.9817628000, 3.7951304000");
}
timing_sense : "positive_unate";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087800000, 0.0175600000, 0.0351200000, 0.0702300000, 0.1404600000, 0.2809200000, 0.5618400000");
values("0.3787233000, 0.4807545000, 0.5511889000, 0.6675262000, 0.8675175000, 1.2397963000, 1.9706274000, 3.4381018000", \
"0.3833764000, 0.4852082000, 0.5556835000, 0.6719373000, 0.8719913000, 1.2442608000, 1.9747450000, 3.4429366000", \
"0.3838976000, 0.4860456000, 0.5564237000, 0.6726291000, 0.8728631000, 1.2451242000, 1.9755431000, 3.4428906000", \
"0.3862267000, 0.4882814000, 0.5588169000, 0.6747516000, 0.8751602000, 1.2475302000, 1.9783284000, 3.4469867000", \
"0.4095085000, 0.5115959000, 0.5817436000, 0.6979411000, 0.8977924000, 1.2698567000, 2.0006787000, 3.4686953000", \
"0.4628298000, 0.5639679000, 0.6336622000, 0.7488636000, 0.9476412000, 1.3187751000, 2.0486937000, 3.5171432000", \
"0.5829589000, 0.6852433000, 0.7544413000, 0.8679412000, 1.0640608000, 1.4317559000, 2.1581337000, 3.6238044000", \
"0.6958589000, 0.8028679000, 0.8732800000, 0.9879999000, 1.1835087000, 1.5479096000, 2.2694926000, 3.7309897000", \
"0.9658773000, 1.0850474000, 1.1633162000, 1.2905067000, 1.4984440000, 1.8654680000, 2.5771953000, 4.0244403000", \
"1.0901648000, 1.2129208000, 1.2951171000, 1.4282659000, 1.6458387000, 2.0210758000, 2.7326681000, 4.1725086000", \
"1.2061048000, 1.3331055000, 1.4193129000, 1.5572845000, 1.7837380000, 2.1686968000, 2.8860783000, 4.3206021000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087800000, 0.0175600000, 0.0351200000, 0.0702300000, 0.1404600000, 0.2809200000, 0.5618400000");
values("0.2767011000, 0.3539561000, 0.4092686000, 0.5031720000, 0.6681817000, 0.9802873000, 1.6009267000, 2.8444607000", \
"0.2785963000, 0.3558683000, 0.4112767000, 0.5050421000, 0.6701358000, 0.9818382000, 1.6037603000, 2.8499922000", \
"0.2811549000, 0.3585389000, 0.4138545000, 0.5077493000, 0.6728957000, 0.9847944000, 1.6045055000, 2.8479621000", \
"0.2816639000, 0.3590835000, 0.4144767000, 0.5081687000, 0.6732991000, 0.9855246000, 1.6070067000, 2.8475231000", \
"0.2924771000, 0.3696631000, 0.4246997000, 0.5183081000, 0.6832191000, 0.9949501000, 1.6167105000, 2.8619773000", \
"0.3146844000, 0.3931310000, 0.4480663000, 0.5407733000, 0.7045474000, 1.0146521000, 1.6330612000, 2.8796245000", \
"0.3366969000, 0.4230777000, 0.4816216000, 0.5771701000, 0.7410216000, 1.0487159000, 1.6637849000, 2.9088683000", \
"0.3369776000, 0.4302416000, 0.4924905000, 0.5938181000, 0.7620299000, 1.0699157000, 1.6819212000, 2.9207643000", \
"0.2864987000, 0.3976776000, 0.4691209000, 0.5856672000, 0.7699386000, 1.0916233000, 1.7073576000, 2.9341825000", \
"0.2471737000, 0.3647101000, 0.4408409000, 0.5637713000, 0.7563790000, 1.0844043000, 1.7100009000, 2.9360756000", \
"0.2011322000, 0.3260572000, 0.4076759000, 0.5352522000, 0.7356354000, 1.0701781000, 1.7050465000, 2.9359184000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087800000, 0.0175600000, 0.0351200000, 0.0702300000, 0.1404600000, 0.2809200000, 0.5618400000");
values("0.1169848000, 0.2044070000, 0.2609617000, 0.3625087000, 0.5719815000, 1.0186566000, 1.9632183000, 3.8914618000", \
"0.1166046000, 0.2043306000, 0.2607101000, 0.3625338000, 0.5723585000, 1.0198203000, 1.9652373000, 3.8785180000", \
"0.1177406000, 0.2045895000, 0.2609908000, 0.3621913000, 0.5724846000, 1.0191058000, 1.9624384000, 3.8875942000", \
"0.1173822000, 0.2030646000, 0.2610550000, 0.3624285000, 0.5727828000, 1.0200132000, 1.9634847000, 3.8878425000", \
"0.1172919000, 0.2038713000, 0.2616936000, 0.3630478000, 0.5720163000, 1.0197434000, 1.9600328000, 3.8784984000", \
"0.1169979000, 0.2058499000, 0.2627614000, 0.3647996000, 0.5738947000, 1.0201623000, 1.9609609000, 3.8918047000", \
"0.1350792000, 0.2206564000, 0.2764903000, 0.3758805000, 0.5814185000, 1.0235034000, 1.9603619000, 3.8918264000", \
"0.1595980000, 0.2446584000, 0.3023924000, 0.3944195000, 0.5948787000, 1.0293617000, 1.9633439000, 3.8918162000", \
"0.2216629000, 0.3177815000, 0.3780065000, 0.4777210000, 0.6668505000, 1.0751449000, 1.9830673000, 3.8915760000", \
"0.2485244000, 0.3499987000, 0.4170549000, 0.5182306000, 0.7107421000, 1.1133667000, 1.9944768000, 3.8874957000", \
"0.2728835000, 0.3810260000, 0.4494946000, 0.5587850000, 0.7536988000, 1.1547748000, 2.0247022000, 3.8986294000");
}
related_pin : "SCD";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087800000, 0.0175600000, 0.0351200000, 0.0702300000, 0.1404600000, 0.2809200000, 0.5618400000");
values("0.0729830000, 0.1435437000, 0.1971464000, 0.3018988000, 0.5155147000, 0.9614863000, 1.8840862000, 3.7499275000", \
"0.0718729000, 0.1429661000, 0.1973346000, 0.3024710000, 0.5152761000, 0.9621817000, 1.8882227000, 3.7521670000", \
"0.0726630000, 0.1435133000, 0.1971686000, 0.3015302000, 0.5153435000, 0.9610853000, 1.8835349000, 3.7504373000", \
"0.0721187000, 0.1427180000, 0.1971455000, 0.3015449000, 0.5155076000, 0.9620985000, 1.8866397000, 3.7465600000", \
"0.0731373000, 0.1439686000, 0.1975913000, 0.3019911000, 0.5154965000, 0.9607755000, 1.8872899000, 3.7533554000", \
"0.0778675000, 0.1489733000, 0.2025457000, 0.3058357000, 0.5173884000, 0.9626367000, 1.8868949000, 3.7514898000", \
"0.0984940000, 0.1742032000, 0.2271499000, 0.3256291000, 0.5298153000, 0.9667918000, 1.8855908000, 3.7502610000", \
"0.1184157000, 0.2005473000, 0.2553528000, 0.3533849000, 0.5525254000, 0.9796221000, 1.8892170000, 3.7472576000", \
"0.1759958000, 0.2626281000, 0.3326663000, 0.4294615000, 0.6243910000, 1.0414326000, 1.9247357000, 3.7487724000", \
"0.2038287000, 0.2957951000, 0.3670420000, 0.4676924000, 0.6597765000, 1.0757397000, 1.9505824000, 3.7671612000", \
"0.2318581000, 0.3267661000, 0.3939880000, 0.5033999000, 0.6962874000, 1.1107296000, 1.9846298000, 3.7992876000");
}
timing_sense : "positive_unate";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087800000, 0.0175600000, 0.0351200000, 0.0702300000, 0.1404600000, 0.2809200000, 0.5618400000");
values("0.3231397000, 0.4224219000, 0.4916645000, 0.6056652000, 0.8035683000, 1.1739326000, 1.9052639000, 3.3743108000", \
"0.3272156000, 0.4267913000, 0.4959341000, 0.6098592000, 0.8077026000, 1.1781937000, 1.9096327000, 3.3786935000", \
"0.3282283000, 0.4276424000, 0.4971861000, 0.6107519000, 0.8089714000, 1.1795288000, 1.9106594000, 3.3798200000", \
"0.3321345000, 0.4317888000, 0.5009613000, 0.6149473000, 0.8128412000, 1.1834095000, 1.9148436000, 3.3829322000", \
"0.3552791000, 0.4547248000, 0.5241956000, 0.6379505000, 0.8359897000, 1.2064794000, 1.9375050000, 3.4072580000", \
"0.4055714000, 0.5040454000, 0.5724502000, 0.6852209000, 0.8822399000, 1.2519656000, 1.9826090000, 3.4505141000", \
"0.5077656000, 0.6087976000, 0.6772327000, 0.7890592000, 0.9833421000, 1.3497830000, 2.0767712000, 3.5439063000", \
"0.5965928000, 0.7037067000, 0.7746823000, 0.8896698000, 1.0846885000, 1.4482106000, 2.1707578000, 3.6348444000", \
"0.7930208000, 0.9112776000, 0.9904469000, 1.1180724000, 1.3300278000, 1.7046524000, 2.4209474000, 3.8704715000", \
"0.8781542000, 1.0003374000, 1.0821238000, 1.2155399000, 1.4359332000, 1.8227413000, 2.5458555000, 3.9901806000", \
"0.9565053000, 1.0820650000, 1.1665796000, 1.3043624000, 1.5332573000, 1.9318873000, 2.6673421000, 4.1075530000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087800000, 0.0175600000, 0.0351200000, 0.0702300000, 0.1404600000, 0.2809200000, 0.5618400000");
values("0.2319591000, 0.3099849000, 0.3650946000, 0.4589706000, 0.6244350000, 0.9366585000, 1.5590597000, 2.8031566000", \
"0.2326173000, 0.3101477000, 0.3657288000, 0.4596920000, 0.6250656000, 0.9375157000, 1.5592641000, 2.8000058000", \
"0.2310983000, 0.3090816000, 0.3642125000, 0.4582870000, 0.6235102000, 0.9359508000, 1.5573151000, 2.8019413000", \
"0.2408100000, 0.3183608000, 0.3739065000, 0.4678465000, 0.6332136000, 0.9456611000, 1.5674556000, 2.8076865000", \
"0.2685284000, 0.3456588000, 0.4007147000, 0.4941748000, 0.6589068000, 0.9708240000, 1.5913380000, 2.8344185000", \
"0.3098918000, 0.3884981000, 0.4432401000, 0.5357577000, 0.6992736000, 1.0093982000, 1.6295295000, 2.8744731000", \
"0.3604914000, 0.4475565000, 0.5054180000, 0.6000463000, 0.7626685000, 1.0693287000, 1.6851562000, 2.9256801000", \
"0.3886219000, 0.4840256000, 0.5458679000, 0.6458698000, 0.8113832000, 1.1162230000, 1.7264540000, 2.9663401000", \
"0.4206111000, 0.5356542000, 0.6090348000, 0.7220786000, 0.9006084000, 1.2135822000, 1.8213889000, 3.0441107000", \
"0.4252876000, 0.5470953000, 0.6250245000, 0.7456429000, 0.9316923000, 1.2494177000, 1.8635764000, 3.0824305000", \
"0.4249238000, 0.5535161000, 0.6357829000, 0.7629086000, 0.9560673000, 1.2781179000, 1.8974742000, 3.1173668000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087800000, 0.0175600000, 0.0351200000, 0.0702300000, 0.1404600000, 0.2809200000, 0.5618400000");
values("0.1068492000, 0.1923021000, 0.2482740000, 0.3497461000, 0.5613976000, 1.0119094000, 1.9625106000, 3.8852455000", \
"0.1071058000, 0.1930995000, 0.2482770000, 0.3497885000, 0.5614298000, 1.0121418000, 1.9577417000, 3.8794359000", \
"0.1074405000, 0.1921487000, 0.2486689000, 0.3494065000, 0.5614148000, 1.0146535000, 1.9594679000, 3.8916519000", \
"0.1073681000, 0.1928046000, 0.2483299000, 0.3495719000, 0.5612845000, 1.0124291000, 1.9612378000, 3.8879042000", \
"0.1061144000, 0.1915829000, 0.2483231000, 0.3489942000, 0.5611210000, 1.0118931000, 1.9635458000, 3.8839061000", \
"0.1082624000, 0.1926450000, 0.2498933000, 0.3513115000, 0.5627365000, 1.0131354000, 1.9615845000, 3.8863446000", \
"0.1275834000, 0.2121493000, 0.2664650000, 0.3641441000, 0.5706399000, 1.0155820000, 1.9632345000, 3.8916559000", \
"0.1515502000, 0.2394275000, 0.2957293000, 0.3909015000, 0.5867528000, 1.0234830000, 1.9636743000, 3.8906820000", \
"0.2117916000, 0.3085471000, 0.3718660000, 0.4728722000, 0.6694183000, 1.0797067000, 1.9854136000, 3.8915626000", \
"0.2376216000, 0.3379328000, 0.4038369000, 0.5122336000, 0.7109274000, 1.1272587000, 2.0063995000, 3.8954577000", \
"0.2598604000, 0.3664499000, 0.4344253000, 0.5460478000, 0.7520981000, 1.1727911000, 2.0443773000, 3.8999746000");
}
related_pin : "SCE";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087800000, 0.0175600000, 0.0351200000, 0.0702300000, 0.1404600000, 0.2809200000, 0.5618400000");
values("0.0717606000, 0.1425613000, 0.1965443000, 0.3010961000, 0.5141987000, 0.9615853000, 1.8842821000, 3.7480743000", \
"0.0720313000, 0.1418869000, 0.1963943000, 0.3009382000, 0.5151581000, 0.9614315000, 1.8867850000, 3.7468664000", \
"0.0715725000, 0.1426168000, 0.1963987000, 0.3011712000, 0.5154500000, 0.9637507000, 1.8870456000, 3.7521266000", \
"0.0720778000, 0.1419173000, 0.1963533000, 0.3009307000, 0.5151510000, 0.9614372000, 1.8869419000, 3.7459387000", \
"0.0729042000, 0.1427367000, 0.1978411000, 0.3023808000, 0.5158516000, 0.9616070000, 1.8836388000, 3.7498209000", \
"0.0809907000, 0.1522559000, 0.2047172000, 0.3079566000, 0.5182914000, 0.9618041000, 1.8874937000, 3.7507677000", \
"0.1071933000, 0.1803729000, 0.2321690000, 0.3292568000, 0.5318237000, 0.9699176000, 1.8854627000, 3.7482213000", \
"0.1320189000, 0.2076631000, 0.2621467000, 0.3568812000, 0.5518285000, 0.9781921000, 1.8898076000, 3.7445615000", \
"0.1928703000, 0.2812365000, 0.3392167000, 0.4346426000, 0.6213589000, 1.0301190000, 1.9136008000, 3.7535957000", \
"0.2255546000, 0.3139657000, 0.3791491000, 0.4748409000, 0.6572351000, 1.0602489000, 1.9352542000, 3.7628842000", \
"0.2484244000, 0.3462651000, 0.4099682000, 0.5091587000, 0.6906838000, 1.0912393000, 1.9639579000, 3.7752041000");
}
timing_sense : "non_unate";
}
timing () {
cell_fall ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087800000, 0.0175600000, 0.0351200000, 0.0702300000, 0.1404600000, 0.2809200000, 0.5618400000");
values("0.2497933000, 0.3526167000, 0.4248412000, 0.5410059000, 0.7413312000, 1.1142101000, 1.8478644000, 3.3190604000", \
"0.2530348000, 0.3560342000, 0.4281419000, 0.5441510000, 0.7446636000, 1.1175573000, 1.8505331000, 3.3241188000", \
"0.2544755000, 0.3574657000, 0.4295366000, 0.5454981000, 0.7459877000, 1.1190285000, 1.8522531000, 3.3228740000", \
"0.2627203000, 0.3654884000, 0.4377272000, 0.5540406000, 0.7542835000, 1.1271369000, 1.8605697000, 3.3331038000", \
"0.2919858000, 0.3946071000, 0.4666062000, 0.5825629000, 0.7831086000, 1.1560526000, 1.8891320000, 3.3626522000", \
"0.3217887000, 0.4236708000, 0.4953796000, 0.6113046000, 0.8116546000, 1.1845234000, 1.9176509000, 3.3910605000", \
"0.3572421000, 0.4591822000, 0.5308283000, 0.6465559000, 0.8460745000, 1.2187549000, 1.9521242000, 3.4220089000", \
"0.3781782000, 0.4795419000, 0.5509850000, 0.6671130000, 0.8671636000, 1.2392440000, 1.9717796000, 3.4408731000", \
"0.4043294000, 0.5060068000, 0.5770443000, 0.6926038000, 0.8922451000, 1.2644262000, 1.9959612000, 3.4658574000", \
"0.4076348000, 0.5098292000, 0.5808173000, 0.6962086000, 0.8952643000, 1.2668427000, 1.9985333000, 3.4666254000", \
"0.4063559000, 0.5094847000, 0.5801479000, 0.6950056000, 0.8936017000, 1.2647089000, 1.9962392000, 3.4626477000");
}
cell_rise ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087800000, 0.0175600000, 0.0351200000, 0.0702300000, 0.1404600000, 0.2809200000, 0.5618400000");
values("0.2386257000, 0.3133939000, 0.3678683000, 0.4615963000, 0.6272272000, 0.9401564000, 1.5609821000, 2.8056708000", \
"0.2399408000, 0.3145061000, 0.3689775000, 0.4627360000, 0.6284621000, 0.9415466000, 1.5646613000, 2.8074369000", \
"0.2432927000, 0.3180574000, 0.3725317000, 0.4662400000, 0.6320688000, 0.9443095000, 1.5669368000, 2.8151779000", \
"0.2520169000, 0.3267799000, 0.3812528000, 0.4749861000, 0.6405287000, 0.9539304000, 1.5762947000, 2.8226967000", \
"0.2798958000, 0.3546471000, 0.4090724000, 0.5027886000, 0.6684936000, 0.9816056000, 1.6043128000, 2.8492813000", \
"0.3047589000, 0.3790961000, 0.4334349000, 0.5271351000, 0.6926970000, 1.0057749000, 1.6287144000, 2.8726614000", \
"0.3309904000, 0.4043971000, 0.4582200000, 0.5513978000, 0.7166800000, 1.0297624000, 1.6499122000, 2.9001705000", \
"0.3427485000, 0.4157547000, 0.4691291000, 0.5618757000, 0.7269455000, 1.0396565000, 1.6631470000, 2.9096330000", \
"0.3434309000, 0.4152663000, 0.4678107000, 0.5598197000, 0.7241998000, 1.0362728000, 1.6588478000, 2.8981388000", \
"0.3336645000, 0.4051527000, 0.4575042000, 0.5493229000, 0.7132121000, 1.0247081000, 1.6441739000, 2.8861256000", \
"0.3200658000, 0.3910832000, 0.4433004000, 0.5347605000, 0.6985541000, 1.0099247000, 1.6325359000, 2.8726470000");
}
fall_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087800000, 0.0175600000, 0.0351200000, 0.0702300000, 0.1404600000, 0.2809200000, 0.5618400000");
values("0.0845583000, 0.1752720000, 0.2350209000, 0.3397593000, 0.5554726000, 1.0099996000, 1.9619211000, 3.8842809000", \
"0.0846718000, 0.1752209000, 0.2351148000, 0.3391454000, 0.5556269000, 1.0096829000, 1.9632480000, 3.8893537000", \
"0.0843759000, 0.1751214000, 0.2349984000, 0.3397766000, 0.5557994000, 1.0088047000, 1.9568180000, 3.8877412000", \
"0.0844216000, 0.1751562000, 0.2346733000, 0.3397699000, 0.5547850000, 1.0094744000, 1.9634037000, 3.8834598000", \
"0.0834175000, 0.1747199000, 0.2347267000, 0.3390549000, 0.5555982000, 1.0095976000, 1.9567734000, 3.8900234000", \
"0.0831607000, 0.1745766000, 0.2346665000, 0.3397607000, 0.5551734000, 1.0097198000, 1.9569564000, 3.8907384000", \
"0.0851481000, 0.1771750000, 0.2372817000, 0.3398633000, 0.5560454000, 1.0101848000, 1.9608088000, 3.8867336000", \
"0.0868854000, 0.1792446000, 0.2395517000, 0.3426597000, 0.5570781000, 1.0099793000, 1.9568354000, 3.8901593000", \
"0.0964504000, 0.1874830000, 0.2471670000, 0.3501299000, 0.5627628000, 1.0138390000, 1.9563082000, 3.8831423000", \
"0.1020613000, 0.1919598000, 0.2506606000, 0.3530345000, 0.5635339000, 1.0138510000, 1.9588613000, 3.8885322000", \
"0.1076147000, 0.1967096000, 0.2545663000, 0.3552961000, 0.5658552000, 1.0156658000, 1.9611912000, 3.8817407000");
}
related_pin : "GATE";
rise_transition ("delay_template11x8") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0087800000, 0.0175600000, 0.0351200000, 0.0702300000, 0.1404600000, 0.2809200000, 0.5618400000");
values("0.0623693000, 0.1321474000, 0.1886751000, 0.2967007000, 0.5124290000, 0.9604930000, 1.8828992000, 3.7500740000", \
"0.0624125000, 0.1322267000, 0.1885800000, 0.2960287000, 0.5123710000, 0.9622982000, 1.8858675000, 3.7452761000", \
"0.0623734000, 0.1321406000, 0.1887093000, 0.2962363000, 0.5124832000, 0.9615052000, 1.8843951000, 3.7444852000", \
"0.0623672000, 0.1321343000, 0.1887592000, 0.2962859000, 0.5125515000, 0.9609399000, 1.8864910000, 3.7520627000", \
"0.0620409000, 0.1320672000, 0.1884055000, 0.2960173000, 0.5121714000, 0.9608196000, 1.8868753000, 3.7521932000", \
"0.0615540000, 0.1318258000, 0.1885630000, 0.2959205000, 0.5125633000, 0.9604844000, 1.8863120000, 3.7636250000", \
"0.0602406000, 0.1308056000, 0.1875396000, 0.2955890000, 0.5122348000, 0.9606768000, 1.8871600000, 3.7443684000", \
"0.0608433000, 0.1305304000, 0.1872621000, 0.2950349000, 0.5123599000, 0.9598731000, 1.8844901000, 3.7500731000", \
"0.0614676000, 0.1307913000, 0.1870862000, 0.2953369000, 0.5117775000, 0.9599506000, 1.8947248000, 3.7464236000", \
"0.0620698000, 0.1308214000, 0.1874602000, 0.2955165000, 0.5124745000, 0.9610261000, 1.8861315000, 3.7473549000", \
"0.0633341000, 0.1309508000, 0.1874733000, 0.2964675000, 0.5142439000, 0.9612102000, 1.8845814000, 3.7477288000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
}
pin ("SCD") {
capacitance : 0.0029000000;
clock : "false";
direction : "input";
fall_capacitance : 0.0028480000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0554740000, 0.0509509000, 0.0460528000, 0.0477386000, 0.0530676000, 0.0537393000, 0.0551069000, 0.0552085000, 0.0555348000, 0.0556925000, 0.0558503000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0500924000, -0.0495722000, -0.0490067000, -0.0489845000, -0.0488634000, -0.0497426000, -0.0514767000, -0.0515147000, -0.0515772000, -0.0516213000, -0.0516656000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0029530000;
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3230449000, 0.3252520000, 0.3205221000, 0.3297962000, 0.3513978000, 0.4021107000, 0.5071985000, 0.6025209000, 0.8308770000, 0.9362643000, 1.0355481000", \
"0.3208379000, 0.3230449000, 0.3183151000, 0.3275892000, 0.3491907000, 0.3986829000, 0.5049915000, 0.6003138000, 0.8274492000, 0.9328366000, 1.0333411000", \
"0.3182435000, 0.3204505000, 0.3157207000, 0.3249948000, 0.3465964000, 0.3960885000, 0.5023971000, 0.5977194000, 0.8260755000, 0.9314629000, 1.0307468000", \
"0.3077487000, 0.3099557000, 0.3052259000, 0.3145000000, 0.3361016000, 0.3855937000, 0.4919023000, 0.5860039000, 0.8143600000, 0.9197474000, 1.0202519000", \
"0.2922507000, 0.2944577000, 0.2872865000, 0.2977813000, 0.3193828000, 0.3652129000, 0.4703008000, 0.5631817000, 0.7903171000, 0.8944837000, 0.9937676000", \
"0.2903659000, 0.2974557000, 0.2951673000, 0.2971172000, 0.3138359000, 0.3608867000, 0.4574297000, 0.5466484000, 0.7689010000, 0.8755091000, 0.9760136000", \
"0.2865963000, 0.2936862000, 0.2926185000, 0.2945684000, 0.3137285000, 0.3583379000, 0.4561016000, 0.5331133000, 0.7431589000, 0.8497669000, 0.9527128000", \
"0.2755026000, 0.2825924000, 0.2803040000, 0.2822539000, 0.3026348000, 0.3460234000, 0.4474492000, 0.5342265000, 0.7271823000, 0.8325697000, 0.9355156000", \
"0.2449004000, 0.2519902000, 0.2497018000, 0.2516517000, 0.2708119000, 0.3142005000, 0.4131849000, 0.5097279000, 0.7270976000, 0.8068502000, 0.9061341000", \
"0.2347279000, 0.2430384000, 0.2395293000, 0.2414792000, 0.2606393000, 0.3015866000, 0.3981295000, 0.4958932000, 0.7303529000, 0.8198711000, 0.8996237000", \
"0.2269967000, 0.2365280000, 0.2330189000, 0.2361894000, 0.2516875000, 0.2926348000, 0.3879570000, 0.4832793000, 0.7287252000, 0.8341127000, 0.9163066000");
}
related_pin : "GATE";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2253887000, 0.2275957000, 0.2301901000, 0.2309193000, 0.2415345000, 0.2666126000, 0.3033411000, 0.3168763000, 0.3059746000, 0.2844088000, 0.2579603000", \
"0.2219609000, 0.2229473000, 0.2267624000, 0.2262708000, 0.2368861000, 0.2631849000, 0.2986927000, 0.3122279000, 0.3013262000, 0.2809811000, 0.2533119000", \
"0.2193665000, 0.2215736000, 0.2241680000, 0.2248971000, 0.2355124000, 0.2605905000, 0.2960983000, 0.3096335000, 0.2999525000, 0.2783867000, 0.2519382000", \
"0.2076510000, 0.2098581000, 0.2124525000, 0.2131816000, 0.2237969000, 0.2476543000, 0.2843828000, 0.2991387000, 0.2882370000, 0.2678919000, 0.2402227000", \
"0.1677389000, 0.1711667000, 0.1725404000, 0.1708281000, 0.1826641000, 0.2077422000, 0.2432500000, 0.2592266000, 0.2495456000, 0.2292005000, 0.2027520000", \
"0.1158053000, 0.1228952000, 0.1218275000, 0.1225566000, 0.1331719000, 0.1594707000, 0.1949785000, 0.2109551000, 0.2024948000, 0.1821497000, 0.1557012000", \
"0.0363522000, 0.0422214000, 0.0411536000, 0.0418828000, 0.0537188000, 0.0812383000, 0.1179668000, 0.1351641000, 0.1254831000, 0.1063587000, 0.0786895000", \
"-0.0284525000, -0.0225833000, -0.0236510000, -0.0229219000, -0.0110859000, 0.0164336000, 0.0556035000, 0.0715801000, 0.0643405000, 0.0439954000, 0.0175469000", \
"-0.1701387000, -0.1618281000, -0.1641165000, -0.1633874000, -0.1527721000, -0.1264733000, -0.0885241000, -0.0701061000, -0.0761250000, -0.0964701000, -0.1229186000", \
"-0.2303600000, -0.2244909000, -0.2255586000, -0.2248294000, -0.2142142000, -0.1903568000, -0.1524076000, -0.1327689000, -0.1387878000, -0.1591328000, -0.1855814000", \
"-0.2881400000, -0.2822708000, -0.2833385000, -0.2826094000, -0.2719941000, -0.2493574000, -0.2126289000, -0.1942109000, -0.1977884000, -0.2169128000, -0.2433613000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_falling";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.2763203000, -0.2809688000, -0.2811217000, -0.2842923000, -0.3071146000, -0.3578275000, -0.4677982000, -0.5655618000, -0.7951387000, -0.8993054000, -0.9985892000", \
"-0.2728926000, -0.2775410000, -0.2789147000, -0.2808646000, -0.3036868000, -0.3556204000, -0.4643704000, -0.5633548000, -0.7929316000, -0.8958776000, -0.9963822000", \
"-0.2715189000, -0.2749466000, -0.2763203000, -0.2782702000, -0.3010924000, -0.3530260000, -0.4629967000, -0.5607604000, -0.7903373000, -0.8945039000, -0.9937878000", \
"-0.2610241000, -0.2644518000, -0.2658255000, -0.2677754000, -0.2905977000, -0.3425312000, -0.4512812000, -0.5490449000, -0.7786217000, -0.8827884000, -0.9808516000", \
"-0.2345397000, -0.2391882000, -0.2393411000, -0.2425117000, -0.2653340000, -0.3160469000, -0.4260176000, -0.5237813000, -0.7533581000, -0.8587454000, -0.9555879000", \
"-0.2119030000, -0.2153307000, -0.2167044000, -0.2186543000, -0.2414766000, -0.2934102000, -0.4033809000, -0.5011445000, -0.7319421000, -0.8373294000, -0.9366133000", \
"-0.1763952000, -0.1810436000, -0.1824173000, -0.1843672000, -0.2071895000, -0.2579023000, -0.3690937000, -0.4692988000, -0.7037585000, -0.8115873000, -0.9133125000", \
"-0.1482116000, -0.1528600000, -0.1542337000, -0.1561836000, -0.1790059000, -0.2297188000, -0.3421309000, -0.4447773000, -0.6828991000, -0.7919486000, -0.8948946000", \
"-0.0785469000, -0.0819746000, -0.0833483000, -0.0865189000, -0.1093411000, -0.1588333000, -0.2736869000, -0.3824368000, -0.6364278000, -0.7515808000, -0.8581888000", \
"-0.0439603000, -0.0486087000, -0.0487617000, -0.0519323000, -0.0747546000, -0.1254675000, -0.2403210000, -0.3527331000, -0.6152689000, -0.7316426000, -0.8406921000", \
"-0.0093737000, -0.0140221000, -0.0153958000, -0.0185664000, -0.0413887000, -0.0921016000, -0.2081758000, -0.3230293000, -0.5928893000, -0.7129251000, -0.8256367000");
}
related_pin : "GATE";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1847676000, -0.1869746000, -0.1895690000, -0.1902982000, -0.2021341000, -0.2284329000, -0.2614994000, -0.2738138000, -0.2592500000, -0.2364636000, -0.2075736000", \
"-0.1801191000, -0.1823262000, -0.1849206000, -0.1856497000, -0.1974857000, -0.2237845000, -0.2568509000, -0.2703861000, -0.2558223000, -0.2330358000, -0.2041458000", \
"-0.1787454000, -0.1797318000, -0.1823262000, -0.1830553000, -0.1961120000, -0.2211901000, -0.2554772000, -0.2677917000, -0.2532279000, -0.2304414000, -0.2015514000", \
"-0.1658093000, -0.1680163000, -0.1706107000, -0.1713398000, -0.1831758000, -0.2094746000, -0.2425410000, -0.2560762000, -0.2427331000, -0.2199466000, -0.1910566000", \
"-0.1234557000, -0.1293249000, -0.1294779000, -0.1302070000, -0.1408223000, -0.1671211000, -0.2026289000, -0.2161641000, -0.2040417000, -0.1812552000, -0.1535859000", \
"-0.0727428000, -0.0773913000, -0.0763236000, -0.0770527000, -0.0901094000, -0.1176289000, -0.1519160000, -0.1666719000, -0.1557702000, -0.1329837000, -0.1053145000", \
"0.0115931000, 0.0057240000, 0.0067917000, 0.0060625000, -0.0082148000, -0.0369551000, -0.0736836000, -0.0884395000, -0.0775378000, -0.0559720000, -0.0283027000", \
"0.0751771000, 0.0717493000, 0.0715964000, 0.0708672000, 0.0565898000, 0.0290703000, -0.0100996000, -0.0248555000, -0.0151745000, 0.0063913000, 0.0340605000", \
"0.2193047000, 0.2134355000, 0.2145033000, 0.2137741000, 0.2019381000, 0.1756393000, 0.1376901000, 0.1192721000, 0.1265117000, 0.1492982000, 0.1769674000", \
"0.2831882000, 0.2785397000, 0.2783867000, 0.2776576000, 0.2658216000, 0.2407435000, 0.2027943000, 0.1831556000, 0.1903952000, 0.2119609000, 0.2396302000", \
"0.3434095000, 0.3375404000, 0.3386081000, 0.3378789000, 0.3260430000, 0.3021855000, 0.2642363000, 0.2445977000, 0.2493958000, 0.2697409000, 0.2986309000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_falling";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("SCE") {
capacitance : 0.0051820000;
clock : "false";
direction : "input";
fall_capacitance : 0.0051720000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1421719000, 0.1392405000, 0.1360666000, 0.1472424000, 0.1822716000, 0.2434388000, 0.3657937000, 0.4942996000, 0.8524868000, 1.0384131000, 1.2243393000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0089911000, -0.0062616000, -0.0033024000, 0.0056261000, 0.0336302000, 0.0921042000, 0.2090777000, 0.3370945000, 0.6939271000, 0.8791479000, 1.0643686000");
}
}
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0051930000;
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2803203000, 0.2813066000, 0.2753561000, 0.2882923000, 0.3098939000, 0.3557240000, 0.4400599000, 0.5085267000, 0.6636406000, 0.7311862000, 0.7938490000", \
"0.2768926000, 0.2790996000, 0.2731491000, 0.2848646000, 0.3076868000, 0.3522962000, 0.4378529000, 0.5063196000, 0.6602129000, 0.7277585000, 0.7904212000", \
"0.2755189000, 0.2765052000, 0.2705547000, 0.2834909000, 0.3050924000, 0.3497018000, 0.4352585000, 0.5037253000, 0.6588392000, 0.7263848000, 0.7890475000", \
"0.2650241000, 0.2660104000, 0.2612806000, 0.2729961000, 0.2945977000, 0.3392070000, 0.4247637000, 0.4932304000, 0.6471237000, 0.7134486000, 0.7773320000", \
"0.2507467000, 0.2529538000, 0.2457825000, 0.2587188000, 0.2790996000, 0.3237090000, 0.4043828000, 0.4691875000, 0.6218601000, 0.6894056000, 0.7520683000", \
"0.2476413000, 0.2547311000, 0.2536634000, 0.2556133000, 0.2759941000, 0.3181621000, 0.3951738000, 0.4526543000, 0.6016647000, 0.6679896000, 0.7306524000", \
"0.2365475000, 0.2436374000, 0.2425697000, 0.2457402000, 0.2649004000, 0.3058477000, 0.3901836000, 0.4440020000, 0.5759225000, 0.6434681000, 0.7073516000", \
"0.2266745000, 0.2325436000, 0.2314759000, 0.2346465000, 0.2550273000, 0.2947539000, 0.3766485000, 0.4426738000, 0.5611667000, 0.6262709000, 0.6901543000", \
"0.2070586000, 0.2141484000, 0.2118600000, 0.2150306000, 0.2329700000, 0.2702552000, 0.3497083000, 0.4218372000, 0.5684063000, 0.6115378000, 0.6668763000", \
"0.2042103000, 0.2113001000, 0.2077910000, 0.2109616000, 0.2289010000, 0.2637448000, 0.3407565000, 0.4128854000, 0.5765442000, 0.6318828000, 0.6713522000", \
"0.2025827000, 0.2108932000, 0.2073841000, 0.2105547000, 0.2260527000, 0.2596758000, 0.3342461000, 0.4051543000, 0.5773581000, 0.6449036000, 0.6953594000");
}
related_pin : "GATE";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.3413555000, 0.3435625000, 0.3461569000, 0.3542103000, 0.3611634000, 0.3581654000, 0.3399622000, 0.3058900000, 0.1912285000, 0.1269381000, 0.0614271000", \
"0.3379277000, 0.3413555000, 0.3427292000, 0.3507826000, 0.3589564000, 0.3559583000, 0.3377552000, 0.3036829000, 0.1890215000, 0.1247311000, 0.0592200000", \
"0.3353333000, 0.3387611000, 0.3401348000, 0.3494089000, 0.3563620000, 0.3533639000, 0.3351608000, 0.3010885000, 0.1864271000, 0.1221367000, 0.0566257000", \
"0.3248385000, 0.3294870000, 0.3308607000, 0.3389141000, 0.3458672000, 0.3428691000, 0.3246660000, 0.2905938000, 0.1771530000, 0.1140833000, 0.0485723000", \
"0.3093405000, 0.3139889000, 0.3153626000, 0.3234160000, 0.3303691000, 0.3273711000, 0.3091680000, 0.2750957000, 0.1665378000, 0.1059095000, 0.0428398000", \
"0.3050143000, 0.3096628000, 0.3110365000, 0.3178691000, 0.3260430000, 0.3230449000, 0.3060625000, 0.2744316000, 0.1683151000, 0.1089076000, 0.0446172000", \
"0.2975827000, 0.3010104000, 0.3023841000, 0.3092168000, 0.3173906000, 0.3143926000, 0.2961895000, 0.2645586000, 0.1584421000, 0.0978138000, 0.0347441000", \
"0.2852682000, 0.2874753000, 0.2888490000, 0.2969024000, 0.3050762000, 0.3020781000, 0.2826543000, 0.2510234000, 0.1449069000, 0.0854993000, 0.0224297000", \
"0.2571074000, 0.2593144000, 0.2606882000, 0.2675208000, 0.2756947000, 0.2726966000, 0.2532728000, 0.2265247000, 0.1265117000, 0.0719870000, 0.0162415000", \
"0.2481556000, 0.2503626000, 0.2517363000, 0.2585690000, 0.2667428000, 0.2637448000, 0.2479831000, 0.2200143000, 0.1261048000, 0.0752422000, 0.0256003000", \
"0.2428659000, 0.2450729000, 0.2464466000, 0.2532793000, 0.2602324000, 0.2584551000, 0.2439141000, 0.2183867000, 0.1305807000, 0.0870423000, 0.0398418000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_falling";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.2360371000, -0.2382441000, -0.2371764000, -0.2452298000, -0.2692728000, -0.3175443000, -0.4092044000, -0.4862161000, -0.6523164000, -0.7223034000, -0.7861868000", \
"-0.2338301000, -0.2348164000, -0.2337487000, -0.2418021000, -0.2658451000, -0.3141165000, -0.4069974000, -0.4840091000, -0.6488887000, -0.7200964000, -0.7827591000", \
"-0.2312357000, -0.2322220000, -0.2311543000, -0.2392077000, -0.2632507000, -0.3115221000, -0.4044030000, -0.4814147000, -0.6462943000, -0.7175020000, -0.7801647000", \
"-0.2195202000, -0.2229479000, -0.2206595000, -0.2287129000, -0.2527559000, -0.3010274000, -0.3939082000, -0.4696992000, -0.6357995000, -0.7057864000, -0.7684492000", \
"-0.1954772000, -0.1976842000, -0.1966165000, -0.2046699000, -0.2274922000, -0.2757637000, -0.3674238000, -0.4444356000, -0.6080944000, -0.6805228000, -0.7419648000", \
"-0.1728405000, -0.1774889000, -0.1776419000, -0.1820332000, -0.2060762000, -0.2519063000, -0.3447871000, -0.4217988000, -0.5878991000, -0.6578861000, -0.7217695000", \
"-0.1434362000, -0.1468639000, -0.1482376000, -0.1526289000, -0.1754512000, -0.2212813000, -0.3129414000, -0.3923945000, -0.5597155000, -0.6321439000, -0.6972480000", \
"-0.1189147000, -0.1235632000, -0.1249368000, -0.1281074000, -0.1509297000, -0.1955391000, -0.2884199000, -0.3690937000, -0.5388561000, -0.6112845000, -0.6788301000", \
"-0.0590156000, -0.0636641000, -0.0638171000, -0.0682083000, -0.0910306000, -0.1356400000, -0.2309622000, -0.3165189000, -0.4997090000, -0.5745788000, -0.6445657000", \
"-0.0293119000, -0.0327396000, -0.0341133000, -0.0385046000, -0.0613268000, -0.1071569000, -0.2024792000, -0.2916979000, -0.4822122000, -0.5583027000, -0.6307311000", \
"0.0003919000, -0.0030358000, -0.0044095000, -0.0088008000, -0.0328437000, -0.0786738000, -0.1764375000, -0.2668769000, -0.4647155000, -0.5456888000, -0.6181172000");
}
related_pin : "GATE";
rise_constraint ("vio_11_11_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.1640156000, -0.1650020000, -0.1639342000, -0.1732083000, -0.2009134000, -0.2479642000, -0.3176517000, -0.3653665000, -0.4447969000, -0.4696179000, -0.4895560000", \
"-0.1605879000, -0.1615742000, -0.1592858000, -0.1685599000, -0.1974857000, -0.2433158000, -0.3130032000, -0.3607181000, -0.4401484000, -0.4661901000, -0.4849076000", \
"-0.1579935000, -0.1589798000, -0.1579121000, -0.1671862000, -0.1948913000, -0.2419421000, -0.3116296000, -0.3593444000, -0.4387748000, -0.4648164000, -0.4835338000", \
"-0.1462780000, -0.1472643000, -0.1449759000, -0.1554707000, -0.1831758000, -0.2302266000, -0.2999140000, -0.3476289000, -0.4282800000, -0.4543216000, -0.4730391000", \
"-0.1051452000, -0.1073522000, -0.1075052000, -0.1131172000, -0.1396016000, -0.1890938000, -0.2600019000, -0.3089375000, -0.3920299000, -0.4180716000, -0.4380098000", \
"-0.0519909000, -0.0554186000, -0.0555716000, -0.0611836000, -0.0913301000, -0.1396016000, -0.2117305000, -0.2618867000, -0.3461999000, -0.3734622000, -0.3946211000", \
"0.0323450000, 0.0289173000, 0.0287643000, 0.0219316000, -0.0094355000, -0.0613691000, -0.1359395000, -0.1873164000, -0.2752917000, -0.3025540000, -0.3249336000", \
"0.0971497000, 0.0949427000, 0.0935690000, 0.0879570000, 0.0565898000, 0.0034355000, -0.0735762000, -0.1273945000, -0.2165905000, -0.2462943000, -0.2674531000", \
"0.2424980000, 0.2390703000, 0.2376966000, 0.2320846000, 0.2019381000, 0.1512253000, 0.0681100000, 0.0106296000, -0.0834492000, -0.1155944000, -0.1391947000", \
"0.3063815000, 0.3029538000, 0.3028008000, 0.2959681000, 0.2670423000, 0.2163294000, 0.1319935000, 0.0732923000, -0.0244486000, -0.0553730000, -0.0801940000", \
"0.3666028000, 0.3631751000, 0.3630221000, 0.3574101000, 0.3272637000, 0.2777715000, 0.1934355000, 0.1322930000, 0.0308900000, -0.0000345069, -0.0260762000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_falling";
violation_delay_degrade_pct : 10.000000000;
}
}
test_cell () {
latch ("IQ","IQ_N") {
data_in : "D";
enable : "GATE";
}
pin ("D") {
direction : "input";
}
pin ("GATE") {
direction : "input";
}
pin ("Q") {
direction : "output";
function : "IQ";
signal_type : "test_scan_out";
}
pin ("SCD") {
direction : "input";
signal_type : "test_scan_in";
}
pin ("SCE") {
direction : "input";
signal_type : "test_scan_enable";
}
}
}
cell ("sky130_fd_sc_hvl__xnor2_1") {
leakage_power () {
value : 3.4810403000;
when : "!A&B";
}
leakage_power () {
value : 3.3563825000;
when : "!A&!B";
}
leakage_power () {
value : 3.4778265000;
when : "A&B";
}
leakage_power () {
value : 3.6829721000;
when : "A&!B";
}
area : 21.489600000;
cell_footprint : "sky130_fd_sc_hvl__xnor2";
cell_leakage_power : 3.4995550000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0086300000;
clock : "false";
direction : "input";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("B") {
capacitance : 0.0084500000;
clock : "false";
direction : "input";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("Y") {
direction : "output";
function : "(!A&!B) | (A&B)";
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076600000, 0.0153200000, 0.0306400000, 0.0612900000, 0.1225800000, 0.2451600000");
values("-0.0199605000, -0.1327028000, -0.2480975000, -0.4806078000, -0.9452222000, -1.8803969000, -3.7247539000", \
"-0.0146820000, -0.1293896000, -0.2452079000, -0.4765134000, -0.9399631000, -1.8669306000, -3.7207375000", \
"-0.0175842000, -0.1315508000, -0.2468119000, -0.4781925000, -0.9415648000, -1.8682103000, -3.7222490000", \
"-0.0178833000, -0.1329404000, -0.2482074000, -0.4794145000, -0.9428346000, -1.8694720000, -3.7232965000", \
"0.0261205000, -0.1043064000, -0.2268758000, -0.4651399000, -0.9337412000, -1.8644821000, -3.7210087000", \
"0.1258219000, -0.0246884000, -0.1607137000, -0.4150398000, -0.8990355000, -1.8425014000, -3.7075930000", \
"0.3519555000, 0.1762540000, 0.0195931000, -0.2646195000, -0.7857912000, -1.7648761000, -3.6587370000", \
"0.5809737000, 0.3961916000, 0.2265126000, -0.0823651000, -0.6362327000, -1.6533488000, -3.5846217000", \
"1.2288907000, 1.0277517000, 0.8414768000, 0.4969804000, -0.1314865000, -1.2504802000, -3.2930623000", \
"1.5683740000, 1.3635197000, 1.1712412000, 0.8120104000, 0.1577169000, -1.0048542000, -3.1036527000", \
"1.9061014000, 1.6957858000, 1.4992246000, 1.1318732000, 0.4593637000, -0.7433792000, -2.8970676000");
}
related_pin : "A";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076600000, 0.0153200000, 0.0306400000, 0.0612900000, 0.1225800000, 0.2451600000");
values("0.2594486000, 0.3775098000, 0.4930565000, 0.7233730000, 1.1833938000, 2.1024636000, 3.9386978000", \
"0.2603397000, 0.3787454000, 0.4942791000, 0.7251259000, 1.1851863000, 2.1021521000, 3.9382381000", \
"0.2580907000, 0.3761475000, 0.4921903000, 0.7228784000, 1.1832411000, 2.1010242000, 3.9377861000", \
"0.2576843000, 0.3736935000, 0.4897219000, 0.7207651000, 1.1818238000, 2.0994226000, 3.9352446000", \
"0.3102307000, 0.4078043000, 0.5145556000, 0.7374953000, 1.1919659000, 2.1044179000, 3.9377399000", \
"0.4167834000, 0.4980727000, 0.5921223000, 0.7964306000, 1.2320044000, 2.1308393000, 3.9536050000", \
"0.6440831000, 0.7101416000, 0.7888839000, 0.9658041000, 1.3656884000, 2.2251560000, 4.0138669000", \
"0.8691983000, 0.9339092000, 1.0038875000, 1.1655956000, 1.5332637000, 2.3526401000, 4.1015188000", \
"1.5186101000, 1.5667231000, 1.6273315000, 1.7666754000, 2.0795445000, 2.8092618000, 4.4448999000", \
"1.8571052000, 1.9028410000, 1.9569234000, 2.0905817000, 2.3859848000, 3.0807950000, 4.6634829000", \
"2.1960524000, 2.2402605000, 2.2914481000, 2.4133944000, 2.7002926000, 3.3604783000, 4.8963165000");
}
when : "(!B)";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076600000, 0.0153200000, 0.0306400000, 0.0612900000, 0.1225800000, 0.2451600000");
values("0.3845050000, 0.2698414000, 0.1554415000, -0.0749315000, -0.5375058000, -1.4637645000, -3.3174073000", \
"0.3870135000, 0.2723346000, 0.1578923000, -0.0725709000, -0.5348328000, -1.4606499000, -3.3138517000", \
"0.3858735000, 0.2714393000, 0.1564854000, -0.0736280000, -0.5361580000, -1.4620146000, -3.3156867000", \
"0.3973675000, 0.2807471000, 0.1658380000, -0.0650217000, -0.5275581000, -1.4545367000, -3.3073659000", \
"0.4763946000, 0.3515729000, 0.2327615000, -0.0009385000, -0.4656587000, -1.3931944000, -3.2473525000", \
"0.6095090000, 0.4849976000, 0.3641473000, 0.1228224000, -0.3460614000, -1.2763453000, -3.1320953000", \
"0.9091033000, 0.7612694000, 0.6403906000, 0.3926587000, -0.0864796000, -1.0244622000, -2.8853200000", \
"1.2089918000, 1.0526760000, 0.9159988000, 0.6693261000, 0.1827425000, -0.7627551000, -2.6311283000", \
"2.0397317000, 1.8724148000, 1.7235025000, 1.4494081000, 0.9509897000, -0.0180648000, -1.9058563000", \
"2.4689070000, 2.2972986000, 2.1472073000, 1.8659255000, 1.3510137000, 0.3740745000, -1.5221428000", \
"2.8991594000, 2.7252246000, 2.5698200000, 2.2834289000, 1.7535463000, 0.7715123000, -1.1372708000");
}
related_pin : "A";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076600000, 0.0153200000, 0.0306400000, 0.0612900000, 0.1225800000, 0.2451600000");
values("0.0621833000, 0.1689636000, 0.2799928000, 0.5050050000, 0.9609210000, 1.8757476000, 3.7096890000", \
"0.0596630000, 0.1659440000, 0.2769371000, 0.5010504000, 0.9590841000, 1.8744728000, 3.7132052000", \
"0.0559268000, 0.1622418000, 0.2730873000, 0.4979045000, 0.9558689000, 1.8693039000, 3.7026447000", \
"0.0584811000, 0.1645591000, 0.2760571000, 0.5012700000, 0.9600551000, 1.8758077000, 3.7096702000", \
"0.1289117000, 0.2284108000, 0.3377998000, 0.5611258000, 1.0177477000, 1.9325038000, 3.7647464000", \
"0.2715487000, 0.3591840000, 0.4647851000, 0.6826548000, 1.1333875000, 2.0440716000, 3.8791509000", \
"0.5673800000, 0.6482833000, 0.7433002000, 0.9499352000, 1.3927204000, 2.2948709000, 4.1224073000", \
"0.8636491000, 0.9386556000, 1.0304976000, 1.2301947000, 1.6590701000, 2.5552029000, 4.3733909000", \
"1.6888268000, 1.7571890000, 1.8387590000, 2.0262983000, 2.4353510000, 3.2999703000, 5.1020148000", \
"2.1154503000, 2.1823499000, 2.2648391000, 2.4433634000, 2.8459348000, 3.6924859000, 5.4864670000", \
"2.5438270000, 2.6068834000, 2.6863710000, 2.8593459000, 3.2551007000, 4.0899847000, 5.8729865000");
}
when : "(B)";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076600000, 0.0153200000, 0.0306400000, 0.0612900000, 0.1225800000, 0.2451600000");
values("-0.0551493000, -0.1512704000, -0.2677498000, -0.4982539000, -0.9614074000, -1.8880774000, -3.7420673000", \
"-0.0481620000, -0.1482178000, -0.2626773000, -0.4930845000, -0.9564328000, -1.8831940000, -3.7372819000", \
"-0.0427095000, -0.1494362000, -0.2629575000, -0.4926289000, -0.9549894000, -1.8806009000, -3.7340041000", \
"-0.0402926000, -0.1503373000, -0.2634621000, -0.4929715000, -0.9547080000, -1.8808017000, -3.7342930000", \
"0.0035718000, -0.1235260000, -0.2436291000, -0.4798152000, -0.9470368000, -1.8754104000, -3.7299232000", \
"0.0937585000, -0.0549406000, -0.1858589000, -0.4346696000, -0.9153740000, -1.8560811000, -3.7207590000", \
"0.2890301000, 0.1221659000, -0.0322810000, -0.3061235000, -0.8149194000, -1.7848270000, -3.6735080000", \
"0.4865058000, 0.3076695000, 0.1472660000, -0.1523426000, -0.6872341000, -1.6875636000, -3.6083169000", \
"1.0389785000, 0.8458417000, 0.6696129000, 0.3403979000, -0.2611369000, -1.3400763000, -3.3489459000", \
"1.3283158000, 1.1316728000, 0.9516574000, 0.6101260000, -0.0140070000, -1.1305111000, -3.1843563000", \
"1.6174157000, 1.4146486000, 1.2293231000, 0.8812931000, 0.2400715000, -0.9122382000, -3.0078633000");
}
related_pin : "B";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076600000, 0.0153200000, 0.0306400000, 0.0612900000, 0.1225800000, 0.2451600000");
values("0.2094590000, 0.3345205000, 0.4501785000, 0.6806624000, 1.1400640000, 2.0600024000, 3.8980754000", \
"0.2185650000, 0.3383387000, 0.4538552000, 0.6842699000, 1.1444627000, 2.0614902000, 3.8970193000", \
"0.2180093000, 0.3364522000, 0.4523595000, 0.6839082000, 1.1431861000, 2.0606235000, 3.8969040000", \
"0.2260749000, 0.3388911000, 0.4534078000, 0.6831779000, 1.1426241000, 2.0610608000, 3.8961137000", \
"0.2798482000, 0.3757565000, 0.4815928000, 0.7027004000, 1.1537391000, 2.0669826000, 3.9012245000", \
"0.3729460000, 0.4556237000, 0.5511460000, 0.7562035000, 1.1926043000, 2.0900108000, 3.9125728000", \
"0.5671247000, 0.6377100000, 0.7198373000, 0.9027729000, 1.3060476000, 2.1713661000, 3.9628552000", \
"0.7644824000, 0.8270942000, 0.9019658000, 1.0711225000, 1.4481541000, 2.2795442000, 4.0372095000", \
"1.3098337000, 1.3637992000, 1.4338429000, 1.5789967000, 1.9090331000, 2.6630936000, 4.3247729000", \
"1.5983046000, 1.6493519000, 1.7095494000, 1.8519421000, 2.1667989000, 2.8911900000, 4.5058087000", \
"1.8863423000, 1.9340776000, 1.9917671000, 2.1283590000, 2.4310992000, 3.1266903000, 4.7005493000");
}
when : "(!A)";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076600000, 0.0153200000, 0.0306400000, 0.0612900000, 0.1225800000, 0.2451600000");
values("0.3501485000, 0.2265506000, 0.1050316000, -0.1334412000, -0.6016880000, -1.5320474000, -3.3887370000", \
"0.3662388000, 0.2420377000, 0.1198607000, -0.1185659000, -0.5877209000, -1.5181412000, -3.3755408000", \
"0.3681655000, 0.2427974000, 0.1203038000, -0.1184774000, -0.5879428000, -1.5188197000, -3.3750389000", \
"0.3756213000, 0.2506257000, 0.1289886000, -0.1092146000, -0.5787021000, -1.5098998000, -3.3665068000", \
"0.4368796000, 0.3108645000, 0.1893629000, -0.0492444000, -0.5199859000, -1.4523352000, -3.3097575000", \
"0.5514460000, 0.4278024000, 0.3043704000, 0.0635786000, -0.4091816000, -1.3446151000, -3.2041762000", \
"0.8267492000, 0.6818383000, 0.5565675000, 0.3082620000, -0.1697616000, -1.1106651000, -2.9750011000", \
"1.1007121000, 0.9493072000, 0.8124833000, 0.5613605000, 0.0747269000, -0.8719546000, -2.7416942000", \
"1.8695857000, 1.7069565000, 1.5601390000, 1.2867787000, 0.7800830000, -0.1937050000, -2.0773164000", \
"2.2654156000, 2.0992458000, 1.9496240000, 1.6733351000, 1.1495482000, 0.1661756000, -1.7303410000", \
"2.6620707000, 2.4922395000, 2.3393971000, 2.0559288000, 1.5278114000, 0.5304530000, -1.3799559000");
}
related_pin : "B";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076600000, 0.0153200000, 0.0306400000, 0.0612900000, 0.1225800000, 0.2451600000");
values("0.0923793000, 0.2002392000, 0.3107753000, 0.5369895000, 0.9922479000, 1.9082039000, 3.7413348000", \
"0.0985112000, 0.2051661000, 0.3154842000, 0.5403200000, 0.9943665000, 1.9121989000, 3.7393101000", \
"0.0960755000, 0.2025812000, 0.3130076000, 0.5379228000, 0.9911852000, 1.9099059000, 3.7369829000", \
"0.1037556000, 0.2091180000, 0.3191868000, 0.5430875000, 1.0004772000, 1.9157523000, 3.7491626000", \
"0.1748242000, 0.2736310000, 0.3815437000, 0.6035822000, 1.0573874000, 1.9714353000, 3.8036419000", \
"0.3082794000, 0.3977703000, 0.5025165000, 0.7196572000, 1.1685987000, 2.0809087000, 3.9166522000", \
"0.5951786000, 0.6702081000, 0.7628280000, 0.9737473000, 1.4136844000, 2.3159325000, 4.1370804000", \
"0.8776802000, 0.9530701000, 1.0391848000, 1.2289356000, 1.6662349000, 2.5577555000, 4.3796099000", \
"1.6511314000, 1.7170800000, 1.8016406000, 1.9882198000, 2.3872582000, 3.2598531000, 5.0557896000", \
"2.0525155000, 2.1148777000, 2.1945731000, 2.3766041000, 2.7774278000, 3.6225603000, 5.4170999000", \
"2.4518213000, 2.5119581000, 2.5910597000, 2.7670908000, 3.1649349000, 3.9950958000, 5.7784510000");
}
when : "(A)";
}
max_capacitance : 0.2451600000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076600000, 0.0153200000, 0.0306400000, 0.0612900000, 0.1225800000, 0.2451600000");
values("0.0731700000, 0.1363700000, 0.1972200000, 0.3177700000, 0.5579600000, 1.0375700000, 1.9963700000", \
"0.0759500000, 0.1392700000, 0.2001800000, 0.3207600000, 0.5609800000, 1.0406700000, 1.9994700000", \
"0.0757000000, 0.1394400000, 0.2004500000, 0.3211400000, 0.5614400000, 1.0411300000, 1.9999300000", \
"0.0760200000, 0.1391700000, 0.2002000000, 0.3209300000, 0.5612600000, 1.0409000000, 1.9998000000", \
"0.0810800000, 0.1480500000, 0.2071700000, 0.3246100000, 0.5623000000, 1.0401000000, 1.9979000000", \
"0.0811900000, 0.1613200000, 0.2264700000, 0.3434800000, 0.5738200000, 1.0454000000, 1.9987000000", \
"0.0707300000, 0.1681600000, 0.2480600000, 0.3832000000, 0.6168000000, 1.0729000000, 2.0120000000", \
"0.0542000000, 0.1633000000, 0.2537000000, 0.4068000000, 0.6616000000, 1.1157000000, 2.0373000000", \
"-0.0046300000, 0.1262700000, 0.2359700000, 0.4241700000, 0.7357700000, 1.2459700000, 2.1500700000", \
"-0.0386700000, 0.1003300000, 0.2173300000, 0.4187300000, 0.7536300000, 1.2984300000, 2.2219300000", \
"-0.0739000000, 0.0719000000, 0.1952000000, 0.4078000000, 0.7626000000, 1.3396000000, 2.2929000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076600000, 0.0153200000, 0.0306400000, 0.0612900000, 0.1225800000, 0.2451600000");
values("0.0730800000, 0.1463600000, 0.2165900000, 0.3560800000, 0.6342900000, 1.1901700000, 2.3014700000", \
"0.0760300000, 0.1492700000, 0.2195600000, 0.3590500000, 0.6373500000, 1.1931700000, 2.3046700000", \
"0.0773300000, 0.1506300000, 0.2211000000, 0.3607800000, 0.6391500000, 1.1950300000, 2.3063300000", \
"0.0818600000, 0.1537100000, 0.2241200000, 0.3638400000, 0.6422600000, 1.1984000000, 2.3099000000", \
"0.1066800000, 0.1780200000, 0.2436000000, 0.3784400000, 0.6529200000, 1.2064000000, 2.3163000000", \
"0.1394400000, 0.2207500000, 0.2885500000, 0.4175500000, 0.6820000000, 1.2266000000, 2.3304000000", \
"0.1920200000, 0.2919000000, 0.3731000000, 0.5121000000, 0.7668000000, 1.2895000000, 2.3743000000", \
"0.2368000000, 0.3506000000, 0.4428000000, 0.5990000000, 0.8637000000, 1.3714000000, 2.4339000000", \
"0.3446700000, 0.4867700000, 0.6014700000, 0.7941700000, 1.1122700000, 1.6416700000, 2.6536700000", \
"0.3956300000, 0.5491300000, 0.6729300000, 0.8806300000, 1.2223300000, 1.7822300000, 2.7884300000", \
"0.4447000000, 0.6081000000, 0.7400000000, 0.9611000000, 1.3237000000, 1.9145000000, 2.9316000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076600000, 0.0153200000, 0.0306400000, 0.0612900000, 0.1225800000, 0.2451600000");
values("0.0622700000, 0.1474800000, 0.2317100000, 0.3999700000, 0.7365000000, 1.4087500000, 2.7536000000", \
"0.0623700000, 0.1474500000, 0.2318500000, 0.3999600000, 0.7363900000, 1.4087200000, 2.7528300000", \
"0.0623400000, 0.1474700000, 0.2317200000, 0.4000100000, 0.7365100000, 1.4089100000, 2.7531500000", \
"0.0645400000, 0.1473500000, 0.2316800000, 0.4000100000, 0.7361300000, 1.4089600000, 2.7537500000", \
"0.1063700000, 0.1676200000, 0.2405300000, 0.4014000000, 0.7364200000, 1.4084300000, 2.7531100000", \
"0.1782500000, 0.2346100000, 0.2921400000, 0.4269900000, 0.7413400000, 1.4082900000, 2.7539000000", \
"0.3125400000, 0.3802700000, 0.4356800000, 0.5437700000, 0.8023800000, 1.4208000000, 2.7529000000", \
"0.4398100000, 0.5197900000, 0.5814800000, 0.6895000000, 0.9140000000, 1.4705000000, 2.7582000000", \
"0.7840000000, 0.8856000000, 0.9664000000, 1.0965000000, 1.3142000000, 1.7578000000, 2.8705000000", \
"0.9632000000, 1.0688000000, 1.1573000000, 1.2997000000, 1.5289000000, 1.9523000000, 2.9848000000", \
"1.1448000000, 1.2493000000, 1.3445000000, 1.4979000000, 1.7414000000, 2.1610000000, 3.1274000000");
}
related_pin : "A";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076600000, 0.0153200000, 0.0306400000, 0.0612900000, 0.1225800000, 0.2451600000");
values("0.0801700000, 0.1857500000, 0.2918100000, 0.5037000000, 0.9281600000, 1.7764200000, 3.4729800000", \
"0.0801800000, 0.1857400000, 0.2917400000, 0.5037000000, 0.9280900000, 1.7765400000, 3.4731900000", \
"0.0801600000, 0.1857500000, 0.2916700000, 0.5037500000, 0.9281500000, 1.7765400000, 3.4729400000", \
"0.0835300000, 0.1855600000, 0.2917300000, 0.5037800000, 0.9281700000, 1.7763300000, 3.4729600000", \
"0.1246700000, 0.2040200000, 0.2996400000, 0.5043900000, 0.9282600000, 1.7765400000, 3.4730000000", \
"0.1945000000, 0.2643700000, 0.3420700000, 0.5248600000, 0.9309600000, 1.7765800000, 3.4730000000", \
"0.3245400000, 0.4032700000, 0.4715200000, 0.6211100000, 0.9768000000, 1.7833000000, 3.4731000000", \
"0.4482000000, 0.5377000000, 0.6123000000, 0.7497000000, 1.0660000000, 1.8175000000, 3.4740000000", \
"0.7957000000, 0.8891000000, 0.9814000000, 1.1385000000, 1.4133000000, 2.0433000000, 3.5478000000", \
"0.9805000000, 1.0671000000, 1.1642000000, 1.3336000000, 1.6154000000, 2.2046000000, 3.6341000000", \
"1.1685000000, 1.2450000000, 1.3441000000, 1.5236000000, 1.8195000000, 2.3849000000, 3.7458000000");
}
sdf_cond : "(!B)";
timing_sense : "negative_unate";
when : "(!B)";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076600000, 0.0153200000, 0.0306400000, 0.0612900000, 0.1225800000, 0.2451600000");
values("0.1068600000, 0.1734100000, 0.2351100000, 0.3568500000, 0.5993000000, 1.0832700000, 2.0505700000", \
"0.1097800000, 0.1763200000, 0.2380200000, 0.3597600000, 0.6022000000, 1.0861700000, 2.0535700000", \
"0.1121200000, 0.1785800000, 0.2402600000, 0.3620300000, 0.6044800000, 1.0884300000, 2.0558300000", \
"0.1238500000, 0.1894100000, 0.2508300000, 0.3724300000, 0.6148400000, 1.0988000000, 2.0660000000", \
"0.1590200000, 0.2227200000, 0.2824500000, 0.4024000000, 0.6437200000, 1.1271000000, 2.0941000000", \
"0.1954000000, 0.2591900000, 0.3180500000, 0.4359600000, 0.6747000000, 1.1564000000, 2.1224000000", \
"0.2484900000, 0.3144000000, 0.3717000000, 0.4872000000, 0.7229000000, 1.2003000000, 2.1633000000", \
"0.2899000000, 0.3594000000, 0.4168000000, 0.5303000000, 0.7637000000, 1.2380000000, 2.1975000000", \
"0.3797700000, 0.4587700000, 0.5200700000, 0.6341700000, 0.8629700000, 1.3316700000, 2.2834700000", \
"0.4188300000, 0.5021300000, 0.5657300000, 0.6817300000, 0.9106300000, 1.3770300000, 2.3262300000", \
"0.4549000000, 0.5422000000, 0.6079000000, 0.7262000000, 0.9562000000, 1.4216000000, 2.3685000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076600000, 0.0153200000, 0.0306400000, 0.0612900000, 0.1225800000, 0.2451600000");
values("0.1157700000, 0.1612000000, 0.1990700000, 0.2708300000, 0.4126200000, 0.6963900000, 1.2649700000", \
"0.1160500000, 0.1613000000, 0.1991500000, 0.2708600000, 0.4126300000, 0.6964600000, 1.2647700000", \
"0.1152000000, 0.1604600000, 0.1983500000, 0.2701300000, 0.4119500000, 0.6955700000, 1.2638300000", \
"0.1176800000, 0.1628300000, 0.2008300000, 0.2727600000, 0.4147700000, 0.6987500000, 1.2676000000", \
"0.1360900000, 0.1819000000, 0.2199800000, 0.2917500000, 0.4334600000, 0.7171400000, 1.2859000000", \
"0.1570800000, 0.2065100000, 0.2456400000, 0.3182300000, 0.4594600000, 0.7421000000, 1.3098000000", \
"0.1804100000, 0.2367400000, 0.2792200000, 0.3561000000, 0.5017000000, 0.7841000000, 1.3504000000", \
"0.1926000000, 0.2545000000, 0.3000000000, 0.3803000000, 0.5319000000, 0.8207000000, 1.3857000000", \
"0.2030700000, 0.2767700000, 0.3290700000, 0.4178700000, 0.5803700000, 0.8907700000, 1.4757700000", \
"0.2016300000, 0.2801300000, 0.3356300000, 0.4281300000, 0.5954300000, 0.9136300000, 1.5143300000", \
"0.1976000000, 0.2804000000, 0.3387000000, 0.4348000000, 0.6063000000, 0.9312000000, 1.5454000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076600000, 0.0153200000, 0.0306400000, 0.0612900000, 0.1225800000, 0.2451600000");
values("0.0433800000, 0.1240200000, 0.2071100000, 0.3759300000, 0.7152900000, 1.3959200000, 2.7537500000", \
"0.0434000000, 0.1240000000, 0.2073300000, 0.3764300000, 0.7157800000, 1.3951100000, 2.7527000000", \
"0.0434200000, 0.1240400000, 0.2071000000, 0.3763900000, 0.7152700000, 1.3956200000, 2.7546000000", \
"0.0436900000, 0.1242100000, 0.2072500000, 0.3759400000, 0.7160700000, 1.3945500000, 2.7552600000", \
"0.0494400000, 0.1271800000, 0.2087300000, 0.3763000000, 0.7159000000, 1.3954800000, 2.7550000000", \
"0.0582100000, 0.1337500000, 0.2136000000, 0.3790000000, 0.7162700000, 1.3958200000, 2.7548000000", \
"0.0740500000, 0.1435000000, 0.2203600000, 0.3848000000, 0.7202000000, 1.3949000000, 2.7552000000", \
"0.0889000000, 0.1565000000, 0.2278000000, 0.3878000000, 0.7241000000, 1.3996000000, 2.7556000000", \
"0.1245000000, 0.1953000000, 0.2615000000, 0.4062000000, 0.7286000000, 1.4083000000, 2.7586000000", \
"0.1411000000, 0.2142000000, 0.2805000000, 0.4216000000, 0.7350000000, 1.4122000000, 2.7656000000", \
"0.1568000000, 0.2323000000, 0.2990000000, 0.4388000000, 0.7457000000, 1.4127000000, 2.7691000000");
}
related_pin : "A";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076600000, 0.0153200000, 0.0306400000, 0.0612900000, 0.1225800000, 0.2451600000");
values("0.0385000000, 0.0871400000, 0.1370900000, 0.2403900000, 0.4518500000, 0.8794200000, 1.7352800000", \
"0.0384500000, 0.0871100000, 0.1370500000, 0.2400900000, 0.4517800000, 0.8799000000, 1.7351000000", \
"0.0384700000, 0.0870900000, 0.1370400000, 0.2403400000, 0.4516200000, 0.8789400000, 1.7350700000", \
"0.0385400000, 0.0873000000, 0.1372200000, 0.2401400000, 0.4517600000, 0.8791800000, 1.7350600000", \
"0.0443500000, 0.0915300000, 0.1401200000, 0.2417200000, 0.4523600000, 0.8790500000, 1.7351700000", \
"0.0560700000, 0.1022700000, 0.1489700000, 0.2477600000, 0.4546800000, 0.8794600000, 1.7357500000", \
"0.0759900000, 0.1243100000, 0.1701700000, 0.2673000000, 0.4690000000, 0.8851000000, 1.7365000000", \
"0.0928000000, 0.1440000000, 0.1896000000, 0.2868000000, 0.4898000000, 0.9002000000, 1.7389000000", \
"0.1321000000, 0.1906000000, 0.2384000000, 0.3359000000, 0.5425000000, 0.9608000000, 1.7820000000", \
"0.1500000000, 0.2119000000, 0.2612000000, 0.3594000000, 0.5669000000, 0.9912000000, 1.8145000000", \
"0.1670000000, 0.2320000000, 0.2827000000, 0.3823000000, 0.5904000000, 1.0191000000, 1.8485000000");
}
sdf_cond : "(B)";
timing_sense : "positive_unate";
when : "(B)";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076600000, 0.0153200000, 0.0306400000, 0.0612900000, 0.1225800000, 0.2451600000");
values("0.0496800000, 0.1191900000, 0.1800900000, 0.3012600000, 0.5432800000, 1.0269700000, 1.9941700000", \
"0.0526400000, 0.1219500000, 0.1834500000, 0.3050300000, 0.5472700000, 1.0310700000, 1.9982700000", \
"0.0565700000, 0.1227300000, 0.1846900000, 0.3066100000, 0.5490600000, 1.0330300000, 2.0003300000", \
"0.0587500000, 0.1229600000, 0.1849900000, 0.3072200000, 0.5499600000, 1.0341000000, 2.0015000000", \
"0.0562500000, 0.1307000000, 0.1919300000, 0.3111100000, 0.5516200000, 1.0342000000, 2.0007000000", \
"0.0427200000, 0.1356300000, 0.2061000000, 0.3280300000, 0.5627200000, 1.0400000000, 2.0026000000", \
"0.0048100000, 0.1215900000, 0.2107500000, 0.3565000000, 0.6008000000, 1.0662000000, 2.0167000000", \
"-0.0394000000, 0.0942000000, 0.1968000000, 0.3646000000, 0.6356000000, 1.1052000000, 2.0412000000", \
"-0.1761300000, -0.0098300000, 0.1189700000, 0.3306700000, 0.6698700000, 1.2106700000, 2.1450700000", \
"-0.2511700000, -0.0720700000, 0.0671300000, 0.2961300000, 0.6635300000, 1.2451300000, 2.2078300000", \
"-0.3278000000, -0.1375000000, 0.0108000000, 0.2549000000, 0.6470000000, 1.2665000000, 2.2673000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076600000, 0.0153200000, 0.0306400000, 0.0612900000, 0.1225800000, 0.2451600000");
values("0.0542500000, 0.1316200000, 0.2021100000, 0.3417400000, 0.6200400000, 1.1758700000, 2.2871700000", \
"0.0609300000, 0.1353400000, 0.2058100000, 0.3454300000, 0.6237000000, 1.1795700000, 2.2911700000", \
"0.0626200000, 0.1362400000, 0.2068800000, 0.3466200000, 0.6250700000, 1.1811300000, 2.2927300000", \
"0.0742500000, 0.1444700000, 0.2144100000, 0.3537600000, 0.6319100000, 1.1878000000, 2.2993000000", \
"0.1137700000, 0.1870300000, 0.2519100000, 0.3862200000, 0.6602500000, 1.2132000000, 2.3229000000", \
"0.1638500000, 0.2494300000, 0.3193300000, 0.4487600000, 0.7139000000, 1.2591000000, 2.3628000000", \
"0.2488800000, 0.3583000000, 0.4421000000, 0.5839000000, 0.8425000000, 1.3690000000, 2.4564000000", \
"0.3254000000, 0.4530000000, 0.5500000000, 0.7095000000, 0.9810000000, 1.4947000000, 2.5630000000", \
"0.5207700000, 0.6852700000, 0.8100700000, 1.0125700000, 1.3365700000, 1.8782700000, 2.9044700000", \
"0.6169300000, 0.7963300000, 0.9324300000, 1.1530300000, 1.5039300000, 2.0715300000, 3.0984300000", \
"0.7111000000, 0.9036000000, 1.0498000000, 1.2865000000, 1.6619000000, 2.2595000000, 3.2994000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076600000, 0.0153200000, 0.0306400000, 0.0612900000, 0.1225800000, 0.2451600000");
values("0.0350500000, 0.1211500000, 0.2061800000, 0.3763000000, 0.7159300000, 1.3959800000, 2.7540900000", \
"0.0349900000, 0.1211000000, 0.2061100000, 0.3760300000, 0.7156700000, 1.3949400000, 2.7552700000", \
"0.0349900000, 0.1211600000, 0.2062700000, 0.3762900000, 0.7161500000, 1.3957200000, 2.7543600000", \
"0.0386200000, 0.1209700000, 0.2060600000, 0.3759200000, 0.7161400000, 1.3956500000, 2.7553900000", \
"0.0765800000, 0.1408500000, 0.2146200000, 0.3772900000, 0.7158300000, 1.3954700000, 2.7522300000", \
"0.1349100000, 0.2012600000, 0.2627400000, 0.4014100000, 0.7205700000, 1.3957500000, 2.7516000000", \
"0.2397100000, 0.3249400000, 0.3901500000, 0.5090900000, 0.7777100000, 1.4075000000, 2.7527000000", \
"0.3358900000, 0.4383500000, 0.5138700000, 0.6395000000, 0.8813000000, 1.4539000000, 2.7587000000", \
"0.5866000000, 0.7244000000, 0.8264000000, 0.9859000000, 1.2406000000, 1.7196000000, 2.8667000000", \
"0.7133000000, 0.8633000000, 0.9768000000, 1.1531000000, 1.4271000000, 1.8979000000, 2.9708000000", \
"0.8392000000, 0.9983000000, 1.1220000000, 1.3137000000, 1.6073000000, 2.0871000000, 3.1017000000");
}
related_pin : "B";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076600000, 0.0153200000, 0.0306400000, 0.0612900000, 0.1225800000, 0.2451600000");
values("0.0792500000, 0.1856400000, 0.2918300000, 0.5038100000, 0.9281000000, 1.7766300000, 3.4729300000", \
"0.0795700000, 0.1856800000, 0.2918300000, 0.5037700000, 0.9282100000, 1.7765200000, 3.4729200000", \
"0.0793400000, 0.1856100000, 0.2917500000, 0.5037300000, 0.9281200000, 1.7764900000, 3.4728400000", \
"0.0832000000, 0.1853400000, 0.2917900000, 0.5037200000, 0.9281500000, 1.7766000000, 3.4730000000", \
"0.1226000000, 0.2028700000, 0.2981800000, 0.5035700000, 0.9281200000, 1.7765000000, 3.4730000000", \
"0.1746500000, 0.2568900000, 0.3375200000, 0.5221200000, 0.9289000000, 1.7762200000, 3.4729000000", \
"0.2738400000, 0.3692300000, 0.4480300000, 0.6079000000, 0.9707000000, 1.7795000000, 3.4732000000", \
"0.3653000000, 0.4787000000, 0.5627000000, 0.7188000000, 1.0499000000, 1.8109000000, 3.4733000000", \
"0.6074000000, 0.7548000000, 0.8661000000, 1.0401000000, 1.3475000000, 2.0111000000, 3.5360000000", \
"0.7330000000, 0.8888000000, 1.0119000000, 1.2033000000, 1.5131000000, 2.1513000000, 3.6120000000", \
"0.8592000000, 1.0191000000, 1.1523000000, 1.3605000000, 1.6829000000, 2.3040000000, 3.7099000000");
}
sdf_cond : "(!A)";
timing_sense : "negative_unate";
when : "(!A)";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076600000, 0.0153200000, 0.0306400000, 0.0612900000, 0.1225800000, 0.2451600000");
values("0.1100800000, 0.1695900000, 0.2273300000, 0.3444300000, 0.5817700000, 1.0594700000, 2.0171700000", \
"0.1174100000, 0.1766600000, 0.2342600000, 0.3511200000, 0.5882100000, 1.0656700000, 2.0232700000", \
"0.1206000000, 0.1794900000, 0.2370100000, 0.3538100000, 0.5908300000, 1.0683300000, 2.0258300000", \
"0.1296200000, 0.1889900000, 0.2468000000, 0.3637200000, 0.6007000000, 1.0781000000, 2.0355000000", \
"0.1514600000, 0.2104000000, 0.2686900000, 0.3855800000, 0.6220400000, 1.0988000000, 2.0556000000", \
"0.1735600000, 0.2339600000, 0.2902600000, 0.4033700000, 0.6385400000, 1.1138000000, 2.0695000000", \
"0.1936800000, 0.2567100000, 0.3137000000, 0.4274000000, 0.6562000000, 1.1270000000, 2.0801000000", \
"0.2016000000, 0.2678000000, 0.3250000000, 0.4382000000, 0.6679000000, 1.1323000000, 2.0828000000", \
"0.1964700000, 0.2714700000, 0.3317700000, 0.4457700000, 0.6743700000, 1.1388700000, 2.0769700000", \
"0.1857300000, 0.2648300000, 0.3271300000, 0.4426300000, 0.6716300000, 1.1355300000, 2.0739300000", \
"0.1717000000, 0.2546000000, 0.3189000000, 0.4364000000, 0.6664000000, 1.1303000000, 2.0683000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076600000, 0.0153200000, 0.0306400000, 0.0612900000, 0.1225800000, 0.2451600000");
values("0.1071700000, 0.1531400000, 0.1909000000, 0.2625000000, 0.4042200000, 0.6879300000, 1.2566700000", \
"0.1105200000, 0.1558900000, 0.1935600000, 0.2650800000, 0.4066800000, 0.6903900000, 1.2589700000", \
"0.1106500000, 0.1559500000, 0.1936300000, 0.2651800000, 0.4066600000, 0.6907500000, 1.2591300000", \
"0.1188100000, 0.1636700000, 0.2013600000, 0.2729700000, 0.4146000000, 0.6986000000, 1.2671000000", \
"0.1516800000, 0.1969900000, 0.2347000000, 0.3059900000, 0.4470400000, 0.7303200000, 1.2983000000", \
"0.1915500000, 0.2388800000, 0.2768900000, 0.3484500000, 0.4891100000, 0.7715000000, 1.3392000000", \
"0.2514400000, 0.3048000000, 0.3451000000, 0.4192000000, 0.5616000000, 0.8429000000, 1.4085000000", \
"0.2997000000, 0.3583000000, 0.4009000000, 0.4773000000, 0.6241000000, 0.9083000000, 1.4721000000", \
"0.4099700000, 0.4798700000, 0.5288700000, 0.6113700000, 0.7652700000, 1.0657700000, 1.6402700000", \
"0.4601300000, 0.5347300000, 0.5867300000, 0.6723300000, 0.8292300000, 1.1351300000, 1.7219300000", \
"0.5076000000, 0.5864000000, 0.6411000000, 0.7299000000, 0.8895000000, 1.2000000000, 1.7980000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076600000, 0.0153200000, 0.0306400000, 0.0612900000, 0.1225800000, 0.2451600000");
values("0.1436100000, 0.1496600000, 0.2325900000, 0.4000900000, 0.7364900000, 1.4083200000, 2.7532000000", \
"0.0668900000, 0.1495100000, 0.2325300000, 0.4000600000, 0.7364900000, 1.4086400000, 2.7526800000", \
"0.0669100000, 0.1495200000, 0.2325400000, 0.4001000000, 0.7362000000, 1.4085100000, 2.7526200000", \
"0.0677300000, 0.1497600000, 0.2326200000, 0.4000600000, 0.7364100000, 1.4086000000, 2.7528100000", \
"0.0661800000, 0.1491600000, 0.2327400000, 0.4003600000, 0.7361600000, 1.4084600000, 2.7527000000", \
"0.0653200000, 0.1461400000, 0.2288300000, 0.3962400000, 0.7348500000, 1.4085000000, 2.7525000000", \
"0.0742400000, 0.1474500000, 0.2257800000, 0.3927300000, 0.7305000000, 1.4043000000, 2.7531000000", \
"0.0851000000, 0.1565000000, 0.2296000000, 0.3893000000, 0.7282000000, 1.4028000000, 2.7498000000", \
"0.1140000000, 0.1881000000, 0.2567000000, 0.4034000000, 0.7218000000, 1.4025000000, 2.7477000000", \
"0.1278000000, 0.2043000000, 0.2727000000, 0.4164000000, 0.7278000000, 1.3976000000, 2.7487000000", \
"0.1411000000, 0.2200000000, 0.2886000000, 0.4310000000, 0.7370000000, 1.3920000000, 2.7504000000");
}
related_pin : "B";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0076600000, 0.0153200000, 0.0306400000, 0.0612900000, 0.1225800000, 0.2451600000");
values("0.0383800000, 0.0874600000, 0.1374800000, 0.2408000000, 0.4521000000, 0.8795200000, 1.7353100000", \
"0.0384200000, 0.0874000000, 0.1375000000, 0.2408000000, 0.4522900000, 0.8793700000, 1.7364900000", \
"0.0384500000, 0.0874400000, 0.1375100000, 0.2404900000, 0.4519300000, 0.8800900000, 1.7367300000", \
"0.0385400000, 0.0875200000, 0.1375800000, 0.2405500000, 0.4520200000, 0.8794100000, 1.7365000000", \
"0.0439200000, 0.0916700000, 0.1403500000, 0.2416600000, 0.4521200000, 0.8801600000, 1.7354100000", \
"0.0546100000, 0.0996600000, 0.1464400000, 0.2460700000, 0.4541700000, 0.8798300000, 1.7361100000", \
"0.0741500000, 0.1190500000, 0.1641800000, 0.2606000000, 0.4640000000, 0.8827000000, 1.7364000000", \
"0.0911000000, 0.1373000000, 0.1812000000, 0.2770000000, 0.4796000000, 0.8933000000, 1.7374000000", \
"0.1311000000, 0.1824000000, 0.2255000000, 0.3175000000, 0.5215000000, 0.9388000000, 1.7668000000", \
"0.1496000000, 0.2035000000, 0.2471000000, 0.3381000000, 0.5408000000, 0.9630000000, 1.7911000000", \
"0.1673000000, 0.2234000000, 0.2679000000, 0.3584000000, 0.5595000000, 0.9858000000, 1.8173000000");
}
sdf_cond : "(A)";
timing_sense : "positive_unate";
when : "(A)";
}
}
}
cell ("sky130_fd_sc_hvl__xor2_1") {
leakage_power () {
value : 4.9697322000;
when : "!A&B";
}
leakage_power () {
value : 6.5339671000;
when : "!A&!B";
}
leakage_power () {
value : 1.4214264000;
when : "A&B";
}
leakage_power () {
value : 4.9694089000;
when : "A&!B";
}
area : 21.489600000;
cell_footprint : "sky130_fd_sc_hvl__xor2";
cell_leakage_power : 4.4736340000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0085900000;
clock : "false";
direction : "input";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("B") {
capacitance : 0.0084200000;
clock : "false";
direction : "input";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("X") {
direction : "output";
function : "(A&!B) | (!A&B)";
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0074700000, 0.0149300000, 0.0298500000, 0.0596900000, 0.1193900000, 0.2387800000");
values("0.3893009000, 0.2703337000, 0.1548139000, -0.0752016000, -0.5306586000, -1.4364948000, -3.2442507000", \
"0.3888924000, 0.2691700000, 0.1532333000, -0.0770532000, -0.5324870000, -1.4381071000, -3.2466575000", \
"0.3858378000, 0.2652660000, 0.1495857000, -0.0805703000, -0.5351587000, -1.4412228000, -3.2491809000", \
"0.3866892000, 0.2659685000, 0.1506827000, -0.0789756000, -0.5340147000, -1.4394276000, -3.2470917000", \
"0.4570419000, 0.3315432000, 0.2142134000, -0.0173436000, -0.4736876000, -1.3803104000, -3.1884382000", \
"0.5895438000, 0.4628554000, 0.3427798000, 0.1068365000, -0.3533698000, -1.2633758000, -3.0737259000", \
"0.8926700000, 0.7423272000, 0.6098513000, 0.3755245000, -0.0935103000, -1.0101756000, -2.8259132000", \
"1.1902730000, 1.0356866000, 0.8974707000, 0.6453113000, 0.1746427000, -0.7489287000, -2.5710056000", \
"2.0110977000, 1.8489355000, 1.7033252000, 1.4333714000, 0.9319781000, -0.0048824000, -1.8439232000", \
"2.4378480000, 2.2725106000, 2.1238696000, 1.8494747000, 1.3383276000, 0.3879123000, -1.4611515000", \
"2.8650040000, 2.6966560000, 2.5469399000, 2.2676583000, 1.7479280000, 0.7848447000, -1.0744631000");
}
related_pin : "A";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0074700000, 0.0149300000, 0.0298500000, 0.0596900000, 0.1193900000, 0.2387800000");
values("0.0606754000, 0.1683235000, 0.2775883000, 0.5037948000, 0.9509348000, 1.8458737000, 3.6338658000", \
"0.0642309000, 0.1720905000, 0.2838403000, 0.5085682000, 0.9560597000, 1.8504288000, 3.6382449000", \
"0.0625922000, 0.1703407000, 0.2820653000, 0.5071355000, 0.9538975000, 1.8493439000, 3.6375384000", \
"0.0710836000, 0.1770509000, 0.2885431000, 0.5121167000, 0.9599063000, 1.8540033000, 3.6418787000", \
"0.1456831000, 0.2435715000, 0.3503179000, 0.5697100000, 1.0143714000, 1.9073415000, 3.6951076000", \
"0.2866926000, 0.3749749000, 0.4763621000, 0.6894262000, 1.1281665000, 2.0165323000, 3.8018379000", \
"0.5882259000, 0.6633452000, 0.7538212000, 0.9593166000, 1.3869878000, 2.2656248000, 4.0429906000", \
"0.8933699000, 0.9622631000, 1.0487950000, 1.2412284000, 1.6613568000, 2.5283127000, 4.2978633000", \
"1.7449243000, 1.7956254000, 1.8755554000, 2.0576853000, 2.4461505000, 3.2911938000, 5.0327574000", \
"2.1840069000, 2.2339684000, 2.3080457000, 2.4825246000, 2.8620207000, 3.6943514000, 5.4235810000", \
"2.6204978000, 2.6683922000, 2.7385212000, 2.9067424000, 3.2812092000, 4.0983705000, 5.8146691000");
}
when : "(!B)";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0074700000, 0.0149300000, 0.0298500000, 0.0596900000, 0.1193900000, 0.2387800000");
values("-0.0522633000, -0.1628601000, -0.2750521000, -0.5003136000, -0.9511210000, -1.8536616000, -3.6590631000", \
"-0.0514584000, -0.1627267000, -0.2750763000, -0.5001289000, -0.9509402000, -1.8534564000, -3.6586559000", \
"-0.0547204000, -0.1653975000, -0.2775322000, -0.5023931000, -0.9533209000, -1.8556485000, -3.6611101000", \
"-0.0574566000, -0.1706765000, -0.2832933000, -0.5084096000, -0.9594860000, -1.8618865000, -3.6673312000", \
"-0.0105747000, -0.1415628000, -0.2619758000, -0.4953331000, -0.9523475000, -1.8592024000, -3.6674534000", \
"0.0925146000, -0.0588471000, -0.1928188000, -0.4426799000, -0.9163964000, -1.8370167000, -3.6547727000", \
"0.3233967000, 0.1482309000, -0.0080509000, -0.2880437000, -0.7990997000, -1.7559259000, -3.6043653000", \
"0.5583827000, 0.3735560000, 0.2054988000, -0.0998429000, -0.6463210000, -1.6430468000, -3.5297668000", \
"1.2207505000, 1.0184709000, 0.8340447000, 0.4915078000, -0.1287947000, -1.2301859000, -3.2299425000", \
"1.5647558000, 1.3600025000, 1.1697439000, 0.8144979000, 0.1669296000, -0.9778687000, -3.0361240000", \
"1.9106745000, 1.7035400000, 1.5060261000, 1.1425653000, 0.4778171000, -0.7116281000, -2.8273063000");
}
related_pin : "A";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0074700000, 0.0149300000, 0.0298500000, 0.0596900000, 0.1193900000, 0.2387800000");
values("0.2889445000, 0.4020953000, 0.5184588000, 0.7382091000, 1.1858177000, 2.0791305000, 3.8709800000", \
"0.2896652000, 0.4045700000, 0.5164524000, 0.7413704000, 1.1871561000, 2.0815577000, 3.8697561000", \
"0.2876593000, 0.4031288000, 0.5154514000, 0.7402558000, 1.1874720000, 2.0824625000, 3.8698463000", \
"0.2886909000, 0.4014209000, 0.5143064000, 0.7392829000, 1.1867791000, 2.0812920000, 3.8691394000", \
"0.3416451000, 0.4354369000, 0.5398452000, 0.7562816000, 1.1981564000, 2.0877174000, 3.8731651000", \
"0.4509152000, 0.5291171000, 0.6202161000, 0.8176175000, 1.2414159000, 2.1151030000, 3.8897570000", \
"0.6848222000, 0.7477970000, 0.8245443000, 0.9968197000, 1.3815025000, 2.2135790000, 3.9531250000", \
"0.9132891000, 0.9778889000, 1.0450500000, 1.2005624000, 1.5572468000, 2.3505530000, 4.0487822000", \
"1.5785624000, 1.6258319000, 1.6843441000, 1.8204636000, 2.1234020000, 2.8309296000, 4.4166894000", \
"1.9256996000, 1.9702390000, 2.0223989000, 2.1538258000, 2.4415446000, 3.1139520000, 4.6465761000", \
"2.2707533000, 2.3139336000, 2.3655995000, 2.4856427000, 2.7628587000, 3.4052347000, 4.8896407000");
}
when : "(B)";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0074700000, 0.0149300000, 0.0298500000, 0.0596900000, 0.1193900000, 0.2387800000");
values("0.3317315000, 0.2134620000, 0.0974237000, -0.1336508000, -0.5882100000, -1.4948586000, -3.3045827000", \
"0.3412963000, 0.2211187000, 0.1050686000, -0.1258890000, -0.5817204000, -1.4876173000, -3.2958579000", \
"0.3406823000, 0.2194441000, 0.1032681000, -0.1276304000, -0.5836870000, -1.4898088000, -3.2981483000", \
"0.3499052000, 0.2276893000, 0.1115988000, -0.1193711000, -0.5752164000, -1.4812695000, -3.2895354000", \
"0.4199342000, 0.2931364000, 0.1750449000, -0.0573705000, -0.5150642000, -1.4227988000, -3.2314943000", \
"0.5312259000, 0.4084024000, 0.2878158000, 0.0520034000, -0.4090231000, -1.3195110000, -3.1300326000", \
"0.7962156000, 0.6474079000, 0.5205412000, 0.2854358000, -0.1825762000, -1.0994218000, -2.9145953000", \
"1.0562407000, 0.9011387000, 0.7655736000, 0.5195695000, 0.0490535000, -0.8731965000, -2.6938491000", \
"1.7733098000, 1.6093113000, 1.4651768000, 1.1980957000, 0.7026484000, -0.2275259000, -2.0638096000", \
"2.1425233000, 1.9770909000, 1.8289262000, 1.5567709000, 1.0513910000, 0.1109046000, -1.7329309000", \
"2.5110291000, 2.3429303000, 2.1925732000, 1.9168892000, 1.4041064000, 0.4524010000, -1.3989603000");
}
related_pin : "B";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0074700000, 0.0149300000, 0.0298500000, 0.0596900000, 0.1193900000, 0.2387800000");
values("0.0670119000, 0.1777728000, 0.2852144000, 0.5037791000, 0.9460727000, 1.8336859000, 3.6191581000", \
"0.0813872000, 0.1905094000, 0.2983509000, 0.5161101000, 0.9576338000, 1.8475358000, 3.6335332000", \
"0.0818820000, 0.1908709000, 0.2981988000, 0.5173014000, 0.9579014000, 1.8486368000, 3.6334542000", \
"0.0910332000, 0.1977325000, 0.3051550000, 0.5241867000, 0.9662341000, 1.8551135000, 3.6410158000", \
"0.1466191000, 0.2480934000, 0.3553197000, 0.5746193000, 1.0162318000, 1.9061832000, 3.6904063000", \
"0.2665395000, 0.3561697000, 0.4604189000, 0.6750205000, 1.1146530000, 2.0010136000, 3.7839293000", \
"0.5257540000, 0.6048974000, 0.6961898000, 0.9029272000, 1.3354431000, 2.2150306000, 3.9922036000", \
"0.7901720000, 0.8597071000, 0.9494244000, 1.1415279000, 1.5641473000, 2.4379933000, 4.2093319000", \
"1.5231370000, 1.5786272000, 1.6570591000, 1.8426737000, 2.2315635000, 3.0790441000, 4.8293153000", \
"1.9012856000, 1.9525575000, 2.0287903000, 2.2069339000, 2.5881298000, 3.4200357000, 5.1566296000", \
"2.2796010000, 2.3272851000, 2.4004538000, 2.5751781000, 2.9555249000, 3.7658513000, 5.4893647000");
}
when : "(!A)";
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0074700000, 0.0149300000, 0.0298500000, 0.0596900000, 0.1193900000, 0.2387800000");
values("-0.0131507000, -0.1230392000, -0.2351618000, -0.4605666000, -0.9114141000, -1.8141970000, -3.6196973000", \
"-0.0082129000, -0.1195219000, -0.2318549000, -0.4571107000, -0.9080276000, -1.8107032000, -3.6164421000", \
"-0.0109465000, -0.1219083000, -0.2339404000, -0.4592014000, -0.9102413000, -1.8127916000, -3.6182977000", \
"-0.0061752000, -0.1212029000, -0.2341903000, -0.4600621000, -0.9113860000, -1.8142492000, -3.6201533000", \
"0.0432638000, -0.0881638000, -0.2096083000, -0.4431929000, -0.9008224000, -1.8083783000, -3.6178987000", \
"0.1404827000, -0.0086286000, -0.1428030000, -0.3915590000, -0.8657627000, -1.7861138000, -3.6043209000", \
"0.3517146000, 0.1829348000, 0.0315916000, -0.2447183000, -0.7537337000, -1.7092984000, -3.5562738000", \
"0.5711982000, 0.3925291000, 0.2279607000, -0.0700323000, -0.6103433000, -1.6032354000, -3.4848567000", \
"1.1823202000, 0.9902764000, 0.8114747000, 0.4786103000, -0.1284941000, -1.2143207000, -3.2032940000", \
"1.5003669000, 1.3034390000, 1.1208841000, 0.7766617000, 0.1462826000, -0.9808133000, -3.0225882000", \
"1.8204418000, 1.6182181000, 1.4307217000, 1.0801518000, 0.4315970000, -0.7326225000, -2.8229934000");
}
related_pin : "B";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0074700000, 0.0149300000, 0.0298500000, 0.0596900000, 0.1193900000, 0.2387800000");
values("0.2020853000, 0.3309912000, 0.4415752000, 0.6648105000, 1.1164847000, 2.0050009000, 3.7911121000", \
"0.2026752000, 0.3303969000, 0.4433513000, 0.6685705000, 1.1153387000, 2.0097447000, 3.7983640000", \
"0.2045811000, 0.3279536000, 0.4421820000, 0.6674245000, 1.1155873000, 2.0091720000, 3.7988034000", \
"0.2089684000, 0.3250214000, 0.4395370000, 0.6655839000, 1.1139333000, 2.0085397000, 3.7975695000", \
"0.2615082000, 0.3573828000, 0.4628985000, 0.6804786000, 1.1234563000, 2.0141691000, 3.8014135000", \
"0.3631677000, 0.4424760000, 0.5354508000, 0.7376832000, 1.1618615000, 2.0397571000, 3.8155555000", \
"0.5800497000, 0.6425404000, 0.7217416000, 0.8988272000, 1.2925736000, 2.1333003000, 3.8785914000", \
"0.7941192000, 0.8539338000, 0.9225641000, 1.0865070000, 1.4521373000, 2.2594948000, 3.9679104000", \
"1.4073043000, 1.4550172000, 1.5110881000, 1.6495269000, 1.9658711000, 2.6979506000, 4.3055154000", \
"1.7261272000, 1.7720217000, 1.8246042000, 1.9527018000, 2.2510790000, 2.9519280000, 4.5161541000", \
"2.0457428000, 2.0885067000, 2.1408728000, 2.2574695000, 2.5438964000, 3.2165358000, 4.7402938000");
}
when : "(A)";
}
max_capacitance : 0.2387800000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0074700000, 0.0149300000, 0.0298500000, 0.0596900000, 0.1193900000, 0.2387800000");
values("0.1258500000, 0.1812800000, 0.2238600000, 0.3028200000, 0.4563400000, 0.7634600000, 1.3786700000", \
"0.1281700000, 0.1829800000, 0.2254400000, 0.3043300000, 0.4578000000, 0.7645700000, 1.3800700000", \
"0.1291500000, 0.1836700000, 0.2261600000, 0.3051300000, 0.4586500000, 0.7654300000, 1.3810300000", \
"0.1338600000, 0.1880700000, 0.2306900000, 0.3098400000, 0.4635400000, 0.7705200000, 1.3859000000", \
"0.1648300000, 0.2195800000, 0.2620500000, 0.3408700000, 0.4939900000, 0.8006800000, 1.4156000000", \
"0.2094600000, 0.2679600000, 0.3117400000, 0.3912400000, 0.5436600000, 0.8489000000, 1.4631000000", \
"0.2789200000, 0.3458000000, 0.3952000000, 0.4803000000, 0.6359000000, 0.9396000000, 1.5514000000", \
"0.3360000000, 0.4087000000, 0.4625000000, 0.5543000000, 0.7185000000, 1.0258000000, 1.6354000000", \
"0.4683700000, 0.5521700000, 0.6143700000, 0.7201700000, 0.9054700000, 1.2419700000, 1.8625700000", \
"0.5291300000, 0.6172300000, 0.6826300000, 0.7939300000, 0.9884300000, 1.3384300000, 1.9778300000", \
"0.5867000000, 0.6786000000, 0.7468000000, 0.8629000000, 1.0656000000, 1.4282000000, 2.0868000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0074700000, 0.0149300000, 0.0298500000, 0.0596900000, 0.1193900000, 0.2387800000");
values("0.1011700000, 0.1737700000, 0.2430900000, 0.3805400000, 0.6542000000, 1.2006700000, 2.2927700000", \
"0.1037600000, 0.1764200000, 0.2458000000, 0.3833200000, 0.6570500000, 1.2034700000, 2.2955700000", \
"0.1049000000, 0.1775300000, 0.2468600000, 0.3844200000, 0.6580600000, 1.2044300000, 2.2967300000", \
"0.1128500000, 0.1846900000, 0.2535900000, 0.3908500000, 0.6644500000, 1.2109000000, 2.3028000000", \
"0.1370700000, 0.2056400000, 0.2721800000, 0.4069700000, 0.6788500000, 1.2243000000, 2.3160000000", \
"0.1524800000, 0.2183100000, 0.2823400000, 0.4140100000, 0.6826000000, 1.2254000000, 2.3155000000", \
"0.1614000000, 0.2281600000, 0.2869000000, 0.4101000000, 0.6727000000, 1.2100000000, 2.2958000000", \
"0.1587000000, 0.2299000000, 0.2867000000, 0.4041000000, 0.6552000000, 1.1875000000, 2.2682000000", \
"0.1279700000, 0.2119700000, 0.2702700000, 0.3820700000, 0.6164700000, 1.1162700000, 2.1831700000", \
"0.1053300000, 0.1951300000, 0.2557300000, 0.3670300000, 0.5979300000, 1.0860300000, 2.1365300000", \
"0.0803000000, 0.1752000000, 0.2384000000, 0.3501000000, 0.5786000000, 1.0594000000, 2.0890000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0074700000, 0.0149300000, 0.0298500000, 0.0596900000, 0.1193900000, 0.2387800000");
values("0.0355400000, 0.0843600000, 0.1305000000, 0.2251400000, 0.4212500000, 0.8207100000, 1.6266900000", \
"0.0355200000, 0.0843900000, 0.1305100000, 0.2251900000, 0.4212400000, 0.8208800000, 1.6265800000", \
"0.0355600000, 0.0843800000, 0.1304900000, 0.2251500000, 0.4212700000, 0.8215000000, 1.6264800000", \
"0.0358100000, 0.0845400000, 0.1305800000, 0.2251500000, 0.4212200000, 0.8214100000, 1.6258000000", \
"0.0416100000, 0.0888600000, 0.1332200000, 0.2263500000, 0.4216700000, 0.8215400000, 1.6255100000", \
"0.0539600000, 0.1011400000, 0.1431800000, 0.2323300000, 0.4235600000, 0.8214400000, 1.6265000000", \
"0.0759100000, 0.1296200000, 0.1716000000, 0.2567000000, 0.4374000000, 0.8255000000, 1.6258000000", \
"0.0941000000, 0.1535000000, 0.1982000000, 0.2848000000, 0.4631000000, 0.8383000000, 1.6272000000", \
"0.1367000000, 0.2077000000, 0.2596000000, 0.3543000000, 0.5408000000, 0.9123000000, 1.6631000000", \
"0.1563000000, 0.2320000000, 0.2869000000, 0.3863000000, 0.5779000000, 0.9562000000, 1.6975000000", \
"0.1747000000, 0.2548000000, 0.3125000000, 0.4160000000, 0.6133000000, 0.9980000000, 1.7385000000");
}
related_pin : "A";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0074700000, 0.0149300000, 0.0298500000, 0.0596900000, 0.1193900000, 0.2387800000");
values("0.0507900000, 0.1541100000, 0.2607000000, 0.4744200000, 0.9014500000, 1.7599200000, 3.4732200000", \
"0.0508000000, 0.1541100000, 0.2603400000, 0.4743600000, 0.9027400000, 1.7590200000, 3.4731200000", \
"0.0507900000, 0.1540400000, 0.2605500000, 0.4747300000, 0.9020200000, 1.7566200000, 3.4719300000", \
"0.0508700000, 0.1541500000, 0.2606000000, 0.4744200000, 0.9024600000, 1.7588200000, 3.4675000000", \
"0.0551400000, 0.1554400000, 0.2611700000, 0.4750000000, 0.9032200000, 1.7597800000, 3.4728000000", \
"0.0618800000, 0.1560800000, 0.2611100000, 0.4742800000, 0.9021300000, 1.7566400000, 3.4719000000", \
"0.0792600000, 0.1553900000, 0.2526500000, 0.4672600000, 0.8990000000, 1.7590000000, 3.4733000000", \
"0.0962000000, 0.1643000000, 0.2514000000, 0.4527000000, 0.8887000000, 1.7535000000, 3.4673000000", \
"0.1384000000, 0.1997000000, 0.2738000000, 0.4497000000, 0.8472000000, 1.7213000000, 3.4551000000", \
"0.1585000000, 0.2190000000, 0.2892000000, 0.4576000000, 0.8405000000, 1.6976000000, 3.4422000000", \
"0.1778000000, 0.2378000000, 0.3057000000, 0.4684000000, 0.8404000000, 1.6702000000, 3.4252000000");
}
sdf_cond : "(!B)";
timing_sense : "positive_unate";
when : "(!B)";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0074700000, 0.0149300000, 0.0298500000, 0.0596900000, 0.1193900000, 0.2387800000");
values("0.0619600000, 0.1236700000, 0.1806800000, 0.2923300000, 0.5135600000, 0.9547000000, 1.8360700000", \
"0.0634000000, 0.1250900000, 0.1821200000, 0.2937700000, 0.5150200000, 0.9561600000, 1.8374700000", \
"0.0626800000, 0.1246800000, 0.1817900000, 0.2934900000, 0.5147800000, 0.9559100000, 1.8372300000", \
"0.0643100000, 0.1245800000, 0.1815200000, 0.2931400000, 0.5143500000, 0.9555000000, 1.8368000000", \
"0.0704900000, 0.1362300000, 0.1919100000, 0.2999100000, 0.5182000000, 0.9574000000, 1.8375000000", \
"0.0701700000, 0.1490200000, 0.2121800000, 0.3222700000, 0.5339100000, 0.9668000000, 1.8424000000", \
"0.0576900000, 0.1532600000, 0.2313100000, 0.3622000000, 0.5825000000, 1.0018000000, 1.8635000000", \
"0.0392000000, 0.1458000000, 0.2340000000, 0.3832000000, 0.6278000000, 1.0511000000, 1.8961000000", \
"-0.0240300000, 0.1028700000, 0.2092700000, 0.3922700000, 0.6951700000, 1.1847700000, 2.0270700000", \
"-0.0599700000, 0.0744300000, 0.1876300000, 0.3830300000, 0.7086300000, 1.2346300000, 2.1034300000", \
"-0.0970000000, 0.0436000000, 0.1626000000, 0.3685000000, 0.7133000000, 1.2724000000, 2.1780000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0074700000, 0.0149300000, 0.0298500000, 0.0596900000, 0.1193900000, 0.2387800000");
values("0.0677000000, 0.1384400000, 0.2058700000, 0.3397400000, 0.6068100000, 1.1403700000, 2.2075700000", \
"0.0704800000, 0.1415400000, 0.2091200000, 0.3431300000, 0.6101900000, 1.1439700000, 2.2108700000", \
"0.0716600000, 0.1430900000, 0.2108600000, 0.3450200000, 0.6122000000, 1.1460300000, 2.2130300000", \
"0.0759100000, 0.1463900000, 0.2141800000, 0.3485000000, 0.6157600000, 1.1498000000, 2.2168000000", \
"0.0977000000, 0.1686500000, 0.2327900000, 0.3624400000, 0.6260700000, 1.1576000000, 2.2233000000", \
"0.1288900000, 0.2103500000, 0.2767100000, 0.4018000000, 0.6552000000, 1.1779000000, 2.2375000000", \
"0.1801800000, 0.2804000000, 0.3603000000, 0.4959000000, 0.7417000000, 1.2422000000, 2.2823000000", \
"0.2241000000, 0.3386000000, 0.4295000000, 0.5822000000, 0.8389000000, 1.3265000000, 2.3438000000", \
"0.3306700000, 0.4737700000, 0.5873700000, 0.7768700000, 1.0868700000, 1.5996700000, 2.5703700000", \
"0.3813300000, 0.5357300000, 0.6586300000, 0.8631300000, 1.1967300000, 1.7404300000, 2.7084300000", \
"0.4301000000, 0.5943000000, 0.7255000000, 0.9435000000, 1.2981000000, 1.8725000000, 2.8528000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0074700000, 0.0149300000, 0.0298500000, 0.0596900000, 0.1193900000, 0.2387800000");
values("0.0633300000, 0.1386500000, 0.2148700000, 0.3677000000, 0.6735800000, 1.2857600000, 2.5106700000", \
"0.0632700000, 0.1386700000, 0.2149100000, 0.3676200000, 0.6735700000, 1.2858000000, 2.5105500000", \
"0.0628000000, 0.1386700000, 0.2148700000, 0.3676800000, 0.6735600000, 1.2861000000, 2.5106200000", \
"0.0672500000, 0.1385900000, 0.2148900000, 0.3677100000, 0.6736100000, 1.2859700000, 2.5106300000", \
"0.1135700000, 0.1655100000, 0.2282000000, 0.3706500000, 0.6734400000, 1.2857600000, 2.5106600000", \
"0.1858900000, 0.2374000000, 0.2871000000, 0.4032100000, 0.6814100000, 1.2858200000, 2.5106000000", \
"0.3202100000, 0.3850300000, 0.4360000000, 0.5316900000, 0.7548900000, 1.3035000000, 2.5098000000", \
"0.4478300000, 0.5256000000, 0.5833500000, 0.6822000000, 0.8787000000, 1.3644000000, 2.5189000000", \
"0.7941000000, 0.8956000000, 0.9726000000, 1.0940000000, 1.2935000000, 1.6834000000, 2.6560000000", \
"0.9738000000, 1.0808000000, 1.1660000000, 1.2998000000, 1.5113000000, 1.8892000000, 2.7874000000", \
"1.1554000000, 1.2640000000, 1.3561000000, 1.5008000000, 1.7259000000, 2.1070000000, 2.9467000000");
}
related_pin : "A";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0074700000, 0.0149300000, 0.0298500000, 0.0596900000, 0.1193900000, 0.2387800000");
values("0.0637300000, 0.1681400000, 0.2727300000, 0.4816200000, 0.8994200000, 1.7347400000, 3.4045800000", \
"0.0636900000, 0.1681100000, 0.2726800000, 0.4816300000, 0.8993100000, 1.7349600000, 3.4048500000", \
"0.0639200000, 0.1681500000, 0.2727300000, 0.4816800000, 0.8994700000, 1.7346400000, 3.4046000000", \
"0.0678100000, 0.1685900000, 0.2728200000, 0.4817400000, 0.8994400000, 1.7347600000, 3.4046800000", \
"0.1078800000, 0.1871900000, 0.2814500000, 0.4830200000, 0.8994100000, 1.7347600000, 3.4050000000", \
"0.1782500000, 0.2471800000, 0.3242600000, 0.5040300000, 0.9032900000, 1.7347000000, 3.4056000000", \
"0.3073900000, 0.3846400000, 0.4522500000, 0.6006800000, 0.9505000000, 1.7427000000, 3.4049000000", \
"0.4318000000, 0.5167000000, 0.5906000000, 0.7271000000, 1.0402000000, 1.7796000000, 3.4067000000", \
"0.7846000000, 0.8650000000, 0.9540000000, 1.1095000000, 1.3827000000, 2.0070000000, 3.4871000000", \
"0.9713000000, 1.0430000000, 1.1348000000, 1.3018000000, 1.5819000000, 2.1669000000, 3.5761000000", \
"1.1612000000, 1.2215000000, 1.3131000000, 1.4879000000, 1.7839000000, 2.3436000000, 3.6878000000");
}
sdf_cond : "(B)";
timing_sense : "negative_unate";
when : "(B)";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0074700000, 0.0149300000, 0.0298500000, 0.0596900000, 0.1193900000, 0.2387800000");
values("0.1094400000, 0.1653700000, 0.2078400000, 0.2867300000, 0.4401500000, 0.7472000000, 1.3625700000", \
"0.1155700000, 0.1708200000, 0.2132000000, 0.2919800000, 0.4453700000, 0.7521900000, 1.3674700000", \
"0.1173300000, 0.1717600000, 0.2140700000, 0.2928500000, 0.4462400000, 0.7531600000, 1.3684300000", \
"0.1287100000, 0.1825900000, 0.2249400000, 0.3037600000, 0.4571700000, 0.7638400000, 1.3790000000", \
"0.1722200000, 0.2269800000, 0.2691900000, 0.3476600000, 0.5004800000, 0.8067100000, 1.4218000000", \
"0.2309200000, 0.2864300000, 0.3293900000, 0.4088000000, 0.5611000000, 0.8662000000, 1.4801000000", \
"0.3292000000, 0.3896000000, 0.4356000000, 0.5173000000, 0.6711000000, 0.9752000000, 1.5871000000", \
"0.4146000000, 0.4793000000, 0.5279000000, 0.6135000000, 0.7726000000, 1.0780000000, 1.6881000000", \
"0.6247700000, 0.6987700000, 0.7527700000, 0.8467700000, 1.0182700000, 1.3435700000, 1.9587700000", \
"0.7255300000, 0.8034300000, 0.8597300000, 0.9571300000, 1.1340300000, 1.4681300000, 2.0957300000", \
"0.8230000000, 0.9044000000, 0.9630000000, 1.0633000000, 1.2449000000, 1.5867000000, 2.2292000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0074700000, 0.0149300000, 0.0298500000, 0.0596900000, 0.1193900000, 0.2387800000");
values("0.0989800000, 0.1681000000, 0.2331000000, 0.3637700000, 0.6277300000, 1.1592700000, 2.2249700000", \
"0.1048700000, 0.1736900000, 0.2387900000, 0.3693300000, 0.6332100000, 1.1644700000, 2.2300700000", \
"0.1068900000, 0.1755300000, 0.2406600000, 0.3712400000, 0.6351400000, 1.1666300000, 2.2321300000", \
"0.1148300000, 0.1821200000, 0.2474300000, 0.3783500000, 0.6424500000, 1.1737000000, 2.2395000000", \
"0.1272700000, 0.1903500000, 0.2556600000, 0.3866700000, 0.6505800000, 1.1817000000, 2.2467000000", \
"0.1312100000, 0.1933300000, 0.2541400000, 0.3798700000, 0.6427000000, 1.1723000000, 2.2362000000", \
"0.1162600000, 0.1794900000, 0.2371900000, 0.3564000000, 0.6088000000, 1.1337000000, 2.1950000000", \
"0.0894000000, 0.1563000000, 0.2126000000, 0.3278000000, 0.5706000000, 1.0859000000, 2.1446000000", \
"-0.0103300000, 0.0677700000, 0.1251700000, 0.2362700000, 0.4671700000, 0.9527700000, 1.9895700000", \
"-0.0693700000, 0.0137300000, 0.0731300000, 0.1837300000, 0.4120300000, 0.8894300000, 1.9065300000", \
"-0.1312000000, -0.0436000000, 0.0179000000, 0.1288000000, 0.3554000000, 0.8277000000, 1.8249000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0074700000, 0.0149300000, 0.0298500000, 0.0596900000, 0.1193900000, 0.2387800000");
values("0.0355100000, 0.0846700000, 0.1308400000, 0.2253800000, 0.4210700000, 0.8214300000, 1.6266800000", \
"0.0354700000, 0.0845900000, 0.1308000000, 0.2253600000, 0.4214300000, 0.8218400000, 1.6265300000", \
"0.0354900000, 0.0846200000, 0.1308000000, 0.2253700000, 0.4211100000, 0.8209100000, 1.6265000000", \
"0.0357200000, 0.0847900000, 0.1308500000, 0.2253700000, 0.4214300000, 0.8217300000, 1.6261200000", \
"0.0412100000, 0.0893600000, 0.1335200000, 0.2264800000, 0.4218500000, 0.8207900000, 1.6260700000", \
"0.0493900000, 0.0971000000, 0.1409000000, 0.2320400000, 0.4240400000, 0.8210300000, 1.6258000000", \
"0.0690000000, 0.1182000000, 0.1603000000, 0.2476000000, 0.4338000000, 0.8251000000, 1.6263000000", \
"0.0865000000, 0.1375000000, 0.1801000000, 0.2676000000, 0.4508000000, 0.8335000000, 1.6275000000", \
"0.1278000000, 0.1844000000, 0.2288000000, 0.3175000000, 0.5054000000, 0.8848000000, 1.6518000000", \
"0.1469000000, 0.2063000000, 0.2516000000, 0.3413000000, 0.5305000000, 0.9161000000, 1.6746000000", \
"0.1649000000, 0.2271000000, 0.2734000000, 0.3639000000, 0.5550000000, 0.9462000000, 1.7043000000");
}
related_pin : "B";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0074700000, 0.0149300000, 0.0298500000, 0.0596900000, 0.1193900000, 0.2387800000");
values("0.1403100000, 0.1682600000, 0.2727500000, 0.4816300000, 0.8994400000, 1.7345800000, 3.4048000000", \
"0.0645600000, 0.1682500000, 0.2727500000, 0.4817500000, 0.8994100000, 1.7347500000, 3.4048000000", \
"0.0646400000, 0.1682400000, 0.2727000000, 0.4816200000, 0.8994100000, 1.7348900000, 3.4048900000", \
"0.0649100000, 0.1683300000, 0.2727800000, 0.4817600000, 0.8994000000, 1.7347800000, 3.4046200000", \
"0.0615100000, 0.1662200000, 0.2713100000, 0.4817900000, 0.8994500000, 1.7346500000, 3.4047000000", \
"0.0606500000, 0.1574300000, 0.2628900000, 0.4754300000, 0.8972900000, 1.7347400000, 3.4048000000", \
"0.0728400000, 0.1528200000, 0.2470000000, 0.4567100000, 0.8848000000, 1.7295000000, 3.4045000000", \
"0.0861000000, 0.1598000000, 0.2463000000, 0.4388000000, 0.8649000000, 1.7187000000, 3.4013000000", \
"0.1206000000, 0.1887000000, 0.2648000000, 0.4387000000, 0.8197000000, 1.6691000000, 3.3737000000", \
"0.1371000000, 0.2047000000, 0.2772000000, 0.4455000000, 0.8160000000, 1.6348000000, 3.3506000000", \
"0.1530000000, 0.2204000000, 0.2909000000, 0.4539000000, 0.8177000000, 1.6074000000, 3.3256000000");
}
sdf_cond : "(!A)";
timing_sense : "positive_unate";
when : "(!A)";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0074700000, 0.0149300000, 0.0298500000, 0.0596900000, 0.1193900000, 0.2387800000");
values("0.0532200000, 0.1160300000, 0.1731800000, 0.2849000000, 0.5062000000, 0.9474200000, 1.8286700000", \
"0.0566200000, 0.1186000000, 0.1757100000, 0.2874200000, 0.5087000000, 0.9499200000, 1.8312700000", \
"0.0565900000, 0.1186200000, 0.1758000000, 0.2875700000, 0.5089000000, 0.9500500000, 1.8314300000", \
"0.0643400000, 0.1235500000, 0.1802000000, 0.2916000000, 0.5126800000, 0.9538000000, 1.8351000000", \
"0.0841900000, 0.1526800000, 0.2071200000, 0.3142700000, 0.5318200000, 0.9703000000, 1.8502000000", \
"0.1005200000, 0.1851300000, 0.2505600000, 0.3615500000, 0.5709900000, 1.0026000000, 1.8774000000", \
"0.1203100000, 0.2248300000, 0.3071000000, 0.4434000000, 0.6683000000, 1.0840000000, 1.9439000000", \
"0.1338000000, 0.2517000000, 0.3455000000, 0.5018000000, 0.7551000000, 1.1802000000, 2.0222000000", \
"0.1594700000, 0.3024700000, 0.4172700000, 0.6107700000, 0.9277700000, 1.4351700000, 2.2827700000", \
"0.1695300000, 0.3219300000, 0.4448300000, 0.6523300000, 0.9937300000, 1.5416300000, 2.4307300000", \
"0.1784000000, 0.3388000000, 0.4687000000, 0.6884000000, 1.0505000000, 1.6339000000, 2.5669000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0074700000, 0.0149300000, 0.0298500000, 0.0596900000, 0.1193900000, 0.2387800000");
values("0.0507000000, 0.1333200000, 0.2025700000, 0.3396200000, 0.6128900000, 1.1590700000, 2.2508700000", \
"0.0533700000, 0.1355800000, 0.2056100000, 0.3431500000, 0.6167100000, 1.1630700000, 2.2549700000", \
"0.0565400000, 0.1364200000, 0.2068700000, 0.3448600000, 0.6186400000, 1.1651300000, 2.2572300000", \
"0.0621100000, 0.1377900000, 0.2084100000, 0.3468800000, 0.6212300000, 1.1680000000, 2.2602000000", \
"0.0759800000, 0.1560700000, 0.2236800000, 0.3576600000, 0.6286900000, 1.1733000000, 2.2644000000", \
"0.0923500000, 0.1872600000, 0.2600500000, 0.3917300000, 0.6534000000, 1.1901000000, 2.2752000000", \
"0.1139000000, 0.2320100000, 0.3215000000, 0.4697000000, 0.7290000000, 1.2460000000, 2.3135000000", \
"0.1280000000, 0.2639000000, 0.3665000000, 0.5349000000, 0.8116000000, 1.3198000000, 2.3672000000", \
"0.1507700000, 0.3230700000, 0.4532700000, 0.6646700000, 1.0042700000, 1.5552700000, 2.5663700000", \
"0.1577300000, 0.3445300000, 0.4861300000, 0.7155300000, 1.0823300000, 1.6706300000, 2.6875300000", \
"0.1628000000, 0.3623000000, 0.5141000000, 0.7597000000, 1.1508000000, 1.7748000000, 2.8132000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0074700000, 0.0149300000, 0.0298500000, 0.0596900000, 0.1193900000, 0.2387800000");
values("0.0634200000, 0.1387000000, 0.2149800000, 0.3677400000, 0.6736500000, 1.2857700000, 2.5098300000", \
"0.0634500000, 0.1387900000, 0.2149300000, 0.3677300000, 0.6735800000, 1.2857400000, 2.5096800000", \
"0.0627200000, 0.1387300000, 0.2149900000, 0.3677100000, 0.6736700000, 1.2859400000, 2.5097200000", \
"0.0676900000, 0.1381800000, 0.2149200000, 0.3677500000, 0.6736800000, 1.2856900000, 2.5098200000", \
"0.1168900000, 0.1700200000, 0.2303400000, 0.3700600000, 0.6736400000, 1.2856200000, 2.5106000000", \
"0.1880300000, 0.2468100000, 0.2975900000, 0.4101300000, 0.6820900000, 1.2858400000, 2.5107000000", \
"0.3202500000, 0.3992500000, 0.4538400000, 0.5527400000, 0.7712600000, 1.3069000000, 2.5099000000", \
"0.4453200000, 0.5428400000, 0.6075000000, 0.7102000000, 0.9092000000, 1.3821000000, 2.5183000000", \
"0.7784000000, 0.9161000000, 1.0069000000, 1.1411000000, 1.3467000000, 1.7422000000, 2.6919000000", \
"0.9473000000, 1.1009000000, 1.2036000000, 1.3541000000, 1.5765000000, 1.9624000000, 2.8466000000", \
"1.1153000000, 1.2820000000, 1.3955000000, 1.5614000000, 1.8022000000, 2.1891000000, 3.0285000000");
}
related_pin : "B";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0074700000, 0.0149300000, 0.0298500000, 0.0596900000, 0.1193900000, 0.2387800000");
values("0.0485000000, 0.1534300000, 0.2605600000, 0.4743200000, 0.9024800000, 1.7599300000, 3.4704700000", \
"0.0483200000, 0.1535100000, 0.2603900000, 0.4748800000, 0.9032000000, 1.7586400000, 3.4731500000", \
"0.0481300000, 0.1534100000, 0.2603200000, 0.4741300000, 0.9024000000, 1.7599300000, 3.4720800000", \
"0.0529000000, 0.1541300000, 0.2605500000, 0.4748500000, 0.9032200000, 1.7591900000, 3.4709500000", \
"0.0946700000, 0.1740100000, 0.2696200000, 0.4764900000, 0.9033000000, 1.7588300000, 3.4719000000", \
"0.1611600000, 0.2329000000, 0.3129700000, 0.4972600000, 0.9073000000, 1.7585500000, 3.4711000000", \
"0.2789900000, 0.3629000000, 0.4367700000, 0.5922600000, 0.9541000000, 1.7673000000, 3.4732000000", \
"0.3918000000, 0.4832000000, 0.5668000000, 0.7143000000, 1.0412000000, 1.8040000000, 3.4749000000", \
"0.7138000000, 0.7943000000, 0.8957000000, 1.0728000000, 1.3716000000, 2.0261000000, 3.5528000000", \
"0.8863000000, 0.9532000000, 1.0562000000, 1.2472000000, 1.5618000000, 2.1800000000, 3.6401000000", \
"1.0592000000, 1.1126000000, 1.2145000000, 1.4155000000, 1.7502000000, 2.3499000000, 3.7477000000");
}
sdf_cond : "(A)";
timing_sense : "negative_unate";
when : "(A)";
}
}
}
}