blob: 4c8cab4ac30648d08eeab2f989591d19fba9117a [file] [log] [blame]
library ("sky130_fd_sc_hvl__hvss_lvff_100C_1v95_lowhv1v65") {
define(clk_width,library,string);
define(def_sim_opt,library,string);
define(default_arc_mode,library,string);
define(default_constraint_arc_mode,library,string);
define(driver_model,library,string);
define(leakage_sim_opt,library,string);
define(min_pulse_width_mode,library,string);
define(simulator,library,string);
define(switching_power_split_model,library,string);
define(tran_timestep,library,string);
technology("cmos");
delay_model : "table_lookup";
bus_naming_style : "%s[%d]";
time_unit : "1ns";
voltage_unit : "1V";
leakage_power_unit : "1nW";
current_unit : "1mA";
pulling_resistance_unit : "1kohm";
capacitive_load_unit(1.0000000000, "pf");
revision : 1.0000000000;
default_cell_leakage_power : 0.0000000000;
default_fanout_load : 1.0000000000;
default_inout_pin_cap : 0.0000000000;
default_input_pin_cap : 0.0000000000;
default_max_transition : 3.7500000000;
default_output_pin_cap : 0.0000000000;
default_arc_mode : "worst_edges";
default_constraint_arc_mode : "worst";
default_leakage_power_density : 0.0000000000;
default_operating_conditions : "hvss_lvff_100C_1v95_lowhv1v65";
clk_width : "0.0000000400";
operating_conditions ("hvss_lvff_100C_1v95_lowhv1v65") {
voltage : 1.9500000000;
process : 1.0000000000;
temperature : 100.00000000;
tree_type : "balanced_tree";
}
/* Wire load tables */
wire_load("Small") {
capacitance : 1.42e-05;
resistance : 0.0745;
slope : 8.3631;
fanout_length( 1, 23.2746);
fanout_length( 2, 32.1136);
fanout_length( 3, 48.4862);
fanout_length( 4, 64.0974);
fanout_length( 5, 86.2649);
fanout_length( 6, 84.2649);
}
wire_load("Medium") {
capacitance : 1.42e-05;
resistance : 0.0745;
slope : 8.3631;
fanout_length( 1, 23.2746);
fanout_length( 2, 32.1136);
fanout_length( 3, 48.4862);
fanout_length( 4, 64.0974);
fanout_length( 5, 86.2649);
fanout_length( 6, 84.2649);
}
wire_load("Large") {
capacitance : 1.42e-05;
resistance : 0.0745;
slope : 8.3631;
fanout_length( 1, 23.2746);
fanout_length( 2, 32.1136);
fanout_length( 3, 48.4862);
fanout_length( 4, 64.0974);
fanout_length( 5, 86.2649);
fanout_length( 6, 84.2649);
}
wire_load("Huge") {
capacitance : 1.42e-05;
resistance : 0.0745;
slope : 8.3631;
fanout_length( 1, 23.2746);
fanout_length( 2, 32.1136);
fanout_length( 3, 48.4862);
fanout_length( 4, 64.0974);
fanout_length( 5, 86.2649);
fanout_length( 6, 84.2649);
}
default_wire_load : "Small" ;
default_wire_load_mode : top;
power_lut_template ("power_inputs_1") {
variable_1 : "input_transition_time";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
}
power_lut_template ("power_outputs_1") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7");
}
power_lut_template ("power_outputs_2") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
power_lut_template ("power_outputs_3") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
power_lut_template ("power_outputs_4") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7");
}
power_lut_template ("power_outputs_5") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
lu_table_template ("del_1_11_7") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7");
}
lu_table_template ("del_1_11_9") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
lu_table_template ("del_1_13_9") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
lu_table_template ("del_1_15_7") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7");
}
lu_table_template ("del_1_15_9") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
lu_table_template ("driver_waveform_template") {
variable_1 : "input_net_transition";
variable_2 : "normalized_voltage";
index_1("1, 2");
index_2("1, 2");
}
normalized_driver_waveform ("driver_waveform_template") {
index_1("0.0010000000, 0.0100000000, 0.0130000000, 0.0173500000, 0.0260000000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0720000000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2160000000, 0.2963400000, 0.4320000000, 0.4444900000, 0.6667000000, 0.8640000000, 1.0000000000, 1.2960000000, 1.5000000000, 2.0000000000, 2.5000000000, 3.1250000000, 3.7499999000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0000000000, 0.5000000000, 1.0000000000");
driver_waveform_name : "ramp";
values("0.0000000000, 0.0008333333, 0.0016666667", \
"0.0000000000, 0.0083333333, 0.0166666670", \
"0.0000000000, 0.0108333330, 0.0216666670", \
"0.0000000000, 0.0144583330, 0.0289166670", \
"0.0000000000, 0.0216666670, 0.0433333330", \
"0.0000000000, 0.0216833330, 0.0433666670", \
"0.0000000000, 0.0325250000, 0.0650500000", \
"0.0000000000, 0.0487916670, 0.0975833330", \
"0.0000000000, 0.0600000000, 0.1200000000", \
"0.0000000000, 0.0731833330, 0.1463666700", \
"0.0000000000, 0.1097666700, 0.2195333300", \
"0.0000000000, 0.1646416700, 0.3292833300", \
"0.0000000000, 0.1800000000, 0.3600000000", \
"0.0000000000, 0.2469500000, 0.4939000000", \
"0.0000000000, 0.3600000000, 0.7200000000", \
"0.0000000000, 0.3704083300, 0.7408166700", \
"0.0000000000, 0.5555833300, 1.1111667000", \
"0.0000000000, 0.7200000000, 1.4400000000", \
"0.0000000000, 0.8333333300, 1.6666667000", \
"0.0000000000, 1.0800000000, 2.1600000000", \
"0.0000000000, 1.2500000000, 2.5000000000", \
"0.0000000000, 1.6666667000, 3.3333333000", \
"0.0000000000, 2.0833333000, 4.1666667000", \
"0.0000000000, 2.6041667000, 5.2083333000", \
"0.0000000000, 3.1249999000, 6.2499998000", \
"0.0000000000, 3.7500000000, 7.5000000000", \
"0.0000000000, 4.1666667000, 8.333333300", \
"0.0000000000, 5.2083333000, 10.416667000", \
"0.0000000000, 6.2500000000, 12.500000000");
}
library_features("report_delay_calculation");
voltage_map("LOWHVPWR", 1.6500000000);
voltage_map("LVPWR", 1.9500000000);
voltage_map("VGND", 0.0000000000);
voltage_map("VNB", 0.0000000000);
voltage_map("VPB", 1.9500000000);
voltage_map("VPWR", 1.9500000000);
driver_model : "ramp";
in_place_swap_mode : "match_footprint";
input_threshold_pct_fall : 50.000000000;
input_threshold_pct_rise : 50.000000000;
min_pulse_width_mode : "max";
nom_process : 1.0000000000;
nom_temperature : 100.00000000;
nom_voltage : 1.9500000000;
output_threshold_pct_fall : 50.000000000;
output_threshold_pct_rise : 50.000000000;
simulation : "true";
slew_derate_from_library : 1.0000000000;
slew_lower_threshold_pct_fall : 20.000000000;
slew_lower_threshold_pct_rise : 20.000000000;
slew_upper_threshold_pct_fall : 80.00000000;
slew_upper_threshold_pct_rise : 80.00000000;
switching_power_split_model : "true";
tran_timestep : "0.0000000000";
cell ("sky130_fd_sc_hvl__lsbufhv2hv_hl_1") {
leakage_power () {
value : 3802.8397285;
when : "!A";
}
leakage_power () {
value : 3802.6337279;
when : "A";
}
area : 70.32960000;
cell_footprint : "sky130_fd_sc_hvl__lsbufhv2hv_hl";
cell_leakage_power : 3802.7370000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
is_level_shifter : "true";
level_shifter_type : "HL";
pg_pin ("LOWHVPWR") {
pg_type : "primary_power";
voltage_name : "LOWHVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0021560000;
clock : "false";
input_voltage_range(1.3200000000, 5.5000000000);
direction : "input";
fall_capacitance : 0.0020440000;
input_signal_level : "VPWR";
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0022670000;
}
pin ("X") {
output_voltage_range(1.0000000000, 5.5000000000);
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0016016400, 0.0051304700, 0.0164343000, 0.0526434000, 0.1686310000, 0.5401710000");
values("0.0161364000, 0.0149514000, 0.0110238000, -0.0039476000, -0.0527056000, -0.2097952000, -0.7208894000", \
"0.0162262000, 0.0149818000, 0.0107464000, -0.0040972000, -0.0531712000, -0.2110130000, -0.7168425000", \
"0.0161531000, 0.0149297000, 0.0107069000, -0.0041389000, -0.0532120000, -0.2110464000, -0.7169477000", \
"0.0160239000, 0.0147744000, 0.0105584000, -0.0042577000, -0.0533383000, -0.2111706000, -0.7169539000", \
"0.0157411000, 0.0145090000, 0.0102649000, -0.0045356000, -0.0536160000, -0.2114505000, -0.7171984000", \
"0.0154972000, 0.0142699000, 0.0100014000, -0.0048083000, -0.0538732000, -0.2117060000, -0.7173238000", \
"0.0152524000, 0.0139794000, 0.0096697000, -0.0051554000, -0.0541278000, -0.2119410000, -0.7176577000", \
"0.0150945000, 0.0138576000, 0.0094962000, -0.0053530000, -0.0542710000, -0.2120374000, -0.7177069000", \
"0.0149387000, 0.0135777000, 0.0091774000, -0.0056673000, -0.0545102000, -0.2121782000, -0.7178589000", \
"0.0147045000, 0.0135093000, 0.0091184000, -0.0057502000, -0.0545961000, -0.2122371000, -0.7179229000", \
"0.0144771000, 0.0131696000, 0.0090569000, -0.0058325000, -0.0546510000, -0.2122616000, -0.7179504000");
}
related_pin : "A";
rise_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0016016400, 0.0051304700, 0.0164343000, 0.0526434000, 0.1686310000, 0.5401710000");
values("0.0093691000, 0.0110047000, 0.0163030000, 0.0316006000, 0.0805097000, 0.2362076000, 0.7415610000", \
"0.0093462000, 0.0110572000, 0.0161935000, 0.0316572000, 0.0805144000, 0.2367318000, 0.7376735000", \
"0.0093361000, 0.0110155000, 0.0161621000, 0.0316322000, 0.0805426000, 0.2368556000, 0.7375896000", \
"0.0092411000, 0.0109416000, 0.0160726000, 0.0316467000, 0.0805208000, 0.2365888000, 0.7369751000", \
"0.0090699000, 0.0107627000, 0.0158664000, 0.0313861000, 0.0803317000, 0.2365560000, 0.7370882000", \
"0.0088208000, 0.0105197000, 0.0156164000, 0.0311941000, 0.0800505000, 0.2362241000, 0.7373416000", \
"0.0084611000, 0.0101098000, 0.0151773000, 0.0307863000, 0.0797414000, 0.2362036000, 0.7366804000", \
"0.0083355000, 0.0099878000, 0.0150123000, 0.0306269000, 0.0796662000, 0.2358234000, 0.7364642000", \
"0.0083342000, 0.0099429000, 0.0148127000, 0.0303299000, 0.0794570000, 0.2359349000, 0.7361810000", \
"0.0083255000, 0.0099218000, 0.0148340000, 0.0302044000, 0.0793342000, 0.2358448000, 0.7360775000", \
"0.0082869000, 0.0098290000, 0.0148170000, 0.0301289000, 0.0792180000, 0.2355869000, 0.7366589000");
}
}
max_capacitance : 0.5401710000;
max_transition : 18.617959000;
power_down_function : "(!LOWHVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "LOWHVPWR";
timing () {
cell_fall ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0016016400, 0.0051304700, 0.0164343000, 0.0526434000, 0.1686310000, 0.5401710000");
values("0.6605029000, 0.7060794000, 0.8269100000, 1.1367111000, 2.0525159000, 4.9499405000, 14.240004700", \
"0.6647008000, 0.7103499000, 0.8311475000, 1.1419802000, 2.0564841000, 4.9521334000, 14.261770400", \
"0.6699912000, 0.7156707000, 0.8356844000, 1.1469945000, 2.0620687000, 4.9646784000, 14.265283200", \
"0.6895150000, 0.7349552000, 0.8561376000, 1.1676697000, 2.0821627000, 4.9834012000, 14.250336000", \
"0.7594488000, 0.8051894000, 0.9255286000, 1.2373227000, 2.1481883000, 5.0511435000, 14.321724300", \
"0.8693470000, 0.9143466000, 1.0344050000, 1.3461866000, 2.2612705000, 5.1682330000, 14.454812500", \
"1.0974855000, 1.1421121000, 1.2616778000, 1.5730736000, 2.4879891000, 5.3969300000, 14.698149400", \
"1.3260149000, 1.3711923000, 1.4896830000, 1.8016231000, 2.7171365000, 5.6265068000, 14.922807900", \
"1.9202340000, 1.9690249000, 2.0928363000, 2.4062430000, 3.3258358000, 6.2355392000, 15.541347800", \
"2.1900421000, 2.2412483000, 2.3696980000, 2.6899429000, 3.6051040000, 6.5028800000, 15.816775400", \
"2.4460718000, 2.4977687000, 2.6290969000, 2.9556020000, 3.8763828000, 6.7834431000, 16.077971000");
}
cell_rise ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0016016400, 0.0051304700, 0.0164343000, 0.0526434000, 0.1686310000, 0.5401710000");
values("0.4276145000, 0.4646552000, 0.5649525000, 0.8387644000, 1.6834238000, 4.3714428000, 12.988909500", \
"0.4319608000, 0.4692199000, 0.5695954000, 0.8435623000, 1.6856674000, 4.3756896000, 13.018572000", \
"0.4369091000, 0.4740082000, 0.5745115000, 0.8488354000, 1.6908032000, 4.3866653000, 12.996128600", \
"0.4545732000, 0.4921084000, 0.5929630000, 0.8682354000, 1.7135086000, 4.4072430000, 13.023468500", \
"0.5163196000, 0.5533294000, 0.6531994000, 0.9282551000, 1.7703492000, 4.4706581000, 13.098918400", \
"0.6081871000, 0.6453600000, 0.7453237000, 1.0206488000, 1.8647914000, 4.5543107000, 13.165156100", \
"0.7819682000, 0.8197717000, 0.9204087000, 1.1976949000, 2.0416451000, 4.7349822000, 13.373695000", \
"0.9194496000, 0.9602501000, 1.0667790000, 1.3462470000, 2.1951667000, 4.8929731000, 13.527092300", \
"1.1929547000, 1.2405261000, 1.3569159000, 1.6472010000, 2.4966522000, 5.1979399000, 13.828848300", \
"1.2993048000, 1.3486298000, 1.4690569000, 1.7657475000, 2.6177198000, 5.3143179000, 13.951276600", \
"1.3878315000, 1.4392981000, 1.5677928000, 1.8697608000, 2.7235165000, 5.4206781000, 14.029794200");
}
fall_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0016016400, 0.0051304700, 0.0164343000, 0.0526434000, 0.1686310000, 0.5401710000");
values("0.1728183000, 0.2117620000, 0.3260600000, 0.6963400000, 1.9187631000, 5.8829581000, 18.571784100", \
"0.1731285000, 0.2119746000, 0.3260751000, 0.6960454000, 1.9184470000, 5.8821241000, 18.608261600", \
"0.1728144000, 0.2114440000, 0.3283578000, 0.6962409000, 1.9171196000, 5.8895901000, 18.608840700", \
"0.1729849000, 0.2114028000, 0.3284735000, 0.6960297000, 1.9199455000, 5.8890621000, 18.567075000", \
"0.1733217000, 0.2113901000, 0.3283211000, 0.6954354000, 1.9235880000, 5.8881304000, 18.577470200", \
"0.1732909000, 0.2117042000, 0.3273209000, 0.6963443000, 1.9196749000, 5.8900991000, 18.612304600", \
"0.1731414000, 0.2125302000, 0.3273538000, 0.6963518000, 1.9195290000, 5.8894871000, 18.587547500", \
"0.1750053000, 0.2127319000, 0.3292950000, 0.6960718000, 1.9242141000, 5.8889196000, 18.615351000", \
"0.1989646000, 0.2349042000, 0.3462226000, 0.7044544000, 1.9187532000, 5.8925331000, 18.597246400", \
"0.2133169000, 0.2498072000, 0.3613922000, 0.7158554000, 1.9271671000, 5.8830656000, 18.592970700", \
"0.2257847000, 0.2627350000, 0.3736113000, 0.7304810000, 1.9228197000, 5.8911680000, 18.617958700");
}
related_pin : "A";
rise_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0016016400, 0.0051304700, 0.0164343000, 0.0526434000, 0.1686310000, 0.5401710000");
values("0.1336028000, 0.1674807000, 0.2772038000, 0.6322542000, 1.7994666000, 5.5591900000, 17.607543900", \
"0.1335589000, 0.1679372000, 0.2771530000, 0.6332308000, 1.8002377000, 5.5581473000, 17.615226600", \
"0.1329636000, 0.1680875000, 0.2767669000, 0.6331852000, 1.8003394000, 5.5642992000, 17.604426400", \
"0.1331709000, 0.1685094000, 0.2775053000, 0.6327104000, 1.8010081000, 5.5553362000, 17.575708000", \
"0.1334146000, 0.1683966000, 0.2758596000, 0.6328879000, 1.8003289000, 5.5593432000, 17.590263500", \
"0.1336427000, 0.1684472000, 0.2769736000, 0.6323943000, 1.8020603000, 5.5585931000, 17.596917700", \
"0.1412368000, 0.1741087000, 0.2808938000, 0.6334536000, 1.7996848000, 5.5623115000, 17.588096300", \
"0.1571418000, 0.1909583000, 0.2925094000, 0.6377312000, 1.8005760000, 5.5576077000, 17.593249500", \
"0.1920615000, 0.2261477000, 0.3257002000, 0.6606308000, 1.8078751000, 5.5624345000, 17.597125500", \
"0.2094402000, 0.2430721000, 0.3415419000, 0.6690029000, 1.8092726000, 5.5646128000, 17.604227400", \
"0.2254898000, 0.2591432000, 0.3569971000, 0.6791890000, 1.8159202000, 5.5565783000, 17.605325200");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbufhv2hv_lh_1") {
leakage_power () {
value : 3803.6564547;
when : "!A";
}
leakage_power () {
value : 3803.6225760;
when : "A";
}
area : 85.95840000;
cell_footprint : "sky130_fd_sc_hvl__lsbufhv2hv_lh";
cell_leakage_power : 3803.6400000;
input_voltage_range(1.3200000000, 5.5000000000);
output_voltage_range(1.6500000000, 5.5000000000);
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LOWHVPWR") {
pg_type : "primary_power";
voltage_name : "LOWHVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0025660000;
clock : "false";
direction : "input";
fall_capacitance : 0.0025490000;
input_signal_level : "LOWHVPWR";
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "LOWHVPWR";
rise_capacitance : 0.0025840000;
}
pin ("X") {
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_5") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0011952800, 0.0028574000, 0.0068308100, 0.0163295000, 0.0390367000, 0.0933198000, 0.2230870000, 0.5333050000");
values("0.1211646000, 0.1200081000, 0.1176189000, 0.1114948000, 0.0954831000, 0.0539887000, -0.0483218000, -0.2946544000, -0.8842969000", \
"0.1211036000, 0.1199130000, 0.1174897000, 0.1115096000, 0.0954265000, 0.0539967000, -0.0483577000, -0.2946931000, -0.8843304000", \
"0.1210811000, 0.1198892000, 0.1174649000, 0.1114734000, 0.0954175000, 0.0539523000, -0.0483907000, -0.2947211000, -0.8843557000", \
"0.1209976000, 0.1198781000, 0.1174345000, 0.1114067000, 0.0953349000, 0.0538797000, -0.0484555000, -0.2947965000, -0.8844406000", \
"0.1208420000, 0.1197003000, 0.1172996000, 0.1112258000, 0.0951488000, 0.0537105000, -0.0486126000, -0.2949532000, -0.8845961000", \
"0.1206259000, 0.1195110000, 0.1170610000, 0.1110285000, 0.0949580000, 0.0535027000, -0.0488282000, -0.2951692000, -0.8848137000", \
"0.1203919000, 0.1192326000, 0.1168073000, 0.1107585000, 0.0946625000, 0.0532302000, -0.0491235000, -0.2954472000, -0.8850760000", \
"0.1201139000, 0.1189786000, 0.1165958000, 0.1105185000, 0.0944655000, 0.0529819000, -0.0493714000, -0.2957280000, -0.8853008000", \
"0.1195941000, 0.1184521000, 0.1160580000, 0.1099905000, 0.0939634000, 0.0525193000, -0.0498415000, -0.2961693000, -0.8858066000", \
"0.1196280000, 0.1184637000, 0.1160788000, 0.1100300000, 0.0939506000, 0.0525250000, -0.0498329000, -0.2961602000, -0.8858007000", \
"0.1200633000, 0.1188416000, 0.1164220000, 0.1104068000, 0.0943565000, 0.0529566000, -0.0493965000, -0.2957296000, -0.8853657000");
}
related_pin : "A";
rise_power ("power_outputs_5") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0011952800, 0.0028574000, 0.0068308100, 0.0163295000, 0.0390367000, 0.0933198000, 0.2230870000, 0.5333050000");
values("0.0686342000, 0.0718635000, 0.0798238000, 0.0968734000, 0.1287788000, 0.1835969000, 0.2879795000, 0.5321503000, 1.1159721000", \
"0.0688335000, 0.0720054000, 0.0795006000, 0.0968589000, 0.1288398000, 0.1835019000, 0.2879663000, 0.5319873000, 1.1154647000", \
"0.0687827000, 0.0718530000, 0.0794664000, 0.0968633000, 0.1289150000, 0.1834797000, 0.2878739000, 0.5321011000, 1.1163304000", \
"0.0687292000, 0.0719017000, 0.0795746000, 0.0965752000, 0.1288673000, 0.1833806000, 0.2878782000, 0.5320935000, 1.1163640000", \
"0.0686934000, 0.0717568000, 0.0793695000, 0.0967531000, 0.1288299000, 0.1833551000, 0.2878285000, 0.5319856000, 1.1150873000", \
"0.0685704000, 0.0716598000, 0.0792423000, 0.0966228000, 0.1286938000, 0.1832207000, 0.2877379000, 0.5317884000, 1.1161654000", \
"0.0683825000, 0.0714384000, 0.0790540000, 0.0964324000, 0.1285107000, 0.1830369000, 0.2875531000, 0.5316236000, 1.1151073000", \
"0.0681825000, 0.0712411000, 0.0788575000, 0.0962365000, 0.1283055000, 0.1828384000, 0.2873547000, 0.5314075000, 1.1148266000", \
"0.0675503000, 0.0708973000, 0.0784465000, 0.0957445000, 0.1277484000, 0.1821913000, 0.2868016000, 0.5309994000, 1.1149934000", \
"0.0674887000, 0.0707543000, 0.0783270000, 0.0957366000, 0.1275970000, 0.1820842000, 0.2866279000, 0.5307411000, 1.1140440000", \
"0.0673719000, 0.0705999000, 0.0781918000, 0.0955323000, 0.1275020000, 0.1819602000, 0.2864262000, 0.5306201000, 1.1145563000");
}
}
max_capacitance : 0.5333050000;
max_transition : 13.763035000;
power_down_function : "(!LOWHVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_11_9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0011952800, 0.0028574000, 0.0068308100, 0.0163295000, 0.0390367000, 0.0933198000, 0.2230870000, 0.5333050000");
values("4.7078754000, 4.7647726000, 4.8796811000, 5.0921418000, 5.4591291000, 6.0948149000, 7.2638118000, 9.726848700, 15.482401100", \
"4.7115303000, 4.7678657000, 4.8829172000, 5.0962767000, 5.4657505000, 6.0987873000, 7.2679454000, 9.731711400, 15.488399900", \
"4.7169717000, 4.7733040000, 4.8883662000, 5.1017066000, 5.4711840000, 6.1042309000, 7.2733992000, 9.737196800, 15.493299100", \
"4.7366981000, 4.7926134000, 4.9074536000, 5.1208451000, 5.4903269000, 6.1233778000, 7.2925714000, 9.756315800, 15.509327200", \
"4.8007966000, 4.8567013000, 4.9715321000, 5.1849645000, 5.5544285000, 6.1874696000, 7.3566531000, 9.820488400, 15.567435300", \
"4.8998365000, 4.9557674000, 5.0706204000, 5.2839897000, 5.6534799000, 6.2865126000, 7.4556876000, 9.919489200, 15.671144400", \
"5.1042366000, 5.1601257000, 5.2750502000, 5.4882277000, 5.8577108000, 6.4908128000, 7.6599953000, 10.122654700, 15.876102200", \
"5.3103975000, 5.3669220000, 5.4816267000, 5.6946390000, 6.0642198000, 6.6973169000, 7.8666078000, 10.329317800, 16.076377600", \
"5.8920597000, 5.9485639000, 6.0629919000, 6.2762684000, 6.6456205000, 7.2788571000, 8.448137300, 10.911793200, 16.669403600", \
"6.1926180000, 6.2488810000, 6.3640830000, 6.5765891000, 6.9449539000, 7.5782109000, 8.748400200, 11.211472600, 16.968298800", \
"6.4881376000, 6.5445347000, 6.6594330000, 6.8730999000, 7.2415047000, 7.8751459000, 9.044701000, 11.507687200, 17.263433300");
}
cell_rise ("del_1_11_9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0011952800, 0.0028574000, 0.0068308100, 0.0163295000, 0.0390367000, 0.0933198000, 0.2230870000, 0.5333050000");
values("1.9155082000, 1.9353563000, 1.9768975000, 2.0569688000, 2.2255318000, 2.6091609000, 3.5043202000, 5.6222489000, 10.683978100", \
"1.9215605000, 1.9417039000, 1.9820563000, 2.0621216000, 2.2306832000, 2.6143192000, 3.5098071000, 5.6276552000, 10.688094000", \
"1.9276092000, 1.9465178000, 1.9881932000, 2.0682280000, 2.2364208000, 2.6201907000, 3.5160401000, 5.6334186000, 10.692581900", \
"1.9492170000, 1.9694533000, 2.0092801000, 2.0897835000, 2.2585092000, 2.6431060000, 3.5374503000, 5.6553313000, 10.715043100", \
"2.0205198000, 2.0393645000, 2.0811064000, 2.1610902000, 2.3292217000, 2.7133966000, 3.6089902000, 5.7264873000, 10.783669100", \
"2.1268085000, 2.1455901000, 2.1873829000, 2.2673594000, 2.4354929000, 2.8198175000, 3.7152728000, 5.8328942000, 10.892264000", \
"2.3392157000, 2.3580233000, 2.3997850000, 2.4797575000, 2.6478952000, 3.0322269000, 3.9276760000, 6.0453034000, 11.104141600", \
"2.5554412000, 2.5742461000, 2.6160214000, 2.6959994000, 2.8641334000, 3.2484486000, 4.1439172000, 6.2615366000, 11.322223200", \
"3.1316554000, 3.1523189000, 3.1928538000, 3.2730620000, 3.4417462000, 3.8262056000, 4.7200306000, 6.8382242000, 11.899477000", \
"3.4020990000, 3.4227570000, 3.4633361000, 3.5435836000, 3.7121306000, 4.0963126000, 4.9908633000, 7.1088562000, 12.164287400", \
"3.6521577000, 3.6720100000, 3.7137053000, 3.7936925000, 3.9617540000, 4.3458845000, 5.2414082000, 7.3586635000, 12.420184000");
}
fall_transition ("del_1_11_9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0011952800, 0.0028574000, 0.0068308100, 0.0163295000, 0.0390367000, 0.0933198000, 0.2230870000, 0.5333050000");
values("0.3858431000, 0.4251121000, 0.5059509000, 0.6567675000, 0.9311525000, 1.4819467000, 2.7515268000, 5.9097971000, 13.751472800", \
"0.3856404000, 0.4245076000, 0.5036180000, 0.6561369000, 0.9320014000, 1.4833501000, 2.7538185000, 5.9030207000, 13.747039100", \
"0.3856739000, 0.4245021000, 0.5036402000, 0.6561183000, 0.9319810000, 1.4870916000, 2.7547089000, 5.9022258000, 13.747899700", \
"0.3844495000, 0.4253848000, 0.5067830000, 0.6568594000, 0.9314597000, 1.4870903000, 2.7470715000, 5.9028227000, 13.761881400", \
"0.3846045000, 0.4253553000, 0.5080961000, 0.6568942000, 0.9314481000, 1.4871019000, 2.7532168000, 5.9044525000, 13.758414900", \
"0.3836498000, 0.4255006000, 0.5068103000, 0.6568678000, 0.9313210000, 1.4871179000, 2.7472278000, 5.9052994000, 13.763035200", \
"0.3852883000, 0.4253708000, 0.5071532000, 0.6564805000, 0.9302899000, 1.4871050000, 2.7491944000, 5.9097300000, 13.762810400", \
"0.3852670000, 0.4266526000, 0.5070738000, 0.6558849000, 0.9305197000, 1.4864346000, 2.7521112000, 5.9105725000, 13.763029800", \
"0.3855629000, 0.4264994000, 0.5069406000, 0.6564475000, 0.9329147000, 1.4868777000, 2.7465221000, 5.9043694000, 13.732984500", \
"0.3847054000, 0.4248228000, 0.5059017000, 0.6572548000, 0.9330858000, 1.4868287000, 2.7513212000, 5.9025203000, 13.742548400", \
"0.3854985000, 0.4244485000, 0.5033342000, 0.6569717000, 0.9301680000, 1.4838045000, 2.7459559000, 5.9079857000, 13.758168800");
}
related_pin : "A";
rise_transition ("del_1_11_9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0011952800, 0.0028574000, 0.0068308100, 0.0163295000, 0.0390367000, 0.0933198000, 0.2230870000, 0.5333050000");
values("0.1106446000, 0.1247712000, 0.1595224000, 0.2413783000, 0.4549442000, 0.9829678000, 2.2336104000, 5.2383191000, 12.453830000", \
"0.1112327000, 0.1262371000, 0.1595231000, 0.2413771000, 0.4538558000, 0.9811343000, 2.2335733000, 5.2443485000, 12.467248600", \
"0.1112312000, 0.1248832000, 0.1595565000, 0.2413648000, 0.4541203000, 0.9809582000, 2.2336679000, 5.2442495000, 12.473794400", \
"0.1102144000, 0.1249062000, 0.1595894000, 0.2412363000, 0.4544783000, 0.9803247000, 2.2331550000, 5.2447047000, 12.469534700", \
"0.1115930000, 0.1248752000, 0.1596231000, 0.2413303000, 0.4545218000, 0.9803942000, 2.2364597000, 5.2463895000, 12.478623500", \
"0.1115669000, 0.1253617000, 0.1596267000, 0.2413206000, 0.4545315000, 0.9801713000, 2.2355457000, 5.2456239000, 12.468379200", \
"0.1115708000, 0.1249695000, 0.1596399000, 0.2413179000, 0.4545456000, 0.9801762000, 2.2356192000, 5.2426588000, 12.474420600", \
"0.1115735000, 0.1249765000, 0.1596288000, 0.2413243000, 0.4545346000, 0.9801808000, 2.2356714000, 5.2457221000, 12.466334600", \
"0.1102492000, 0.1251544000, 0.1587574000, 0.2410956000, 0.4537086000, 0.9799325000, 2.2322018000, 5.2343760000, 12.439144800", \
"0.1104164000, 0.1264126000, 0.1590880000, 0.2412057000, 0.4536511000, 0.9802074000, 2.2335924000, 5.2469971000, 12.478190000", \
"0.1106632000, 0.1247264000, 0.1595689000, 0.2413204000, 0.4544159000, 0.9802169000, 2.2362818000, 5.2342362000, 12.442181500");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbufhv2lv_1") {
leakage_power () {
value : 2739.7691156;
when : "A";
}
leakage_power () {
value : 2740.2013529;
when : "!A";
}
area : 66.42240000;
cell_footprint : "sky130_fd_sc_hvl__lsbufhv2lv";
cell_leakage_power : 2739.9850000;
dont_touch : "true";
dont_use : "true";
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
is_level_shifter : "true";
level_shifter_type : "HL";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0018330000;
clock : "false";
input_voltage_range(1.3200000000, 5.5000000000);
direction : "input";
fall_capacitance : 0.0017820000;
input_signal_level : "VPWR";
level_shifter_data_pin : "true";
max_transition : 7.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0018840000;
}
pin ("X") {
output_voltage_range(1.6000000000, 1.9500000000);
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0017481300, 0.0061119000, 0.0213688000, 0.0747107000, 0.2612080000, 0.9132480000");
values("0.3712646000, 0.3691005000, 0.3620782000, 0.3341231000, 0.2319739000, -0.1288680000, -1.3756180000", \
"0.3710702000, 0.3689343000, 0.3619079000, 0.3340620000, 0.2319453000, -0.1291595000, -1.3765371000", \
"0.3708281000, 0.3685835000, 0.3620338000, 0.3341088000, 0.2318972000, -0.1289884000, -1.3753536000", \
"0.3709899000, 0.3688615000, 0.3617722000, 0.3337534000, 0.2316488000, -0.1292861000, -1.3758178000", \
"0.3709233000, 0.3687684000, 0.3617591000, 0.3338073000, 0.2316784000, -0.1294436000, -1.3767723000", \
"0.3708750000, 0.3686969000, 0.3617229000, 0.3337524000, 0.2316285000, -0.1294946000, -1.3768748000", \
"0.3704006000, 0.3682946000, 0.3612501000, 0.3331539000, 0.2310014000, -0.1299408000, -1.3763685000", \
"0.3694133000, 0.3672361000, 0.3601425000, 0.3321572000, 0.2294960000, -0.1312443000, -1.3775857000", \
"0.3765983000, 0.3744317000, 0.3673742000, 0.3394926000, 0.2373020000, -0.1236965000, -1.3705119000", \
"0.3892586000, 0.3870897000, 0.3800809000, 0.3519781000, 0.2497776000, -0.1114707000, -1.3587300000", \
"0.4017314000, 0.3995624000, 0.3925455000, 0.3644143000, 0.2621398000, -0.0994874000, -1.3472799000", \
"0.4193970000, 0.4172358000, 0.4101892000, 0.3822274000, 0.2800124000, -0.0818037000, -1.3306797000", \
"0.4286622000, 0.4265424000, 0.4194731000, 0.3915218000, 0.2893064000, -0.0726408000, -1.3214918000", \
"0.4522448000, 0.4496575000, 0.4409379000, 0.4110988000, 0.3082957000, -0.0536471000, -1.3031928000", \
"0.4751164000, 0.4724823000, 0.4638518000, 0.4339042000, 0.3293564000, -0.0329601000, -1.2833169000");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0017481300, 0.0061119000, 0.0213688000, 0.0747107000, 0.2612080000, 0.9132480000");
values("0.5015899000, 0.5035534000, 0.5116399000, 0.5407636000, 0.6404912000, 0.9886337000, 2.2139828000", \
"0.5016037000, 0.5035240000, 0.5116212000, 0.5406474000, 0.6401393000, 0.9886990000, 2.2142493000", \
"0.5011776000, 0.5031108000, 0.5112198000, 0.5402639000, 0.6399463000, 0.9883109000, 2.2137925000", \
"0.5013296000, 0.5032510000, 0.5113741000, 0.5403506000, 0.6399508000, 0.9883068000, 2.2140600000", \
"0.5011730000, 0.5030515000, 0.5112890000, 0.5402946000, 0.6398646000, 0.9884193000, 2.2146499000", \
"0.5011336000, 0.5032056000, 0.5112473000, 0.5402902000, 0.6398784000, 0.9884764000, 2.2144735000", \
"0.5003703000, 0.5024419000, 0.5104819000, 0.5395253000, 0.6391143000, 0.9873511000, 2.2141592000", \
"0.4953222000, 0.4973917000, 0.5054084000, 0.5344548000, 0.6341239000, 0.9825154000, 2.2079324000", \
"0.4863927000, 0.4883134000, 0.4963945000, 0.5254132000, 0.6248892000, 0.9742008000, 2.1991589000", \
"0.4850881000, 0.4871703000, 0.4953094000, 0.5242069000, 0.6238159000, 0.9722005000, 2.1975616000", \
"0.4837724000, 0.4858193000, 0.4934956000, 0.5225034000, 0.6223967000, 0.9707280000, 2.1963447000", \
"0.4808628000, 0.4828854000, 0.4908920000, 0.5199192000, 0.6193910000, 0.9680522000, 2.1936948000", \
"0.4794293000, 0.4814863000, 0.4894220000, 0.5184730000, 0.6180325000, 0.9665860000, 2.1918493000", \
"0.4810731000, 0.4829912000, 0.4901709000, 0.5173617000, 0.6161072000, 0.9645926000, 2.1901787000", \
"0.4811279000, 0.4830635000, 0.4900563000, 0.5173406000, 0.6151411000, 0.9639773000, 2.1891938000");
}
}
max_capacitance : 0.9132480000;
max_transition : 5.0001340000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
timing () {
cell_fall ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0017481300, 0.0061119000, 0.0213688000, 0.0747107000, 0.2612080000, 0.9132480000");
values("1.9350164000, 1.9415871000, 1.9589257000, 2.0044819000, 2.1485385000, 2.6534843000, 4.4352406000", \
"1.9386506000, 1.9452453000, 1.9625939000, 2.0084037000, 2.1524460000, 2.6566871000, 4.4379571000", \
"1.9416295000, 1.9480233000, 1.9666138000, 2.0121751000, 2.1562105000, 2.6608766000, 4.4446109000", \
"1.9596706000, 1.9660802000, 1.9834465000, 2.0287887000, 2.1729554000, 2.6773497000, 4.4599369000", \
"2.0148303000, 2.0214026000, 2.0387432000, 2.0843025000, 2.2284019000, 2.7325393000, 4.5139328000", \
"2.1049575000, 2.1115343000, 2.1288882000, 2.1744095000, 2.3185078000, 2.8226295000, 4.6039794000", \
"2.2892206000, 2.2956261000, 2.3130688000, 2.3583138000, 2.5023468000, 3.0068485000, 4.7896109000", \
"2.4739997000, 2.4804971000, 2.4978430000, 2.5432101000, 2.6862754000, 3.1910319000, 4.9742281000", \
"2.9932545000, 2.9996450000, 3.0170508000, 3.0627625000, 3.2068133000, 3.7113159000, 5.4955382000", \
"3.2599767000, 3.2664751000, 3.2839038000, 3.3291754000, 3.4732441000, 3.9771169000, 5.7606089000", \
"3.5082997000, 3.5147893000, 3.5322139000, 3.5774104000, 3.7212835000, 4.2242760000, 6.0055059000", \
"3.7902934000, 3.7968491000, 3.8142214000, 3.8598431000, 4.0036690000, 4.5068576000, 6.2856138000", \
"3.9605119000, 3.9668291000, 3.9841810000, 4.0295820000, 4.1730992000, 4.6761768000, 6.4560917000", \
"4.3432840000, 4.3496100000, 4.3670205000, 4.4123110000, 4.5559014000, 5.0586939000, 6.8382557000", \
"4.6959268000, 4.7024287000, 4.7199307000, 4.7651771000, 4.9088738000, 5.4106479000, 7.1892785000");
}
cell_rise ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0017481300, 0.0061119000, 0.0213688000, 0.0747107000, 0.2612080000, 0.9132480000");
values("2.4723326000, 2.4819624000, 2.5071547000, 2.5694424000, 2.7652711000, 3.4506715000, 5.8671734000", \
"2.4770292000, 2.4865972000, 2.5117632000, 2.5741145000, 2.7696858000, 3.4555816000, 5.8716636000", \
"2.4815923000, 2.4912108000, 2.5164038000, 2.5787570000, 2.7742884000, 3.4603895000, 5.8764358000", \
"2.5005833000, 2.5101297000, 2.5352918000, 2.5976037000, 2.7925311000, 3.4799710000, 5.8902740000", \
"2.5627043000, 2.5721638000, 2.5976794000, 2.6599980000, 2.8550240000, 3.5423378000, 5.9536323000", \
"2.6562454000, 2.6662175000, 2.6912432000, 2.7536433000, 2.9491400000, 3.6355725000, 6.0503229000", \
"2.8419460000, 2.8519200000, 2.8769398000, 2.9393414000, 3.1348393000, 3.8212753000, 6.2360166000", \
"3.0117402000, 3.0217342000, 3.0466754000, 3.1090841000, 3.3046195000, 3.9904036000, 6.4064039000", \
"3.4089571000, 3.4185401000, 3.4436860000, 3.5060475000, 3.7016685000, 4.3874402000, 6.8035488000", \
"3.5787413000, 3.5887764000, 3.6138672000, 3.6762633000, 3.8717136000, 4.5587027000, 6.9723809000", \
"3.7313082000, 3.7411167000, 3.7652743000, 3.8276684000, 4.0232764000, 4.7102979000, 7.1237141000", \
"3.8905017000, 3.9003663000, 3.9252610000, 3.9876449000, 4.1832910000, 4.8702860000, 7.2801192000", \
"3.9878940000, 3.9977541000, 4.0224597000, 4.0848263000, 4.2798338000, 4.9664921000, 7.3813267000", \
"4.2117945000, 4.2219073000, 4.2469246000, 4.3092894000, 4.5048418000, 5.1908375000, 7.6023561000", \
"4.4099342000, 4.4200181000, 4.4447761000, 4.5071835000, 4.7027440000, 5.3901537000, 7.8057463000");
}
fall_transition ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0017481300, 0.0061119000, 0.0213688000, 0.0747107000, 0.2612080000, 0.9132480000");
values("0.0197682000, 0.0249275000, 0.0403464000, 0.0904210000, 0.2842551000, 0.9699471000, 3.3718153000", \
"0.0198471000, 0.0249051000, 0.0403322000, 0.0906153000, 0.2840263000, 0.9717516000, 3.3741387000", \
"0.0198609000, 0.0249551000, 0.0402106000, 0.0906186000, 0.2843944000, 0.9709140000, 3.3723442000", \
"0.0198197000, 0.0249799000, 0.0400194000, 0.0905853000, 0.2847735000, 0.9720934000, 3.3675782000", \
"0.0197722000, 0.0250164000, 0.0403586000, 0.0905533000, 0.2840613000, 0.9713305000, 3.3738028000", \
"0.0197771000, 0.0249459000, 0.0403543000, 0.0905845000, 0.2839682000, 0.9715747000, 3.3736287000", \
"0.0197997000, 0.0250025000, 0.0403210000, 0.0905819000, 0.2847244000, 0.9718214000, 3.3733152000", \
"0.0199114000, 0.0251307000, 0.0400614000, 0.0905225000, 0.2848100000, 0.9724715000, 3.3713232000", \
"0.0197789000, 0.0252645000, 0.0399865000, 0.0904289000, 0.2843104000, 0.9706934000, 3.3688545000", \
"0.0199259000, 0.0248221000, 0.0402143000, 0.0906131000, 0.2844616000, 0.9718002000, 3.3690764000", \
"0.0199235000, 0.0248506000, 0.0401946000, 0.0905718000, 0.2845486000, 0.9726434000, 3.3712739000", \
"0.0198670000, 0.0251317000, 0.0398760000, 0.0905526000, 0.2847131000, 0.9720524000, 3.3713792000", \
"0.0197913000, 0.0252829000, 0.0400941000, 0.0907757000, 0.2849344000, 0.9711867000, 3.3713765000", \
"0.0200730000, 0.0253822000, 0.0401289000, 0.0905399000, 0.2840984000, 0.9701954000, 3.3708909000", \
"0.0201526000, 0.0251016000, 0.0403008000, 0.0906065000, 0.2849040000, 0.9731264000, 3.3729683000");
}
related_pin : "A";
rise_transition ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0017481300, 0.0061119000, 0.0213688000, 0.0747107000, 0.2612080000, 0.9132480000");
values("0.0356037000, 0.0437256000, 0.0653991000, 0.1368969000, 0.4198784000, 1.4367603000, 4.9933280000", \
"0.0355722000, 0.0438116000, 0.0654179000, 0.1369112000, 0.4202134000, 1.4363584000, 4.9949320000", \
"0.0355350000, 0.0437825000, 0.0654264000, 0.1368823000, 0.4204218000, 1.4349164000, 4.9971773000", \
"0.0356381000, 0.0446093000, 0.0653416000, 0.1368386000, 0.4197915000, 1.4374599000, 4.9924833000", \
"0.0356574000, 0.0441175000, 0.0653769000, 0.1368077000, 0.4201860000, 1.4374930000, 4.9940696000", \
"0.0359912000, 0.0436865000, 0.0657312000, 0.1368276000, 0.4206977000, 1.4350523000, 4.9906328000", \
"0.0359858000, 0.0436866000, 0.0657327000, 0.1368270000, 0.4206974000, 1.4350524000, 5.0001336000", \
"0.0358631000, 0.0436774000, 0.0657639000, 0.1368662000, 0.4206350000, 1.4365253000, 4.9913242000", \
"0.0356109000, 0.0438132000, 0.0653829000, 0.1369170000, 0.4199044000, 1.4387658000, 4.9904831000", \
"0.0361174000, 0.0436675000, 0.0657108000, 0.1368176000, 0.4200011000, 1.4365623000, 4.9953517000", \
"0.0358242000, 0.0436583000, 0.0656914000, 0.1369558000, 0.4200108000, 1.4349283000, 4.9966031000", \
"0.0358100000, 0.0436906000, 0.0657834000, 0.1369281000, 0.4215872000, 1.4366847000, 4.9959756000", \
"0.0360137000, 0.0436946000, 0.0654751000, 0.1369105000, 0.4207822000, 1.4364390000, 4.9954187000", \
"0.0361498000, 0.0438948000, 0.0656061000, 0.1368217000, 0.4202912000, 1.4372231000, 4.9942486000", \
"0.0355432000, 0.0439340000, 0.0654523000, 0.1367885000, 0.4200834000, 1.4369643000, 4.9906310000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbufhv2lv_simple_1") {
leakage_power () {
value : 2722.9557418;
when : "!A";
}
leakage_power () {
value : 2722.7501960;
when : "A";
}
area : 70.32960000;
cell_footprint : "sky130_fd_sc_hvl__lsbufhv2lv_simple";
cell_leakage_power : 2722.8530000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
is_level_shifter : "true";
level_shifter_type : "HL";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0024770000;
clock : "false";
input_voltage_range(1.3200000000, 5.5000000000);
direction : "input";
fall_capacitance : 0.0024250000;
input_signal_level : "VPWR";
level_shifter_data_pin : "true";
max_transition : 7.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0025290000;
}
pin ("X") {
output_voltage_range(1.0000000000, 1.9500000000);
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000");
values("0.0215170000, 0.0200971000, 0.0149065000, 0.0013349000, -0.0427716000, -0.1702875000, -0.5429105000", \
"0.0213878000, 0.0198578000, 0.0153189000, 0.0009363000, -0.0426698000, -0.1702820000, -0.5410473000", \
"0.0213153000, 0.0197971000, 0.0152960000, 0.0008690000, -0.0427455000, -0.1703490000, -0.5409469000", \
"0.0211877000, 0.0196342000, 0.0151179000, 0.0007404000, -0.0428671000, -0.1705130000, -0.5411704000", \
"0.0207993000, 0.0192992000, 0.0147468000, 0.0003347000, -0.0432314000, -0.1708638000, -0.5414957000", \
"0.0205394000, 0.0189926000, 0.0143664000, -0.0000373654, -0.0435828000, -0.1710980000, -0.5416921000", \
"0.0203452000, 0.0187806000, 0.0139735000, -0.0005112000, -0.0439431000, -0.1713923000, -0.5419831000", \
"0.0202040000, 0.0185915000, 0.0138556000, -0.0006712000, -0.0440864000, -0.1714730000, -0.5420219000", \
"0.0204222000, 0.0186603000, 0.0136132000, -0.0007258000, -0.0441082000, -0.1713926000, -0.5418083000", \
"0.0207717000, 0.0189048000, 0.0139389000, -0.0008289000, -0.0440199000, -0.1713038000, -0.5416937000", \
"0.0209801000, 0.0192208000, 0.0139837000, -0.0007970000, -0.0439109000, -0.1712085000, -0.5415606000", \
"0.0211910000, 0.0193765000, 0.0142701000, -0.0006195000, -0.0438164000, -0.1709977000, -0.5413476000", \
"0.0214257000, 0.0196224000, 0.0144944000, -0.0004312000, -0.0436422000, -0.1708758000, -0.5411934000", \
"0.0218957000, 0.0200883000, 0.0149146000, -0.0000146826, -0.0436271000, -0.1705017000, -0.5407855000", \
"0.0224925000, 0.0206459000, 0.0153670000, 0.0004629000, -0.0431624000, -0.1700553000, -0.5403202000");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000");
values("0.0126983000, 0.0146469000, 0.0202911000, 0.0359295000, 0.0798656000, 0.2064014000, 0.5732590000", \
"0.0125881000, 0.0146587000, 0.0202639000, 0.0358182000, 0.0797459000, 0.2063134000, 0.5731729000", \
"0.0125813000, 0.0145198000, 0.0202232000, 0.0358250000, 0.0796362000, 0.2063103000, 0.5728700000", \
"0.0123637000, 0.0143572000, 0.0200581000, 0.0356892000, 0.0796010000, 0.2059523000, 0.5727637000", \
"0.0119830000, 0.0139683000, 0.0196147000, 0.0351732000, 0.0791559000, 0.2057266000, 0.5726951000", \
"0.0116288000, 0.0135962000, 0.0191853000, 0.0347813000, 0.0788669000, 0.2053877000, 0.5720041000", \
"0.0113309000, 0.0132389000, 0.0187470000, 0.0342097000, 0.0783285000, 0.2051060000, 0.5717865000", \
"0.0112599000, 0.0132148000, 0.0186574000, 0.0341047000, 0.0782306000, 0.2048615000, 0.5717493000", \
"0.0119388000, 0.0137730000, 0.0190576000, 0.0341248000, 0.0781230000, 0.2050046000, 0.5719370000", \
"0.0120842000, 0.0139037000, 0.0193704000, 0.0342946000, 0.0783228000, 0.2052946000, 0.5724500000", \
"0.0123225000, 0.0141263000, 0.0194625000, 0.0347469000, 0.0784924000, 0.2052847000, 0.5722241000", \
"0.0127065000, 0.0144386000, 0.0197470000, 0.0351037000, 0.0786321000, 0.2055643000, 0.5724992000", \
"0.0128322000, 0.0147391000, 0.0200256000, 0.0352130000, 0.0787751000, 0.2059179000, 0.5726278000", \
"0.0134399000, 0.0152466000, 0.0205079000, 0.0357504000, 0.0795323000, 0.2063268000, 0.5734066000", \
"0.0141257000, 0.0158561000, 0.0210161000, 0.0362723000, 0.0803993000, 0.2067067000, 0.5740049000");
}
}
max_capacitance : 0.2975560000;
max_transition : 7.5009300000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
timing () {
cell_fall ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000");
values("0.4417499000, 0.4711473000, 0.5428386000, 0.7102279000, 1.1402420000, 2.3609592000, 5.8965610000", \
"0.4456552000, 0.4750174000, 0.5469753000, 0.7141989000, 1.1442141000, 2.3630784000, 5.8912124000", \
"0.4499207000, 0.4790769000, 0.5510473000, 0.7190168000, 1.1486067000, 2.3713895000, 5.9052536000", \
"0.4675555000, 0.4965208000, 0.5686993000, 0.7364696000, 1.1663777000, 2.3863578000, 5.9200631000", \
"0.5256451000, 0.5549732000, 0.6269283000, 0.7947481000, 1.2245804000, 2.4463513000, 5.9772183000", \
"0.6176844000, 0.6467643000, 0.7180186000, 0.8858677000, 1.3169367000, 2.5357667000, 6.0766294000", \
"0.7994154000, 0.8283760000, 0.8989370000, 1.0665940000, 1.4985837000, 2.7247418000, 6.2475016000", \
"0.9483163000, 0.9797531000, 1.0551068000, 1.2267670000, 1.6569277000, 2.8804563000, 6.4083761000", \
"1.2502965000, 1.2868687000, 1.3699986000, 1.5536803000, 1.9946844000, 3.2159710000, 6.7501937000", \
"1.3720612000, 1.4105204000, 1.4972815000, 1.6852560000, 2.1319024000, 3.3554883000, 6.8869603000", \
"1.4788403000, 1.5190391000, 1.6092339000, 1.8029680000, 2.2508622000, 3.4772918000, 7.0021042000", \
"1.5915496000, 1.6348348000, 1.7302222000, 1.9285009000, 2.3804170000, 3.6057419000, 7.1384285000", \
"1.6610208000, 1.7047500000, 1.8035676000, 2.0054534000, 2.4564257000, 3.6855565000, 7.2220909000", \
"1.8146940000, 1.8620414000, 1.9675914000, 2.1785689000, 2.6372446000, 3.8670591000, 7.3967241000", \
"1.9493012000, 1.9994155000, 2.1092053000, 2.3315321000, 2.7959468000, 4.0202357000, 7.5498114000");
}
cell_rise ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000");
values("0.3910376000, 0.4181009000, 0.4834609000, 0.6358956000, 1.0330929000, 2.1674447000, 5.4492659000", \
"0.3945878000, 0.4223199000, 0.4870187000, 0.6395581000, 1.0358452000, 2.1722459000, 5.4577916000", \
"0.3992359000, 0.4263528000, 0.4917636000, 0.6444606000, 1.0406915000, 2.1789095000, 5.4569051000", \
"0.4157387000, 0.4430308000, 0.5084032000, 0.6606206000, 1.0582167000, 2.1914621000, 5.4722915000", \
"0.4736684000, 0.5005807000, 0.5659419000, 0.7182430000, 1.1158123000, 2.2507813000, 5.5279865000", \
"0.5641238000, 0.5912664000, 0.6561286000, 0.8087601000, 1.2070117000, 2.3436448000, 5.6275837000", \
"0.7389292000, 0.7666339000, 0.8323892000, 0.9856925000, 1.3834233000, 2.5204410000, 5.8023768000", \
"0.8775310000, 0.9088090000, 0.9799553000, 1.1382597000, 1.5372261000, 2.6691875000, 5.9554530000", \
"1.1570940000, 1.1940695000, 1.2803799000, 1.4528374000, 1.8610370000, 2.9924410000, 6.2789489000", \
"1.2699425000, 1.3087668000, 1.4010320000, 1.5820062000, 1.9924411000, 3.1259622000, 6.4047327000", \
"1.3689806000, 1.4115360000, 1.5076435000, 1.6963871000, 2.1110589000, 3.2459511000, 6.5330226000", \
"1.4750925000, 1.5185544000, 1.6209752000, 1.8189267000, 2.2396165000, 3.3781804000, 6.6590342000", \
"1.5391470000, 1.5866342000, 1.6912431000, 1.8942128000, 2.3180957000, 3.4558474000, 6.7368218000", \
"1.6830527000, 1.7328993000, 1.8476897000, 2.0637485000, 2.4973192000, 3.6310952000, 6.9089698000", \
"1.8117973000, 1.8652473000, 1.9846045000, 2.2129483000, 2.6566298000, 3.7912185000, 7.0661264000");
}
fall_transition ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000");
values("0.1234703000, 0.1473155000, 0.2137293000, 0.4009096000, 0.9641806000, 2.6391360000, 7.4793265000", \
"0.1232558000, 0.1473337000, 0.2132506000, 0.4008989000, 0.9643663000, 2.6344951000, 7.4986799000", \
"0.1233053000, 0.1477659000, 0.2144843000, 0.4008682000, 0.9650315000, 2.6409686000, 7.4837585000", \
"0.1235175000, 0.1477438000, 0.2129953000, 0.4006777000, 0.9649005000, 2.6332037000, 7.4886302000", \
"0.1233674000, 0.1476719000, 0.2136186000, 0.4009417000, 0.9646872000, 2.6391151000, 7.4916642000", \
"0.1232567000, 0.1475486000, 0.2146345000, 0.4001180000, 0.9650224000, 2.6335747000, 7.4876922000", \
"0.1286040000, 0.1526270000, 0.2172503000, 0.4025476000, 0.9652130000, 2.6405349000, 7.4988620000", \
"0.1449872000, 0.1688240000, 0.2309884000, 0.4110857000, 0.9667100000, 2.6380882000, 7.4996791000", \
"0.1805637000, 0.2052934000, 0.2661797000, 0.4409195000, 0.9819213000, 2.6374558000, 7.5009305000", \
"0.1963039000, 0.2208571000, 0.2836822000, 0.4553997000, 0.9920048000, 2.6404812000, 7.5008391000", \
"0.2119887000, 0.2365564000, 0.2972096000, 0.4659972000, 0.9980351000, 2.6460589000, 7.5000264000", \
"0.2281540000, 0.2532948000, 0.3158945000, 0.4800007000, 1.0066501000, 2.6451057000, 7.4953972000", \
"0.2382677000, 0.2659708000, 0.3263206000, 0.4903842000, 1.0103349000, 2.6525167000, 7.4823880000", \
"0.2616218000, 0.2899181000, 0.3532017000, 0.5127871000, 1.0215609000, 2.6563034000, 7.4954416000", \
"0.2817899000, 0.3107501000, 0.3778750000, 0.5347063000, 1.0344582000, 2.6697866000, 7.5009128000");
}
related_pin : "A";
rise_transition ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000");
values("0.1148089000, 0.1376573000, 0.1998967000, 0.3771875000, 0.9211522000, 2.5259432000, 7.1903310000", \
"0.1152874000, 0.1378410000, 0.1993654000, 0.3771432000, 0.9197398000, 2.5265647000, 7.2071877000", \
"0.1153526000, 0.1376405000, 0.1993123000, 0.3766223000, 0.9207103000, 2.5332842000, 7.2060855000", \
"0.1150538000, 0.1374363000, 0.1990189000, 0.3772898000, 0.9208940000, 2.5262739000, 7.2058262000", \
"0.1153617000, 0.1374616000, 0.1985034000, 0.3768651000, 0.9207390000, 2.5253710000, 7.1901183000", \
"0.1151739000, 0.1378184000, 0.1994351000, 0.3778333000, 0.9209568000, 2.5350924000, 7.2085586000", \
"0.1263071000, 0.1474545000, 0.2058480000, 0.3808518000, 0.9208338000, 2.5267239000, 7.2086341000", \
"0.1451696000, 0.1673809000, 0.2244198000, 0.3912100000, 0.9229445000, 2.5279088000, 7.2078747000", \
"0.1858869000, 0.2117302000, 0.2676701000, 0.4275495000, 0.9393598000, 2.5365561000, 7.2094029000", \
"0.2055062000, 0.2300511000, 0.2904158000, 0.4433978000, 0.9466333000, 2.5301770000, 7.1965065000", \
"0.2213167000, 0.2461526000, 0.3085982000, 0.4604433000, 0.9528891000, 2.5314777000, 7.2077833000", \
"0.2375445000, 0.2643580000, 0.3273907000, 0.4788496000, 0.9627037000, 2.5411284000, 7.2088979000", \
"0.2486970000, 0.2766865000, 0.3434125000, 0.4914605000, 0.9685063000, 2.5379414000, 7.2083073000", \
"0.2716421000, 0.3017986000, 0.3707061000, 0.5215157000, 0.9843235000, 2.5478164000, 7.2095867000", \
"0.2930401000, 0.3241970000, 0.3984278000, 0.5496320000, 1.0004850000, 2.5483426000, 7.1928903000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbuflv2hv_1") {
leakage_power () {
value : 2732.3462746;
when : "A";
}
leakage_power () {
value : 2732.3446830;
when : "!A";
}
area : 85.95840000;
cell_footprint : "sky130_fd_sc_hvl__lsbuflv2hv";
cell_leakage_power : 2732.3450000;
input_voltage_range(1.2800000000, 1.9500000000);
output_voltage_range(1.6500000000, 5.5000000000);
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0026650000;
clock : "false";
direction : "input";
fall_capacitance : 0.0025860000;
input_signal_level : "LVPWR";
level_shifter_data_pin : "true";
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
rise_capacitance : 0.0027440000;
}
pin ("X") {
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_2") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0011990900, 0.0028756200, 0.0068962400, 0.0165384000, 0.0396620000, 0.0951164000, 0.2281060000, 0.5470380000");
values("0.1314442000, 0.1315580000, 0.1317862000, 0.1308361000, 0.1235797000, 0.0920498000, -0.0103571000, -0.2631886000, -0.8697387000", \
"0.1313266000, 0.1314319000, 0.1316260000, 0.1307130000, 0.1234098000, 0.0919292000, -0.0104706000, -0.2633457000, -0.8698443000", \
"0.1312570000, 0.1313461000, 0.1314561000, 0.1305582000, 0.1232407000, 0.0918618000, -0.0105939000, -0.2635420000, -0.8699698000", \
"0.1311860000, 0.1312832000, 0.1313011000, 0.1305025000, 0.1232669000, 0.0918317000, -0.0106099000, -0.2634815000, -0.8700138000", \
"0.1311504000, 0.1312594000, 0.1312858000, 0.1304918000, 0.1232451000, 0.0918326000, -0.0106382000, -0.2635127000, -0.8699902000", \
"0.1313459000, 0.1314363000, 0.1315619000, 0.1306031000, 0.1234253000, 0.0919899000, -0.0104610000, -0.2633181000, -0.8697346000", \
"0.1318634000, 0.1319642000, 0.1320578000, 0.1312329000, 0.1240585000, 0.0924682000, -0.0099042000, -0.2627892000, -0.8693185000", \
"0.1329230000, 0.1330428000, 0.1332501000, 0.1323211000, 0.1250424000, 0.0935418000, -0.0088585000, -0.2617196000, -0.8682238000", \
"0.1349928000, 0.1350485000, 0.1351445000, 0.1343741000, 0.1272387000, 0.0956386000, -0.0067856000, -0.2596442000, -0.8662183000", \
"0.1386457000, 0.1387498000, 0.1387962000, 0.1380166000, 0.1307877000, 0.0992354000, -0.0031991000, -0.2560726000, -0.8625605000", \
"0.1441153000, 0.1441702000, 0.1443622000, 0.1433491000, 0.1361721000, 0.1046464000, 0.0021763000, -0.2506959000, -0.8572006000", \
"0.1530341000, 0.1530710000, 0.1533148000, 0.1522148000, 0.1451042000, 0.1136202000, 0.0111430000, -0.2417297000, -0.8482260000", \
"0.1677601000, 0.1668472000, 0.1672431000, 0.1665473000, 0.1591476000, 0.1276790000, 0.0252024000, -0.2276674000, -0.8341552000");
}
related_pin : "A";
rise_power ("power_outputs_2") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0011990900, 0.0028756200, 0.0068962400, 0.0165384000, 0.0396620000, 0.0951164000, 0.2281060000, 0.5470380000");
values("0.1621152000, 0.1636130000, 0.1674574000, 0.1766954000, 0.1976549000, 0.2441568000, 0.3495254000, 0.5997215000, 1.1994411000", \
"0.1620860000, 0.1635802000, 0.1673915000, 0.1765880000, 0.1976293000, 0.2440463000, 0.3494296000, 0.5998590000, 1.2003379000", \
"0.1620687000, 0.1635855000, 0.1673969000, 0.1765573000, 0.1975649000, 0.2439525000, 0.3492243000, 0.5994299000, 1.1997334000", \
"0.1620895000, 0.1635832000, 0.1673760000, 0.1766065000, 0.1975519000, 0.2440771000, 0.3491159000, 0.5994872000, 1.1992916000", \
"0.1620710000, 0.1636169000, 0.1673849000, 0.1766159000, 0.1975384000, 0.2441048000, 0.3491991000, 0.5994532000, 1.1993703000", \
"0.1622385000, 0.1637224000, 0.1675910000, 0.1767868000, 0.1976434000, 0.2442262000, 0.3493164000, 0.5995179000, 1.1993700000", \
"0.1625575000, 0.1641239000, 0.1680001000, 0.1771820000, 0.1980093000, 0.2445003000, 0.3498123000, 0.6000489000, 1.2007857000", \
"0.1629840000, 0.1645194000, 0.1683277000, 0.1774735000, 0.1985352000, 0.2450615000, 0.3502289000, 0.6004605000, 1.2002444000", \
"0.1640635000, 0.1655849000, 0.1694441000, 0.1786293000, 0.1997063000, 0.2460266000, 0.3513445000, 0.6018887000, 1.2019628000", \
"0.1660983000, 0.1676508000, 0.1714568000, 0.1807047000, 0.2016362000, 0.2482354000, 0.3533370000, 0.6037088000, 1.2034917000", \
"0.1699965000, 0.1715664000, 0.1753984000, 0.1846577000, 0.2055208000, 0.2518564000, 0.3572576000, 0.6074665000, 1.2072174000", \
"0.1769591000, 0.1785069000, 0.1822476000, 0.1915577000, 0.2124697000, 0.2590216000, 0.3641501000, 0.6143335000, 1.2149705000", \
"0.1892035000, 0.1907482000, 0.1945153000, 0.2038264000, 0.2247019000, 0.2712359000, 0.3764017000, 0.6267271000, 1.2273084000");
}
}
max_capacitance : 0.5470380000;
max_transition : 13.714685000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_13_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0011990900, 0.0028756200, 0.0068962400, 0.0165384000, 0.0396620000, 0.0951164000, 0.2281060000, 0.5470380000");
values("0.5263616000, 0.5449530000, 0.5847268000, 0.6667430000, 0.8438880000, 1.2552915000, 2.2327573000, 4.5752095000, 10.196371700", \
"0.5282618000, 0.5469685000, 0.5867019000, 0.6686909000, 0.8459175000, 1.2569319000, 2.2346751000, 4.5773954000, 10.199965300", \
"0.5308510000, 0.5495595000, 0.5891239000, 0.6711050000, 0.8481264000, 1.2597591000, 2.2366509000, 4.5800976000, 10.202257700", \
"0.5347980000, 0.5534094000, 0.5933138000, 0.6750978000, 0.8524070000, 1.2631858000, 2.2406890000, 4.5837121000, 10.204408000", \
"0.5412059000, 0.5598151000, 0.5997133000, 0.6815064000, 0.8588135000, 1.2695991000, 2.2470621000, 4.5901238000, 10.211486800", \
"0.5511169000, 0.5697986000, 0.6093759000, 0.6914188000, 0.8685387000, 1.2792880000, 2.2570590000, 4.6004267000, 10.222814600", \
"0.5672278000, 0.5859738000, 0.6257181000, 0.7075686000, 0.8847895000, 1.2959718000, 2.2737221000, 4.6163837000, 10.241151000", \
"0.5910669000, 0.6096703000, 0.6493048000, 0.7314764000, 0.9086067000, 1.3198612000, 2.2979569000, 4.6401540000, 10.263798700", \
"0.6252418000, 0.6438556000, 0.6838703000, 0.7657365000, 0.9427902000, 1.3535295000, 2.3314530000, 4.6746953000, 10.300593300", \
"0.6716755000, 0.6903777000, 0.7301426000, 0.8119797000, 0.9892432000, 1.4002458000, 2.3786135000, 4.7208761000, 10.345058100", \
"0.7297056000, 0.7484028000, 0.7880667000, 0.8702104000, 1.0473515000, 1.4581808000, 2.4357607000, 4.7792379000, 10.400183800", \
"0.8032120000, 0.8217731000, 0.8614321000, 0.9437807000, 1.1209075000, 1.5312975000, 2.5098386000, 4.8524238000, 10.475254400", \
"0.8989834000, 0.9175704000, 0.9575485000, 1.0395310000, 1.2167313000, 1.6282787000, 2.6059110000, 4.9483395000, 10.573317300");
}
cell_rise ("del_1_13_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0011990900, 0.0028756200, 0.0068962400, 0.0165384000, 0.0396620000, 0.0951164000, 0.2281060000, 0.5470380000");
values("4.1885095000, 4.2154515000, 4.2718629000, 4.3738093000, 4.5638382000, 4.9778426000, 5.9542749000, 8.282865100, 13.871930300", \
"4.1894896000, 4.2177999000, 4.2726587000, 4.3757051000, 4.5664015000, 4.9791042000, 5.9570384000, 8.286125600, 13.872268700", \
"4.1926675000, 4.2205515000, 4.2758717000, 4.3782364000, 4.5680340000, 4.9820592000, 5.9565339000, 8.286982700, 13.877568400", \
"4.1959392000, 4.2241939000, 4.2797206000, 4.3809076000, 4.5710702000, 4.9849769000, 5.9587975000, 8.288892200, 13.878860700", \
"4.2003303000, 4.2285642000, 4.2844279000, 4.3850159000, 4.5758286000, 4.9897082000, 5.9640839000, 8.293566700, 13.883700400", \
"4.2054733000, 4.2337920000, 4.2903836000, 4.3921315000, 4.5814117000, 4.9938675000, 5.9707799000, 8.300173000, 13.890961300", \
"4.2115418000, 4.2398769000, 4.2963721000, 4.3974975000, 4.5876996000, 5.0014193000, 5.9781118000, 8.307547500, 13.892799800", \
"4.2165902000, 4.2448103000, 4.3000448000, 4.4014392000, 4.5920117000, 5.0058184000, 5.9824914000, 8.311751300, 13.895812500", \
"4.2189656000, 4.2470174000, 4.3025596000, 4.4044306000, 4.5942294000, 5.0080931000, 5.9837300000, 8.312842000, 13.905032700", \
"4.2195212000, 4.2477462000, 4.3028749000, 4.4046256000, 4.5947495000, 5.0087987000, 5.9852563000, 8.313459300, 13.904687200", \
"4.2161235000, 4.2444803000, 4.3003787000, 4.4017998000, 4.5913149000, 5.0052766000, 5.9808234000, 8.309668500, 13.896781500", \
"4.2063188000, 4.2346358000, 4.2907655000, 4.3919730000, 4.5815378000, 4.9959770000, 5.9697842000, 8.300599300, 13.890327100", \
"4.1870858000, 4.2154591000, 4.2710639000, 4.3723154000, 4.5623274000, 4.9747477000, 5.9519349000, 8.282330400, 13.872206500");
}
fall_transition ("del_1_13_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0011990900, 0.0028756200, 0.0068962400, 0.0165384000, 0.0396620000, 0.0951164000, 0.2281060000, 0.5470380000");
values("0.0916630000, 0.1081603000, 0.1464270000, 0.2394303000, 0.4683397000, 1.0276151000, 2.3727795000, 5.5927543000, 13.344166700", \
"0.0915708000, 0.1081798000, 0.1466533000, 0.2396965000, 0.4678972000, 1.0276884000, 2.3718836000, 5.5949294000, 13.329950500", \
"0.0913544000, 0.1078399000, 0.1476041000, 0.2402207000, 0.4685696000, 1.0269029000, 2.3726232000, 5.5932534000, 13.324565500", \
"0.0915056000, 0.1082323000, 0.1468152000, 0.2401617000, 0.4683002000, 1.0271244000, 2.3726907000, 5.5873217000, 13.325440300", \
"0.0915264000, 0.1082324000, 0.1468375000, 0.2401611000, 0.4681944000, 1.0273462000, 2.3727087000, 5.5880074000, 13.349494800", \
"0.0915024000, 0.1079759000, 0.1476391000, 0.2400527000, 0.4689053000, 1.0267096000, 2.3729676000, 5.5859934000, 13.336435100", \
"0.0915036000, 0.1082308000, 0.1469118000, 0.2401145000, 0.4688438000, 1.0272625000, 2.3726350000, 5.5954166000, 13.343393100", \
"0.0916525000, 0.1081361000, 0.1473142000, 0.2395620000, 0.4682194000, 1.0275644000, 2.3728204000, 5.5882268000, 13.351630000", \
"0.0918092000, 0.1081928000, 0.1464063000, 0.2401018000, 0.4688245000, 1.0279805000, 2.3676783000, 5.5902558000, 13.332679000", \
"0.0915612000, 0.1082009000, 0.1468515000, 0.2401026000, 0.4687838000, 1.0278051000, 2.3724638000, 5.5864837000, 13.346571000", \
"0.0914393000, 0.1079804000, 0.1465948000, 0.2399542000, 0.4688333000, 1.0280224000, 2.3689748000, 5.5857465000, 13.335866600", \
"0.0914496000, 0.1077349000, 0.1464426000, 0.2398989000, 0.4685117000, 1.0277416000, 2.3680640000, 5.5950697000, 13.338511600", \
"0.0920583000, 0.1085585000, 0.1468844000, 0.2399773000, 0.4677628000, 1.0272265000, 2.3669999000, 5.6001910000, 13.319077400");
}
related_pin : "A";
rise_transition ("del_1_13_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0011990900, 0.0028756200, 0.0068962400, 0.0165384000, 0.0396620000, 0.0951164000, 0.2281060000, 0.5470380000");
values("0.1742616000, 0.1918032000, 0.2306525000, 0.3103625000, 0.5159121000, 1.0671198000, 2.4383211000, 5.7565335000, 13.713694200", \
"0.1739564000, 0.1913457000, 0.2299312000, 0.3102651000, 0.5149779000, 1.0663578000, 2.4386415000, 5.7467497000, 13.704092100", \
"0.1733069000, 0.1916053000, 0.2272109000, 0.3102412000, 0.5158222000, 1.0667942000, 2.4407061000, 5.7569771000, 13.690045500", \
"0.1745651000, 0.1911807000, 0.2299844000, 0.3100320000, 0.5158698000, 1.0669498000, 2.4390177000, 5.7557430000, 13.712715400", \
"0.1737466000, 0.1914016000, 0.2282356000, 0.3088696000, 0.5159287000, 1.0668301000, 2.4401308000, 5.7571032000, 13.712107000", \
"0.1743451000, 0.1912970000, 0.2302001000, 0.3128353000, 0.5160385000, 1.0671835000, 2.4385169000, 5.7590746000, 13.706352500", \
"0.1743420000, 0.1922732000, 0.2305398000, 0.3103342000, 0.5177964000, 1.0674286000, 2.4382882000, 5.7412253000, 13.709522400", \
"0.1742009000, 0.1919414000, 0.2301445000, 0.3107055000, 0.5156307000, 1.0672588000, 2.4394662000, 5.7398415000, 13.712707400", \
"0.1744586000, 0.1921927000, 0.2304194000, 0.3104467000, 0.5153711000, 1.0664015000, 2.4386657000, 5.7479363000, 13.696734400", \
"0.1731918000, 0.1922338000, 0.2305201000, 0.3104300000, 0.5163916000, 1.0673467000, 2.4418476000, 5.7562568000, 13.709438000", \
"0.1729133000, 0.1904960000, 0.2294360000, 0.3099431000, 0.5162151000, 1.0661541000, 2.4429041000, 5.7535745000, 13.714685400", \
"0.1729375000, 0.1907655000, 0.2276187000, 0.3104849000, 0.5164981000, 1.0672356000, 2.4479632000, 5.7552327000, 13.677184700", \
"0.1739528000, 0.1914186000, 0.2299392000, 0.3105833000, 0.5155261000, 1.0673418000, 2.4388356000, 5.7552749000, 13.679674300");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3") {
leakage_power () {
value : 8609.174074;
when : "!A&SLEEP_B";
}
leakage_power () {
value : 2748.3422400;
when : "!A&!SLEEP_B";
}
leakage_power () {
value : 8613.594218;
when : "A&SLEEP_B";
}
leakage_power () {
value : 2761.6133593;
when : "A&!SLEEP_B";
}
area : 203.17440000;
cell_footprint : "sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg";
cell_leakage_power : 5683.1810000;
input_voltage_range(1.2800000000, 1.9500000000);
output_voltage_range(1.6500000000, 5.5000000000);
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0050680000;
clock : "false";
direction : "input";
fall_capacitance : 0.0049530000;
input_signal_level : "LVPWR";
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1382113000, 0.1325491000, 0.1268352000, 0.1243399000, 0.1272658000, 0.1393694000, 0.1686165000, 0.2018213000, 0.3033708000, 0.3536618000, 0.4039528000");
}
rise_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1205533000, 0.1149745000, 0.1093488000, 0.1066042000, 0.1086979000, 0.1211433000, 0.1510497000, 0.1844779000, 0.2866066000, 0.3372099000, 0.3878132000");
}
when : "!SLEEP_B";
}
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
rise_capacitance : 0.0051830000;
}
pin ("SLEEP_B") {
capacitance : 0.0025120000;
clock : "false";
direction : "input";
fall_capacitance : 0.0024780000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0067476000, -0.0091168000, -0.0249940000, -0.0394653000, -0.0512941000, -0.0605871000, -0.0634613000, -0.0722637000, -0.0687187000, -0.0744343000, -0.0801498000");
}
rise_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0379234000, 0.0311323000, 0.0241933000, 0.0298295000, 0.0581589000, 0.0631932000, 0.0782775000, 0.0803129000, 0.0949487000, 0.1001343000, 0.1053198000");
}
when : "!A";
}
level_shifter_enable_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0025460000;
}
pin ("X") {
direction : "output";
function : "(A&SLEEP_B)";
internal_power () {
fall_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019999900, 0.0079999100, 0.0319995000, 0.1279970000, 0.5119850000, 2.0479300000");
values("0.0901175000, 0.0875585000, 0.0772946000, 0.0349609000, -0.1504132000, -0.9120135000, -3.9729161000", \
"0.1058952000, 0.1033585000, 0.0930855000, 0.0505194000, -0.1346432000, -0.8963689000, -3.9573522000", \
"0.1218765000, 0.1192879000, 0.1089597000, 0.0662809000, -0.1189626000, -0.8805152000, -3.9411884000", \
"0.1359630000, 0.1334154000, 0.1231566000, 0.0804253000, -0.1047736000, -0.8662166000, -3.9279089000", \
"0.1466954000, 0.1441385000, 0.1338836000, 0.0911169000, -0.0941208000, -0.8553952000, -3.9182002000", \
"0.1542488000, 0.1517310000, 0.1414220000, 0.0991202000, -0.0862644000, -0.8478150000, -3.9094208000", \
"0.1540265000, 0.1515100000, 0.1412034000, 0.0988955000, -0.0864344000, -0.8480482000, -3.9092872000", \
"0.1598580000, 0.1573432000, 0.1470879000, 0.1044984000, -0.0807277000, -0.8426465000, -3.9033068000", \
"0.1487177000, 0.1461020000, 0.1358065000, 0.0930812000, -0.0921885000, -0.8536671000, -3.9150790000", \
"0.1503472000, 0.1477634000, 0.1374774000, 0.0947573000, -0.0904850000, -0.8518704000, -3.9136715000", \
"0.1524544000, 0.1498732000, 0.1395435000, 0.0967756000, -0.0885298000, -0.8500294000, -3.9116565000");
}
related_pin : "SLEEP_B";
rise_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019999900, 0.0079999100, 0.0319995000, 0.1279970000, 0.5119850000, 2.0479300000");
values("0.1559443000, 0.1591327000, 0.1719422000, 0.2218338000, 0.4069695000, 1.1343677000, 4.0397553000", \
"0.1628462000, 0.1659289000, 0.1787921000, 0.2286552000, 0.4136616000, 1.1410944000, 4.0460312000", \
"0.1696874000, 0.1728705000, 0.1858435000, 0.2355720000, 0.4207113000, 1.1483161000, 4.0511214000", \
"0.1640750000, 0.1672512000, 0.1800713000, 0.2299642000, 0.4150549000, 1.1426824000, 4.0463975000", \
"0.1355463000, 0.1386195000, 0.1514978000, 0.2011645000, 0.3863541000, 1.1140385000, 4.0168006000", \
"0.1303069000, 0.1334896000, 0.1463916000, 0.1961037000, 0.3813066000, 1.1088123000, 4.0116731000", \
"0.1148340000, 0.1179175000, 0.1307928000, 0.1804654000, 0.3656255000, 1.0932032000, 3.9962251000", \
"0.1125406000, 0.1157159000, 0.1286303000, 0.1783665000, 0.3635366000, 1.0911135000, 3.9947297000", \
"0.0969885000, 0.1001817000, 0.1131298000, 0.1628784000, 0.3481896000, 1.0760437000, 3.9804433000", \
"0.0915022000, 0.0948839000, 0.1075999000, 0.1573016000, 0.3425077000, 1.0709850000, 3.9739761000", \
"0.0857919000, 0.0889702000, 0.1020319000, 0.1522260000, 0.3372097000, 1.0641321000, 3.9680988000");
}
}
internal_power () {
fall_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019999900, 0.0079999100, 0.0319995000, 0.1279970000, 0.5119850000, 2.0479300000");
values("0.1353586000, 0.1330160000, 0.1231548000, 0.0810425000, -0.1040153000, -0.8633310000, -3.9090192000", \
"0.1402295000, 0.1378067000, 0.1279780000, 0.0856920000, -0.0993660000, -0.8586705000, -3.9042321000", \
"0.1455530000, 0.1431682000, 0.1333008000, 0.0910098000, -0.0940670000, -0.8532579000, -3.8989345000", \
"0.1484873000, 0.1461373000, 0.1362556000, 0.0939703000, -0.0910174000, -0.8502784000, -3.8952938000", \
"0.1524761000, 0.1499934000, 0.1402997000, 0.0980335000, -0.0870288000, -0.8462481000, -3.8918963000", \
"0.1523176000, 0.1498448000, 0.1399309000, 0.0978531000, -0.0872453000, -0.8465475000, -3.8917640000", \
"0.1504617000, 0.1480791000, 0.1381682000, 0.0958191000, -0.0891501000, -0.8486270000, -3.8940140000", \
"0.1455348000, 0.1431123000, 0.1334160000, 0.0912091000, -0.0939250000, -0.8531878000, -3.8987802000", \
"0.1269155000, 0.1243511000, 0.1142232000, 0.0720147000, -0.1119953000, -0.8712606000, -3.9167873000", \
"0.1191682000, 0.1166681000, 0.1066165000, 0.0645892000, -0.1175958000, -0.8768107000, -3.9222693000", \
"0.1116944000, 0.1091328000, 0.0990843000, 0.0570423000, -0.1228890000, -0.8821322000, -3.9271865000");
}
related_pin : "A";
rise_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019999900, 0.0079999100, 0.0319995000, 0.1279970000, 0.5119850000, 2.0479300000");
values("0.1587977000, 0.1619519000, 0.1745031000, 0.2227732000, 0.4007253000, 1.0984904000, 3.8876255000", \
"0.1637244000, 0.1668944000, 0.1795843000, 0.2274002000, 0.4053867000, 1.1042668000, 3.8929276000", \
"0.1690547000, 0.1722187000, 0.1847529000, 0.2327309000, 0.4104081000, 1.1097400000, 3.8999066000", \
"0.1720937000, 0.1752479000, 0.1878593000, 0.2361279000, 0.4139107000, 1.1130012000, 3.9014201000", \
"0.1757619000, 0.1789759000, 0.1915467000, 0.2397426000, 0.4174413000, 1.1152848000, 3.9067107000", \
"0.1764456000, 0.1795357000, 0.1921571000, 0.2403864000, 0.4183376000, 1.1171323000, 3.9045703000", \
"0.1746426000, 0.1776863000, 0.1903068000, 0.2386389000, 0.4166070000, 1.1152927000, 3.9050573000", \
"0.1712039000, 0.1745843000, 0.1871304000, 0.2354139000, 0.4133768000, 1.1118380000, 3.9018378000", \
"0.1561705000, 0.1592343000, 0.1715211000, 0.2185007000, 0.3960896000, 1.0946777000, 3.8825778000", \
"0.1488759000, 0.1518888000, 0.1642366000, 0.2124024000, 0.3908709000, 1.0888209000, 3.8792436000", \
"0.1414593000, 0.1445765000, 0.1569346000, 0.2051321000, 0.3860381000, 1.0839882000, 3.8747750000");
}
}
max_capacitance : 2.0479300000;
max_transition : 12.030491000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019999900, 0.0079999100, 0.0319995000, 0.1279970000, 0.5119850000, 2.0479300000");
values("0.7263241000, 0.7393975000, 0.7848181000, 0.9226857000, 1.3559698000, 2.9902407000, 9.515471100", \
"0.7294473000, 0.7425738000, 0.7879402000, 0.9257295000, 1.3590972000, 2.9966916000, 9.525802400", \
"0.7335295000, 0.7466541000, 0.7920181000, 0.9298024000, 1.3631771000, 2.9981060000, 9.527918900", \
"0.7489065000, 0.7620649000, 0.8074391000, 0.9452423000, 1.3785351000, 3.0163474000, 9.529802700", \
"0.7831709000, 0.7963796000, 0.8419968000, 0.9794688000, 1.4130136000, 3.0488685000, 9.573510900", \
"0.8181978000, 0.8314227000, 0.8768601000, 1.0143043000, 1.4472773000, 3.0880440000, 9.598363900", \
"0.8731098000, 0.8863110000, 0.9315950000, 1.0694593000, 1.5026310000, 3.1399026000, 9.650165100", \
"0.9190532000, 0.9321814000, 0.9774201000, 1.1147711000, 1.5485019000, 3.1887857000, 9.713111200", \
"1.0281194000, 1.0414288000, 1.0868910000, 1.2243477000, 1.6577971000, 3.2934080000, 9.814428400", \
"1.0784782000, 1.0917746000, 1.1372092000, 1.2748557000, 1.7080133000, 3.3429552000, 9.864975500", \
"1.1270878000, 1.1404988000, 1.1857961000, 1.3236301000, 1.7567099000, 3.3904031000, 9.905168200");
}
cell_rise ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019999900, 0.0079999100, 0.0319995000, 0.1279970000, 0.5119850000, 2.0479300000");
values("1.2566621000, 1.2682427000, 1.3066196000, 1.4163120000, 1.7448462000, 2.9837783000, 7.9443794000", \
"1.2596618000, 1.2712787000, 1.3098729000, 1.4188825000, 1.7472914000, 2.9866609000, 7.9460412000", \
"1.2628508000, 1.2745637000, 1.3130691000, 1.4219375000, 1.7503866000, 2.9913374000, 7.9340552000", \
"1.2743351000, 1.2860188000, 1.3247431000, 1.4338688000, 1.7620768000, 3.0020018000, 7.9563627000", \
"1.2909075000, 1.3024855000, 1.3410354000, 1.4501660000, 1.7788426000, 3.0163176000, 7.9773637000", \
"1.3014961000, 1.3130779000, 1.3517046000, 1.4608565000, 1.7889608000, 3.0297558000, 7.9784312000", \
"1.3055677000, 1.3167365000, 1.3555191000, 1.4647294000, 1.7927982000, 3.0338105000, 7.9749070000", \
"1.3004433000, 1.3121624000, 1.3508611000, 1.4600786000, 1.7876916000, 3.0285212000, 7.9838536000", \
"1.2662043000, 1.2777631000, 1.3162861000, 1.4253305000, 1.7533951000, 2.9943268000, 7.9498585000", \
"1.2433627000, 1.2548886000, 1.2934956000, 1.4024674000, 1.7306161000, 2.9695506000, 7.9179570000", \
"1.2182841000, 1.2299345000, 1.2681121000, 1.3775330000, 1.7059724000, 2.9449077000, 7.8901063000");
}
fall_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019999900, 0.0079999100, 0.0319995000, 0.1279970000, 0.5119850000, 2.0479300000");
values("0.1057389000, 0.1158776000, 0.1525452000, 0.2846940000, 0.8254176000, 3.0612508000, 12.024182500", \
"0.1058894000, 0.1159337000, 0.1522417000, 0.2853599000, 0.8259319000, 3.0580221000, 12.015593900", \
"0.1058913000, 0.1159086000, 0.1522241000, 0.2853438000, 0.8246906000, 3.0544514000, 12.022417700", \
"0.1057763000, 0.1160915000, 0.1524197000, 0.2854195000, 0.8248009000, 3.0632255000, 12.012797900", \
"0.1058056000, 0.1156489000, 0.1527326000, 0.2852089000, 0.8247560000, 3.0530802000, 12.029609300", \
"0.1060194000, 0.1155190000, 0.1523602000, 0.2844319000, 0.8252795000, 3.0626457000, 12.030491300", \
"0.1055326000, 0.1157206000, 0.1523598000, 0.2851445000, 0.8249398000, 3.0635467000, 12.027771700", \
"0.1052154000, 0.1153178000, 0.1518028000, 0.2848266000, 0.8250848000, 3.0624026000, 11.999855300", \
"0.1056925000, 0.1156999000, 0.1524738000, 0.2848908000, 0.8239405000, 3.0547966000, 12.009405900", \
"0.1059112000, 0.1157376000, 0.1529178000, 0.2856740000, 0.8258732000, 3.0528415000, 12.018361400", \
"0.1059576000, 0.1152269000, 0.1521070000, 0.2852215000, 0.8260833000, 3.0626117000, 12.025759100");
}
related_pin : "A";
rise_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019999900, 0.0079999100, 0.0319995000, 0.1279970000, 0.5119850000, 2.0479300000");
values("0.0927656000, 0.1003536000, 0.1275234000, 0.2261403000, 0.6334075000, 2.3503817000, 9.231458300", \
"0.0933661000, 0.1008714000, 0.1283461000, 0.2256355000, 0.6332629000, 2.3501021000, 9.233386500", \
"0.0922143000, 0.1004767000, 0.1279416000, 0.2268472000, 0.6340390000, 2.3436646000, 9.224682400", \
"0.0922684000, 0.0997428000, 0.1282506000, 0.2259850000, 0.6338607000, 2.3490641000, 9.229016700", \
"0.0928202000, 0.1002889000, 0.1278875000, 0.2263957000, 0.6341246000, 2.3509101000, 9.221479600", \
"0.0924854000, 0.0997479000, 0.1283573000, 0.2264508000, 0.6341409000, 2.3439026000, 9.231287700", \
"0.0925663000, 0.1002167000, 0.1280722000, 0.2263019000, 0.6330881000, 2.3447932000, 9.205745500", \
"0.0922271000, 0.1005612000, 0.1281242000, 0.2259488000, 0.6332446000, 2.3429139000, 9.226484500", \
"0.0929088000, 0.1003552000, 0.1280100000, 0.2264186000, 0.6330399000, 2.3483344000, 9.231497300", \
"0.0929342000, 0.0998811000, 0.1273193000, 0.2262575000, 0.6339718000, 2.3409950000, 9.220471500", \
"0.0924591000, 0.1002309000, 0.1275604000, 0.2257660000, 0.6333257000, 2.3420830000, 9.217017400");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019999900, 0.0079999100, 0.0319995000, 0.1279970000, 0.5119850000, 2.0479300000");
values("3.0714948000, 3.0858851000, 3.1345839000, 3.2770597000, 3.7158217000, 5.3529670000, 11.869758500", \
"3.0748384000, 3.0892271000, 3.1379050000, 3.2807332000, 3.7191201000, 5.3570113000, 11.870920200", \
"3.0794527000, 3.0933438000, 3.1422465000, 3.2852459000, 3.7227590000, 5.3597700000, 11.876054800", \
"3.0974769000, 3.1118901000, 3.1605065000, 3.3035781000, 3.7415386000, 5.3776468000, 11.892511900", \
"3.1552019000, 3.1695377000, 3.2180973000, 3.3611600000, 3.7989718000, 5.4354983000, 11.950740800", \
"3.2477874000, 3.2621774000, 3.3109011000, 3.4534997000, 3.8922612000, 5.5291931000, 12.040290500", \
"3.4287073000, 3.4431029000, 3.4918026000, 3.6343906000, 4.0732853000, 5.7096643000, 12.224153200", \
"3.6141721000, 3.6285761000, 3.6772698000, 3.8201389000, 4.2584860000, 5.8960579000, 12.409603100", \
"4.1272883000, 4.1417012000, 4.1900887000, 4.3329140000, 4.7713153000, 6.4073618000, 12.923447200", \
"4.3759387000, 4.3902544000, 4.4387760000, 4.5818029000, 5.0199702000, 6.6563439000, 13.171642400", \
"4.6092756000, 4.6236495000, 4.6721267000, 4.8147708000, 5.2531455000, 6.8905517000, 13.407853500");
}
cell_rise ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019999900, 0.0079999100, 0.0319995000, 0.1279970000, 0.5119850000, 2.0479300000");
values("1.4937972000, 1.5054781000, 1.5436757000, 1.6534644000, 1.9815010000, 3.2224712000, 8.164989600", \
"1.4988178000, 1.5103585000, 1.5491619000, 1.6582392000, 1.9865545000, 3.2270913000, 8.169225300", \
"1.5036256000, 1.5153165000, 1.5539608000, 1.6633295000, 1.9913553000, 3.2322220000, 8.175158300", \
"1.5240701000, 1.5357438000, 1.5739515000, 1.6837396000, 2.0117916000, 3.2526368000, 8.193863400", \
"1.5856214000, 1.5971908000, 1.6357595000, 1.7449878000, 2.0734074000, 3.3141085000, 8.257268600", \
"1.6823155000, 1.6939701000, 1.7327156000, 1.8418028000, 2.1700597000, 3.4110790000, 8.351654500", \
"1.8740400000, 1.8855950000, 1.9241444000, 2.0333830000, 2.3618154000, 3.6026009000, 8.545599800", \
"2.0586615000, 2.0703195000, 2.1090349000, 2.2181574000, 2.5464544000, 3.7874939000, 8.729793800", \
"2.4754723000, 2.4869760000, 2.5257958000, 2.6351035000, 2.9635399000, 4.2032897000, 9.147116800", \
"2.6490170000, 2.6610896000, 2.6996014000, 2.8086200000, 3.1373628000, 4.3776414000, 9.333045400", \
"2.8044702000, 2.8158971000, 2.8548341000, 2.9641722000, 3.2932435000, 4.5315587000, 9.491494700");
}
fall_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019999900, 0.0079999100, 0.0319995000, 0.1279970000, 0.5119850000, 2.0479300000");
values("0.1251285000, 0.1344583000, 0.1716369000, 0.3020187000, 0.8330984000, 3.0642160000, 12.021360800", \
"0.1250473000, 0.1352547000, 0.1705509000, 0.3018647000, 0.8327479000, 3.0554039000, 12.006062800", \
"0.1248366000, 0.1348953000, 0.1718236000, 0.3019658000, 0.8325455000, 3.0643135000, 12.020873200", \
"0.1248991000, 0.1348473000, 0.1718373000, 0.3018865000, 0.8324504000, 3.0637495000, 12.018988500", \
"0.1249038000, 0.1349036000, 0.1718463000, 0.3019206000, 0.8316777000, 3.0639840000, 12.020334900", \
"0.1251537000, 0.1345575000, 0.1716206000, 0.3018817000, 0.8332119000, 3.0629954000, 12.013611400", \
"0.1250658000, 0.1344834000, 0.1716523000, 0.3019475000, 0.8333187000, 3.0635465000, 12.019679500", \
"0.1251243000, 0.1352233000, 0.1708347000, 0.3021532000, 0.8323207000, 3.0557266000, 12.002808500", \
"0.1244616000, 0.1347190000, 0.1714801000, 0.3020263000, 0.8332557000, 3.0642118000, 12.021061500", \
"0.1248741000, 0.1349605000, 0.1718277000, 0.3019624000, 0.8331993000, 3.0642915000, 12.020743500", \
"0.1244240000, 0.1349867000, 0.1715504000, 0.3020898000, 0.8330965000, 3.0641085000, 11.994475600");
}
related_pin : "SLEEP_B";
rise_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019999900, 0.0079999100, 0.0319995000, 0.1279970000, 0.5119850000, 2.0479300000");
values("0.0927862000, 0.1005007000, 0.1280763000, 0.2261511000, 0.6337861000, 2.3430888000, 9.202890000", \
"0.0924269000, 0.0998984000, 0.1286798000, 0.2265463000, 0.6340812000, 2.3464479000, 9.209499800", \
"0.0928339000, 0.1005239000, 0.1286890000, 0.2263327000, 0.6335998000, 2.3420210000, 9.205280100", \
"0.0928198000, 0.1008447000, 0.1281129000, 0.2261294000, 0.6338819000, 2.3424442000, 9.226046600", \
"0.0935484000, 0.1012259000, 0.1287198000, 0.2266203000, 0.6340695000, 2.3465812000, 9.223473500", \
"0.0929120000, 0.1007030000, 0.1285530000, 0.2260226000, 0.6340068000, 2.3455236000, 9.225997700", \
"0.0934927000, 0.1011790000, 0.1286826000, 0.2266971000, 0.6340019000, 2.3474791000, 9.221748700", \
"0.0938168000, 0.1013463000, 0.1286959000, 0.2261174000, 0.6341528000, 2.3465763000, 9.245007400", \
"0.0932124000, 0.1009696000, 0.1289754000, 0.2269007000, 0.6341838000, 2.3481010000, 9.215930200", \
"0.0935934000, 0.1011148000, 0.1280840000, 0.2269959000, 0.6334791000, 2.3432407000, 9.230689100", \
"0.0928902000, 0.1005587000, 0.1292589000, 0.2267717000, 0.6343449000, 2.3510047000, 9.233164500");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1") {
leakage_power () {
value : 2735.3803489;
when : "!A&!SLEEP_B";
}
leakage_power () {
value : 2735.4271879;
when : "!A&SLEEP_B";
}
leakage_power () {
value : 2735.5117709;
when : "A&SLEEP_B";
}
leakage_power () {
value : 2735.4476515;
when : "A&!SLEEP_B";
}
area : 113.30880000;
cell_footprint : "sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon";
cell_leakage_power : 2735.4420000;
input_voltage_range(1.2800000000, 1.9500000000);
output_voltage_range(1.6500000000, 5.5000000000);
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0027700000;
clock : "false";
direction : "input";
fall_capacitance : 0.0027140000;
input_signal_level : "LVPWR";
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0390047000, 0.0336090000, 0.0281798000, 0.0251875000, 0.0264561000, 0.0319986000, 0.0480758000, 0.0648871000, 0.1206619000, 0.1472142000, 0.1737663000");
}
rise_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0306069000, 0.0252618000, 0.0198874000, 0.0168506000, 0.0179793000, 0.0268977000, 0.0497264000, 0.0671524000, 0.1246404000, 0.1520818000, 0.1795233000");
}
when : "!SLEEP_B";
}
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
rise_capacitance : 0.0028260000;
}
pin ("SLEEP_B") {
capacitance : 0.0196650000;
clock : "false";
direction : "input";
fall_capacitance : 0.0195470000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0254323000, 0.0202756000, 0.0151054000, 0.0100111000, 0.0046994000, 0.0025052000, 0.0031090000, 0.0043205000, 0.0166184000, 0.0206015000, 0.0245846000");
}
rise_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0017843000, -0.0069885000, -0.0122103000, -0.0171598000, -0.0220187000, -0.0241754000, -0.0234969000, -0.0222690000, -0.0099257000, -0.0059190000, -0.0019123000");
}
when : "!A";
}
level_shifter_enable_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0197830000;
}
pin ("X") {
direction : "output";
function : "(A&SLEEP_B)";
internal_power () {
fall_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014551900, 0.0042351300, 0.0123258000, 0.0358726000, 0.1044030000, 0.3038510000");
values("0.0459626000, 0.0442712000, 0.0398322000, 0.0263058000, -0.0163282000, -0.1455750000, -0.5246721000", \
"0.0509277000, 0.0492259000, 0.0447900000, 0.0313041000, -0.0113322000, -0.1405611000, -0.5196710000", \
"0.0562498000, 0.0545561000, 0.0501106000, 0.0365404000, -0.0060096000, -0.1352548000, -0.5143611000", \
"0.0596495000, 0.0579527000, 0.0535287000, 0.0399957000, -0.0026449000, -0.1318872000, -0.5109530000", \
"0.0614779000, 0.0597311000, 0.0553243000, 0.0417892000, -0.0008182000, -0.1300677000, -0.5091323000", \
"0.0620782000, 0.0603294000, 0.0559631000, 0.0424476000, -0.0001825000, -0.1294434000, -0.5085048000", \
"0.0594667000, 0.0577686000, 0.0533623000, 0.0397964000, -0.0028270000, -0.1320658000, -0.5111186000", \
"0.0575381000, 0.0557989000, 0.0514477000, 0.0379614000, -0.0047227000, -0.1339912000, -0.5130560000", \
"0.0451875000, 0.0434820000, 0.0390922000, 0.0255192000, -0.0171029000, -0.1463564000, -0.5254132000", \
"0.0415091000, 0.0398269000, 0.0353817000, 0.0218456000, -0.0207865000, -0.1499935000, -0.5290735000", \
"0.0383379000, 0.0366616000, 0.0322080000, 0.0186756000, -0.0239486000, -0.1531785000, -0.5322519000");
}
related_pin : "A";
rise_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014551900, 0.0042351300, 0.0123258000, 0.0358726000, 0.1044030000, 0.3038510000");
values("0.0170119000, 0.0208483000, 0.0313812000, 0.0574306000, 0.1155635000, 0.2468328000, 0.6215549000", \
"0.0219932000, 0.0258871000, 0.0363779000, 0.0624050000, 0.1205063000, 0.2517269000, 0.6266664000", \
"0.0272437000, 0.0311522000, 0.0416111000, 0.0676169000, 0.1256589000, 0.2569620000, 0.6323502000", \
"0.0305825000, 0.0344701000, 0.0448535000, 0.0708127000, 0.1288029000, 0.2601257000, 0.6354800000", \
"0.0322308000, 0.0361055000, 0.0464889000, 0.0722372000, 0.1300464000, 0.2612679000, 0.6359954000", \
"0.0292506000, 0.0330788000, 0.0433767000, 0.0688769000, 0.1263106000, 0.2575355000, 0.6323443000", \
"0.0207083000, 0.0242905000, 0.0344466000, 0.0596684000, 0.1169959000, 0.2480955000, 0.6234847000", \
"0.0225122000, 0.0245459000, 0.0323333000, 0.0575143000, 0.1145773000, 0.2456509000, 0.6207959000", \
"0.0177947000, 0.0197969000, 0.0253536000, 0.0439345000, 0.1009005000, 0.2317854000, 0.6069886000", \
"0.0169320000, 0.0189497000, 0.0244608000, 0.0399101000, 0.0966191000, 0.2274106000, 0.6024890000", \
"0.0157577000, 0.0177580000, 0.0232754000, 0.0387970000, 0.0925851000, 0.2234217000, 0.5985350000");
}
}
internal_power () {
fall_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014551900, 0.0042351300, 0.0123258000, 0.0358726000, 0.1044030000, 0.3038510000");
values("0.1118473000, 0.1098793000, 0.1051557000, 0.0912333000, 0.0483909000, -0.0803432000, -0.4582979000", \
"0.1166999000, 0.1147340000, 0.1099250000, 0.0960269000, 0.0532132000, -0.0755295000, -0.4534719000", \
"0.1213585000, 0.1193983000, 0.1146091000, 0.1007311000, 0.0578789000, -0.0708458000, -0.4487889000", \
"0.1238586000, 0.1218577000, 0.1171360000, 0.1032882000, 0.0604358000, -0.0683166000, -0.4462845000", \
"0.1218832000, 0.1199011000, 0.1151760000, 0.1012444000, 0.0584279000, -0.0703242000, -0.4483045000", \
"0.1193160000, 0.1173341000, 0.1125578000, 0.0986524000, 0.0558092000, -0.0729413000, -0.4509340000", \
"0.1148511000, 0.1128932000, 0.1081060000, 0.0942607000, 0.0514135000, -0.0773273000, -0.4553156000", \
"0.1117711000, 0.1098263000, 0.1050062000, 0.0911605000, 0.0483037000, -0.0804470000, -0.4584568000", \
"0.0962081000, 0.0942458000, 0.0894860000, 0.0755730000, 0.0327222000, -0.0960212000, -0.4740160000", \
"0.0909949000, 0.0890194000, 0.0842822000, 0.0703489000, 0.0275399000, -0.1012095000, -0.4792088000", \
"0.0862482000, 0.0842564000, 0.0794973000, 0.0656033000, 0.0227781000, -0.1059840000, -0.4839963000");
}
related_pin : "SLEEP_B";
rise_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014551900, 0.0042351300, 0.0123258000, 0.0358726000, 0.1044030000, 0.3038510000");
values("0.0272415000, 0.0310041000, 0.0411158000, 0.0661664000, 0.1232218000, 0.2541429000, 0.6293489000", \
"0.0322930000, 0.0360180000, 0.0461462000, 0.0710543000, 0.1281015000, 0.2591607000, 0.6345264000", \
"0.0372660000, 0.0410227000, 0.0511612000, 0.0761065000, 0.1331703000, 0.2642179000, 0.6396124000", \
"0.0417418000, 0.0454622000, 0.0556386000, 0.0805786000, 0.1376199000, 0.2686561000, 0.6437559000", \
"0.0457612000, 0.0495073000, 0.0595099000, 0.0844332000, 0.1413268000, 0.2723062000, 0.6475566000", \
"0.0473516000, 0.0509835000, 0.0608412000, 0.0852784000, 0.1416698000, 0.2724528000, 0.6477887000", \
"0.0460608000, 0.0498616000, 0.0593913000, 0.0833677000, 0.1391626000, 0.2696799000, 0.6449202000", \
"0.0473324000, 0.0493087000, 0.0579911000, 0.0815943000, 0.1371016000, 0.2675778000, 0.6430089000", \
"0.0427232000, 0.0447001000, 0.0503125000, 0.0694493000, 0.1246089000, 0.2550512000, 0.6302843000", \
"0.0422960000, 0.0442629000, 0.0498519000, 0.0660400000, 0.1213067000, 0.2516973000, 0.6268588000", \
"0.0413116000, 0.0432563000, 0.0488159000, 0.0644141000, 0.1180154000, 0.2484330000, 0.6235735000");
}
}
max_capacitance : 0.3038510000;
max_transition : 14.658677000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014551900, 0.0042351300, 0.0123258000, 0.0358726000, 0.1044030000, 0.3038510000");
values("4.4494692000, 4.5584159000, 4.7944377000, 5.2472977000, 6.0685497000, 7.6803799000, 11.513167300", \
"4.4529131000, 4.5609791000, 4.7990576000, 5.2503863000, 6.0713488000, 7.6870244000, 11.515337100", \
"4.4570685000, 4.5679185000, 4.8033986000, 5.2544369000, 6.0748833000, 7.6900326000, 11.521368700", \
"4.4748116000, 4.5839554000, 4.8207740000, 5.2721711000, 6.0933161000, 7.7086195000, 11.540511700", \
"4.5090607000, 4.6183750000, 4.8547406000, 5.3056386000, 6.1283578000, 7.7430862000, 11.574958800", \
"4.5430597000, 4.6513313000, 4.8887698000, 5.3404841000, 6.1614096000, 7.7761753000, 11.608714000", \
"4.5852158000, 4.6942530000, 4.9320130000, 5.3835878000, 6.2047154000, 7.8198124000, 11.651936300", \
"4.6231432000, 4.7315707000, 4.9700608000, 5.4221103000, 6.2425491000, 7.8577775000, 11.691656500", \
"4.7097775000, 4.8186717000, 5.0565481000, 5.5080607000, 6.3292349000, 7.9443847000, 11.774500500", \
"4.7614357000, 4.8703082000, 5.1060769000, 5.5575731000, 6.3779919000, 7.9917752000, 11.823026100", \
"4.7924953000, 4.9037943000, 5.1393547000, 5.5911564000, 6.4116126000, 8.026061600, 11.857374600");
}
cell_rise ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014551900, 0.0042351300, 0.0123258000, 0.0358726000, 0.1044030000, 0.3038510000");
values("0.3108256000, 0.3499248000, 0.4530805000, 0.7349764000, 1.5405249000, 3.8375259000, 10.477823100", \
"0.3138156000, 0.3528688000, 0.4558366000, 0.7372125000, 1.5411132000, 3.8372345000, 10.481217700", \
"0.3175669000, 0.3566390000, 0.4591910000, 0.7409854000, 1.5467696000, 3.8416026000, 10.483718800", \
"0.3313184000, 0.3704055000, 0.4727793000, 0.7545675000, 1.5565165000, 3.8547783000, 10.496949100", \
"0.3588228000, 0.3978526000, 0.5007596000, 0.7819442000, 1.5847030000, 3.8851411000, 10.524280100", \
"0.3801735000, 0.4193567000, 0.5222138000, 0.8044194000, 1.6081630000, 3.9009214000, 10.544540400", \
"0.4015183000, 0.4407433000, 0.5433196000, 0.8237511000, 1.6283610000, 3.9210710000, 10.564141600", \
"0.4107377000, 0.4496663000, 0.5527376000, 0.8344086000, 1.6335544000, 3.9294625000, 10.572098800", \
"0.4098969000, 0.4487828000, 0.5516042000, 0.8333675000, 1.6336162000, 3.9271724000, 10.570197200", \
"0.4023444000, 0.4412109000, 0.5439626000, 0.8252544000, 1.6251773000, 3.9189527000, 10.561813600", \
"0.3918780000, 0.4306981000, 0.5332557000, 0.8153139000, 1.6146632000, 3.9085153000, 10.550000000");
}
fall_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014551900, 0.0042351300, 0.0123258000, 0.0358726000, 0.1044030000, 0.3038510000");
values("0.4517731000, 0.5195628000, 0.6700430000, 0.9680187000, 1.6002369000, 3.2029308000, 8.031787700", \
"0.4523281000, 0.5194858000, 0.6710115000, 0.9693670000, 1.5989793000, 3.2091785000, 8.025834200", \
"0.4532310000, 0.5203996000, 0.6703968000, 0.9672533000, 1.5961542000, 3.2032663000, 8.017986300", \
"0.4552552000, 0.5207761000, 0.6700938000, 0.9674898000, 1.5982833000, 3.2017333000, 8.033823400", \
"0.4540834000, 0.5235023000, 0.6707097000, 0.9698734000, 1.5997382000, 3.2038345000, 8.032009700", \
"0.4533101000, 0.5233106000, 0.6702612000, 0.9684724000, 1.5952276000, 3.2028489000, 8.016034900", \
"0.4506435000, 0.5194864000, 0.6700667000, 0.9677202000, 1.5984618000, 3.2088450000, 8.020963400", \
"0.4520056000, 0.5198756000, 0.6713258000, 0.9685240000, 1.5989640000, 3.1970950000, 8.016079400", \
"0.4514724000, 0.5198032000, 0.6700049000, 0.9679266000, 1.5985553000, 3.2091063000, 8.030921700", \
"0.4512719000, 0.5195165000, 0.6738238000, 0.9682722000, 1.5997349000, 3.2077505000, 8.022812600", \
"0.4528850000, 0.5197717000, 0.6739037000, 0.9711976000, 1.5957283000, 3.1986087000, 8.032466200");
}
related_pin : "A";
rise_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014551900, 0.0042351300, 0.0123258000, 0.0358726000, 0.1044030000, 0.3038510000");
values("0.0975248000, 0.1425385000, 0.2761992000, 0.6707308000, 1.8099142000, 5.0788338000, 14.656995600", \
"0.0975294000, 0.1428005000, 0.2761406000, 0.6703405000, 1.8078540000, 5.0792752000, 14.636416500", \
"0.0973948000, 0.1426698000, 0.2757341000, 0.6707505000, 1.8094035000, 5.0780904000, 14.649782700", \
"0.0975891000, 0.1424705000, 0.2757586000, 0.6706821000, 1.8081387000, 5.0779367000, 14.658676900", \
"0.0974124000, 0.1426659000, 0.2759662000, 0.6699449000, 1.8094595000, 5.0785882000, 14.639566000", \
"0.0973016000, 0.1424545000, 0.2759960000, 0.6691793000, 1.8078505000, 5.0776165000, 14.621626600", \
"0.0972072000, 0.1424044000, 0.2758326000, 0.6681265000, 1.8071555000, 5.0736029000, 14.612562700", \
"0.0973087000, 0.1422612000, 0.2758028000, 0.6696365000, 1.8054341000, 5.0756457000, 14.610726900", \
"0.0972488000, 0.1420014000, 0.2760260000, 0.6691019000, 1.8051223000, 5.0735491000, 14.608843000", \
"0.0972040000, 0.1422961000, 0.2748946000, 0.6688552000, 1.8028241000, 5.0741815000, 14.607228300", \
"0.0971268000, 0.1422310000, 0.2758457000, 0.6682555000, 1.8029774000, 5.0758930000, 14.611055500");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014551900, 0.0042351300, 0.0123258000, 0.0358726000, 0.1044030000, 0.3038510000");
values("12.413643400, 12.546623300, 12.832043900, 13.355923500, 14.277597800, 16.024170400, 20.016141600", \
"12.408686500, 12.541522500, 12.824098200, 13.351133500, 14.272242900, 16.020457800, 20.012226500", \
"12.392385800, 12.525792000, 12.810915000, 13.335188100, 14.258453100, 16.004431600, 19.998081400", \
"12.263174500, 12.396618800, 12.678061300, 13.207222900, 14.128608500, 15.876199900, 19.869167600", \
"11.853659400, 11.989912200, 12.273683400, 12.799872300, 13.721940000, 15.468982500, 19.461236200", \
"11.608707800, 11.741623700, 12.028166900, 12.553201100, 13.475189900, 15.222431300, 19.215574900", \
"11.457677500, 11.591529500, 11.872304000, 12.400955800, 13.322975600, 15.070046500, 19.063197200", \
"11.397724500, 11.530238100, 11.816752900, 12.342022500, 13.263588400, 15.010650500, 19.001619800", \
"11.300430800, 11.432737400, 11.716262600, 12.243560800, 13.167282400, 14.914235200, 18.906453300", \
"11.267574400, 11.400361500, 11.681536600, 12.209868700, 13.132265900, 14.879458600, 18.871922700", \
"11.243839600, 11.377346200, 11.660215000, 12.186316000, 13.109863400, 14.855665100, 18.846151300");
}
cell_rise ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014551900, 0.0042351300, 0.0123258000, 0.0358726000, 0.1044030000, 0.3038510000");
values("0.2922556000, 0.3310042000, 0.4335892000, 0.7155078000, 1.5179781000, 3.8100878000, 10.452318100", \
"0.2941584000, 0.3330639000, 0.4352131000, 0.7158838000, 1.5202952000, 3.8125920000, 10.455314800", \
"0.2980694000, 0.3370184000, 0.4392610000, 0.7200955000, 1.5239885000, 3.8165537000, 10.459773500", \
"0.3160637000, 0.3550226000, 0.4573389000, 0.7383246000, 1.5421247000, 3.8346650000, 10.477887900", \
"0.3681299000, 0.4068558000, 0.5088528000, 0.7900321000, 1.5922498000, 3.8861496000, 10.527943000", \
"0.4192637000, 0.4582576000, 0.5605853000, 0.8420031000, 1.6439426000, 3.9361416000, 10.577164000", \
"0.4793124000, 0.5192352000, 0.6241564000, 0.9077105000, 1.7071815000, 3.9991099000, 10.643941700", \
"0.5170830000, 0.5579597000, 0.6629535000, 0.9474214000, 1.7493375000, 4.0412127000, 10.682915900", \
"0.5654125000, 0.6089926000, 0.7178756000, 1.0021971000, 1.8071010000, 4.1009063000, 10.744718900", \
"0.5704500000, 0.6157797000, 0.7269111000, 1.0121614000, 1.8178408000, 4.1132022000, 10.756722600", \
"0.5660778000, 0.6131984000, 0.7268158000, 1.0139085000, 1.8191860000, 4.1174788000, 10.761158200");
}
fall_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014551900, 0.0042351300, 0.0123258000, 0.0358726000, 0.1044030000, 0.3038510000");
values("0.6265131000, 0.7007599000, 0.8565917000, 1.1636340000, 1.8013606000, 3.4172503000, 8.249801700", \
"0.6265182000, 0.7011117000, 0.8596984000, 1.1660580000, 1.8054244000, 3.4241898000, 8.257338800", \
"0.6271468000, 0.7007737000, 0.8570857000, 1.1618753000, 1.7972273000, 3.4230372000, 8.251893400", \
"0.6252493000, 0.7017690000, 0.8567478000, 1.1652317000, 1.8065858000, 3.4255474000, 8.260218300", \
"0.6269600000, 0.7011323000, 0.8578399000, 1.1609534000, 1.8012429000, 3.4199086000, 8.260624200", \
"0.6254590000, 0.7001770000, 0.8597373000, 1.1620069000, 1.8048513000, 3.4170564000, 8.257973900", \
"0.6262358000, 0.7007010000, 0.8566085000, 1.1626716000, 1.8036378000, 3.4203136000, 8.259913600", \
"0.6254662000, 0.6991472000, 0.8597016000, 1.1625924000, 1.8056148000, 3.4168028000, 8.261081600", \
"0.6270567000, 0.7012011000, 0.8557416000, 1.1618128000, 1.7995184000, 3.4277621000, 8.259982000", \
"0.6268519000, 0.7010688000, 0.8560671000, 1.1625835000, 1.8044757000, 3.4274124000, 8.258783000", \
"0.6277355000, 0.7013110000, 0.8573949000, 1.1637791000, 1.7978379000, 3.4244398000, 8.258281200");
}
related_pin : "SLEEP_B";
rise_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014551900, 0.0042351300, 0.0123258000, 0.0358726000, 0.1044030000, 0.3038510000");
values("0.0972354000, 0.1423812000, 0.2757076000, 0.6685625000, 1.8063098000, 5.0718993000, 14.614685500", \
"0.0972035000, 0.1423829000, 0.2757231000, 0.6694910000, 1.8048750000, 5.0741984000, 14.609288000", \
"0.0972479000, 0.1422940000, 0.2756733000, 0.6696350000, 1.8051437000, 5.0742610000, 14.647032900", \
"0.0971363000, 0.1421996000, 0.2756073000, 0.6696821000, 1.8053845000, 5.0743996000, 14.651932100", \
"0.0971935000, 0.1422383000, 0.2749330000, 0.6688384000, 1.8061568000, 5.0756142000, 14.609469200", \
"0.0998099000, 0.1438456000, 0.2758089000, 0.6687710000, 1.8030655000, 5.0753401000, 14.613024600", \
"0.1025854000, 0.1468785000, 0.2800589000, 0.6697391000, 1.8028006000, 5.0719251000, 14.605420000", \
"0.1056769000, 0.1500349000, 0.2808654000, 0.6715588000, 1.8017187000, 5.0682298000, 14.615577200", \
"0.1162128000, 0.1600575000, 0.2866712000, 0.6715078000, 1.8074821000, 5.0726370000, 14.615303600", \
"0.1227288000, 0.1665343000, 0.2914444000, 0.6733362000, 1.8073141000, 5.0753646000, 14.612925100", \
"0.1292878000, 0.1734143000, 0.2963318000, 0.6750078000, 1.8071774000, 5.0779083000, 14.612607100");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbuflv2hv_symmetric_1") {
leakage_power () {
value : 2731.9667879;
when : "A";
}
leakage_power () {
value : 2731.9269975;
when : "!A";
}
area : 89.86560000;
cell_footprint : "sky130_fd_sc_hvl__lsbuflv2hv_symmetric";
cell_leakage_power : 2731.9470000;
input_voltage_range(1.2800000000, 1.9500000000);
output_voltage_range(1.6500000000, 5.5000000000);
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0027760000;
clock : "false";
direction : "input";
fall_capacitance : 0.0027110000;
input_signal_level : "LVPWR";
level_shifter_data_pin : "true";
max_transition : 2.5000000000;
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
rise_capacitance : 0.0028410000;
}
pin ("X") {
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_3") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0012434800, 0.0030924700, 0.0076908500, 0.0191268000, 0.0475675000, 0.1182980000, 0.2942030000, 0.7316690000");
values("0.1085827000, 0.1077149000, 0.1052717000, 0.0978521000, 0.0774533000, 0.0244627000, -0.1096007000, -0.4437174000, -1.2754154000", \
"0.1084340000, 0.1075172000, 0.1050734000, 0.0976789000, 0.0773417000, 0.0242800000, -0.1096394000, -0.4436311000, -1.2752847000", \
"0.1082309000, 0.1073352000, 0.1049791000, 0.0975618000, 0.0772880000, 0.0242065000, -0.1098758000, -0.4440211000, -1.2754267000", \
"0.1081609000, 0.1072730000, 0.1049013000, 0.0975001000, 0.0771899000, 0.0241002000, -0.1099490000, -0.4441963000, -1.2754980000", \
"0.1082419000, 0.1073212000, 0.1049222000, 0.0975077000, 0.0771132000, 0.0241035000, -0.1098967000, -0.4439960000, -1.2754441000", \
"0.1086650000, 0.1077585000, 0.1053121000, 0.0978954000, 0.0775318000, 0.0244882000, -0.1094849000, -0.4436433000, -1.2752102000", \
"0.1093372000, 0.1084154000, 0.1059761000, 0.0985953000, 0.0782177000, 0.0251673000, -0.1088108000, -0.4429263000, -1.2744708000", \
"0.1105731000, 0.1096971000, 0.1072767000, 0.0998853000, 0.0794897000, 0.0264027000, -0.1075451000, -0.4416423000, -1.2732203000", \
"0.1131699000, 0.1122484000, 0.1098037000, 0.1024391000, 0.0820573000, 0.0289921000, -0.1049730000, -0.4390935000, -1.2706389000", \
"0.1168248000, 0.1158989000, 0.1134565000, 0.1061158000, 0.0857482000, 0.0326319000, -0.1013296000, -0.4354420000, -1.2669944000", \
"0.1226422000, 0.1217582000, 0.1192452000, 0.1119171000, 0.0915421000, 0.0384709000, -0.0954825000, -0.4296127000, -1.2611379000", \
"0.1318922000, 0.1309551000, 0.1285316000, 0.1211536000, 0.1008006000, 0.0477215000, -0.0862585000, -0.4203770000, -1.2519183000", \
"0.1461313000, 0.1452926000, 0.1428415000, 0.1354586000, 0.1150387000, 0.0620009000, -0.0719744000, -0.4061173000, -1.2376528000", \
"0.1618614000, 0.1605729000, 0.1572710000, 0.1502549000, 0.1298483000, 0.0767565000, -0.0572141000, -0.3913474000, -1.2228589000", \
"0.1782949000, 0.1770198000, 0.1738105000, 0.1654963000, 0.1454815000, 0.0923730000, -0.0416000000, -0.3757170000, -1.2072463000");
}
related_pin : "A";
rise_power ("power_outputs_3") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0012434800, 0.0030924700, 0.0076908500, 0.0191268000, 0.0475675000, 0.1182980000, 0.2942030000, 0.7316690000");
values("0.1359425000, 0.1378763000, 0.1426784000, 0.1542011000, 0.1810842000, 0.2352900000, 0.3682080000, 0.6994791000, 1.5229731000", \
"0.1358293000, 0.1377206000, 0.1425797000, 0.1541163000, 0.1810094000, 0.2351728000, 0.3681097000, 0.6993781000, 1.5216255000", \
"0.1357437000, 0.1376109000, 0.1424416000, 0.1538850000, 0.1807992000, 0.2350414000, 0.3679425000, 0.6992598000, 1.5228644000", \
"0.1355828000, 0.1374438000, 0.1423013000, 0.1538661000, 0.1807194000, 0.2349243000, 0.3678662000, 0.6987622000, 1.5225919000", \
"0.1354915000, 0.1373693000, 0.1422283000, 0.1537687000, 0.1806511000, 0.2348208000, 0.3677751000, 0.6986557000, 1.5224712000", \
"0.1352907000, 0.1372340000, 0.1420868000, 0.1536448000, 0.1804434000, 0.2346912000, 0.3675921000, 0.6983122000, 1.5218686000", \
"0.1357795000, 0.1376332000, 0.1424716000, 0.1539570000, 0.1808405000, 0.2350502000, 0.3680691000, 0.6993217000, 1.5228162000", \
"0.1361791000, 0.1381242000, 0.1429813000, 0.1545267000, 0.1813110000, 0.2355990000, 0.3684790000, 0.6992300000, 1.5227504000", \
"0.1376789000, 0.1396110000, 0.1444685000, 0.1560232000, 0.1828246000, 0.2370649000, 0.3700087000, 0.7008580000, 1.5246320000", \
"0.1406206000, 0.1425627000, 0.1473406000, 0.1588577000, 0.1856854000, 0.2399594000, 0.3728497000, 0.7036551000, 1.5270897000", \
"0.1455438000, 0.1475014000, 0.1523221000, 0.1638218000, 0.1907403000, 0.2449153000, 0.3778534000, 0.7091126000, 1.5311946000", \
"0.1543958000, 0.1562267000, 0.1610654000, 0.1726096000, 0.1994352000, 0.2537085000, 0.3866557000, 0.7175031000, 1.5412607000", \
"0.1688794000, 0.1708247000, 0.1756805000, 0.1872438000, 0.2140784000, 0.2682536000, 0.4014050000, 0.7325305000, 1.5561901000", \
"0.1850278000, 0.1864484000, 0.1907740000, 0.2022696000, 0.2290037000, 0.2832515000, 0.4161765000, 0.7471331000, 1.5707717000", \
"0.2036340000, 0.2051227000, 0.2088580000, 0.2177317000, 0.2444192000, 0.2986420000, 0.4315795000, 0.7628499000, 1.5861378000");
}
}
max_capacitance : 0.7316690000;
max_transition : 18.325114000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_15_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0012434800, 0.0030924700, 0.0076908500, 0.0191268000, 0.0475675000, 0.1182980000, 0.2942030000, 0.7316690000");
values("0.6975258000, 0.7209404000, 0.7713804000, 0.8739488000, 1.0899721000, 1.5924454000, 2.8361478000, 5.9322085000, 13.641377800", \
"0.6994368000, 0.7228306000, 0.7732433000, 0.8759576000, 1.0918587000, 1.5944861000, 2.8370732000, 5.9353136000, 13.650089100", \
"0.7019587000, 0.7249504000, 0.7755682000, 0.8783824000, 1.0944309000, 1.5972041000, 2.8403051000, 5.9365761000, 13.655478500", \
"0.7060617000, 0.7295605000, 0.7796656000, 0.8823889000, 1.0985352000, 1.6011097000, 2.8442213000, 5.9409060000, 13.655916400", \
"0.7127514000, 0.7361913000, 0.7866264000, 0.8891657000, 1.1052368000, 1.6076618000, 2.8514469000, 5.9468772000, 13.657723800", \
"0.7233551000, 0.7467666000, 0.7972152000, 0.8997937000, 1.1158114000, 1.6183692000, 2.8618046000, 5.9583929000, 13.675362200", \
"0.7392699000, 0.7626723000, 0.8130718000, 0.9160253000, 1.1313562000, 1.6345640000, 2.8793943000, 5.9757199000, 13.691706400", \
"0.7617251000, 0.7852324000, 0.8352901000, 0.9382742000, 1.1543325000, 1.6569933000, 2.9004021000, 5.9961436000, 13.716949400", \
"0.7920394000, 0.8154440000, 0.8658241000, 0.9685769000, 1.1842348000, 1.6875327000, 2.9322353000, 6.0283343000, 13.744784000", \
"0.8294739000, 0.8528064000, 0.9034559000, 1.0061401000, 1.2222849000, 1.7250867000, 2.9682949000, 6.0642207000, 13.787621700", \
"0.8779568000, 0.9017387000, 0.9520295000, 1.0549467000, 1.2706886000, 1.7740281000, 3.0186278000, 6.1146856000, 13.824823100", \
"0.9426570000, 0.9659350000, 1.0158786000, 1.1187886000, 1.3349755000, 1.8382381000, 3.0831495000, 6.1794552000, 13.892555600", \
"1.0310288000, 1.0544633000, 1.1044916000, 1.2072514000, 1.4234331000, 1.9267414000, 3.1715670000, 6.2677197000, 13.985601100", \
"1.1136414000, 1.1371407000, 1.1871961000, 1.2899415000, 1.5058704000, 2.0098399000, 3.2545426000, 6.3508123000, 14.067876600", \
"1.1928606000, 1.2162539000, 1.2657004000, 1.3687506000, 1.5847935000, 2.0875082000, 3.3338026000, 6.4304859000, 14.138657400");
}
cell_rise ("del_1_15_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0012434800, 0.0030924700, 0.0076908500, 0.0191268000, 0.0475675000, 0.1182980000, 0.2942030000, 0.7316690000");
values("1.0904204000, 1.1161898000, 1.1705321000, 1.2791315000, 1.5027134000, 2.0199089000, 3.2778975000, 6.3600534000, 14.037247800", \
"1.0915057000, 1.1174555000, 1.1727330000, 1.2809930000, 1.5045608000, 2.0214284000, 3.2795295000, 6.3604162000, 14.041259700", \
"1.0943619000, 1.1201360000, 1.1745040000, 1.2831493000, 1.5068339000, 2.0240261000, 3.2818424000, 6.3645906000, 14.033283900", \
"1.0969061000, 1.1227842000, 1.1781022000, 1.2864395000, 1.5100238000, 2.0269062000, 3.2846426000, 6.3682073000, 14.033628500", \
"1.1014574000, 1.1273806000, 1.1826685000, 1.2909551000, 1.5145281000, 2.0314088000, 3.2893559000, 6.3728884000, 14.038486800", \
"1.1076512000, 1.1335441000, 1.1888481000, 1.2971693000, 1.5207523000, 2.0377415000, 3.2958927000, 6.3784804000, 14.051947200", \
"1.1151515000, 1.1409327000, 1.1952935000, 1.3039158000, 1.5276644000, 2.0447644000, 3.3025989000, 6.3849583000, 14.059509100", \
"1.1216099000, 1.1475290000, 1.2028124000, 1.3111099000, 1.5346748000, 2.0516051000, 3.3096583000, 6.3926087000, 14.066017700", \
"1.1282592000, 1.1541507000, 1.2094521000, 1.3177679000, 1.5413316000, 2.0582671000, 3.3160559000, 6.3997341000, 14.066590600", \
"1.1339649000, 1.1596788000, 1.2140272000, 1.3226702000, 1.5464263000, 2.0633673000, 3.3211816000, 6.4044334000, 14.078374900", \
"1.1361854000, 1.1622083000, 1.2170932000, 1.3253776000, 1.5488492000, 2.0654045000, 3.3240071000, 6.4049512000, 14.084612600", \
"1.1317270000, 1.1575482000, 1.2123301000, 1.3210915000, 1.5447125000, 2.0618014000, 3.3194822000, 6.4032823000, 14.070518100", \
"1.1164888000, 1.1422496000, 1.1965871000, 1.3049238000, 1.5283851000, 2.0457356000, 3.3035057000, 6.3852488000, 14.051414300", \
"1.0939009000, 1.1188647000, 1.1740415000, 1.2826122000, 1.5057917000, 2.0231656000, 3.2809357000, 6.3643157000, 14.025163300", \
"1.0660295000, 1.0917426000, 1.1461430000, 1.2547001000, 1.4784085000, 1.9954118000, 3.2531757000, 6.3347247000, 14.012558200");
}
fall_transition ("del_1_15_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0012434800, 0.0030924700, 0.0076908500, 0.0191268000, 0.0475675000, 0.1182980000, 0.2942030000, 0.7316690000");
values("0.1144914000, 0.1332009000, 0.1764803000, 0.2786899000, 0.5381014000, 1.2135632000, 2.9323333000, 7.1958204000, 17.829952600", \
"0.1145564000, 0.1331699000, 0.1762481000, 0.2786113000, 0.5381068000, 1.2138806000, 2.9307841000, 7.2008595000, 17.802550500", \
"0.1149102000, 0.1329375000, 0.1768371000, 0.2779737000, 0.5377866000, 1.2140291000, 2.9324307000, 7.2030915000, 17.804875800", \
"0.1148574000, 0.1331209000, 0.1768452000, 0.2782757000, 0.5376125000, 1.2140633000, 2.9321923000, 7.2027775000, 17.818983600", \
"0.1144196000, 0.1331430000, 0.1765279000, 0.2786675000, 0.5378529000, 1.2136427000, 2.9325027000, 7.1907555000, 17.817752600", \
"0.1145296000, 0.1332129000, 0.1764545000, 0.2786922000, 0.5381281000, 1.2137034000, 2.9321572000, 7.1990658000, 17.838367200", \
"0.1144555000, 0.1327785000, 0.1756052000, 0.2786553000, 0.5378461000, 1.2137190000, 2.9262499000, 7.1933131000, 17.797784600", \
"0.1146672000, 0.1332900000, 0.1768581000, 0.2778162000, 0.5378221000, 1.2141010000, 2.9326204000, 7.2036399000, 17.836123700", \
"0.1144098000, 0.1328327000, 0.1758806000, 0.2788538000, 0.5375231000, 1.2138832000, 2.9291121000, 7.1951001000, 17.797805900", \
"0.1147448000, 0.1329799000, 0.1767885000, 0.2779598000, 0.5378487000, 1.2141260000, 2.9326377000, 7.1924973000, 17.814993900", \
"0.1142272000, 0.1333092000, 0.1762692000, 0.2788689000, 0.5375160000, 1.2138487000, 2.9304321000, 7.1875541000, 17.833507100", \
"0.1144777000, 0.1329771000, 0.1763405000, 0.2783397000, 0.5381608000, 1.2138779000, 2.9267226000, 7.1886017000, 17.812482200", \
"0.1145428000, 0.1331921000, 0.1764549000, 0.2775107000, 0.5374007000, 1.2140543000, 2.9281793000, 7.1939064000, 17.797010100", \
"0.1149482000, 0.1333661000, 0.1769808000, 0.2782511000, 0.5373121000, 1.2139686000, 2.9299885000, 7.1952941000, 17.799761700", \
"0.1145852000, 0.1332545000, 0.1762314000, 0.2789904000, 0.5389317000, 1.2128500000, 2.9318346000, 7.1884423000, 17.820823900");
}
related_pin : "A";
rise_transition ("del_1_15_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0012434800, 0.0030924700, 0.0076908500, 0.0191268000, 0.0475675000, 0.1182980000, 0.2942030000, 0.7316690000");
values("0.1311187000, 0.1508207000, 0.1963612000, 0.3020910000, 0.5714302000, 1.2760371000, 3.0317859000, 7.3900055000, 18.310974100", \
"0.1305292000, 0.1508174000, 0.1961159000, 0.3017864000, 0.5709042000, 1.2783219000, 3.0344505000, 7.3896174000, 18.325113900", \
"0.1310583000, 0.1508603000, 0.1961623000, 0.3021433000, 0.5715100000, 1.2764149000, 3.0323051000, 7.3950863000, 18.297548400", \
"0.1306860000, 0.1506094000, 0.1962061000, 0.3019859000, 0.5712425000, 1.2758528000, 3.0275469000, 7.4032060000, 18.278676400", \
"0.1305504000, 0.1507543000, 0.1961720000, 0.3018759000, 0.5710903000, 1.2758991000, 3.0264069000, 7.4030884000, 18.278939300", \
"0.1306358000, 0.1506631000, 0.1961968000, 0.3019721000, 0.5712879000, 1.2758991000, 3.0338472000, 7.4045721000, 18.304918500", \
"0.1310228000, 0.1508723000, 0.1960468000, 0.3019853000, 0.5714190000, 1.2757208000, 3.0271343000, 7.3905708000, 18.309529900", \
"0.1305692000, 0.1507290000, 0.1961927000, 0.3019487000, 0.5712288000, 1.2763864000, 3.0317313000, 7.4047239000, 18.304955800", \
"0.1306572000, 0.1506496000, 0.1962175000, 0.3020140000, 0.5713036000, 1.2771288000, 3.0270242000, 7.4037816000, 18.282735300", \
"0.1311149000, 0.1508663000, 0.1958511000, 0.3016943000, 0.5713697000, 1.2759040000, 3.0314700000, 7.4048683000, 18.304801200", \
"0.1310679000, 0.1507656000, 0.1959468000, 0.3011220000, 0.5706106000, 1.2757798000, 3.0337080000, 7.3815571000, 18.324239900", \
"0.1304485000, 0.1502276000, 0.1953328000, 0.3016607000, 0.5713804000, 1.2784102000, 3.0274701000, 7.4041684000, 18.284442400", \
"0.1298578000, 0.1497371000, 0.1951155000, 0.3017905000, 0.5707516000, 1.2785335000, 3.0269602000, 7.3889717000, 18.289753700", \
"0.1308612000, 0.1504801000, 0.1960997000, 0.3028275000, 0.5708497000, 1.2760740000, 3.0277472000, 7.4000539000, 18.272052300", \
"0.1303006000, 0.1509617000, 0.1958372000, 0.3018962000, 0.5710998000, 1.2778734000, 3.0286205000, 7.3809283000, 18.280836400");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
}