| ############################################################################### |
| # Created by write_sdc |
| # Thu Dec 22 20:30:56 2022 |
| ############################################################################### |
| current_design Top_Module_4_ALU |
| ############################################################################### |
| # Timing Constraints |
| ############################################################################### |
| create_clock -name __VIRTUAL_CLK__ -period 1000.0000 |
| set_clock_uncertainty 0.2500 __VIRTUAL_CLK__ |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {Operation[0]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {Operation[1]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {Operation[2]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {Operation[3]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[0]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[100]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[101]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[102]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[103]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[104]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[105]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[106]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[107]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[108]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[109]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[10]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[110]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[111]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[112]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[113]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[114]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[115]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[116]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[117]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[118]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[119]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[11]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[120]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[121]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[122]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[123]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[124]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[125]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[126]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[127]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[12]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[13]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[14]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[15]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[16]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[17]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[18]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[19]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[1]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[20]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[21]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[22]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[23]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[24]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[25]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[26]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[27]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[28]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[29]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[2]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[30]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[31]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[32]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[33]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[34]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[35]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[36]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[37]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[38]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[39]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[3]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[40]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[41]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[42]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[43]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[44]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[45]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[46]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[47]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[48]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[49]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[4]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[50]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[51]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[52]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[53]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[54]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[55]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[56]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[57]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[58]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[59]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[5]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[60]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[61]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[62]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[63]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[64]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[65]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[66]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[67]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[68]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[69]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[6]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[70]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[71]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[72]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[73]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[74]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[75]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[76]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[77]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[78]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[79]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[7]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[80]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[81]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[82]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[83]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[84]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[85]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[86]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[87]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[88]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[89]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[8]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[90]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[91]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[92]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[93]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[94]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[95]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[96]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[97]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[98]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[99]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {a_operand[9]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[0]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[100]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[101]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[102]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[103]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[104]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[105]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[106]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[107]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[108]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[109]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[10]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[110]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[111]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[112]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[113]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[114]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[115]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[116]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[117]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[118]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[119]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[11]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[120]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[121]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[122]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[123]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[124]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[125]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[126]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[127]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[12]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[13]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[14]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[15]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[16]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[17]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[18]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[19]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[1]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[20]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[21]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[22]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[23]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[24]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[25]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[26]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[27]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[28]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[29]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[2]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[30]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[31]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[32]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[33]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[34]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[35]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[36]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[37]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[38]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[39]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[3]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[40]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[41]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[42]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[43]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[44]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[45]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[46]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[47]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[48]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[49]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[4]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[50]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[51]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[52]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[53]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[54]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[55]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[56]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[57]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[58]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[59]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[5]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[60]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[61]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[62]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[63]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[64]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[65]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[66]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[67]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[68]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[69]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[6]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[70]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[71]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[72]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[73]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[74]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[75]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[76]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[77]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[78]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[79]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[7]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[80]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[81]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[82]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[83]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[84]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[85]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[86]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[87]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[88]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[89]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[8]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[90]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[91]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[92]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[93]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[94]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[95]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[96]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[97]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[98]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[99]}] |
| set_input_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {b_operand[9]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[0]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[100]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[101]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[102]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[103]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[104]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[105]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[106]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[107]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[108]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[109]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[10]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[110]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[111]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[112]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[113]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[114]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[115]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[116]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[117]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[118]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[119]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[11]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[120]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[121]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[122]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[123]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[124]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[125]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[126]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[127]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[12]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[13]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[14]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[15]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[16]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[17]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[18]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[19]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[1]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[20]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[21]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[22]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[23]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[24]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[25]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[26]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[27]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[28]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[29]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[2]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[30]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[31]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[32]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[33]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[34]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[35]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[36]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[37]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[38]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[39]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[3]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[40]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[41]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[42]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[43]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[44]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[45]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[46]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[47]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[48]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[49]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[4]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[50]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[51]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[52]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[53]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[54]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[55]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[56]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[57]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[58]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[59]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[5]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[60]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[61]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[62]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[63]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[64]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[65]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[66]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[67]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[68]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[69]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[6]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[70]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[71]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[72]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[73]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[74]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[75]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[76]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[77]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[78]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[79]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[7]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[80]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[81]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[82]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[83]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[84]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[85]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[86]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[87]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[88]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[89]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[8]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[90]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[91]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[92]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[93]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[94]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[95]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[96]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[97]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[98]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[99]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ALU_Output[9]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {Exception[0]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {Exception[1]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {Exception[2]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {Exception[3]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {Overflow[0]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {Overflow[1]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {Overflow[2]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {Overflow[3]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {Underflow[0]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {Underflow[1]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {Underflow[2]}] |
| set_output_delay 200.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {Underflow[3]}] |
| ############################################################################### |
| # Environment |
| ############################################################################### |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[127]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[126]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[125]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[124]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[123]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[122]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[121]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[120]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[119]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[118]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[117]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[116]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[115]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[114]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[113]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[112]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[111]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[110]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[109]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[108]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[107]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[106]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[105]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[104]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[103]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[102]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[101]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[100]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[99]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[98]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[97]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[96]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[95]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[94]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[93]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[92]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[91]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[90]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[89]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[88]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[87]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[86]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[85]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[84]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[83]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[82]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[81]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[80]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[79]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[78]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[77]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[76]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[75]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[74]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[73]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[72]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[71]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[70]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[69]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[68]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[67]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[66]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[65]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[64]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[63]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[62]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[61]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[60]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[59]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[58]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[57]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[56]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[55]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[54]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[53]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[52]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[51]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[50]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[49]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[48]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[47]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[46]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[45]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[44]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[43]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[42]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[41]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[40]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[39]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[38]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[37]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[36]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[35]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[34]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[33]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[32]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[31]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[30]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[29]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[28]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[27]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[26]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[25]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[24]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[23]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[22]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[21]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[20]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[19]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[18]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[17]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[16]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[15]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[14]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[13]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[12]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[11]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[10]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[9]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[8]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[7]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[6]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[5]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[4]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[3]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[2]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[1]}] |
| set_load -pin_load 0.0334 [get_ports {ALU_Output[0]}] |
| set_load -pin_load 0.0334 [get_ports {Exception[3]}] |
| set_load -pin_load 0.0334 [get_ports {Exception[2]}] |
| set_load -pin_load 0.0334 [get_ports {Exception[1]}] |
| set_load -pin_load 0.0334 [get_ports {Exception[0]}] |
| set_load -pin_load 0.0334 [get_ports {Overflow[3]}] |
| set_load -pin_load 0.0334 [get_ports {Overflow[2]}] |
| set_load -pin_load 0.0334 [get_ports {Overflow[1]}] |
| set_load -pin_load 0.0334 [get_ports {Overflow[0]}] |
| set_load -pin_load 0.0334 [get_ports {Underflow[3]}] |
| set_load -pin_load 0.0334 [get_ports {Underflow[2]}] |
| set_load -pin_load 0.0334 [get_ports {Underflow[1]}] |
| set_load -pin_load 0.0334 [get_ports {Underflow[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {Operation[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {Operation[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {Operation[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {Operation[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[127]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[126]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[125]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[124]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[123]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[122]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[121]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[120]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[119]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[118]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[117]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[116]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[115]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[114]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[113]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[112]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[111]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[110]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[109]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[108]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[107]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[106]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[105]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[104]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[103]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[102]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[101]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[100]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[99]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[98]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[97]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[96]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[95]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[94]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[93]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[92]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[91]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[90]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[89]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[88]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[87]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[86]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[85]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[84]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[83]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[82]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[81]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[80]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[79]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[78]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[77]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[76]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[75]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[74]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[73]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[72]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[71]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[70]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[69]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[68]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[67]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[66]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[65]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[64]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[63]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[62]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[61]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[60]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[59]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[58]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[57]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[56]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[55]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[54]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[53]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[52]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[51]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[50]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[49]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[48]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[47]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[46]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[45]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[44]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[43]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[42]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[41]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[40]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[39]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[38]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[37]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[36]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[35]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[34]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[33]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[32]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[31]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[30]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[29]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[28]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[27]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[26]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[25]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[24]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[23]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[22]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[21]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[20]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[19]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[18]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[17]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[16]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[15]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[14]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[13]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[12]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[11]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[10]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {a_operand[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[127]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[126]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[125]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[124]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[123]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[122]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[121]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[120]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[119]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[118]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[117]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[116]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[115]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[114]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[113]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[112]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[111]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[110]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[109]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[108]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[107]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[106]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[105]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[104]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[103]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[102]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[101]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[100]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[99]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[98]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[97]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[96]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[95]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[94]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[93]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[92]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[91]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[90]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[89]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[88]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[87]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[86]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[85]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[84]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[83]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[82]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[81]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[80]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[79]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[78]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[77]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[76]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[75]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[74]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[73]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[72]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[71]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[70]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[69]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[68]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[67]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[66]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[65]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[64]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[63]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[62]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[61]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[60]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[59]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[58]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[57]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[56]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[55]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[54]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[53]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[52]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[51]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[50]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[49]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[48]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[47]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[46]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[45]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[44]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[43]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[42]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[41]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[40]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[39]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[38]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[37]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[36]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[35]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[34]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[33]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[32]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[31]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[30]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[29]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[28]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[27]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[26]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[25]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[24]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[23]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[22]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[21]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[20]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[19]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[18]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[17]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[16]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[15]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[14]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[13]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[12]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[11]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[10]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {b_operand[0]}] |
| set_timing_derate -early 0.9500 |
| set_timing_derate -late 1.0500 |
| ############################################################################### |
| # Design Rules |
| ############################################################################### |
| set_max_fanout 10.0000 [current_design] |