blob: 5a3d74194351dc7c3a7fbb7207b2ee5404ecea96 [file] [log] [blame]
v {xschem version=3.1.0 file_version=1.2 }
G {}
K {}
V {}
S {}
E {}
N 190 -560 190 -350 {
lab=col0}
N 500 -560 500 -350 {
lab=col1}
N 780 -560 780 -350 {
lab=col2}
N 1360 -560 1360 -350 {
lab=col4}
N 1650 -560 1650 -350 {
lab=col5}
N 1930 -560 1930 -350 {
lab=col6}
N 2220 -560 2220 -350 {
lab=col7}
N 2510 -560 2510 -350 {
lab=col8}
N 2800 -560 2800 -350 {
lab=col9}
N 3080 -560 3080 -350 {
lab=col10}
N 3370 -560 3370 -350 {
lab=col11}
N 3660 -560 3660 -350 {
lab=col12}
N 3950 -560 3950 -350 {
lab=col13}
N 4230 -560 4230 -350 {
lab=col14}
N 4520 -560 4520 -350 {
lab=col15}
N 170 -50 170 0 {
lab=B0}
N 130 -50 130 -0 {
lab=A0}
N 150 -50 150 0 {
lab=SEL}
N 150 -430 150 -350 {
lab=vccd1}
N 130 -430 130 -350 {
lab=vdda1}
N 170 -430 170 -350 {
lab=VSUBS}
N 210 -430 210 -350 {
lab=Vcplus}
N 230 -430 230 -350 {
lab=Vcminus}
N 250 -430 250 -350 {
lab=Vref}
N 480 -50 480 0 {
lab=B1}
N 440 -50 440 0 {
lab=A1}
N 460 -50 460 0 {
lab=SEL}
N 460 -430 460 -350 {
lab=vccd1}
N 440 -430 440 -350 {
lab=vdda1}
N 480 -430 480 -350 {
lab=VSUBS}
N 520 -430 520 -350 {
lab=Vcplus}
N 540 -430 540 -350 {
lab=Vcminus}
N 560 -430 560 -350 {
lab=Vref}
N 740 -430 740 -350 {
lab=vccd1}
N 720 -430 720 -350 {
lab=vdda1}
N 820 -430 820 -350 {
lab=Vcminus}
N 840 -430 840 -350 {
lab=Vref}
N 1010 -430 1010 -350 {
lab=vdda1}
N 1090 -430 1090 -350 {
lab=Vcplus}
N 1110 -430 1110 -350 {
lab=Vcminus}
N 1130 -430 1130 -350 {
lab=Vref}
N 1070 -560 1070 -350 {
lab=col3}
N 1030 -430 1030 -350 {
lab=vccd1}
N 1050 -430 1050 -350 {
lab=VSUBS}
N 760 -430 760 -350 {
lab=VSUBS}
N 800 -430 800 -350 {
lab=Vcplus}
N 1320 -430 1320 -350 {
lab=vccd1}
N 1300 -430 1300 -350 {
lab=vdda1}
N 1400 -430 1400 -350 {
lab=Vcminus}
N 1420 -430 1420 -350 {
lab=Vref}
N 1590 -430 1590 -350 {
lab=vdda1}
N 1670 -430 1670 -350 {
lab=Vcplus}
N 1690 -430 1690 -350 {
lab=Vcminus}
N 1710 -430 1710 -350 {
lab=Vref}
N 1610 -430 1610 -350 {
lab=vccd1}
N 1630 -430 1630 -350 {
lab=VSUBS}
N 1340 -430 1340 -350 {
lab=VSUBS}
N 1380 -430 1380 -350 {
lab=Vcplus}
N 1890 -430 1890 -350 {
lab=vccd1}
N 1870 -430 1870 -350 {
lab=vdda1}
N 1970 -430 1970 -350 {
lab=Vcminus}
N 1990 -430 1990 -350 {
lab=Vref}
N 2160 -430 2160 -350 {
lab=vdda1}
N 2240 -430 2240 -350 {
lab=Vcplus}
N 2260 -430 2260 -350 {
lab=Vcminus}
N 2280 -430 2280 -350 {
lab=Vref}
N 2180 -430 2180 -350 {
lab=vccd1}
N 2200 -430 2200 -350 {
lab=VSUBS}
N 1910 -430 1910 -350 {
lab=VSUBS}
N 1950 -430 1950 -350 {
lab=Vcplus}
N 2470 -430 2470 -350 {
lab=vccd1}
N 2450 -430 2450 -350 {
lab=vdda1}
N 2550 -430 2550 -350 {
lab=Vcminus}
N 2570 -430 2570 -350 {
lab=Vref}
N 2740 -430 2740 -350 {
lab=vdda1}
N 2820 -430 2820 -350 {
lab=Vcplus}
N 2840 -430 2840 -350 {
lab=Vcminus}
N 2860 -430 2860 -350 {
lab=Vref}
N 2760 -430 2760 -350 {
lab=vccd1}
N 2780 -430 2780 -350 {
lab=VSUBS}
N 2490 -430 2490 -350 {
lab=VSUBS}
N 2530 -430 2530 -350 {
lab=Vcplus}
N 3040 -430 3040 -350 {
lab=vccd1}
N 3020 -430 3020 -350 {
lab=vdda1}
N 3120 -430 3120 -350 {
lab=Vcminus}
N 3140 -430 3140 -350 {
lab=Vref}
N 3310 -430 3310 -350 {
lab=vdda1}
N 3390 -430 3390 -350 {
lab=Vcplus}
N 3410 -430 3410 -350 {
lab=Vcminus}
N 3430 -430 3430 -350 {
lab=Vref}
N 3330 -430 3330 -350 {
lab=vccd1}
N 3350 -430 3350 -350 {
lab=VSUBS}
N 3060 -430 3060 -350 {
lab=VSUBS}
N 3100 -430 3100 -350 {
lab=Vcplus}
N 3620 -430 3620 -350 {
lab=vccd1}
N 3600 -430 3600 -350 {
lab=vdda1}
N 3700 -430 3700 -350 {
lab=Vcminus}
N 3720 -430 3720 -350 {
lab=Vref}
N 3890 -430 3890 -350 {
lab=vdda1}
N 3970 -430 3970 -350 {
lab=Vcplus}
N 3990 -430 3990 -350 {
lab=Vcminus}
N 4010 -430 4010 -350 {
lab=Vref}
N 3910 -430 3910 -350 {
lab=vccd1}
N 3930 -430 3930 -350 {
lab=VSUBS}
N 3640 -430 3640 -350 {
lab=VSUBS}
N 3680 -430 3680 -350 {
lab=Vcplus}
N 4190 -430 4190 -350 {
lab=vccd1}
N 4170 -430 4170 -350 {
lab=vdda1}
N 4270 -430 4270 -350 {
lab=Vcminus}
N 4290 -430 4290 -350 {
lab=Vref}
N 4460 -430 4460 -350 {
lab=vdda1}
N 4540 -430 4540 -350 {
lab=Vcplus}
N 4560 -430 4560 -350 {
lab=Vcminus}
N 4580 -430 4580 -350 {
lab=Vref}
N 4480 -430 4480 -350 {
lab=vccd1}
N 4500 -430 4500 -350 {
lab=VSUBS}
N 4210 -430 4210 -350 {
lab=VSUBS}
N 4250 -430 4250 -350 {
lab=Vcplus}
N 760 -50 760 0 {
lab=B2}
N 720 -50 720 0 {
lab=A2}
N 740 -50 740 0 {
lab=SEL}
N 1050 -50 1050 0 {
lab=B3}
N 1010 -50 1010 0 {
lab=A3}
N 1030 -50 1030 0 {
lab=SEL}
N 1340 -50 1340 0 {
lab=B4}
N 1300 -50 1300 0 {
lab=A4}
N 1320 -50 1320 0 {
lab=SEL}
N 1630 -50 1630 0 {
lab=B5}
N 1590 -50 1590 0 {
lab=A5}
N 1610 -50 1610 0 {
lab=SEL}
N 1910 -50 1910 0 {
lab=B6}
N 1870 -50 1870 0 {
lab=A6}
N 1890 -50 1890 0 {
lab=SEL}
N 2200 -50 2200 0 {
lab=B7}
N 2160 -50 2160 0 {
lab=A7}
N 2180 -50 2180 0 {
lab=SEL}
N 2490 -50 2490 0 {
lab=B8}
N 2450 -50 2450 0 {
lab=A8}
N 2470 -50 2470 0 {
lab=SEL}
N 2780 -50 2780 0 {
lab=B9}
N 2740 -50 2740 0 {
lab=A9}
N 2760 -50 2760 0 {
lab=SEL}
N 3060 -50 3060 0 {
lab=B10}
N 3020 -50 3020 0 {
lab=A10}
N 3040 -50 3040 0 {
lab=SEL}
N 3350 -50 3350 0 {
lab=B11}
N 3310 -50 3310 0 {
lab=A11}
N 3330 -50 3330 0 {
lab=SEL}
N 3640 -50 3640 0 {
lab=B12}
N 3600 -50 3600 0 {
lab=A12}
N 3620 -50 3620 0 {
lab=SEL}
N 3930 -50 3930 0 {
lab=B13}
N 3890 -50 3890 0 {
lab=A13}
N 3910 -50 3910 0 {
lab=SEL}
N 4210 -50 4210 0 {
lab=B14}
N 4170 -50 4170 0 {
lab=A14}
N 4190 -50 4190 0 {
lab=SEL}
N 4500 -50 4500 0 {
lab=B15}
N 4460 -50 4460 0 {
lab=A15}
N 4480 -50 4480 0 {
lab=SEL}
C {col_driver_big.sym} 190 -200 3 0 {name=x1}
C {col_driver_big.sym} 500 -200 3 0 {name=x2}
C {col_driver_big.sym} 780 -200 3 0 {name=x3}
C {col_driver_big.sym} 1070 -200 3 0 {name=x4}
C {col_driver_big.sym} 1360 -200 3 0 {name=x5}
C {col_driver_big.sym} 1650 -200 3 0 {name=x6}
C {col_driver_big.sym} 1930 -200 3 0 {name=x7}
C {col_driver_big.sym} 2220 -200 3 0 {name=x8}
C {col_driver_big.sym} 2510 -200 3 0 {name=x9}
C {col_driver_big.sym} 2800 -200 3 0 {name=x10}
C {col_driver_big.sym} 3080 -200 3 0 {name=x11}
C {col_driver_big.sym} 3370 -200 3 0 {name=x12}
C {col_driver_big.sym} 3660 -200 3 0 {name=x13}
C {col_driver_big.sym} 3950 -200 3 0 {name=x14}
C {col_driver_big.sym} 4230 -200 3 0 {name=x15}
C {col_driver_big.sym} 4520 -200 3 0 {name=x16}
C {devices/iopin.sym} 20 -450 2 0 {name=p1 lab=vdda1}
C {devices/iopin.sym} 20 -410 2 0 {name=p2 lab=VSUBS}
C {devices/iopin.sym} 20 -510 2 0 {name=p5 lab=Vref}
C {devices/iopin.sym} 20 -490 2 0 {name=p6 lab=Vcplus}
C {devices/iopin.sym} 20 -470 2 0 {name=p7 lab=Vcminus}
C {devices/iopin.sym} 20 -430 2 0 {name=p3 lab=vccd1}
C {devices/iopin.sym} 190 -560 3 0 {name=p4 lab=col0}
C {devices/ipin.sym} 130 0 3 0 {name=p8 lab=A0}
C {devices/ipin.sym} 170 0 3 0 {name=p9 lab=B0}
C {devices/iopin.sym} 500 -560 3 0 {name=p10 lab=col1}
C {devices/ipin.sym} 440 0 1 1 {name=p11 lab=A1}
C {devices/ipin.sym} 480 0 1 1 {name=p12 lab=B1}
C {devices/iopin.sym} 780 -560 3 0 {name=p13 lab=col2}
C {devices/ipin.sym} 720 0 3 0 {name=p14 lab=A2}
C {devices/ipin.sym} 760 0 3 0 {name=p15 lab=B2}
C {devices/iopin.sym} 1070 -560 3 0 {name=p16 lab=col3}
C {devices/iopin.sym} 1360 -560 3 0 {name=p17 lab=col4}
C {devices/ipin.sym} 1010 0 3 0 {name=p18 lab=A3}
C {devices/ipin.sym} 1050 0 3 0 {name=p19 lab=B3}
C {devices/ipin.sym} 1300 0 3 0 {name=p20 lab=A4}
C {devices/ipin.sym} 1340 0 3 0 {name=p21 lab=B4}
C {devices/iopin.sym} 1650 -560 3 0 {name=p22 lab=col5}
C {devices/ipin.sym} 1590 0 3 0 {name=p23 lab=A5}
C {devices/ipin.sym} 1630 0 3 0 {name=p24 lab=B5}
C {devices/iopin.sym} 1930 -560 3 0 {name=p25 lab=col6}
C {devices/ipin.sym} 1870 0 3 0 {name=p26 lab=A6}
C {devices/ipin.sym} 1910 0 3 0 {name=p27 lab=B6}
C {devices/iopin.sym} 2220 -560 3 0 {name=p28 lab=col7}
C {devices/ipin.sym} 2160 0 3 0 {name=p29 lab=A7}
C {devices/ipin.sym} 2200 0 3 0 {name=p30 lab=B7}
C {devices/iopin.sym} 2510 -560 3 0 {name=p31 lab=col8}
C {devices/ipin.sym} 2450 0 3 0 {name=p32 lab=A8}
C {devices/ipin.sym} 2490 0 3 0 {name=p33 lab=B8}
C {devices/iopin.sym} 2800 -560 3 0 {name=p34 lab=col9}
C {devices/ipin.sym} 2740 0 3 0 {name=p35 lab=A9}
C {devices/ipin.sym} 2780 0 3 0 {name=p36 lab=B9}
C {devices/iopin.sym} 3080 -560 3 0 {name=p37 lab=col10}
C {devices/ipin.sym} 3020 0 3 0 {name=p38 lab=A10}
C {devices/ipin.sym} 3060 0 3 0 {name=p39 lab=B10}
C {devices/iopin.sym} 3370 -560 3 0 {name=p40 lab=col11}
C {devices/iopin.sym} 3660 -560 3 0 {name=p41 lab=col12}
C {devices/ipin.sym} 3310 0 3 0 {name=p42 lab=A11}
C {devices/ipin.sym} 3350 0 3 0 {name=p43 lab=B11}
C {devices/ipin.sym} 3600 0 3 0 {name=p44 lab=A12}
C {devices/ipin.sym} 3640 0 3 0 {name=p45 lab=B12}
C {devices/iopin.sym} 3950 -560 3 0 {name=p46 lab=col13}
C {devices/ipin.sym} 3890 0 3 0 {name=p47 lab=A13}
C {devices/ipin.sym} 3930 0 3 0 {name=p48 lab=B13}
C {devices/iopin.sym} 4230 -560 3 0 {name=p49 lab=col14}
C {devices/ipin.sym} 4170 0 3 0 {name=p50 lab=A14}
C {devices/ipin.sym} 4210 0 3 0 {name=p51 lab=B14}
C {devices/iopin.sym} 4520 -560 3 0 {name=p52 lab=col15}
C {devices/ipin.sym} 4460 0 3 0 {name=p53 lab=A15}
C {devices/ipin.sym} 4500 0 3 0 {name=p54 lab=B15}
C {devices/ipin.sym} 150 0 3 0 {name=p55 lab=SEL}
C {devices/lab_pin.sym} 130 -430 1 0 {name=l1 sig_type=std_logic lab=vdda1}
C {devices/lab_pin.sym} 150 -430 1 0 {name=l2 sig_type=std_logic lab=vccd1}
C {devices/lab_pin.sym} 170 -430 1 0 {name=l3 sig_type=std_logic lab=VSUBS}
C {devices/lab_pin.sym} 210 -430 1 0 {name=l4 sig_type=std_logic lab=Vcplus}
C {devices/lab_pin.sym} 250 -430 1 0 {name=l5 sig_type=std_logic lab=Vref}
C {devices/lab_pin.sym} 230 -430 1 0 {name=l6 sig_type=std_logic lab=Vcminus}
C {devices/lab_pin.sym} 460 0 1 1 {name=l7 sig_type=std_logic lab=SEL}
C {devices/lab_pin.sym} 440 -430 1 0 {name=l8 sig_type=std_logic lab=vdda1}
C {devices/lab_pin.sym} 460 -430 1 0 {name=l9 sig_type=std_logic lab=vccd1}
C {devices/lab_pin.sym} 520 -430 1 0 {name=l11 sig_type=std_logic lab=Vcplus}
C {devices/lab_pin.sym} 560 -430 1 0 {name=l12 sig_type=std_logic lab=Vref}
C {devices/lab_pin.sym} 540 -430 1 0 {name=l13 sig_type=std_logic lab=Vcminus}
C {devices/lab_pin.sym} 720 -430 1 0 {name=l14 sig_type=std_logic lab=vdda1}
C {devices/lab_pin.sym} 740 -430 1 0 {name=l15 sig_type=std_logic lab=vccd1}
C {devices/lab_pin.sym} 800 -430 1 0 {name=l17 sig_type=std_logic lab=Vcplus}
C {devices/lab_pin.sym} 840 -430 1 0 {name=l18 sig_type=std_logic lab=Vref}
C {devices/lab_pin.sym} 820 -430 1 0 {name=l19 sig_type=std_logic lab=Vcminus}
C {devices/lab_pin.sym} 1010 -430 1 0 {name=l20 sig_type=std_logic lab=vdda1}
C {devices/lab_pin.sym} 1030 -430 1 0 {name=l21 sig_type=std_logic lab=vccd1}
C {devices/lab_pin.sym} 1090 -430 1 0 {name=l23 sig_type=std_logic lab=Vcplus}
C {devices/lab_pin.sym} 1130 -430 1 0 {name=l24 sig_type=std_logic lab=Vref}
C {devices/lab_pin.sym} 1110 -430 1 0 {name=l25 sig_type=std_logic lab=Vcminus}
C {devices/lab_pin.sym} 1300 -430 1 0 {name=l26 sig_type=std_logic lab=vdda1}
C {devices/lab_pin.sym} 1320 -430 1 0 {name=l27 sig_type=std_logic lab=vccd1}
C {devices/lab_pin.sym} 1380 -430 1 0 {name=l29 sig_type=std_logic lab=Vcplus}
C {devices/lab_pin.sym} 1420 -430 1 0 {name=l30 sig_type=std_logic lab=Vref}
C {devices/lab_pin.sym} 1400 -430 1 0 {name=l31 sig_type=std_logic lab=Vcminus}
C {devices/lab_pin.sym} 1590 -430 1 0 {name=l32 sig_type=std_logic lab=vdda1}
C {devices/lab_pin.sym} 1610 -430 1 0 {name=l33 sig_type=std_logic lab=vccd1}
C {devices/lab_pin.sym} 1670 -430 1 0 {name=l35 sig_type=std_logic lab=Vcplus}
C {devices/lab_pin.sym} 1710 -430 1 0 {name=l36 sig_type=std_logic lab=Vref}
C {devices/lab_pin.sym} 1690 -430 1 0 {name=l37 sig_type=std_logic lab=Vcminus}
C {devices/lab_pin.sym} 1870 -430 1 0 {name=l38 sig_type=std_logic lab=vdda1}
C {devices/lab_pin.sym} 1890 -430 1 0 {name=l39 sig_type=std_logic lab=vccd1}
C {devices/lab_pin.sym} 1950 -430 1 0 {name=l41 sig_type=std_logic lab=Vcplus}
C {devices/lab_pin.sym} 1990 -430 1 0 {name=l42 sig_type=std_logic lab=Vref}
C {devices/lab_pin.sym} 1970 -430 1 0 {name=l43 sig_type=std_logic lab=Vcminus}
C {devices/lab_pin.sym} 2160 -430 1 0 {name=l44 sig_type=std_logic lab=vdda1}
C {devices/lab_pin.sym} 2180 -430 1 0 {name=l45 sig_type=std_logic lab=vccd1}
C {devices/lab_pin.sym} 2240 -430 1 0 {name=l47 sig_type=std_logic lab=Vcplus}
C {devices/lab_pin.sym} 2280 -430 1 0 {name=l48 sig_type=std_logic lab=Vref}
C {devices/lab_pin.sym} 2260 -430 1 0 {name=l49 sig_type=std_logic lab=Vcminus}
C {devices/lab_pin.sym} 2450 -430 1 0 {name=l50 sig_type=std_logic lab=vdda1}
C {devices/lab_pin.sym} 2470 -430 1 0 {name=l51 sig_type=std_logic lab=vccd1}
C {devices/lab_pin.sym} 2530 -430 1 0 {name=l53 sig_type=std_logic lab=Vcplus}
C {devices/lab_pin.sym} 2570 -430 1 0 {name=l54 sig_type=std_logic lab=Vref}
C {devices/lab_pin.sym} 2550 -430 1 0 {name=l55 sig_type=std_logic lab=Vcminus}
C {devices/lab_pin.sym} 2740 -430 1 0 {name=l56 sig_type=std_logic lab=vdda1}
C {devices/lab_pin.sym} 2760 -430 1 0 {name=l57 sig_type=std_logic lab=vccd1}
C {devices/lab_pin.sym} 2820 -430 1 0 {name=l59 sig_type=std_logic lab=Vcplus}
C {devices/lab_pin.sym} 2860 -430 1 0 {name=l60 sig_type=std_logic lab=Vref}
C {devices/lab_pin.sym} 2840 -430 1 0 {name=l61 sig_type=std_logic lab=Vcminus}
C {devices/lab_pin.sym} 3020 -430 1 0 {name=l62 sig_type=std_logic lab=vdda1}
C {devices/lab_pin.sym} 3040 -430 1 0 {name=l63 sig_type=std_logic lab=vccd1}
C {devices/lab_pin.sym} 3100 -430 1 0 {name=l65 sig_type=std_logic lab=Vcplus}
C {devices/lab_pin.sym} 3140 -430 1 0 {name=l66 sig_type=std_logic lab=Vref}
C {devices/lab_pin.sym} 3120 -430 1 0 {name=l67 sig_type=std_logic lab=Vcminus}
C {devices/lab_pin.sym} 3310 -430 1 0 {name=l68 sig_type=std_logic lab=vdda1}
C {devices/lab_pin.sym} 3330 -430 1 0 {name=l69 sig_type=std_logic lab=vccd1}
C {devices/lab_pin.sym} 3390 -430 1 0 {name=l71 sig_type=std_logic lab=Vcplus}
C {devices/lab_pin.sym} 3430 -430 1 0 {name=l72 sig_type=std_logic lab=Vref}
C {devices/lab_pin.sym} 3410 -430 1 0 {name=l73 sig_type=std_logic lab=Vcminus}
C {devices/lab_pin.sym} 3600 -430 1 0 {name=l74 sig_type=std_logic lab=vdda1}
C {devices/lab_pin.sym} 3620 -430 1 0 {name=l75 sig_type=std_logic lab=vccd1}
C {devices/lab_pin.sym} 3680 -430 1 0 {name=l77 sig_type=std_logic lab=Vcplus}
C {devices/lab_pin.sym} 3720 -430 1 0 {name=l78 sig_type=std_logic lab=Vref}
C {devices/lab_pin.sym} 3700 -430 1 0 {name=l79 sig_type=std_logic lab=Vcminus}
C {devices/lab_pin.sym} 3890 -430 1 0 {name=l80 sig_type=std_logic lab=vdda1}
C {devices/lab_pin.sym} 3910 -430 1 0 {name=l81 sig_type=std_logic lab=vccd1}
C {devices/lab_pin.sym} 3970 -430 1 0 {name=l83 sig_type=std_logic lab=Vcplus}
C {devices/lab_pin.sym} 4010 -430 1 0 {name=l84 sig_type=std_logic lab=Vref}
C {devices/lab_pin.sym} 3990 -430 1 0 {name=l85 sig_type=std_logic lab=Vcminus}
C {devices/lab_pin.sym} 4170 -430 1 0 {name=l86 sig_type=std_logic lab=vdda1}
C {devices/lab_pin.sym} 4190 -430 1 0 {name=l87 sig_type=std_logic lab=vccd1}
C {devices/lab_pin.sym} 4250 -430 1 0 {name=l89 sig_type=std_logic lab=Vcplus}
C {devices/lab_pin.sym} 4290 -430 1 0 {name=l90 sig_type=std_logic lab=Vref}
C {devices/lab_pin.sym} 4270 -430 1 0 {name=l91 sig_type=std_logic lab=Vcminus}
C {devices/lab_pin.sym} 4460 -430 1 0 {name=l92 sig_type=std_logic lab=vdda1}
C {devices/lab_pin.sym} 4480 -430 1 0 {name=l93 sig_type=std_logic lab=vccd1}
C {devices/lab_pin.sym} 4540 -430 1 0 {name=l95 sig_type=std_logic lab=Vcplus}
C {devices/lab_pin.sym} 4580 -430 1 0 {name=l96 sig_type=std_logic lab=Vref}
C {devices/lab_pin.sym} 4560 -430 1 0 {name=l97 sig_type=std_logic lab=Vcminus}
C {devices/lab_pin.sym} 740 0 1 1 {name=l98 sig_type=std_logic lab=SEL}
C {devices/lab_pin.sym} 1030 0 1 1 {name=l99 sig_type=std_logic lab=SEL}
C {devices/lab_pin.sym} 1320 0 1 1 {name=l100 sig_type=std_logic lab=SEL}
C {devices/lab_pin.sym} 1610 0 1 1 {name=l101 sig_type=std_logic lab=SEL}
C {devices/lab_pin.sym} 1890 0 1 1 {name=l102 sig_type=std_logic lab=SEL}
C {devices/lab_pin.sym} 2180 0 1 1 {name=l103 sig_type=std_logic lab=SEL}
C {devices/lab_pin.sym} 2470 0 1 1 {name=l104 sig_type=std_logic lab=SEL}
C {devices/lab_pin.sym} 2760 0 1 1 {name=l105 sig_type=std_logic lab=SEL}
C {devices/lab_pin.sym} 3040 0 1 1 {name=l106 sig_type=std_logic lab=SEL}
C {devices/lab_pin.sym} 3330 0 1 1 {name=l107 sig_type=std_logic lab=SEL}
C {devices/lab_pin.sym} 3620 0 1 1 {name=l108 sig_type=std_logic lab=SEL}
C {devices/lab_pin.sym} 3910 0 1 1 {name=l109 sig_type=std_logic lab=SEL}
C {devices/lab_pin.sym} 4190 0 1 1 {name=l110 sig_type=std_logic lab=SEL}
C {devices/lab_pin.sym} 4480 0 1 1 {name=l111 sig_type=std_logic lab=SEL}
C {devices/lab_pin.sym} 480 -430 1 0 {name=l10 sig_type=std_logic lab=VSUBS}
C {devices/lab_pin.sym} 1050 -430 1 0 {name=l112 sig_type=std_logic lab=VSUBS}
C {devices/lab_pin.sym} 1340 -430 1 0 {name=l16 sig_type=std_logic lab=VSUBS}
C {devices/lab_pin.sym} 760 -430 1 0 {name=l22 sig_type=std_logic lab=VSUBS}
C {devices/lab_pin.sym} 1630 -430 1 0 {name=l28 sig_type=std_logic lab=VSUBS}
C {devices/lab_pin.sym} 1910 -430 1 0 {name=l34 sig_type=std_logic lab=VSUBS}
C {devices/lab_pin.sym} 2200 -430 1 0 {name=l40 sig_type=std_logic lab=VSUBS}
C {devices/lab_pin.sym} 2490 -430 1 0 {name=l46 sig_type=std_logic lab=VSUBS}
C {devices/lab_pin.sym} 2780 -430 1 0 {name=l52 sig_type=std_logic lab=VSUBS}
C {devices/lab_pin.sym} 3060 -430 1 0 {name=l58 sig_type=std_logic lab=VSUBS}
C {devices/lab_pin.sym} 3350 -430 1 0 {name=l64 sig_type=std_logic lab=VSUBS}
C {devices/lab_pin.sym} 3640 -430 1 0 {name=l70 sig_type=std_logic lab=VSUBS}
C {devices/lab_pin.sym} 3930 -430 1 0 {name=l76 sig_type=std_logic lab=VSUBS}
C {devices/lab_pin.sym} 4210 -430 1 0 {name=l82 sig_type=std_logic lab=VSUBS}
C {devices/lab_pin.sym} 4500 -430 1 0 {name=l88 sig_type=std_logic lab=VSUBS}