intg
diff --git a/README.md b/README.md
index a0c1f02..2e56b72 100644
--- a/README.md
+++ b/README.md
@@ -8,3 +8,22 @@
 |-----------------------------------------|
 
 Ahem! Testing? Testing? Everything seems to be in order...
+
+Todo:
+
+- Cell A: TW Amplifier + SET + Integrated Amplifier
+  - GUARD AMP.VIN AMP.VOP GND
+- Cell B: TW Amplifier + SET
+  - GUARD 
+
+- [x]  Configuration Register
+- [ ]  Digital lines wiring
+- [ ]  TWG top wiring
+- [x]  cell A top wiring
+- [ ]  cell B
+- [ ]  Opamp (400µA) DUTs
+- [ ]  Opamp (200µA, Gain Boosted) DUTs
+- [ ]  High Isolation 2-1 MUX DUTs
+- [ ]  Standalone SET DUTs
+- [ ]  
+
diff --git a/gds/FiveTransistors.gds b/gds/FiveTransistors.gds
deleted file mode 100644
index 927197f..0000000
--- a/gds/FiveTransistors.gds
+++ /dev/null
Binary files differ
diff --git a/gds/FiveTransistors.gds.gz b/gds/FiveTransistors.gds.gz
new file mode 100644
index 0000000..896e2bc
--- /dev/null
+++ b/gds/FiveTransistors.gds.gz
Binary files differ
diff --git a/gds/GWUCompliedMPW8BW.gds b/gds/GWUCompliedMPW8BW.gds
deleted file mode 100644
index a346672..0000000
--- a/gds/GWUCompliedMPW8BW.gds
+++ /dev/null
Binary files differ
diff --git a/gds/GWUCompliedMPW8BW.gds.gz b/gds/GWUCompliedMPW8BW.gds.gz
new file mode 100644
index 0000000..f494ee8
--- /dev/null
+++ b/gds/GWUCompliedMPW8BW.gds.gz
Binary files differ
diff --git a/gds/GWUCompliedMPW8BWwodecoder.gds b/gds/GWUCompliedMPW8BWwodecoder.gds
deleted file mode 100644
index 0fc9c45..0000000
--- a/gds/GWUCompliedMPW8BWwodecoder.gds
+++ /dev/null
Binary files differ
diff --git a/gds/GWUCompliedMPW8BWwodecoder.gds.gz b/gds/GWUCompliedMPW8BWwodecoder.gds.gz
new file mode 100644
index 0000000..ee49500
--- /dev/null
+++ b/gds/GWUCompliedMPW8BWwodecoder.gds.gz
Binary files differ
diff --git a/gds/GWUCompliedMPW8BWwodecoder_rescale.gds b/gds/GWUCompliedMPW8BWwodecoder_rescale.gds
deleted file mode 100644
index 3a8e716..0000000
--- a/gds/GWUCompliedMPW8BWwodecoder_rescale.gds
+++ /dev/null
Binary files differ
diff --git a/gds/GWUCompliedMPW8BWwodecoder_rescale.gds.gz b/gds/GWUCompliedMPW8BWwodecoder_rescale.gds.gz
new file mode 100644
index 0000000..65b216c
--- /dev/null
+++ b/gds/GWUCompliedMPW8BWwodecoder_rescale.gds.gz
Binary files differ
diff --git a/gds/GWUCompliedMPW8BWwodecoder_smaller_r.gds b/gds/GWUCompliedMPW8BWwodecoder_smaller_r.gds
deleted file mode 100644
index 13cd066..0000000
--- a/gds/GWUCompliedMPW8BWwodecoder_smaller_r.gds
+++ /dev/null
Binary files differ
diff --git a/gds/GWUCompliedMPW8BWwodecoder_smaller_r.gds.gz b/gds/GWUCompliedMPW8BWwodecoder_smaller_r.gds.gz
new file mode 100644
index 0000000..e63e03c
--- /dev/null
+++ b/gds/GWUCompliedMPW8BWwodecoder_smaller_r.gds.gz
Binary files differ
diff --git a/gds/octapads.gds b/gds/octapads.gds
deleted file mode 100644
index d971fbe..0000000
--- a/gds/octapads.gds
+++ /dev/null
Binary files differ
diff --git a/gds/octapads.gds.gz b/gds/octapads.gds.gz
new file mode 100644
index 0000000..e17e934
--- /dev/null
+++ b/gds/octapads.gds.gz
Binary files differ
diff --git a/gds/surfaceTestArraySmall.gds b/gds/surfaceTestArraySmall.gds
deleted file mode 100644
index c563bc3..0000000
--- a/gds/surfaceTestArraySmall.gds
+++ /dev/null
Binary files differ
diff --git a/gds/surfaceTestArraySmall.gds.gz b/gds/surfaceTestArraySmall.gds.gz
new file mode 100644
index 0000000..d133d25
--- /dev/null
+++ b/gds/surfaceTestArraySmall.gds.gz
Binary files differ
diff --git a/gds/surfaceTestArraySmall_1.gds b/gds/surfaceTestArraySmall_1.gds
deleted file mode 100644
index e326936..0000000
--- a/gds/surfaceTestArraySmall_1.gds
+++ /dev/null
Binary files differ
diff --git a/gds/surfaceTestArraySmall_1.gds.gz b/gds/surfaceTestArraySmall_1.gds.gz
new file mode 100644
index 0000000..90b5133
--- /dev/null
+++ b/gds/surfaceTestArraySmall_1.gds.gz
Binary files differ
diff --git a/gds/user_analog_project_wrapper.gds b/gds/user_analog_project_wrapper.gds
deleted file mode 100644
index ab840c0..0000000
--- a/gds/user_analog_project_wrapper.gds
+++ /dev/null
Binary files differ
diff --git a/gds/user_analog_project_wrapper.gds.gz b/gds/user_analog_project_wrapper.gds.gz
new file mode 100644
index 0000000..bc23ff0
--- /dev/null
+++ b/gds/user_analog_project_wrapper.gds.gz
Binary files differ
diff --git a/gds/user_analog_project_wrapper_1.gds b/gds/user_analog_project_wrapper_1.gds
deleted file mode 100644
index 1cbdaef..0000000
--- a/gds/user_analog_project_wrapper_1.gds
+++ /dev/null
Binary files differ
diff --git a/gds/user_analog_project_wrapper_1.gds.gz b/gds/user_analog_project_wrapper_1.gds.gz
new file mode 100644
index 0000000..2a90671
--- /dev/null
+++ b/gds/user_analog_project_wrapper_1.gds.gz
Binary files differ
diff --git a/netgen/.user_analog_project_wrapper.spice.swp b/netgen/.user_analog_project_wrapper.spice.swp
deleted file mode 100644
index d1880f5..0000000
--- a/netgen/.user_analog_project_wrapper.spice.swp
+++ /dev/null
Binary files differ
diff --git a/netgen/user_analog_project_wrapper.spice b/netgen/user_analog_project_wrapper.spice
index 9b9d3a7..e2fd603 100644
--- a/netgen/user_analog_project_wrapper.spice
+++ b/netgen/user_analog_project_wrapper.spice
@@ -13,6 +13,23 @@
 .subckt unitcell
 .ends
 
+
+.subckt cfgsr
+.ends
+.subckt dut_opa_top_2x
+.ends
+.subckt largecap
+.ends
+.subckt largecap1
+.ends
+.subckt logo
+.ends
+.subckt unitcell_2x
+.ends
+.subckt unitcell_simplify_2x
+.ends
+
+
 .subckt user_analog_project_wrapper gpio_analog[0] gpio_analog[10] gpio_analog[11]
 + gpio_analog[12] gpio_analog[13] gpio_analog[14] gpio_analog[15] gpio_analog[16]
 + gpio_analog[17] gpio_analog[1] gpio_analog[2] gpio_analog[3] gpio_analog[4] gpio_analog[5]
@@ -128,5 +145,13 @@
 X6 unitcell
 X7 pad_bond_CDNS_671661177921_1
 
+X8 cfgsr
+X9 dut_opa_top_2x
+X10 largecap
+X11 largecap1
+X12 logo
+X13 unitcell_2x
+X14 unitcell_simplify_2x
+
 .ends