action: update build
diff --git a/gds/user_proj_example.gds b/gds/user_proj_example.gds
index 0fe059c..f5c68fc 100644
--- a/gds/user_proj_example.gds
+++ b/gds/user_proj_example.gds
Binary files differ
diff --git a/gds/user_project_wrapper.gds b/gds/user_project_wrapper.gds
index 4869013..2740767 100644
--- a/gds/user_project_wrapper.gds
+++ b/gds/user_project_wrapper.gds
Binary files differ
diff --git a/mag/user_proj_example.mag b/mag/user_proj_example.mag
index 76a16a7..7563d36 100644
--- a/mag/user_proj_example.mag
+++ b/mag/user_proj_example.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1672019777
+timestamp 1672049798
 << viali >>
 rect 162777 117385 162811 117419
 rect 176853 117385 176887 117419
diff --git a/mag/user_project_wrapper.mag b/mag/user_project_wrapper.mag
index f4515eb..43d59d6 100644
--- a/mag/user_project_wrapper.mag
+++ b/mag/user_project_wrapper.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1672020201
+timestamp 1672050310
 << metal1 >>
 rect 317414 700952 317420 701004
 rect 317472 700992 317478 701004
diff --git a/maglef/user_proj_example.mag b/maglef/user_proj_example.mag
index 966d3e6..6030ebf 100644
--- a/maglef/user_proj_example.mag
+++ b/maglef/user_proj_example.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1672019800
+timestamp 1672049826
 << nwell >>
 rect 1066 116677 178886 117243
 rect 1066 115589 178886 116155
@@ -2603,7 +2603,7 @@
 string LEFclass BLOCK
 string LEFview TRUE
 string GDS_END 16232626
-string GDS_FILE /home/runner/work/caravel_mpw8/caravel_mpw8/openlane/user_proj_example/runs/22_12_26_01_46/results/signoff/user_proj_example.magic.gds
+string GDS_FILE /home/runner/work/caravel_mpw8/caravel_mpw8/openlane/user_proj_example/runs/22_12_26_10_04/results/signoff/user_proj_example.magic.gds
 string GDS_START 957084
 << end >>
 
diff --git a/maglef/user_project_wrapper.mag b/maglef/user_project_wrapper.mag
index e08d7bc..a597821 100644
--- a/maglef/user_project_wrapper.mag
+++ b/maglef/user_project_wrapper.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1672020213
+timestamp 1672050324
 << obsli1 >>
 rect 236104 340159 413848 455521
 << obsm1 >>
@@ -3870,7 +3870,7 @@
 string LEFclass BLOCK
 string LEFview TRUE
 string GDS_END 18042370
-string GDS_FILE /home/runner/work/caravel_mpw8/caravel_mpw8/openlane/user_project_wrapper/runs/22_12_26_02_00/results/signoff/user_project_wrapper.magic.gds
+string GDS_FILE /home/runner/work/caravel_mpw8/caravel_mpw8/openlane/user_project_wrapper/runs/22_12_26_10_21/results/signoff/user_project_wrapper.magic.gds
 string GDS_START 16232680
 << end >>
 
diff --git a/signoff/user_proj_example/PDK_SOURCES b/signoff/user_proj_example/PDK_SOURCES
index 2ce94ce..59f6ae6 100644
--- a/signoff/user_proj_example/PDK_SOURCES
+++ b/signoff/user_proj_example/PDK_SOURCES
@@ -1,3 +1 @@
-skywater-pdk f70d8ca46961ff92719d8870a18a076370b85f6c
 open_pdks 0059588eebfc704681dc2368bd1d33d96281d10f
-magic 085131b090cb511d785baf52a10cf6df8a657d44
\ No newline at end of file
diff --git a/signoff/user_proj_example/metrics.csv b/signoff/user_proj_example/metrics.csv
new file mode 100644
index 0000000..a200f07
--- /dev/null
+++ b/signoff/user_proj_example/metrics.csv
@@ -0,0 +1,2 @@
+design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,CoreArea_um^2,power_slowest_internal_uW,power_slowest_switching_uW,power_slowest_leakage_uW,power_typical_internal_uW,power_typical_switching_uW,power_typical_leakage_uW,power_fastest_internal_uW,power_fastest_switching_uW,power_fastest_leakage_uW,critical_path_ns,suggested_clock_period,suggested_clock_frequency,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GRT_ADJUSTMENT,STD_CELL_LIBRARY,DIODE_INSERTION_STRATEGY

+/home/runner/work/caravel_mpw8/caravel_mpw8/openlane/user_proj_example,user_proj_example,22_12_26_10_04,flow completed,0h17m47s0ms,0h8m16s0ms,12670.781893004114,0.54,5701.851851851851,6.64,1173.04,3079,0,0,0,0,0,0,0,-1,0,-1,-1,169510,30152,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,103054977.0,0.0,8.9,8.88,2.25,0.83,-1,4814,6416,277,1864,0,0,0,5131,147,47,153,212,1732,179,56,494,628,524,15,424,7276,0,7700,512471.5008,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,10.0,100.0,10,AREA 0,10,45,1,153.6,153.18,0.55,0.3,sky130_fd_sc_hd,4

diff --git a/signoff/user_project_wrapper/PDK_SOURCES b/signoff/user_project_wrapper/PDK_SOURCES
index 2ce94ce..59f6ae6 100644
--- a/signoff/user_project_wrapper/PDK_SOURCES
+++ b/signoff/user_project_wrapper/PDK_SOURCES
@@ -1,3 +1 @@
-skywater-pdk f70d8ca46961ff92719d8870a18a076370b85f6c
 open_pdks 0059588eebfc704681dc2368bd1d33d96281d10f
-magic 085131b090cb511d785baf52a10cf6df8a657d44
\ No newline at end of file
diff --git a/signoff/user_project_wrapper/metrics.csv b/signoff/user_project_wrapper/metrics.csv
new file mode 100644
index 0000000..31ff89f
--- /dev/null
+++ b/signoff/user_project_wrapper/metrics.csv
@@ -0,0 +1,2 @@
+design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,CoreArea_um^2,power_slowest_internal_uW,power_slowest_switching_uW,power_slowest_leakage_uW,power_typical_internal_uW,power_typical_switching_uW,power_typical_leakage_uW,power_fastest_internal_uW,power_fastest_switching_uW,power_fastest_leakage_uW,critical_path_ns,suggested_clock_period,suggested_clock_frequency,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GRT_ADJUSTMENT,STD_CELL_LIBRARY,DIODE_INSERTION_STRATEGY

+/home/runner/work/caravel_mpw8/caravel_mpw8/openlane/user_project_wrapper,user_project_wrapper,22_12_26_10_21,flow completed,0h7m29s0ms,0h2m49s0ms,-2.0,-1,-1,-1,676.11,1,0,0,0,0,0,0,0,-1,0,-1,-1,1413047,2037,0.0,-1,0.0,0.0,0.0,0.0,-1,0.0,0.0,0.0,-1,0.0,2.04,6.6,0.5,0.23,-1,19,637,19,637,0,0,0,1,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,10173980.1536,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,10.0,100.0,10,AREA 0,10,50,1,180,180,0.55,0.3,sky130_fd_sc_hd,0