action: update build
diff --git a/gds/user_proj_example.gds b/gds/user_proj_example.gds
index 5657403..4d2e776 100644
--- a/gds/user_proj_example.gds
+++ b/gds/user_proj_example.gds
Binary files differ
diff --git a/gds/user_project_wrapper.gds b/gds/user_project_wrapper.gds
index 90b850c..6b355f3 100644
--- a/gds/user_project_wrapper.gds
+++ b/gds/user_project_wrapper.gds
Binary files differ
diff --git a/mag/user_proj_example.mag b/mag/user_proj_example.mag
index 632888c..cba6487 100644
--- a/mag/user_proj_example.mag
+++ b/mag/user_proj_example.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1672417020
+timestamp 1672451146
 << viali >>
 rect 3249 117385 3283 117419
 rect 7941 117385 7975 117419
diff --git a/mag/user_project_wrapper.mag b/mag/user_project_wrapper.mag
index d2e62f9..b732eca 100644
--- a/mag/user_project_wrapper.mag
+++ b/mag/user_project_wrapper.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1672417421
+timestamp 1672451627
 << metal1 >>
 rect 331214 702992 331220 703044
 rect 331272 703032 331278 703044
diff --git a/maglef/user_proj_example.mag b/maglef/user_proj_example.mag
index 83d5385..15adef0 100644
--- a/maglef/user_proj_example.mag
+++ b/maglef/user_proj_example.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1672417041
+timestamp 1672451169
 << nwell >>
 rect 1066 116677 178886 117243
 rect 1066 115589 178886 116155
@@ -2597,7 +2597,7 @@
 string LEFclass BLOCK
 string LEFview TRUE
 string GDS_END 14384854
-string GDS_FILE /home/runner/work/caravel_mpw8/caravel_mpw8/openlane/user_proj_example/runs/22_12_30_16_07/results/signoff/user_proj_example.magic.gds
+string GDS_FILE /home/runner/work/caravel_mpw8/caravel_mpw8/openlane/user_proj_example/runs/22_12_31_01_34/results/signoff/user_proj_example.magic.gds
 string GDS_START 918266
 << end >>
 
diff --git a/maglef/user_project_wrapper.mag b/maglef/user_project_wrapper.mag
index ee493fd..773a620 100644
--- a/maglef/user_project_wrapper.mag
+++ b/maglef/user_project_wrapper.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1672417431
+timestamp 1672451638
 << obsli1 >>
 rect 236104 340159 413848 455521
 << obsm1 >>
@@ -3817,7 +3817,7 @@
 string LEFclass BLOCK
 string LEFview TRUE
 string GDS_END 16218978
-string GDS_FILE /home/runner/work/caravel_mpw8/caravel_mpw8/openlane/user_project_wrapper/runs/22_12_30_16_21/results/signoff/user_project_wrapper.magic.gds
+string GDS_FILE /home/runner/work/caravel_mpw8/caravel_mpw8/openlane/user_project_wrapper/runs/22_12_31_01_50/results/signoff/user_project_wrapper.magic.gds
 string GDS_START 14384908
 << end >>
 
diff --git a/signoff/user_proj_example/metrics.csv b/signoff/user_proj_example/metrics.csv
index 4ae9b5b..9c9c98e 100644
--- a/signoff/user_proj_example/metrics.csv
+++ b/signoff/user_proj_example/metrics.csv
@@ -1,2 +1,2 @@
 design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,CoreArea_um^2,power_slowest_internal_uW,power_slowest_switching_uW,power_slowest_leakage_uW,power_typical_internal_uW,power_typical_switching_uW,power_typical_leakage_uW,power_fastest_internal_uW,power_fastest_switching_uW,power_fastest_leakage_uW,critical_path_ns,suggested_clock_period,suggested_clock_frequency,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GRT_ADJUSTMENT,STD_CELL_LIBRARY,DIODE_INSERTION_STRATEGY

-/home/runner/work/caravel_mpw8/caravel_mpw8/openlane/user_proj_example,user_proj_example,22_12_30_16_07,flow completed,0h13m22s0ms,0h6m9s0ms,11481.48148148148,0.54,5166.666666666666,5.98,1107.47,2790,0,0,0,0,0,0,0,-1,0,-1,-1,110213,24731,-5.73,-9.93,0.0,0.0,0.0,-1820.66,-3166.19,0.0,0.0,0.0,57537858.0,0.0,6.38,5.56,1.04,0.73,-1,4520,5905,268,1638,0,0,0,4780,91,47,148,170,1690,149,54,371,457,457,14,424,7276,0,7700,512471.5008,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,10.0,100.0,10,AREA 0,10,45,1,153.6,153.18,0.55,0.3,sky130_fd_sc_hd,4

+/home/runner/work/caravel_mpw8/caravel_mpw8/openlane/user_proj_example,user_proj_example,22_12_31_01_34,flow completed,0h16m18s0ms,0h7m30s0ms,11481.48148148148,0.54,5166.666666666666,5.98,1078.07,2790,0,0,0,0,0,0,0,-1,0,-1,-1,110213,24731,-5.73,-9.93,0.0,0.0,0.0,-1820.66,-3166.19,0.0,0.0,0.0,57537858.0,0.0,6.38,5.56,1.04,0.73,-1,4520,5905,268,1638,0,0,0,4780,91,47,148,170,1690,149,54,371,457,457,14,424,7276,0,7700,512471.5008,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,10.0,100.0,10,AREA 0,10,45,1,153.6,153.18,0.55,0.3,sky130_fd_sc_hd,4

diff --git a/signoff/user_project_wrapper/metrics.csv b/signoff/user_project_wrapper/metrics.csv
index c9ffc30..1cea21f 100644
--- a/signoff/user_project_wrapper/metrics.csv
+++ b/signoff/user_project_wrapper/metrics.csv
@@ -1,2 +1,2 @@
 design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,CoreArea_um^2,power_slowest_internal_uW,power_slowest_switching_uW,power_slowest_leakage_uW,power_typical_internal_uW,power_typical_switching_uW,power_typical_leakage_uW,power_fastest_internal_uW,power_fastest_switching_uW,power_fastest_leakage_uW,critical_path_ns,suggested_clock_period,suggested_clock_frequency,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GRT_ADJUSTMENT,STD_CELL_LIBRARY,DIODE_INSERTION_STRATEGY

-/home/runner/work/caravel_mpw8/caravel_mpw8/openlane/user_project_wrapper,user_project_wrapper,22_12_30_16_21,flow completed,0h6m5s0ms,0h2m18s0ms,-2.0,-1,-1,-1,674.21,1,0,0,0,0,0,0,0,-1,0,-1,-1,1413063,2067,0.0,-1,0.0,0.0,0.0,0.0,-1,0.0,0.0,0.0,-1,0.0,2.06,6.59,0.47,0.24,-1,19,637,19,637,0,0,0,1,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,10173980.1536,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,10.0,100.0,10,AREA 0,10,50,1,180,180,0.55,0.3,sky130_fd_sc_hd,0

+/home/runner/work/caravel_mpw8/caravel_mpw8/openlane/user_project_wrapper,user_project_wrapper,22_12_31_01_50,flow completed,0h7m20s0ms,0h2m53s0ms,-2.0,-1,-1,-1,674.0,1,0,0,0,0,0,0,0,-1,0,-1,-1,1413063,2067,0.0,-1,0.0,0.0,0.0,0.0,-1,0.0,0.0,0.0,-1,0.0,2.06,6.59,0.47,0.24,-1,19,637,19,637,0,0,0,1,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,10173980.1536,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,10.0,100.0,10,AREA 0,10,50,1,180,180,0.55,0.3,sky130_fd_sc_hd,0