blob: 63fe1a39cf9683f12390625c1cf7147b41faad6e [file] [log] [blame]
// Automatically generated by PRGA
`define DUT prga_tb.uut.mprj.dut
module prga_magic_bitstream_checker;
reg fail;
always @(posedge `DUT.prog_done) begin
fail = 1'b0;
if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i0_i_2.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i0_i_2.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i0_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.cluster_i0.i_sw_o.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i0.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x2y5.i_blk.cluster_i0.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.cluster_i0.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i0.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x2y5.i_blk.cluster_i0.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x2y5.i_blk.cluster_i0.lut.prog_data[15:0] != 16'hf0f0) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i0.lut.prog_data[15:0] == 16'h%h != 16'hf0f0",
`DUT.i_tile_x2y5.i_blk.cluster_i0.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x2y5.i_blk.cluster_i0.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i0.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x2y5.i_blk.cluster_i0.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i1_i_0.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i1_i_0.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i1_i_0.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i1_i_1.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i1_i_1.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i1_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i1_i_2.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i1_i_2.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i1_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i1_i_3.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i1_i_3.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i1_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.cluster_i1.i_sw_o.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i1.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x2y5.i_blk.cluster_i1.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.cluster_i1.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i1.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x2y5.i_blk.cluster_i1.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x2y5.i_blk.cluster_i1.lut.prog_data[15:0] != 16'h5140) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i1.lut.prog_data[15:0] == 16'h%h != 16'h5140",
`DUT.i_tile_x2y5.i_blk.cluster_i1.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x2y5.i_blk.cluster_i1.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i1.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x2y5.i_blk.cluster_i1.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i2_i_0.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i2_i_0.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i2_i_0.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i2_i_1.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i2_i_1.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i2_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i2_i_2.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i2_i_2.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i2_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i2_i_3.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i2_i_3.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i2_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.cluster_i2.i_sw_o.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i2.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x2y5.i_blk.cluster_i2.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.cluster_i2.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i2.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x2y5.i_blk.cluster_i2.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x2y5.i_blk.cluster_i2.lut.prog_data[15:0] != 16'hee00) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i2.lut.prog_data[15:0] == 16'h%h != 16'hee00",
`DUT.i_tile_x2y5.i_blk.cluster_i2.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x2y5.i_blk.cluster_i2.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i2.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x2y5.i_blk.cluster_i2.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i3_i_0.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i3_i_0.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i3_i_0.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i3_i_1.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i3_i_1.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i3_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i3_i_2.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i3_i_2.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i3_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i3_i_3.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i3_i_3.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i3_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.cluster_i3.i_sw_o.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i3.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x2y5.i_blk.cluster_i3.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.cluster_i3.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i3.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x2y5.i_blk.cluster_i3.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x2y5.i_blk.cluster_i3.lut.prog_data[15:0] != 16'h5e4c) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i3.lut.prog_data[15:0] == 16'h%h != 16'h5e4c",
`DUT.i_tile_x2y5.i_blk.cluster_i3.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x2y5.i_blk.cluster_i3.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i3.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x2y5.i_blk.cluster_i3.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i4_i_0.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i4_i_0.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i4_i_0.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i4_i_1.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i4_i_1.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i4_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i4_i_2.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i4_i_2.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i4_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i4_i_3.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i4_i_3.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i4_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.cluster_i4.i_sw_o.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i4.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x2y5.i_blk.cluster_i4.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.cluster_i4.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i4.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x2y5.i_blk.cluster_i4.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x2y5.i_blk.cluster_i4.lut.prog_data[15:0] != 16'hff48) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i4.lut.prog_data[15:0] == 16'h%h != 16'hff48",
`DUT.i_tile_x2y5.i_blk.cluster_i4.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x2y5.i_blk.cluster_i4.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i4.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x2y5.i_blk.cluster_i4.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i5_i_0.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i5_i_0.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i5_i_0.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.cluster_i5.i_sw_o.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i5.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x2y5.i_blk.cluster_i5.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.cluster_i5.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i5.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x2y5.i_blk.cluster_i5.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x2y5.i_blk.cluster_i5.lut.prog_data[15:0] != 16'hf4f8) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i5.lut.prog_data[15:0] == 16'h%h != 16'hf4f8",
`DUT.i_tile_x2y5.i_blk.cluster_i5.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x2y5.i_blk.cluster_i5.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i5.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x2y5.i_blk.cluster_i5.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i6_i_2.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i6_i_2.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i6_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i6_i_3.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i6_i_3.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i6_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.cluster_i6.i_sw_o.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i6.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x2y5.i_blk.cluster_i6.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.cluster_i6.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i6.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x2y5.i_blk.cluster_i6.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x2y5.i_blk.cluster_i6.lut.prog_data[15:0] != 16'h3f) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i6.lut.prog_data[15:0] == 16'h%h != 16'h3f",
`DUT.i_tile_x2y5.i_blk.cluster_i6.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x2y5.i_blk.cluster_i6.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i6.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x2y5.i_blk.cluster_i6.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i7_i_0.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i7_i_0.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i7_i_0.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i7_i_2.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i7_i_2.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i7_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.cluster_i7.i_sw_o.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i7.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x2y5.i_blk.cluster_i7.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_blk.cluster_i7.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i7.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x2y5.i_blk.cluster_i7.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x2y5.i_blk.cluster_i7.lut.prog_data[15:0] != 16'hf4f0) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i7.lut.prog_data[15:0] == 16'h%h != 16'hf4f0",
`DUT.i_tile_x2y5.i_blk.cluster_i7.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x2y5.i_blk.cluster_i7.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i7.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x2y5.i_blk.cluster_i7.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i0_i_0.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i0_i_0.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i0_i_0.prog_data[1:0]);
end
if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i0_i_1.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i0_i_1.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i0_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i0_i_2.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i0_i_2.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i0_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i0_i_3.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i0_i_3.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i0_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x2y4.i_blk.cluster_i0.i_sw_o.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i0.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x2y4.i_blk.cluster_i0.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x2y4.i_blk.cluster_i0.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i0.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x2y4.i_blk.cluster_i0.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x2y4.i_blk.cluster_i0.lut.prog_data[15:0] != 16'hfcfe) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i0.lut.prog_data[15:0] == 16'h%h != 16'hfcfe",
`DUT.i_tile_x2y4.i_blk.cluster_i0.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x2y4.i_blk.cluster_i0.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i0.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x2y4.i_blk.cluster_i0.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i1_i_0.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i1_i_0.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i1_i_0.prog_data[1:0]);
end
if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i1_i_1.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i1_i_1.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i1_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x2y4.i_blk.cluster_i1.i_sw_o.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i1.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x2y4.i_blk.cluster_i1.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x2y4.i_blk.cluster_i1.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i1.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x2y4.i_blk.cluster_i1.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x2y4.i_blk.cluster_i1.lut.prog_data[15:0] != 16'h8888) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i1.lut.prog_data[15:0] == 16'h%h != 16'h8888",
`DUT.i_tile_x2y4.i_blk.cluster_i1.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x2y4.i_blk.cluster_i1.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i1.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x2y4.i_blk.cluster_i1.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i2_i_3.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i2_i_3.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i2_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x2y4.i_blk.cluster_i2.i_sw_o.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i2.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x2y4.i_blk.cluster_i2.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x2y4.i_blk.cluster_i2.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i2.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x2y4.i_blk.cluster_i2.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x2y4.i_blk.cluster_i2.lut.prog_data[15:0] != 16'hff00) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i2.lut.prog_data[15:0] == 16'h%h != 16'hff00",
`DUT.i_tile_x2y4.i_blk.cluster_i2.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x2y4.i_blk.cluster_i2.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i2.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x2y4.i_blk.cluster_i2.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i3_i_0.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i3_i_0.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i3_i_0.prog_data[1:0]);
end
if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i3_i_1.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i3_i_1.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i3_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i3_i_2.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i3_i_2.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i3_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i3_i_3.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i3_i_3.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i3_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x2y4.i_blk.cluster_i3.i_sw_o.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i3.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x2y4.i_blk.cluster_i3.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x2y4.i_blk.cluster_i3.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i3.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x2y4.i_blk.cluster_i3.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x2y4.i_blk.cluster_i3.lut.prog_data[15:0] != 16'hd0c) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i3.lut.prog_data[15:0] == 16'h%h != 16'hd0c",
`DUT.i_tile_x2y4.i_blk.cluster_i3.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x2y4.i_blk.cluster_i3.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i3.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x2y4.i_blk.cluster_i3.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i4_i_1.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i4_i_1.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i4_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i4_i_2.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i4_i_2.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i4_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x2y4.i_blk.cluster_i4.i_sw_o.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i4.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x2y4.i_blk.cluster_i4.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x2y4.i_blk.cluster_i4.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i4.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x2y4.i_blk.cluster_i4.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x2y4.i_blk.cluster_i4.lut.prog_data[15:0] != 16'hc0c) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i4.lut.prog_data[15:0] == 16'h%h != 16'hc0c",
`DUT.i_tile_x2y4.i_blk.cluster_i4.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x2y4.i_blk.cluster_i4.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i4.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x2y4.i_blk.cluster_i4.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i5_i_0.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i5_i_0.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i5_i_0.prog_data[1:0]);
end
if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x2y4.i_blk.cluster_i5.i_sw_o.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i5.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x2y4.i_blk.cluster_i5.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x2y4.i_blk.cluster_i5.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i5.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x2y4.i_blk.cluster_i5.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x2y4.i_blk.cluster_i5.lut.prog_data[15:0] != 16'h313) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i5.lut.prog_data[15:0] == 16'h%h != 16'h313",
`DUT.i_tile_x2y4.i_blk.cluster_i5.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x2y4.i_blk.cluster_i5.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i5.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x2y4.i_blk.cluster_i5.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i6_i_0.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i6_i_0.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i6_i_0.prog_data[1:0]);
end
if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i6_i_3.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i6_i_3.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i6_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x2y4.i_blk.cluster_i6.i_sw_o.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i6.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x2y4.i_blk.cluster_i6.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x2y4.i_blk.cluster_i6.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i6.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x2y4.i_blk.cluster_i6.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x2y4.i_blk.cluster_i6.lut.prog_data[15:0] != 16'h1100) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i6.lut.prog_data[15:0] == 16'h%h != 16'h1100",
`DUT.i_tile_x2y4.i_blk.cluster_i6.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x2y4.i_blk.cluster_i6.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i6.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x2y4.i_blk.cluster_i6.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i7_i_0.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i7_i_0.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i7_i_0.prog_data[1:0]);
end
if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i7_i_2.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i7_i_2.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i7_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x2y4.i_blk.cluster_i7.i_sw_o.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i7.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x2y4.i_blk.cluster_i7.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x2y4.i_blk.cluster_i7.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i7.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x2y4.i_blk.cluster_i7.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x2y4.i_blk.cluster_i7.lut.prog_data[15:0] != 16'heaba) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i7.lut.prog_data[15:0] == 16'h%h != 16'heaba",
`DUT.i_tile_x2y4.i_blk.cluster_i7.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x2y4.i_blk.cluster_i7.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i7.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x2y4.i_blk.cluster_i7.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i0_i_0.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i0_i_0.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i0_i_0.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i0_i_1.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i0_i_1.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i0_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i0_i_2.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i0_i_2.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i0_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i0_i_3.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i0_i_3.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i0_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.cluster_i0.i_sw_o.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i0.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x1y4.i_blk.cluster_i0.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.cluster_i0.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i0.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x1y4.i_blk.cluster_i0.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x1y4.i_blk.cluster_i0.lut.prog_data[15:0] != 16'h73) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i0.lut.prog_data[15:0] == 16'h%h != 16'h73",
`DUT.i_tile_x1y4.i_blk.cluster_i0.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x1y4.i_blk.cluster_i0.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i0.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x1y4.i_blk.cluster_i0.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i1_i_0.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i1_i_0.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i1_i_0.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i1_i_1.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i1_i_1.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i1_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i1_i_2.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i1_i_2.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i1_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i1_i_3.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i1_i_3.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i1_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.cluster_i1.i_sw_o.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i1.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x1y4.i_blk.cluster_i1.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.cluster_i1.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i1.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x1y4.i_blk.cluster_i1.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x1y4.i_blk.cluster_i1.lut.prog_data[15:0] != 16'h4004) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i1.lut.prog_data[15:0] == 16'h%h != 16'h4004",
`DUT.i_tile_x1y4.i_blk.cluster_i1.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x1y4.i_blk.cluster_i1.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i1.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x1y4.i_blk.cluster_i1.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i2_i_0.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i2_i_0.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i2_i_0.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i2_i_1.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i2_i_1.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i2_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i2_i_2.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i2_i_2.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i2_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i2_i_3.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i2_i_3.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i2_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.cluster_i2.i_sw_o.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i2.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x1y4.i_blk.cluster_i2.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.cluster_i2.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i2.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x1y4.i_blk.cluster_i2.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x1y4.i_blk.cluster_i2.lut.prog_data[15:0] != 16'h3175) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i2.lut.prog_data[15:0] == 16'h%h != 16'h3175",
`DUT.i_tile_x1y4.i_blk.cluster_i2.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x1y4.i_blk.cluster_i2.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i2.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x1y4.i_blk.cluster_i2.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i3_i_0.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i3_i_0.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i3_i_0.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i3_i_1.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i3_i_1.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i3_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i3_i_2.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i3_i_2.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i3_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i3_i_3.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i3_i_3.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i3_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.cluster_i3.i_sw_o.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i3.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x1y4.i_blk.cluster_i3.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.cluster_i3.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i3.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x1y4.i_blk.cluster_i3.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x1y4.i_blk.cluster_i3.lut.prog_data[15:0] != 16'hdddc) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i3.lut.prog_data[15:0] == 16'h%h != 16'hdddc",
`DUT.i_tile_x1y4.i_blk.cluster_i3.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x1y4.i_blk.cluster_i3.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i3.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x1y4.i_blk.cluster_i3.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i4_i_1.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i4_i_1.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i4_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i4_i_2.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i4_i_2.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i4_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i4_i_3.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i4_i_3.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i4_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.cluster_i4.i_sw_o.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i4.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x1y4.i_blk.cluster_i4.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.cluster_i4.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i4.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x1y4.i_blk.cluster_i4.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x1y4.i_blk.cluster_i4.lut.prog_data[15:0] != 16'hff30) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i4.lut.prog_data[15:0] == 16'h%h != 16'hff30",
`DUT.i_tile_x1y4.i_blk.cluster_i4.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x1y4.i_blk.cluster_i4.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i4.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x1y4.i_blk.cluster_i4.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i5_i_0.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i5_i_0.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i5_i_0.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.cluster_i5.i_sw_o.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i5.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x1y4.i_blk.cluster_i5.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.cluster_i5.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i5.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x1y4.i_blk.cluster_i5.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x1y4.i_blk.cluster_i5.lut.prog_data[15:0] != 16'he02) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i5.lut.prog_data[15:0] == 16'h%h != 16'he02",
`DUT.i_tile_x1y4.i_blk.cluster_i5.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x1y4.i_blk.cluster_i5.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i5.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x1y4.i_blk.cluster_i5.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i6_i_0.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i6_i_0.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i6_i_0.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i6_i_2.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i6_i_2.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i6_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.cluster_i6.i_sw_o.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i6.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x1y4.i_blk.cluster_i6.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.cluster_i6.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i6.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x1y4.i_blk.cluster_i6.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x1y4.i_blk.cluster_i6.lut.prog_data[15:0] != 16'h404) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i6.lut.prog_data[15:0] == 16'h%h != 16'h404",
`DUT.i_tile_x1y4.i_blk.cluster_i6.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x1y4.i_blk.cluster_i6.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i6.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x1y4.i_blk.cluster_i6.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i7_i_0.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i7_i_0.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i7_i_0.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i7_i_2.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i7_i_2.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i7_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.cluster_i7.i_sw_o.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i7.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x1y4.i_blk.cluster_i7.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_blk.cluster_i7.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i7.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x1y4.i_blk.cluster_i7.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x1y4.i_blk.cluster_i7.lut.prog_data[15:0] != 16'h5140) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i7.lut.prog_data[15:0] == 16'h%h != 16'h5140",
`DUT.i_tile_x1y4.i_blk.cluster_i7.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x1y4.i_blk.cluster_i7.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i7.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x1y4.i_blk.cluster_i7.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i0_i_0.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i0_i_0.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i0_i_0.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i0_i_1.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i0_i_1.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i0_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i0_i_2.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i0_i_2.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i0_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i0_i_3.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i0_i_3.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i0_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.cluster_i0.i_sw_o.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i0.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x3y4.i_blk.cluster_i0.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.cluster_i0.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i0.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x3y4.i_blk.cluster_i0.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x3y4.i_blk.cluster_i0.lut.prog_data[15:0] != 16'h100) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i0.lut.prog_data[15:0] == 16'h%h != 16'h100",
`DUT.i_tile_x3y4.i_blk.cluster_i0.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x3y4.i_blk.cluster_i0.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i0.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x3y4.i_blk.cluster_i0.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i1_i_0.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i1_i_0.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i1_i_0.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i1_i_1.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i1_i_1.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i1_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i1_i_2.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i1_i_2.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i1_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i1_i_3.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i1_i_3.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i1_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.cluster_i1.i_sw_o.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i1.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x3y4.i_blk.cluster_i1.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.cluster_i1.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i1.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x3y4.i_blk.cluster_i1.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x3y4.i_blk.cluster_i1.lut.prog_data[15:0] != 16'h47) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i1.lut.prog_data[15:0] == 16'h%h != 16'h47",
`DUT.i_tile_x3y4.i_blk.cluster_i1.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x3y4.i_blk.cluster_i1.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i1.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x3y4.i_blk.cluster_i1.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i2_i_0.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i2_i_0.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i2_i_0.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i2_i_2.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i2_i_2.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i2_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.cluster_i2.i_sw_o.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i2.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x3y4.i_blk.cluster_i2.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.cluster_i2.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i2.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x3y4.i_blk.cluster_i2.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x3y4.i_blk.cluster_i2.lut.prog_data[15:0] != 16'ha0a0) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i2.lut.prog_data[15:0] == 16'h%h != 16'ha0a0",
`DUT.i_tile_x3y4.i_blk.cluster_i2.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x3y4.i_blk.cluster_i2.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i2.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x3y4.i_blk.cluster_i2.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i3_i_0.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i3_i_0.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i3_i_0.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i3_i_1.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i3_i_1.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i3_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i3_i_2.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i3_i_2.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i3_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i3_i_3.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i3_i_3.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i3_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.cluster_i3.i_sw_o.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i3.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x3y4.i_blk.cluster_i3.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.cluster_i3.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i3.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x3y4.i_blk.cluster_i3.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x3y4.i_blk.cluster_i3.lut.prog_data[15:0] != 16'hcea0) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i3.lut.prog_data[15:0] == 16'h%h != 16'hcea0",
`DUT.i_tile_x3y4.i_blk.cluster_i3.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x3y4.i_blk.cluster_i3.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i3.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x3y4.i_blk.cluster_i3.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i4_i_1.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i4_i_1.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i4_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i4_i_2.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i4_i_2.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i4_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i4_i_3.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i4_i_3.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i4_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.cluster_i4.i_sw_o.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i4.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x3y4.i_blk.cluster_i4.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.cluster_i4.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i4.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x3y4.i_blk.cluster_i4.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x3y4.i_blk.cluster_i4.lut.prog_data[15:0] != 16'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i4.lut.prog_data[15:0] == 16'h%h != 16'h3",
`DUT.i_tile_x3y4.i_blk.cluster_i4.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x3y4.i_blk.cluster_i4.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i4.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x3y4.i_blk.cluster_i4.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i5_i_0.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i5_i_0.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i5_i_0.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.cluster_i5.i_sw_o.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i5.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x3y4.i_blk.cluster_i5.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.cluster_i5.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i5.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x3y4.i_blk.cluster_i5.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x3y4.i_blk.cluster_i5.lut.prog_data[15:0] != 16'hbba0) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i5.lut.prog_data[15:0] == 16'h%h != 16'hbba0",
`DUT.i_tile_x3y4.i_blk.cluster_i5.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x3y4.i_blk.cluster_i5.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i5.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x3y4.i_blk.cluster_i5.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i6_i_0.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i6_i_0.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i6_i_0.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i6_i_2.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i6_i_2.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i6_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i6_i_3.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i6_i_3.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i6_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.cluster_i6.i_sw_o.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i6.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x3y4.i_blk.cluster_i6.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.cluster_i6.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i6.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x3y4.i_blk.cluster_i6.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x3y4.i_blk.cluster_i6.lut.prog_data[15:0] != 16'ha802) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i6.lut.prog_data[15:0] == 16'h%h != 16'ha802",
`DUT.i_tile_x3y4.i_blk.cluster_i6.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x3y4.i_blk.cluster_i6.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i6.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x3y4.i_blk.cluster_i6.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i7_i_0.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i7_i_0.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i7_i_0.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.cluster_i7.i_sw_o.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i7.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x3y4.i_blk.cluster_i7.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x3y4.i_blk.cluster_i7.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i7.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x3y4.i_blk.cluster_i7.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x3y4.i_blk.cluster_i7.lut.prog_data[15:0] != 16'hee) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i7.lut.prog_data[15:0] == 16'h%h != 16'hee",
`DUT.i_tile_x3y4.i_blk.cluster_i7.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x3y4.i_blk.cluster_i7.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i7.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x3y4.i_blk.cluster_i7.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i0_i_0.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i0_i_0.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i0_i_0.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i0_i_1.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i0_i_1.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i0_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i0_i_2.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i0_i_2.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i0_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i0_i_3.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i0_i_3.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i0_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.cluster_i0.i_sw_o.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i0.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x5y5.i_blk.cluster_i0.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.cluster_i0.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i0.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x5y5.i_blk.cluster_i0.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x5y5.i_blk.cluster_i0.lut.prog_data[15:0] != 16'hca) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i0.lut.prog_data[15:0] == 16'h%h != 16'hca",
`DUT.i_tile_x5y5.i_blk.cluster_i0.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x5y5.i_blk.cluster_i0.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i0.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x5y5.i_blk.cluster_i0.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i1_i_3.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i1_i_3.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i1_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.cluster_i1.i_sw_o.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i1.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x5y5.i_blk.cluster_i1.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.cluster_i1.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i1.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x5y5.i_blk.cluster_i1.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x5y5.i_blk.cluster_i1.lut.prog_data[15:0] != 16'hff00) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i1.lut.prog_data[15:0] == 16'h%h != 16'hff00",
`DUT.i_tile_x5y5.i_blk.cluster_i1.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x5y5.i_blk.cluster_i1.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i1.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x5y5.i_blk.cluster_i1.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i2_i_0.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i2_i_0.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i2_i_0.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i2_i_1.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i2_i_1.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i2_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i2_i_2.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i2_i_2.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i2_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i2_i_3.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i2_i_3.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i2_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.cluster_i2.i_sw_o.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i2.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x5y5.i_blk.cluster_i2.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.cluster_i2.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i2.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x5y5.i_blk.cluster_i2.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x5y5.i_blk.cluster_i2.lut.prog_data[15:0] != 16'hac) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i2.lut.prog_data[15:0] == 16'h%h != 16'hac",
`DUT.i_tile_x5y5.i_blk.cluster_i2.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x5y5.i_blk.cluster_i2.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i2.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x5y5.i_blk.cluster_i2.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i3_i_0.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i3_i_0.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i3_i_0.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i3_i_1.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i3_i_1.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i3_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i3_i_2.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i3_i_2.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i3_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i3_i_3.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i3_i_3.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i3_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.cluster_i3.i_sw_o.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i3.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x5y5.i_blk.cluster_i3.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.cluster_i3.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i3.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x5y5.i_blk.cluster_i3.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x5y5.i_blk.cluster_i3.lut.prog_data[15:0] != 16'h4540) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i3.lut.prog_data[15:0] == 16'h%h != 16'h4540",
`DUT.i_tile_x5y5.i_blk.cluster_i3.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x5y5.i_blk.cluster_i3.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i3.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x5y5.i_blk.cluster_i3.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i4_i_0.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i4_i_0.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i4_i_0.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i4_i_1.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i4_i_1.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i4_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i4_i_2.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i4_i_2.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i4_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i4_i_3.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i4_i_3.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i4_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.cluster_i4.i_sw_o.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i4.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x5y5.i_blk.cluster_i4.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.cluster_i4.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i4.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x5y5.i_blk.cluster_i4.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x5y5.i_blk.cluster_i4.lut.prog_data[15:0] != 16'hb8) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i4.lut.prog_data[15:0] == 16'h%h != 16'hb8",
`DUT.i_tile_x5y5.i_blk.cluster_i4.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x5y5.i_blk.cluster_i4.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i4.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x5y5.i_blk.cluster_i4.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i5_i_0.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i5_i_0.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i5_i_0.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.cluster_i5.i_sw_o.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i5.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x5y5.i_blk.cluster_i5.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.cluster_i5.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i5.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x5y5.i_blk.cluster_i5.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x5y5.i_blk.cluster_i5.lut.prog_data[15:0] != 16'hca) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i5.lut.prog_data[15:0] == 16'h%h != 16'hca",
`DUT.i_tile_x5y5.i_blk.cluster_i5.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x5y5.i_blk.cluster_i5.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i5.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x5y5.i_blk.cluster_i5.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i6_i_0.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i6_i_0.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i6_i_0.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i6_i_2.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i6_i_2.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i6_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i6_i_3.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i6_i_3.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i6_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.cluster_i6.i_sw_o.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i6.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x5y5.i_blk.cluster_i6.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.cluster_i6.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i6.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x5y5.i_blk.cluster_i6.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x5y5.i_blk.cluster_i6.lut.prog_data[15:0] != 16'h5044) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i6.lut.prog_data[15:0] == 16'h%h != 16'h5044",
`DUT.i_tile_x5y5.i_blk.cluster_i6.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x5y5.i_blk.cluster_i6.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i6.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x5y5.i_blk.cluster_i6.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i7_i_0.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i7_i_0.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i7_i_0.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i7_i_2.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i7_i_2.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i7_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.cluster_i7.i_sw_o.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i7.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x5y5.i_blk.cluster_i7.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x5y5.i_blk.cluster_i7.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i7.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x5y5.i_blk.cluster_i7.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x5y5.i_blk.cluster_i7.lut.prog_data[15:0] != 16'he4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i7.lut.prog_data[15:0] == 16'h%h != 16'he4",
`DUT.i_tile_x5y5.i_blk.cluster_i7.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x5y5.i_blk.cluster_i7.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i7.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x5y5.i_blk.cluster_i7.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x1y5.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y5.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x1y5.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x1y5.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y5.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x1y5.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x1y5.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y5.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x1y5.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x1y5.i_blk.cluster_i5.i_sw_o.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y5.i_blk.cluster_i5.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x1y5.i_blk.cluster_i5.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x1y5.i_blk.cluster_i5.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y5.i_blk.cluster_i5.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x1y5.i_blk.cluster_i5.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x1y5.i_blk.cluster_i5.lut.prog_data[15:0] != 16'h30) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y5.i_blk.cluster_i5.lut.prog_data[15:0] == 16'h%h != 16'h30",
`DUT.i_tile_x1y5.i_blk.cluster_i5.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x1y5.i_blk.cluster_i5.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y5.i_blk.cluster_i5.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x1y5.i_blk.cluster_i5.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x1y5.i_blk.i_sw_cluster_i6_i_0.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y5.i_blk.i_sw_cluster_i6_i_0.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x1y5.i_blk.i_sw_cluster_i6_i_0.prog_data[1:0]);
end
if (`DUT.i_tile_x1y5.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y5.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x1y5.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x1y5.i_blk.i_sw_cluster_i6_i_2.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y5.i_blk.i_sw_cluster_i6_i_2.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x1y5.i_blk.i_sw_cluster_i6_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x1y5.i_blk.cluster_i6.i_sw_o.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y5.i_blk.cluster_i6.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x1y5.i_blk.cluster_i6.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x1y5.i_blk.cluster_i6.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y5.i_blk.cluster_i6.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x1y5.i_blk.cluster_i6.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x1y5.i_blk.cluster_i6.lut.prog_data[15:0] != 16'h404) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y5.i_blk.cluster_i6.lut.prog_data[15:0] == 16'h%h != 16'h404",
`DUT.i_tile_x1y5.i_blk.cluster_i6.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x1y5.i_blk.cluster_i6.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y5.i_blk.cluster_i6.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x1y5.i_blk.cluster_i6.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x1y5.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y5.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x1y5.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0]);
end
if (`DUT.i_tile_x1y5.i_blk.i_sw_cluster_i7_i_2.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y5.i_blk.i_sw_cluster_i7_i_2.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x1y5.i_blk.i_sw_cluster_i7_i_2.prog_data[1:0]);
end
if (`DUT.i_tile_x1y5.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y5.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x1y5.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0]);
end
if (`DUT.i_tile_x1y5.i_blk.cluster_i7.i_sw_o.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y5.i_blk.cluster_i7.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x1y5.i_blk.cluster_i7.i_sw_o.prog_data[1:0]);
end
if (`DUT.i_tile_x1y5.i_blk.cluster_i7.lut.prog_data[16:16] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y5.i_blk.cluster_i7.lut.prog_data[16:16] == 1'h%h != 1'h1",
`DUT.i_tile_x1y5.i_blk.cluster_i7.lut.prog_data[16:16]);
end
if (`DUT.i_tile_x1y5.i_blk.cluster_i7.lut.prog_data[15:0] != 16'h300) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y5.i_blk.cluster_i7.lut.prog_data[15:0] == 16'h%h != 16'h300",
`DUT.i_tile_x1y5.i_blk.cluster_i7.lut.prog_data[15:0]);
end
if (`DUT.i_tile_x1y5.i_blk.cluster_i7.ff.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y5.i_blk.cluster_i7.ff.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_tile_x1y5.i_blk.cluster_i7.ff.prog_data[0:0]);
end
if (`DUT.i_tile_x3y9.i_blk_i0.io.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y9.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x3y9.i_blk_i0.io.prog_data[1:0]);
end
if (`DUT.i_tile_x4y9.i_blk_i0.io.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x4y9.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x4y9.i_blk_i0.io.prog_data[1:0]);
end
if (`DUT.i_tile_x5y9.i_blk_i0.io.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y9.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x5y9.i_blk_i0.io.prog_data[1:0]);
end
if (`DUT.i_tile_x6y9.i_blk_i0.io.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x6y9.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x6y9.i_blk_i0.io.prog_data[1:0]);
end
if (`DUT.i_tile_x7y9.i_blk_i0.io.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x7y9.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x7y9.i_blk_i0.io.prog_data[1:0]);
end
if (`DUT.i_tile_x9y8.i_blk_i0.io.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x9y8.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x9y8.i_blk_i0.io.prog_data[1:0]);
end
if (`DUT.i_tile_x9y7.i_blk_i0.io.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x9y7.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x9y7.i_blk_i0.io.prog_data[1:0]);
end
if (`DUT.i_tile_x9y7.i_blk_i1.io.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x9y7.i_blk_i1.io.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x9y7.i_blk_i1.io.prog_data[1:0]);
end
if (`DUT.i_tile_x9y6.i_blk_i0.io.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x9y6.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x9y6.i_blk_i0.io.prog_data[1:0]);
end
if (`DUT.i_tile_x0y1.i_blk_i0.io.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x0y1.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x0y1.i_blk_i0.io.prog_data[1:0]);
end
if (`DUT.i_tile_x0y2.i_blk_i0.io.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x0y2.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x0y2.i_blk_i0.io.prog_data[1:0]);
end
if (`DUT.i_tile_x0y3.i_blk_i0.io.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x0y3.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x0y3.i_blk_i0.io.prog_data[1:0]);
end
if (`DUT.i_tile_x0y4.i_blk_i0.io.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x0y4.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x0y4.i_blk_i0.io.prog_data[1:0]);
end
if (`DUT.i_tile_x0y5.i_blk_i0.io.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x0y5.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x0y5.i_blk_i0.io.prog_data[1:0]);
end
if (`DUT.i_tile_x0y6.i_blk_i0.io.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x0y6.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x0y6.i_blk_i0.io.prog_data[1:0]);
end
if (`DUT.i_tile_x0y7.i_blk_i0.io.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x0y7.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x0y7.i_blk_i0.io.prog_data[1:0]);
end
if (`DUT.i_tile_x0y8.i_blk_i0.io.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x0y8.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x0y8.i_blk_i0.io.prog_data[1:0]);
end
if (`DUT.i_tile_x1y9.i_blk_i0.io.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y9.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x1y9.i_blk_i0.io.prog_data[1:0]);
end
if (`DUT.i_tile_x2y9.i_blk_i0.io.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y9.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x2y9.i_blk_i0.io.prog_data[1:0]);
end
if (`DUT.i_tile_x2y9.i_blk_i1.io.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y9.i_blk_i1.io.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x2y9.i_blk_i1.io.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_7.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_7.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_7.prog_data[2:0]);
end
if (`DUT.i_tile_x2y5.i_cbox_e0.i_sw_cu_x0y0s_L1_7.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_cbox_e0.i_sw_cu_x0y0s_L1_7.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x2y5.i_cbox_e0.i_sw_cu_x0y0s_L1_7.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_8.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_8.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_8.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_8.prog_data[2:0]);
end
if (`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_6.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_6.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_6.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y4nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y4nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x3y4nw.i_sw_so_x0y0e_L1_6.prog_data[1:0]);
end
if (`DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_9.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y6se.i_sw_so_x0v1w_L1_8.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y6se.i_sw_so_x0v1w_L1_8.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x3y6se.i_sw_so_x0v1w_L1_8.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_8.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_8.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_8.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_8.prog_data[2:0]);
end
if (`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_15.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_15.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_15.prog_data[2:0]);
end
if (`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_0.prog_data[2:0] != 3'h6) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_0.prog_data[2:0] == 3'h%h != 3'h6",
`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_0.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_8.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_8.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_8.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_6.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y2ne.i_sw_so_x0y0s_L1_7.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y2ne.i_sw_so_x0y0s_L1_7.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x1y2ne.i_sw_so_x0y0s_L1_7.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y2se.i_sw_so_x0v1w_L1_8.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y2se.i_sw_so_x0v1w_L1_8.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y2se.i_sw_so_x0v1w_L1_8.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_9.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_9.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_9.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_9.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_9.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_9.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_9.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_9.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_9.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_9.prog_data[2:0] != 3'h5) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_9.prog_data[2:0] == 3'h%h != 3'h5",
`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_9.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_0.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_0.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_0.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_10.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_10.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_10.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_1.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_1.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_1.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_1.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_1.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_1.prog_data[2:0]);
end
if (`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_4.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_4.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_4.prog_data[2:0]);
end
if (`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_1.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_1.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_1.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y5nw.i_sw_so_x0y0e_L1_11.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y5nw.i_sw_so_x0y0e_L1_11.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x2y5nw.i_sw_so_x0y0e_L1_11.prog_data[1:0]);
end
if (`DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_11.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_11.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_11.prog_data[1:0]);
end
if (`DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_11.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_11.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_11.prog_data[1:0]);
end
if (`DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_0.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_0.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_0.prog_data[2:0]);
end
if (`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0]);
end
if (`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_14.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_14.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_14.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_10.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_10.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_10.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_8.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_11.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y4se.i_sw_so_x0v1w_L1_10.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y4se.i_sw_so_x0v1w_L1_10.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x1y4se.i_sw_so_x0v1w_L1_10.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_11.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_11.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_11.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_11.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_11.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_11.prog_data[1:0]);
end
if (`DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_11.prog_data[2:0] != 3'h5) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_11.prog_data[2:0] == 3'h%h != 3'h5",
`DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_11.prog_data[2:0]);
end
if (`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_6.prog_data[2:0] != 3'h5) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_6.prog_data[2:0] == 3'h%h != 3'h5",
`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_6.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y2nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y2nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x2y2nw.i_sw_so_x0y0e_L1_8.prog_data[1:0]);
end
if (`DUT.i_sbox_x3y2nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y2nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x3y2nw.i_sw_so_x0y0e_L1_8.prog_data[1:0]);
end
if (`DUT.i_sbox_x4y3sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y3sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x4y3sw.i_sw_so_u1y0n_L1_11.prog_data[2:0]);
end
if (`DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_11.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y5se.i_sw_so_x0v1w_L1_10.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y5se.i_sw_so_x0v1w_L1_10.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x3y5se.i_sw_so_x0v1w_L1_10.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_10.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_10.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_10.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_11.prog_data[2:0]);
end
if (`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0] != 3'h5) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0] == 3'h%h != 3'h5",
`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_6.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_6.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_6.prog_data[1:0]);
end
if (`DUT.i_tile_x0y2.i_cbox_e0.i_sw_cu_x0y0n_L1_6.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x0y2.i_cbox_e0.i_sw_cu_x0y0n_L1_6.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x0y2.i_cbox_e0.i_sw_cu_x0y0n_L1_6.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_6.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_6.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_6.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y3nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y3nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x1y3nw.i_sw_so_x0y0e_L1_4.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_7.prog_data[2:0]);
end
if (`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_10.prog_data[2:0] != 3'h5) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_10.prog_data[2:0] == 3'h%h != 3'h5",
`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_10.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_6.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_6.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_6.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_7.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_7.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_7.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_6.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_6.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_6.prog_data[1:0]);
end
if (`DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0]);
end
if (`DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y5nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y5nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x1y5nw.i_sw_so_x0y0e_L1_4.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y6sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y6sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x2y6sw.i_sw_so_u1y0n_L1_7.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y7sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y7sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x2y7sw.i_sw_so_u1y0n_L1_7.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y7nw.i_sw_so_x0y0e_L1_5.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y7nw.i_sw_so_x0y0e_L1_5.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x2y7nw.i_sw_so_x0y0e_L1_5.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y7ne.i_sw_so_x0y0s_L1_6.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y7ne.i_sw_so_x0y0s_L1_6.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x2y7ne.i_sw_so_x0y0s_L1_6.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y7se.i_sw_so_x0v1w_L1_7.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y7se.i_sw_so_x0v1w_L1_7.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x2y7se.i_sw_so_x0v1w_L1_7.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y6ne.i_sw_so_x0y0s_L1_7.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y6ne.i_sw_so_x0y0s_L1_7.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x1y6ne.i_sw_so_x0y0s_L1_7.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y5nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y5nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x2y5nw.i_sw_so_x0y0e_L1_6.prog_data[1:0]);
end
if (`DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_6.prog_data[1:0]);
end
if (`DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_6.prog_data[1:0]);
end
if (`DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_7.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_7.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_7.prog_data[2:0]);
end
if (`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y6se.i_sw_so_x0v1w_L1_8.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y6se.i_sw_so_x0v1w_L1_8.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y6se.i_sw_so_x0v1w_L1_8.prog_data[1:0]);
end
if (`DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_8.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_8.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_8.prog_data[1:0]);
end
if (`DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_8.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_8.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_8.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_0.prog_data[2:0] != 3'h6) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_0.prog_data[2:0] == 3'h%h != 3'h6",
`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_0.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y5nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y5nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x2y5nw.i_sw_so_x0y0e_L1_4.prog_data[1:0]);
end
if (`DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_4.prog_data[1:0]);
end
if (`DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_4.prog_data[1:0]);
end
if (`DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_5.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_5.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_5.prog_data[2:0]);
end
if (`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_4.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_7.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_5.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_5.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_5.prog_data[1:0]);
end
if (`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_8.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_8.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_8.prog_data[2:0]);
end
if (`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_15.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_15.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_15.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_4.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_3.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_3.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_3.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_3.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_3.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_3.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_3.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_3.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_3.prog_data[2:0]);
end
if (`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_11.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_9.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_9.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_9.prog_data[1:0]);
end
if (`DUT.i_sbox_x4y6sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y6sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x4y6sw.i_sw_so_u1y0n_L1_0.prog_data[2:0]);
end
if (`DUT.i_sbox_x4y6nw.i_sw_so_x0y0e_L1_10.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y6nw.i_sw_so_x0y0e_L1_10.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x4y6nw.i_sw_so_x0y0e_L1_10.prog_data[1:0]);
end
if (`DUT.i_sbox_x4y6ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y6ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x4y6ne.i_sw_so_x0y0s_L1_11.prog_data[2:0]);
end
if (`DUT.i_sbox_x4y6se.i_sw_so_x0v1w_L1_0.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y6se.i_sw_so_x0v1w_L1_0.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x4y6se.i_sw_so_x0v1w_L1_0.prog_data[1:0]);
end
if (`DUT.i_sbox_x3y5ne.i_sw_so_x0y0s_L1_0.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y5ne.i_sw_so_x0y0s_L1_0.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x3y5ne.i_sw_so_x0y0s_L1_0.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y5se.i_sw_so_x0v1w_L1_1.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y5se.i_sw_so_x0v1w_L1_1.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x3y5se.i_sw_so_x0v1w_L1_1.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_1.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_1.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_1.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_2.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_2.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_2.prog_data[2:0]);
end
if (`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_7.prog_data[2:0]);
end
if (`DUT.i_tile_x1y5.i_cbox_e0.i_sw_cu_x0y0n_L1_7.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y5.i_cbox_e0.i_sw_cu_x0y0n_L1_7.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x1y5.i_cbox_e0.i_sw_cu_x0y0n_L1_7.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y5nw.i_sw_so_x0y0e_L1_5.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y5nw.i_sw_so_x0y0e_L1_5.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x2y5nw.i_sw_so_x0y0e_L1_5.prog_data[1:0]);
end
if (`DUT.i_sbox_x3y6sw.i_sw_so_u1y0n_L1_8.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y6sw.i_sw_so_u1y0n_L1_8.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x3y6sw.i_sw_so_u1y0n_L1_8.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y6nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y6nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x3y6nw.i_sw_so_x0y0e_L1_6.prog_data[1:0]);
end
if (`DUT.i_sbox_x4y7sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y7sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x4y7sw.i_sw_so_u1y0n_L1_9.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y8se.i_sw_so_x0v1w_L1_8.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y8se.i_sw_so_x0v1w_L1_8.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x3y8se.i_sw_so_x0v1w_L1_8.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y7ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y7ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x2y7ne.i_sw_so_x0y0s_L1_8.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y7se.i_sw_so_x0v1w_L1_9.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y7se.i_sw_so_x0v1w_L1_9.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x2y7se.i_sw_so_x0v1w_L1_9.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y6ne.i_sw_so_x0y0s_L1_9.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y6ne.i_sw_so_x0y0s_L1_9.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x1y6ne.i_sw_so_x0y0s_L1_9.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_9.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_9.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_9.prog_data[2:0]);
end
if (`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_4.prog_data[2:0] != 3'h6) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_4.prog_data[2:0] == 3'h%h != 3'h6",
`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_4.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_3.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_3.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_3.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y4se.i_sw_so_x0v1w_L1_4.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y4se.i_sw_so_x0v1w_L1_4.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x2y4se.i_sw_so_x0v1w_L1_4.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y3ne.i_sw_so_x0y0s_L1_4.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y3ne.i_sw_so_x0y0s_L1_4.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x1y3ne.i_sw_so_x0y0s_L1_4.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y3se.i_sw_so_x0v1w_L1_5.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y3se.i_sw_so_x0v1w_L1_5.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y3se.i_sw_so_x0v1w_L1_5.prog_data[1:0]);
end
if (`DUT.i_sbox_x0y2ne.i_sw_so_x0y0s_L1_5.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x0y2ne.i_sw_so_x0y0s_L1_5.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x0y2ne.i_sw_so_x0y0s_L1_5.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y1nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y1nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y1nw.i_sw_so_x0y0e_L1_4.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y2sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y2sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x2y2sw.i_sw_so_u1y0n_L1_7.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y2nw.i_sw_so_x0y0e_L1_5.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y2nw.i_sw_so_x0y0e_L1_5.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x2y2nw.i_sw_so_x0y0e_L1_5.prog_data[1:0]);
end
if (`DUT.i_sbox_x3y2nw.i_sw_so_x0y0e_L1_5.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y2nw.i_sw_so_x0y0e_L1_5.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x3y2nw.i_sw_so_x0y0e_L1_5.prog_data[1:0]);
end
if (`DUT.i_sbox_x4y3sw.i_sw_so_u1y0n_L1_8.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y3sw.i_sw_so_u1y0n_L1_8.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x4y3sw.i_sw_so_u1y0n_L1_8.prog_data[2:0]);
end
if (`DUT.i_sbox_x4y3nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y3nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x4y3nw.i_sw_so_x0y0e_L1_6.prog_data[1:0]);
end
if (`DUT.i_sbox_x5y4sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x5y4sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x5y4sw.i_sw_so_u1y0n_L1_9.prog_data[2:0]);
end
if (`DUT.i_sbox_x5y4nw.i_sw_so_x0y0e_L1_7.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x5y4nw.i_sw_so_x0y0e_L1_7.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x5y4nw.i_sw_so_x0y0e_L1_7.prog_data[1:0]);
end
if (`DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_10.prog_data[2:0]);
end
if (`DUT.i_sbox_x5y6se.i_sw_so_x0v1w_L1_9.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x5y6se.i_sw_so_x0v1w_L1_9.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x5y6se.i_sw_so_x0v1w_L1_9.prog_data[1:0]);
end
if (`DUT.i_sbox_x4y6se.i_sw_so_x0v1w_L1_9.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y6se.i_sw_so_x0v1w_L1_9.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x4y6se.i_sw_so_x0v1w_L1_9.prog_data[1:0]);
end
if (`DUT.i_sbox_x3y6se.i_sw_so_x0v1w_L1_9.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y6se.i_sw_so_x0v1w_L1_9.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x3y6se.i_sw_so_x0v1w_L1_9.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_9.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_9.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_9.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_9.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_9.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_9.prog_data[2:0]);
end
if (`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_4.prog_data[2:0] != 3'h6) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_4.prog_data[2:0] == 3'h%h != 3'h6",
`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_4.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_3.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_3.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_3.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_3.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_3.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_3.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_2.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_2.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_2.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y6se.i_sw_so_x0v1w_L1_2.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y6se.i_sw_so_x0v1w_L1_2.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x1y6se.i_sw_so_x0v1w_L1_2.prog_data[1:0]);
end
if (`DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_2.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_2.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_2.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y4nw.i_sw_so_x0y0e_L1_1.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y4nw.i_sw_so_x0y0e_L1_1.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y4nw.i_sw_so_x0y0e_L1_1.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_4.prog_data[2:0]);
end
if (`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_9.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_9.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_9.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_2.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_2.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_2.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_3.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_3.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_3.prog_data[2:0]);
end
if (`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0]);
end
if (`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_8.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_8.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_8.prog_data[2:0]);
end
if (`DUT.i_tile_x1y5.i_cbox_e0.i_sw_cu_x0y0n_L1_8.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y5.i_cbox_e0.i_sw_cu_x0y0n_L1_8.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x1y5.i_cbox_e0.i_sw_cu_x0y0n_L1_8.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_6.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_6.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_6.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_7.prog_data[2:0]);
end
if (`DUT.i_tile_x2y5.i_cbox_e0.i_sw_cu_x0y0n_L1_7.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_cbox_e0.i_sw_cu_x0y0n_L1_7.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x2y5.i_cbox_e0.i_sw_cu_x0y0n_L1_7.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_6.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_6.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_6.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_6.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_6.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_6.prog_data[2:0]);
end
if (`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_7.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_7.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_7.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_8.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_8.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_8.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y4nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y4nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x1y4nw.i_sw_so_x0y0e_L1_6.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_9.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y5nw.i_sw_so_x0y0e_L1_7.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y5nw.i_sw_so_x0y0e_L1_7.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x2y5nw.i_sw_so_x0y0e_L1_7.prog_data[1:0]);
end
if (`DUT.i_sbox_x3y6sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y6sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x3y6sw.i_sw_so_u1y0n_L1_10.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y7sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y7sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x3y7sw.i_sw_so_u1y0n_L1_10.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y7nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y7nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x3y7nw.i_sw_so_x0y0e_L1_8.prog_data[1:0]);
end
if (`DUT.i_sbox_x3y7ne.i_sw_so_x0y0s_L1_9.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y7ne.i_sw_so_x0y0s_L1_9.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x3y7ne.i_sw_so_x0y0s_L1_9.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y7se.i_sw_so_x0v1w_L1_10.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y7se.i_sw_so_x0v1w_L1_10.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x3y7se.i_sw_so_x0v1w_L1_10.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y7se.i_sw_so_x0v1w_L1_10.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y7se.i_sw_so_x0v1w_L1_10.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x2y7se.i_sw_so_x0v1w_L1_10.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y6ne.i_sw_so_x0y0s_L1_10.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y6ne.i_sw_so_x0y0s_L1_10.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x1y6ne.i_sw_so_x0y0s_L1_10.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_10.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_10.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_10.prog_data[2:0]);
end
if (`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0] != 3'h6) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0] == 3'h%h != 3'h6",
`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_10.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_8.prog_data[1:0]);
end
if (`DUT.i_sbox_x4y6sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y6sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x4y6sw.i_sw_so_u1y0n_L1_11.prog_data[2:0]);
end
if (`DUT.i_sbox_x4y6nw.i_sw_so_x0y0e_L1_9.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y6nw.i_sw_so_x0y0e_L1_9.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x4y6nw.i_sw_so_x0y0e_L1_9.prog_data[1:0]);
end
if (`DUT.i_sbox_x5y7sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x5y7sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x5y7sw.i_sw_so_u1y0n_L1_0.prog_data[2:0]);
end
if (`DUT.i_sbox_x4y8se.i_sw_so_x0v1w_L1_11.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y8se.i_sw_so_x0v1w_L1_11.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x4y8se.i_sw_so_x0v1w_L1_11.prog_data[1:0]);
end
if (`DUT.i_sbox_x3y7ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y7ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x3y7ne.i_sw_so_x0y0s_L1_11.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y7se.i_sw_so_x0v1w_L1_0.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y7se.i_sw_so_x0v1w_L1_0.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x3y7se.i_sw_so_x0v1w_L1_0.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y7se.i_sw_so_x0v1w_L1_0.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y7se.i_sw_so_x0v1w_L1_0.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x2y7se.i_sw_so_x0v1w_L1_0.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y6ne.i_sw_so_x0y0s_L1_0.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y6ne.i_sw_so_x0y0s_L1_0.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x1y6ne.i_sw_so_x0y0s_L1_0.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_0.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_0.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_0.prog_data[2:0]);
end
if (`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_10.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_10.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_10.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_5.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_5.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_4.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_4.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_4.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_4.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_4.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_4.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y4nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y4nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x2y4nw.i_sw_so_x0y0e_L1_3.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_4.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_4.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_4.prog_data[2:0]);
end
if (`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_0.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_0.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_0.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_6.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_5.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_5.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_5.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_5.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_5.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_5.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_5.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_5.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_5.prog_data[2:0]);
end
if (`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_6.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_6.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_6.prog_data[1:0]);
end
if (`DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_6.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_6.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_6.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0]);
end
if (`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_11.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_11.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_11.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_5.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_5.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_5.prog_data[1:0]);
end
if (`DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_5.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_5.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_5.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_11.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_11.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_11.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_3.prog_data[1:0]);
end
if (`DUT.i_sbox_x4y6sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y6sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x4y6sw.i_sw_so_u1y0n_L1_6.prog_data[2:0]);
end
if (`DUT.i_sbox_x4y6nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y6nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x4y6nw.i_sw_so_x0y0e_L1_4.prog_data[1:0]);
end
if (`DUT.i_sbox_x4y6ne.i_sw_so_x0y0s_L1_5.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y6ne.i_sw_so_x0y0s_L1_5.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x4y6ne.i_sw_so_x0y0s_L1_5.prog_data[2:0]);
end
if (`DUT.i_sbox_x4y6se.i_sw_so_x0v1w_L1_6.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y6se.i_sw_so_x0v1w_L1_6.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x4y6se.i_sw_so_x0v1w_L1_6.prog_data[1:0]);
end
if (`DUT.i_sbox_x3y5ne.i_sw_so_x0y0s_L1_6.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y5ne.i_sw_so_x0y0s_L1_6.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x3y5ne.i_sw_so_x0y0s_L1_6.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y5se.i_sw_so_x0v1w_L1_7.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y5se.i_sw_so_x0v1w_L1_7.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x3y5se.i_sw_so_x0v1w_L1_7.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_7.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_7.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_7.prog_data[2:0]);
end
if (`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0] != 3'h6) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0] == 3'h%h != 3'h6",
`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y7se.i_sw_so_x0v1w_L1_5.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y7se.i_sw_so_x0v1w_L1_5.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x3y7se.i_sw_so_x0v1w_L1_5.prog_data[1:0]);
end
if (`DUT.i_sbox_x3y7sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y7sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x3y7sw.i_sw_so_u1y0n_L1_6.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y8se.i_sw_so_x0v1w_L1_5.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y8se.i_sw_so_x0v1w_L1_5.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x2y8se.i_sw_so_x0v1w_L1_5.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y7ne.i_sw_so_x0y0s_L1_5.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y7ne.i_sw_so_x0y0s_L1_5.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x1y7ne.i_sw_so_x0y0s_L1_5.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y7se.i_sw_so_x0v1w_L1_6.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y7se.i_sw_so_x0v1w_L1_6.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y7se.i_sw_so_x0v1w_L1_6.prog_data[1:0]);
end
if (`DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_6.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_6.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_6.prog_data[1:0]);
end
if (`DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_6.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_6.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_6.prog_data[1:0]);
end
if (`DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y5ne.i_sw_so_x0y0s_L1_4.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y5ne.i_sw_so_x0y0s_L1_4.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x3y5ne.i_sw_so_x0y0s_L1_4.prog_data[2:0]);
end
if (`DUT.i_sbox_x4y4nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y4nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x4y4nw.i_sw_so_x0y0e_L1_3.prog_data[1:0]);
end
if (`DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_6.prog_data[2:0]);
end
if (`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_7.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_7.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_7.prog_data[2:0]);
end
if (`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0]);
end
if (`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0]);
end
if (`DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_3.prog_data[1:0]);
end
if (`DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_4.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_4.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_4.prog_data[2:0]);
end
if (`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_9.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_9.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_9.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_4.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_4.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_4.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_4.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_4.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_4.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_5.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_5.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_5.prog_data[1:0]);
end
if (`DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_8.prog_data[2:0]);
end
if (`DUT.i_tile_x2y5.i_cbox_e0.i_sw_cu_x0y0s_L1_8.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_cbox_e0.i_sw_cu_x0y0s_L1_8.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x2y5.i_cbox_e0.i_sw_cu_x0y0s_L1_8.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_9.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_9.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_9.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_9.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_9.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_9.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_10.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_10.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_10.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y5nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y5nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x1y5nw.i_sw_so_x0y0e_L1_8.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y6sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y6sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x2y6sw.i_sw_so_u1y0n_L1_11.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y6nw.i_sw_so_x0y0e_L1_9.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y6nw.i_sw_so_x0y0e_L1_9.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x2y6nw.i_sw_so_x0y0e_L1_9.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y6ne.i_sw_so_x0y0s_L1_10.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y6ne.i_sw_so_x0y0s_L1_10.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x2y6ne.i_sw_so_x0y0s_L1_10.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_11.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_11.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_11.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_11.prog_data[2:0]);
end
if (`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0] != 3'h6) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0] == 3'h%h != 3'h6",
`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_9.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_9.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_9.prog_data[2:0]);
end
if (`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_3.prog_data[2:0] != 3'h6) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_3.prog_data[2:0] == 3'h%h != 3'h6",
`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_3.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_1.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_1.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_1.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_0.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_0.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_0.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_1.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_1.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_1.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_2.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_2.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_2.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y2ne.i_sw_so_x0y0s_L1_2.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y2ne.i_sw_so_x0y0s_L1_2.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x1y2ne.i_sw_so_x0y0s_L1_2.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y2se.i_sw_so_x0v1w_L1_3.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y2se.i_sw_so_x0v1w_L1_3.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y2se.i_sw_so_x0v1w_L1_3.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_4.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_4.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_4.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_2.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_2.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_2.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_5.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y4se.i_sw_so_x0v1w_L1_4.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y4se.i_sw_so_x0v1w_L1_4.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x1y4se.i_sw_so_x0v1w_L1_4.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_5.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_5.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_5.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y4nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y4nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x1y4nw.i_sw_so_x0y0e_L1_3.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_6.prog_data[2:0]);
end
if (`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_0.prog_data[2:0]);
end
if (`DUT.i_tile_x1y5.i_cbox_e0.i_sw_cu_x0y0n_L1_0.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y5.i_cbox_e0.i_sw_cu_x0y0n_L1_0.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x1y5.i_cbox_e0.i_sw_cu_x0y0n_L1_0.prog_data[1:0]);
end
if (`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_14.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_14.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_14.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_8.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_8.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_8.prog_data[2:0]);
end
if (`DUT.i_tile_x2y5.i_cbox_e0.i_sw_cu_x0y0n_L1_8.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y5.i_cbox_e0.i_sw_cu_x0y0n_L1_8.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x2y5.i_cbox_e0.i_sw_cu_x0y0n_L1_8.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_7.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_7.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_7.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_7.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_7.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_7.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_7.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_7.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_7.prog_data[2:0]);
end
if (`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_15.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_15.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_15.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_2.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_2.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_2.prog_data[2:0]);
end
if (`DUT.i_tile_x2y4.i_cbox_e0.i_sw_cu_x0y0s_L1_2.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_cbox_e0.i_sw_cu_x0y0s_L1_2.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x2y4.i_cbox_e0.i_sw_cu_x0y0s_L1_2.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_2.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_2.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_2.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_3.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_3.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_3.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_4.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_4.prog_data[2:0]);
end
if (`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_0.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_0.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_0.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_0.prog_data[2:0]);
end
if (`DUT.i_tile_x2y4.i_cbox_e0.i_sw_cu_x0y0n_L1_0.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_cbox_e0.i_sw_cu_x0y0n_L1_0.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x2y4.i_cbox_e0.i_sw_cu_x0y0n_L1_0.prog_data[1:0]);
end
if (`DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_0.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_10.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_10.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_10.prog_data[1:0]);
end
if (`DUT.i_sbox_x3y5ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y5ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x3y5ne.i_sw_so_x0y0s_L1_11.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_11.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_0.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_0.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_0.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y4se.i_sw_so_x0v1w_L1_0.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y4se.i_sw_so_x0v1w_L1_0.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x2y4se.i_sw_so_x0v1w_L1_0.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y3ne.i_sw_so_x0y0s_L1_0.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y3ne.i_sw_so_x0y0s_L1_0.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x1y3ne.i_sw_so_x0y0s_L1_0.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y3se.i_sw_so_x0v1w_L1_1.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y3se.i_sw_so_x0v1w_L1_1.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y3se.i_sw_so_x0v1w_L1_1.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_2.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_2.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_2.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_2.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_2.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_2.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y6sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y6sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x3y6sw.i_sw_so_u1y0n_L1_0.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y7sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y7sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x3y7sw.i_sw_so_u1y0n_L1_0.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y8sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y8sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x3y8sw.i_sw_so_u1y0n_L1_0.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y8nw.i_sw_so_x0y0e_L1_10.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y8nw.i_sw_so_x0y0e_L1_10.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x3y8nw.i_sw_so_x0y0e_L1_10.prog_data[1:0]);
end
if (`DUT.i_tile_x3y9.i_cbox_s0.i_sw_bp_x0y0i0_outpad.prog_data[3:0] != 4'hb) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y9.i_cbox_s0.i_sw_bp_x0y0i0_outpad.prog_data[3:0] == 4'h%h != 4'hb",
`DUT.i_tile_x3y9.i_cbox_s0.i_sw_bp_x0y0i0_outpad.prog_data[3:0]);
end
if (`DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_11.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_11.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_11.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_11.prog_data[2:0]);
end
if (`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0] != 3'h6) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0] == 3'h%h != 3'h6",
`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_10.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_10.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_10.prog_data[1:0]);
end
if (`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_1.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_1.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_1.prog_data[2:0]);
end
if (`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_3.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_3.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_3.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_8.prog_data[2:0]);
end
if (`DUT.i_tile_x2y4.i_cbox_e0.i_sw_cu_x0y0s_L1_8.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_cbox_e0.i_sw_cu_x0y0s_L1_8.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x2y4.i_cbox_e0.i_sw_cu_x0y0s_L1_8.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_8.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_9.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_9.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_9.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_10.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_10.prog_data[2:0]);
end
if (`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0] != 3'h5) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0] == 3'h%h != 3'h5",
`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0]);
end
if (`DUT.i_sbox_x0y8ne.i_sw_so_x0y0s_L1_4.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x0y8ne.i_sw_so_x0y0s_L1_4.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x0y8ne.i_sw_so_x0y0s_L1_4.prog_data[1:0]);
end
if (`DUT.i_sbox_x0y7ne.i_sw_so_x0y0s_L1_4.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x0y7ne.i_sw_so_x0y0s_L1_4.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x0y7ne.i_sw_so_x0y0s_L1_4.prog_data[1:0]);
end
if (`DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_4.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_4.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_4.prog_data[1:0]);
end
if (`DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_4.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_4.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_4.prog_data[1:0]);
end
if (`DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_4.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_4.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_4.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y3nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y3nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y3nw.i_sw_so_x0y0e_L1_3.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_6.prog_data[2:0]);
end
if (`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_7.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_7.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_7.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_6.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_6.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_6.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_7.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_7.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_7.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_7.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_7.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_7.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_8.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_8.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_8.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_9.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_9.prog_data[2:0]);
end
if (`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_9.prog_data[2:0] != 3'h5) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_9.prog_data[2:0] == 3'h%h != 3'h5",
`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_9.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_8.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_8.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_8.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y3nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y3nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x1y3nw.i_sw_so_x0y0e_L1_6.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_6.prog_data[1:0]);
end
if (`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_9.prog_data[2:0]);
end
if (`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_9.prog_data[2:0] != 3'h5) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_9.prog_data[2:0] == 3'h%h != 3'h5",
`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_9.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_4.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_4.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_4.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y3nw.i_sw_so_x0y0e_L1_2.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y3nw.i_sw_so_x0y0e_L1_2.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x1y3nw.i_sw_so_x0y0e_L1_2.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_5.prog_data[2:0]);
end
if (`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_11.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_11.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_11.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_10.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_10.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_10.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y3nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y3nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x1y3nw.i_sw_so_x0y0e_L1_8.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_8.prog_data[1:0]);
end
if (`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_11.prog_data[2:0]);
end
if (`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_6.prog_data[2:0] != 3'h5) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_6.prog_data[2:0] == 3'h%h != 3'h5",
`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_6.prog_data[2:0]);
end
if (`DUT.i_sbox_x0y3ne.i_sw_so_x0y0s_L1_0.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x0y3ne.i_sw_so_x0y0s_L1_0.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x0y3ne.i_sw_so_x0y0s_L1_0.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_11.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_11.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_11.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_2.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_2.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_2.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_2.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_2.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_2.prog_data[2:0]);
end
if (`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_14.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_14.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_14.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_0.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_0.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_0.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_0.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_0.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_0.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_14.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_14.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_14.prog_data[2:0]);
end
if (`DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_9.prog_data[2:0]);
end
if (`DUT.i_sbox_x4y4nw.i_sw_so_x0y0e_L1_7.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y4nw.i_sw_so_x0y0e_L1_7.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x4y4nw.i_sw_so_x0y0e_L1_7.prog_data[1:0]);
end
if (`DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_10.prog_data[2:0]);
end
if (`DUT.i_sbox_x5y6sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x5y6sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x5y6sw.i_sw_so_u1y0n_L1_10.prog_data[2:0]);
end
if (`DUT.i_sbox_x5y7sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x5y7sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x5y7sw.i_sw_so_u1y0n_L1_10.prog_data[2:0]);
end
if (`DUT.i_sbox_x4y8se.i_sw_so_x0v1w_L1_9.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y8se.i_sw_so_x0v1w_L1_9.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x4y8se.i_sw_so_x0v1w_L1_9.prog_data[1:0]);
end
if (`DUT.i_sbox_x4y8sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y8sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x4y8sw.i_sw_so_u1y0n_L1_10.prog_data[2:0]);
end
if (`DUT.i_sbox_x4y8nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y8nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x4y8nw.i_sw_so_x0y0e_L1_8.prog_data[1:0]);
end
if (`DUT.i_tile_x4y9.i_cbox_s0.i_sw_bp_x0y0i0_outpad.prog_data[3:0] != 4'h9) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x4y9.i_cbox_s0.i_sw_bp_x0y0i0_outpad.prog_data[3:0] == 4'h%h != 4'h9",
`DUT.i_tile_x4y9.i_cbox_s0.i_sw_bp_x0y0i0_outpad.prog_data[3:0]);
end
if (`DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_9.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_9.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_9.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_10.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_10.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_10.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y4se.i_sw_so_x0v1w_L1_10.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y4se.i_sw_so_x0v1w_L1_10.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x2y4se.i_sw_so_x0v1w_L1_10.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_11.prog_data[2:0]);
end
if (`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0] != 3'h5) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0] == 3'h%h != 3'h5",
`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_4.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y4nw.i_sw_so_x0y0e_L1_2.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y4nw.i_sw_so_x0y0e_L1_2.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x3y4nw.i_sw_so_x0y0e_L1_2.prog_data[1:0]);
end
if (`DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_3.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_3.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_3.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_4.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_4.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_4.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_4.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_4.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_4.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_5.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_5.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_5.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y2ne.i_sw_so_x0y0s_L1_5.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y2ne.i_sw_so_x0y0s_L1_5.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x1y2ne.i_sw_so_x0y0s_L1_5.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y2se.i_sw_so_x0v1w_L1_6.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y2se.i_sw_so_x0v1w_L1_6.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y2se.i_sw_so_x0v1w_L1_6.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_7.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_7.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_7.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_7.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_7.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_7.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_7.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_7.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_7.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_15.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_15.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_15.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_7.prog_data[2:0]);
end
if (`DUT.i_tile_x2y4.i_cbox_e0.i_sw_cu_x0y0n_L1_7.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x2y4.i_cbox_e0.i_sw_cu_x0y0n_L1_7.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x2y4.i_cbox_e0.i_sw_cu_x0y0n_L1_7.prog_data[1:0]);
end
if (`DUT.i_sbox_x3y4nw.i_sw_so_x0y0e_L1_5.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y4nw.i_sw_so_x0y0e_L1_5.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x3y4nw.i_sw_so_x0y0e_L1_5.prog_data[1:0]);
end
if (`DUT.i_sbox_x4y4nw.i_sw_so_x0y0e_L1_5.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y4nw.i_sw_so_x0y0e_L1_5.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x4y4nw.i_sw_so_x0y0e_L1_5.prog_data[1:0]);
end
if (`DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_8.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_8.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_8.prog_data[2:0]);
end
if (`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_0.prog_data[2:0] != 3'h5) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_0.prog_data[2:0] == 3'h%h != 3'h5",
`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_0.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_8.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_8.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_8.prog_data[2:0]);
end
if (`DUT.i_tile_x1y4.i_cbox_e0.i_sw_cu_x0y0n_L1_8.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_cbox_e0.i_sw_cu_x0y0n_L1_8.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x1y4.i_cbox_e0.i_sw_cu_x0y0n_L1_8.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_7.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_7.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_7.prog_data[1:0]);
end
if (`DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_7.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_7.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_7.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_8.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_8.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_8.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y5nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y5nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x1y5nw.i_sw_so_x0y0e_L1_6.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y6sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y6sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x2y6sw.i_sw_so_u1y0n_L1_9.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y6nw.i_sw_so_x0y0e_L1_7.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y6nw.i_sw_so_x0y0e_L1_7.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x2y6nw.i_sw_so_x0y0e_L1_7.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y6ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y6ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x2y6ne.i_sw_so_x0y0s_L1_8.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_9.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_9.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_9.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y6se.i_sw_so_x0v1w_L1_9.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y6se.i_sw_so_x0v1w_L1_9.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x1y6se.i_sw_so_x0v1w_L1_9.prog_data[1:0]);
end
if (`DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_9.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_9.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_9.prog_data[1:0]);
end
if (`DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_9.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_9.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_9.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_3.prog_data[2:0] != 3'h6) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_3.prog_data[2:0] == 3'h%h != 3'h6",
`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_3.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_2.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_2.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_2.prog_data[2:0]);
end
if (`DUT.i_tile_x1y4.i_cbox_e0.i_sw_cu_x0y0s_L1_2.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_cbox_e0.i_sw_cu_x0y0s_L1_2.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x1y4.i_cbox_e0.i_sw_cu_x0y0s_L1_2.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y4se.i_sw_so_x0v1w_L1_3.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y4se.i_sw_so_x0v1w_L1_3.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y4se.i_sw_so_x0v1w_L1_3.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_4.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_4.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_4.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_4.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_4.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_4.prog_data[2:0]);
end
if (`DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_2.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_2.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_2.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y5nw.i_sw_so_x0y0e_L1_1.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y5nw.i_sw_so_x0y0e_L1_1.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y5nw.i_sw_so_x0y0e_L1_1.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_2.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_2.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_2.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_3.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_3.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_3.prog_data[1:0]);
end
if (`DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_3.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_3.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_3.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_0.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_0.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_0.prog_data[2:0]);
end
if (`DUT.i_tile_x1y4.i_cbox_e0.i_sw_cu_x0y0s_L1_0.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_cbox_e0.i_sw_cu_x0y0s_L1_0.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x1y4.i_cbox_e0.i_sw_cu_x0y0s_L1_0.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_11.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_11.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_11.prog_data[1:0]);
end
if (`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_2.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_2.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_2.prog_data[2:0]);
end
if (`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_14.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_14.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_14.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_0.prog_data[2:0]);
end
if (`DUT.i_tile_x1y4.i_cbox_e0.i_sw_cu_x0y0n_L1_0.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_cbox_e0.i_sw_cu_x0y0n_L1_0.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_tile_x1y4.i_cbox_e0.i_sw_cu_x0y0n_L1_0.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y4nw.i_sw_so_x0y0e_L1_10.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y4nw.i_sw_so_x0y0e_L1_10.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x2y4nw.i_sw_so_x0y0e_L1_10.prog_data[1:0]);
end
if (`DUT.i_sbox_x3y4nw.i_sw_so_x0y0e_L1_10.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y4nw.i_sw_so_x0y0e_L1_10.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x3y4nw.i_sw_so_x0y0e_L1_10.prog_data[1:0]);
end
if (`DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_1.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_1.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_1.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y6se.i_sw_so_x0v1w_L1_0.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y6se.i_sw_so_x0v1w_L1_0.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x3y6se.i_sw_so_x0v1w_L1_0.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_0.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_0.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_0.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_0.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_0.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_0.prog_data[2:0]);
end
if (`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_10.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_10.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_10.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_6.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_6.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_6.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y3ne.i_sw_so_x0y0s_L1_6.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y3ne.i_sw_so_x0y0s_L1_6.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x1y3ne.i_sw_so_x0y0s_L1_6.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y3se.i_sw_so_x0v1w_L1_7.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y3se.i_sw_so_x0v1w_L1_7.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y3se.i_sw_so_x0v1w_L1_7.prog_data[1:0]);
end
if (`DUT.i_sbox_x0y2ne.i_sw_so_x0y0s_L1_7.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x0y2ne.i_sw_so_x0y0s_L1_7.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x0y2ne.i_sw_so_x0y0s_L1_7.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y1nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y1nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y1nw.i_sw_so_x0y0e_L1_6.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y2sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y2sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x2y2sw.i_sw_so_u1y0n_L1_9.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y2nw.i_sw_so_x0y0e_L1_7.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y2nw.i_sw_so_x0y0e_L1_7.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x2y2nw.i_sw_so_x0y0e_L1_7.prog_data[1:0]);
end
if (`DUT.i_sbox_x3y3sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y3sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x3y3sw.i_sw_so_u1y0n_L1_10.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y4se.i_sw_so_x0v1w_L1_9.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y4se.i_sw_so_x0v1w_L1_9.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x2y4se.i_sw_so_x0v1w_L1_9.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y4se.i_sw_so_x0v1w_L1_9.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y4se.i_sw_so_x0v1w_L1_9.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x1y4se.i_sw_so_x0v1w_L1_9.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_10.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_10.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_10.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0] != 3'h5) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0] == 3'h%h != 3'h5",
`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0]);
end
if (`DUT.i_sbox_x0y7ne.i_sw_so_x0y0s_L1_0.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x0y7ne.i_sw_so_x0y0s_L1_0.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x0y7ne.i_sw_so_x0y0s_L1_0.prog_data[1:0]);
end
if (`DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_0.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_0.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_0.prog_data[1:0]);
end
if (`DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_0.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_0.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_0.prog_data[1:0]);
end
if (`DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_0.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_0.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_0.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_10.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_10.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_10.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_10.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_10.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_10.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_9.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_9.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_9.prog_data[1:0]);
end
if (`DUT.i_sbox_x3y3nw.i_sw_so_x0y0e_L1_9.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y3nw.i_sw_so_x0y0e_L1_9.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x3y3nw.i_sw_so_x0y0e_L1_9.prog_data[1:0]);
end
if (`DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_0.prog_data[2:0]);
end
if (`DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_0.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y6se.i_sw_so_x0v1w_L1_11.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y6se.i_sw_so_x0v1w_L1_11.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x3y6se.i_sw_so_x0v1w_L1_11.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_11.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_11.prog_data[2:0]);
end
if (`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0] != 3'h6) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0] == 3'h%h != 3'h6",
`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_10.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_10.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_10.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_11.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_11.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_11.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y2ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y2ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x1y2ne.i_sw_so_x0y0s_L1_11.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y2se.i_sw_so_x0v1w_L1_0.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y2se.i_sw_so_x0v1w_L1_0.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y2se.i_sw_so_x0v1w_L1_0.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_1.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_1.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_1.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_1.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_1.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_1.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_1.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_1.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_1.prog_data[1:0]);
end
if (`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0]);
end
if (`DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_7.prog_data[2:0]);
end
if (`DUT.i_tile_x3y4.i_cbox_e0.i_sw_cu_x0y0n_L1_7.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_cbox_e0.i_sw_cu_x0y0n_L1_7.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x3y4.i_cbox_e0.i_sw_cu_x0y0n_L1_7.prog_data[1:0]);
end
if (`DUT.i_sbox_x3y5se.i_sw_so_x0v1w_L1_6.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y5se.i_sw_so_x0v1w_L1_6.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x3y5se.i_sw_so_x0v1w_L1_6.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_6.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_6.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_6.prog_data[2:0]);
end
if (`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_8.prog_data[2:0]);
end
if (`DUT.i_tile_x3y4.i_cbox_e0.i_sw_cu_x0y0s_L1_8.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_cbox_e0.i_sw_cu_x0y0s_L1_8.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x3y4.i_cbox_e0.i_sw_cu_x0y0s_L1_8.prog_data[1:0]);
end
if (`DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_9.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_9.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_9.prog_data[1:0]);
end
if (`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_10.prog_data[2:0]);
end
if (`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_7.prog_data[2:0] != 3'h5) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_7.prog_data[2:0] == 3'h%h != 3'h5",
`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_7.prog_data[2:0]);
end
if (`DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_10.prog_data[2:0]);
end
if (`DUT.i_sbox_x4y4nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y4nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x4y4nw.i_sw_so_x0y0e_L1_8.prog_data[1:0]);
end
if (`DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_11.prog_data[2:0]);
end
if (`DUT.i_sbox_x4y6se.i_sw_so_x0v1w_L1_10.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y6se.i_sw_so_x0v1w_L1_10.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x4y6se.i_sw_so_x0v1w_L1_10.prog_data[1:0]);
end
if (`DUT.i_sbox_x3y6se.i_sw_so_x0v1w_L1_10.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y6se.i_sw_so_x0v1w_L1_10.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x3y6se.i_sw_so_x0v1w_L1_10.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_10.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_10.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_10.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_10.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_10.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_10.prog_data[2:0]);
end
if (`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0] != 3'h6) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0] == 3'h%h != 3'h6",
`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_2.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_2.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_2.prog_data[2:0]);
end
if (`DUT.i_tile_x3y4.i_cbox_e0.i_sw_cu_x0y0s_L1_2.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_cbox_e0.i_sw_cu_x0y0s_L1_2.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x3y4.i_cbox_e0.i_sw_cu_x0y0s_L1_2.prog_data[1:0]);
end
if (`DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_3.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_3.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_3.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_3.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_3.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_3.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y2nw.i_sw_so_x0y0e_L1_2.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y2nw.i_sw_so_x0y0e_L1_2.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x3y2nw.i_sw_so_x0y0e_L1_2.prog_data[1:0]);
end
if (`DUT.i_sbox_x4y3sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y3sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x4y3sw.i_sw_so_u1y0n_L1_5.prog_data[2:0]);
end
if (`DUT.i_sbox_x4y3nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y3nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x4y3nw.i_sw_so_x0y0e_L1_3.prog_data[1:0]);
end
if (`DUT.i_sbox_x5y4sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x5y4sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x5y4sw.i_sw_so_u1y0n_L1_6.prog_data[2:0]);
end
if (`DUT.i_sbox_x4y5se.i_sw_so_x0v1w_L1_5.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y5se.i_sw_so_x0v1w_L1_5.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x4y5se.i_sw_so_x0v1w_L1_5.prog_data[1:0]);
end
if (`DUT.i_sbox_x3y5se.i_sw_so_x0v1w_L1_5.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y5se.i_sw_so_x0v1w_L1_5.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x3y5se.i_sw_so_x0v1w_L1_5.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_5.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_5.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_5.prog_data[2:0]);
end
if (`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_11.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_11.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_11.prog_data[2:0]);
end
if (`DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_3.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_3.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_3.prog_data[2:0]);
end
if (`DUT.i_sbox_x4y4nw.i_sw_so_x0y0e_L1_1.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y4nw.i_sw_so_x0y0e_L1_1.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x4y4nw.i_sw_so_x0y0e_L1_1.prog_data[1:0]);
end
if (`DUT.i_sbox_x4y4ne.i_sw_so_x0y0s_L1_2.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y4ne.i_sw_so_x0y0s_L1_2.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x4y4ne.i_sw_so_x0y0s_L1_2.prog_data[2:0]);
end
if (`DUT.i_sbox_x4y4se.i_sw_so_x0v1w_L1_3.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y4se.i_sw_so_x0v1w_L1_3.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x4y4se.i_sw_so_x0v1w_L1_3.prog_data[1:0]);
end
if (`DUT.i_sbox_x3y3ne.i_sw_so_x0y0s_L1_3.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y3ne.i_sw_so_x0y0s_L1_3.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x3y3ne.i_sw_so_x0y0s_L1_3.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y3se.i_sw_so_x0v1w_L1_4.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y3se.i_sw_so_x0v1w_L1_4.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x3y3se.i_sw_so_x0v1w_L1_4.prog_data[1:0]);
end
if (`DUT.i_sbox_x2y2ne.i_sw_so_x0y0s_L1_4.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y2ne.i_sw_so_x0y0s_L1_4.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x2y2ne.i_sw_so_x0y0s_L1_4.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y1nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y1nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x3y1nw.i_sw_so_x0y0e_L1_3.prog_data[1:0]);
end
if (`DUT.i_sbox_x4y2sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y2sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x4y2sw.i_sw_so_u1y0n_L1_6.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y3se.i_sw_so_x0v1w_L1_5.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y3se.i_sw_so_x0v1w_L1_5.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x3y3se.i_sw_so_x0v1w_L1_5.prog_data[1:0]);
end
if (`DUT.i_sbox_x3y3sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y3sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x3y3sw.i_sw_so_u1y0n_L1_6.prog_data[2:0]);
end
if (`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_6.prog_data[2:0]);
end
if (`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0]);
end
if (`DUT.i_sbox_x5y5ne.i_sw_so_x0y0s_L1_5.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x5y5ne.i_sw_so_x0y0s_L1_5.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x5y5ne.i_sw_so_x0y0s_L1_5.prog_data[2:0]);
end
if (`DUT.i_sbox_x5y5se.i_sw_so_x0v1w_L1_6.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x5y5se.i_sw_so_x0v1w_L1_6.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x5y5se.i_sw_so_x0v1w_L1_6.prog_data[1:0]);
end
if (`DUT.i_sbox_x4y5se.i_sw_so_x0v1w_L1_6.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y5se.i_sw_so_x0v1w_L1_6.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x4y5se.i_sw_so_x0v1w_L1_6.prog_data[1:0]);
end
if (`DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_7.prog_data[2:0]);
end
if (`DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_5.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_5.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_5.prog_data[1:0]);
end
if (`DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_6.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_6.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_6.prog_data[2:0]);
end
if (`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0]);
end
if (`DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_7.prog_data[2:0]);
end
if (`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_15.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_15.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_15.prog_data[2:0]);
end
if (`DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_5.prog_data[2:0]);
end
if (`DUT.i_sbox_x6y6sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x6y6sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x6y6sw.i_sw_so_u1y0n_L1_5.prog_data[2:0]);
end
if (`DUT.i_sbox_x6y7sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x6y7sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x6y7sw.i_sw_so_u1y0n_L1_5.prog_data[2:0]);
end
if (`DUT.i_sbox_x6y7nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x6y7nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x6y7nw.i_sw_so_x0y0e_L1_3.prog_data[1:0]);
end
if (`DUT.i_sbox_x7y8sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x7y8sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x7y8sw.i_sw_so_u1y0n_L1_6.prog_data[2:0]);
end
if (`DUT.i_sbox_x7y8nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x7y8nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x7y8nw.i_sw_so_x0y0e_L1_4.prog_data[1:0]);
end
if (`DUT.i_tile_x7y9.i_cbox_s0.i_sw_bp_x0y0i0_outpad.prog_data[3:0] != 4'h5) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x7y9.i_cbox_s0.i_sw_bp_x0y0i0_outpad.prog_data[3:0] == 4'h%h != 4'h5",
`DUT.i_tile_x7y9.i_cbox_s0.i_sw_bp_x0y0i0_outpad.prog_data[3:0]);
end
if (`DUT.i_sbox_x5y5ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x5y5ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x5y5ne.i_sw_so_x0y0s_L1_8.prog_data[2:0]);
end
if (`DUT.i_tile_x5y5.i_cbox_e0.i_sw_cu_x0y0s_L1_8.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_cbox_e0.i_sw_cu_x0y0s_L1_8.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x5y5.i_cbox_e0.i_sw_cu_x0y0s_L1_8.prog_data[1:0]);
end
if (`DUT.i_sbox_x5y5se.i_sw_so_x0v1w_L1_9.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x5y5se.i_sw_so_x0v1w_L1_9.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x5y5se.i_sw_so_x0v1w_L1_9.prog_data[1:0]);
end
if (`DUT.i_sbox_x4y5se.i_sw_so_x0v1w_L1_9.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y5se.i_sw_so_x0v1w_L1_9.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x4y5se.i_sw_so_x0v1w_L1_9.prog_data[1:0]);
end
if (`DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_10.prog_data[2:0]);
end
if (`DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_8.prog_data[1:0]);
end
if (`DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_9.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_9.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_9.prog_data[2:0]);
end
if (`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_3.prog_data[2:0] != 3'h6) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_3.prog_data[2:0] == 3'h%h != 3'h6",
`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_3.prog_data[2:0]);
end
if (`DUT.i_sbox_x6y4nw.i_sw_so_x0y0e_L1_7.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x6y4nw.i_sw_so_x0y0e_L1_7.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x6y4nw.i_sw_so_x0y0e_L1_7.prog_data[1:0]);
end
if (`DUT.i_sbox_x7y5sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x7y5sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x7y5sw.i_sw_so_u1y0n_L1_10.prog_data[2:0]);
end
if (`DUT.i_sbox_x7y6sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x7y6sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x7y6sw.i_sw_so_u1y0n_L1_10.prog_data[2:0]);
end
if (`DUT.i_sbox_x7y6nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x7y6nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x7y6nw.i_sw_so_x0y0e_L1_8.prog_data[1:0]);
end
if (`DUT.i_sbox_x8y6nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x8y6nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x8y6nw.i_sw_so_x0y0e_L1_8.prog_data[1:0]);
end
if (`DUT.i_sbox_x9y7sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x9y7sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x9y7sw.i_sw_so_u1y0n_L1_11.prog_data[2:0]);
end
if (`DUT.i_tile_x9y7.i_cbox_w0.i_sw_bp_x0y0i1_outpad.prog_data[3:0] != 4'hb) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x9y7.i_cbox_w0.i_sw_bp_x0y0i1_outpad.prog_data[3:0] == 4'h%h != 4'hb",
`DUT.i_tile_x9y7.i_cbox_w0.i_sw_bp_x0y0i1_outpad.prog_data[3:0]);
end
if (`DUT.i_sbox_x5y5ne.i_sw_so_x0y0s_L1_2.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x5y5ne.i_sw_so_x0y0s_L1_2.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x5y5ne.i_sw_so_x0y0s_L1_2.prog_data[2:0]);
end
if (`DUT.i_tile_x5y5.i_cbox_e0.i_sw_cu_x0y0s_L1_2.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_cbox_e0.i_sw_cu_x0y0s_L1_2.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x5y5.i_cbox_e0.i_sw_cu_x0y0s_L1_2.prog_data[1:0]);
end
if (`DUT.i_sbox_x5y5se.i_sw_so_x0v1w_L1_3.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x5y5se.i_sw_so_x0v1w_L1_3.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x5y5se.i_sw_so_x0v1w_L1_3.prog_data[1:0]);
end
if (`DUT.i_sbox_x4y5se.i_sw_so_x0v1w_L1_3.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y5se.i_sw_so_x0v1w_L1_3.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x4y5se.i_sw_so_x0v1w_L1_3.prog_data[1:0]);
end
if (`DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_4.prog_data[2:0]);
end
if (`DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_2.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_2.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_2.prog_data[1:0]);
end
if (`DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_3.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_3.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_3.prog_data[2:0]);
end
if (`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_10.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_10.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_10.prog_data[2:0]);
end
if (`DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_4.prog_data[2:0]);
end
if (`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_4.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_4.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_4.prog_data[2:0]);
end
if (`DUT.i_sbox_x6y4nw.i_sw_so_x0y0e_L1_1.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x6y4nw.i_sw_so_x0y0e_L1_1.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x6y4nw.i_sw_so_x0y0e_L1_1.prog_data[1:0]);
end
if (`DUT.i_sbox_x7y5sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x7y5sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x7y5sw.i_sw_so_u1y0n_L1_4.prog_data[2:0]);
end
if (`DUT.i_sbox_x7y5nw.i_sw_so_x0y0e_L1_2.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x7y5nw.i_sw_so_x0y0e_L1_2.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x7y5nw.i_sw_so_x0y0e_L1_2.prog_data[1:0]);
end
if (`DUT.i_sbox_x8y6sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x8y6sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x8y6sw.i_sw_so_u1y0n_L1_5.prog_data[2:0]);
end
if (`DUT.i_sbox_x8y6nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x8y6nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x8y6nw.i_sw_so_x0y0e_L1_3.prog_data[1:0]);
end
if (`DUT.i_sbox_x9y7sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x9y7sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x9y7sw.i_sw_so_u1y0n_L1_6.prog_data[2:0]);
end
if (`DUT.i_tile_x9y7.i_cbox_w0.i_sw_bp_x0y0i0_outpad.prog_data[3:0] != 4'h7) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x9y7.i_cbox_w0.i_sw_bp_x0y0i0_outpad.prog_data[3:0] == 4'h%h != 4'h7",
`DUT.i_tile_x9y7.i_cbox_w0.i_sw_bp_x0y0i0_outpad.prog_data[3:0]);
end
if (`DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_6.prog_data[2:0]);
end
if (`DUT.i_sbox_x6y6sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x6y6sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x6y6sw.i_sw_so_u1y0n_L1_6.prog_data[2:0]);
end
if (`DUT.i_sbox_x6y7sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x6y7sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x6y7sw.i_sw_so_u1y0n_L1_6.prog_data[2:0]);
end
if (`DUT.i_sbox_x6y8sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x6y8sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x6y8sw.i_sw_so_u1y0n_L1_6.prog_data[2:0]);
end
if (`DUT.i_sbox_x6y8nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x6y8nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x6y8nw.i_sw_so_x0y0e_L1_4.prog_data[1:0]);
end
if (`DUT.i_tile_x6y9.i_cbox_s0.i_sw_bp_x0y0i0_outpad.prog_data[3:0] != 4'h5) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x6y9.i_cbox_s0.i_sw_bp_x0y0i0_outpad.prog_data[3:0] == 4'h%h != 4'h5",
`DUT.i_tile_x6y9.i_cbox_s0.i_sw_bp_x0y0i0_outpad.prog_data[3:0]);
end
if (`DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_9.prog_data[2:0]);
end
if (`DUT.i_sbox_x6y6sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x6y6sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x6y6sw.i_sw_so_u1y0n_L1_9.prog_data[2:0]);
end
if (`DUT.i_sbox_x6y7sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x6y7sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x6y7sw.i_sw_so_u1y0n_L1_9.prog_data[2:0]);
end
if (`DUT.i_sbox_x6y8sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x6y8sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x6y8sw.i_sw_so_u1y0n_L1_9.prog_data[2:0]);
end
if (`DUT.i_sbox_x5y9se.i_sw_so_x0v1w_L1_8.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x5y9se.i_sw_so_x0v1w_L1_8.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x5y9se.i_sw_so_x0v1w_L1_8.prog_data[1:0]);
end
if (`DUT.i_tile_x5y9.i_cbox_s0.i_sw_bp_x0y0i0_outpad.prog_data[3:0] != 4'ha) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y9.i_cbox_s0.i_sw_bp_x0y0i0_outpad.prog_data[3:0] == 4'h%h != 4'ha",
`DUT.i_tile_x5y9.i_cbox_s0.i_sw_bp_x0y0i0_outpad.prog_data[3:0]);
end
if (`DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_3.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_3.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_3.prog_data[2:0]);
end
if (`DUT.i_sbox_x6y5nw.i_sw_so_x0y0e_L1_1.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x6y5nw.i_sw_so_x0y0e_L1_1.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x6y5nw.i_sw_so_x0y0e_L1_1.prog_data[1:0]);
end
if (`DUT.i_sbox_x7y5nw.i_sw_so_x0y0e_L1_1.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x7y5nw.i_sw_so_x0y0e_L1_1.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x7y5nw.i_sw_so_x0y0e_L1_1.prog_data[1:0]);
end
if (`DUT.i_sbox_x8y5nw.i_sw_so_x0y0e_L1_1.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x8y5nw.i_sw_so_x0y0e_L1_1.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x8y5nw.i_sw_so_x0y0e_L1_1.prog_data[1:0]);
end
if (`DUT.i_sbox_x9y6sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x9y6sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x9y6sw.i_sw_so_u1y0n_L1_4.prog_data[2:0]);
end
if (`DUT.i_tile_x9y6.i_cbox_w0.i_sw_bp_x0y0i0_outpad.prog_data[3:0] != 4'h5) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x9y6.i_cbox_w0.i_sw_bp_x0y0i0_outpad.prog_data[3:0] == 4'h%h != 4'h5",
`DUT.i_tile_x9y6.i_cbox_w0.i_sw_bp_x0y0i0_outpad.prog_data[3:0]);
end
if (`DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] != 3'h4) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] == 3'h%h != 3'h4",
`DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_0.prog_data[2:0]);
end
if (`DUT.i_tile_x5y5.i_cbox_e0.i_sw_cu_x0y0n_L1_0.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x5y5.i_cbox_e0.i_sw_cu_x0y0n_L1_0.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_tile_x5y5.i_cbox_e0.i_sw_cu_x0y0n_L1_0.prog_data[1:0]);
end
if (`DUT.i_sbox_x6y6sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] != 3'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x6y6sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] == 3'h%h != 3'h1",
`DUT.i_sbox_x6y6sw.i_sw_so_u1y0n_L1_0.prog_data[2:0]);
end
if (`DUT.i_sbox_x6y6nw.i_sw_so_x0y0e_L1_10.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x6y6nw.i_sw_so_x0y0e_L1_10.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x6y6nw.i_sw_so_x0y0e_L1_10.prog_data[1:0]);
end
if (`DUT.i_sbox_x7y7sw.i_sw_so_u1y0n_L1_1.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x7y7sw.i_sw_so_u1y0n_L1_1.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x7y7sw.i_sw_so_u1y0n_L1_1.prog_data[2:0]);
end
if (`DUT.i_sbox_x7y7nw.i_sw_so_x0y0e_L1_11.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x7y7nw.i_sw_so_x0y0e_L1_11.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x7y7nw.i_sw_so_x0y0e_L1_11.prog_data[1:0]);
end
if (`DUT.i_sbox_x8y7nw.i_sw_so_x0y0e_L1_11.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x8y7nw.i_sw_so_x0y0e_L1_11.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x8y7nw.i_sw_so_x0y0e_L1_11.prog_data[1:0]);
end
if (`DUT.i_sbox_x9y8sw.i_sw_so_u1y0n_L1_2.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x9y8sw.i_sw_so_u1y0n_L1_2.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x9y8sw.i_sw_so_u1y0n_L1_2.prog_data[2:0]);
end
if (`DUT.i_tile_x9y8.i_cbox_w0.i_sw_bp_x0y0i0_outpad.prog_data[3:0] != 4'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x9y8.i_cbox_w0.i_sw_bp_x0y0i0_outpad.prog_data[3:0] == 4'h%h != 4'h3",
`DUT.i_tile_x9y8.i_cbox_w0.i_sw_bp_x0y0i0_outpad.prog_data[3:0]);
end
if (`DUT.i_sbox_x2y9se.i_sw_so_x0v1w_L1_3.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y9se.i_sw_so_x0v1w_L1_3.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x2y9se.i_sw_so_x0v1w_L1_3.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y9se.i_sw_so_x0v1w_L1_3.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y9se.i_sw_so_x0v1w_L1_3.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y9se.i_sw_so_x0v1w_L1_3.prog_data[1:0]);
end
if (`DUT.i_sbox_x0y8ne.i_sw_so_x0y0s_L1_3.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x0y8ne.i_sw_so_x0y0s_L1_3.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x0y8ne.i_sw_so_x0y0s_L1_3.prog_data[1:0]);
end
if (`DUT.i_sbox_x0y7ne.i_sw_so_x0y0s_L1_3.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x0y7ne.i_sw_so_x0y0s_L1_3.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x0y7ne.i_sw_so_x0y0s_L1_3.prog_data[1:0]);
end
if (`DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_3.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_3.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_3.prog_data[1:0]);
end
if (`DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_3.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_3.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_3.prog_data[1:0]);
end
if (`DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y8nw.i_sw_so_x0y0e_L1_0.prog_data[0:0] != 1'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y8nw.i_sw_so_x0y0e_L1_0.prog_data[0:0] == 1'h%h != 1'h1",
`DUT.i_sbox_x1y8nw.i_sw_so_x0y0e_L1_0.prog_data[0:0]);
end
if (`DUT.i_sbox_x1y8ne.i_sw_so_x0y0s_L1_1.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y8ne.i_sw_so_x0y0s_L1_1.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x1y8ne.i_sw_so_x0y0s_L1_1.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y7ne.i_sw_so_x0y0s_L1_1.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y7ne.i_sw_so_x0y0s_L1_1.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y7ne.i_sw_so_x0y0s_L1_1.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y6ne.i_sw_so_x0y0s_L1_1.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y6ne.i_sw_so_x0y0s_L1_1.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y6ne.i_sw_so_x0y0s_L1_1.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_1.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_1.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_1.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_2.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_2.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_2.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_3.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_3.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_3.prog_data[1:0]);
end
if (`DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0] != 3'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0] == 3'h%h != 3'h3",
`DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0]);
end
if (`DUT.i_sbox_x2y9se.i_sw_so_x0v1w_L1_10.prog_data[1:0] != 2'h3) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x2y9se.i_sw_so_x0v1w_L1_10.prog_data[1:0] == 2'h%h != 2'h3",
`DUT.i_sbox_x2y9se.i_sw_so_x0v1w_L1_10.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y8ne.i_sw_so_x0y0s_L1_10.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y8ne.i_sw_so_x0y0s_L1_10.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y8ne.i_sw_so_x0y0s_L1_10.prog_data[1:0]);
end
if (`DUT.i_sbox_x1y7ne.i_sw_so_x0y0s_L1_10.prog_data[2:0] != 3'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y7ne.i_sw_so_x0y0s_L1_10.prog_data[2:0] == 3'h%h != 3'h2",
`DUT.i_sbox_x1y7ne.i_sw_so_x0y0s_L1_10.prog_data[2:0]);
end
if (`DUT.i_sbox_x1y7se.i_sw_so_x0v1w_L1_11.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x1y7se.i_sw_so_x0v1w_L1_11.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x1y7se.i_sw_so_x0v1w_L1_11.prog_data[1:0]);
end
if (`DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_11.prog_data[1:0] != 2'h2) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_11.prog_data[1:0] == 2'h%h != 2'h2",
`DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_11.prog_data[1:0]);
end
if (`DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_11.prog_data[1:0] != 2'h1) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_11.prog_data[1:0] == 2'h%h != 2'h1",
`DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_11.prog_data[1:0]);
end
if (`DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_6.prog_data[2:0] != 3'h6) begin
fail = 1'b1;
$display("[ERROR] `DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_6.prog_data[2:0] == 3'h%h != 3'h6",
`DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_6.prog_data[2:0]);
end
if (fail) begin
$display("[ERROR] Magic bitstream check failed. See ERRORs above.");
$finish;
end else begin
$display("[INFO] Magic bitstream check passed. The bitstream seems to be loaded correctly.");
end
end
endmodule