Merge branch 'prga-mpw-6c-verif' of github.com:getziadz/caravel_mpw5_prga into prga-mpw-6c-verif
diff --git a/verilog/dv/prga/checker.v b/verilog/dv/prga/checker.v
new file mode 100644
index 0000000..63fe1a3
--- /dev/null
+++ b/verilog/dv/prga/checker.v
@@ -0,0 +1,5576 @@
+// Automatically generated by PRGA
+`define DUT prga_tb.uut.mprj.dut
+
+module prga_magic_bitstream_checker;
+
+    reg fail;
+
+    always @(posedge `DUT.prog_done) begin
+        fail = 1'b0;
+
+        if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i0_i_2.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i0_i_2.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i0_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.cluster_i0.i_sw_o.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i0.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x2y5.i_blk.cluster_i0.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.cluster_i0.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i0.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x2y5.i_blk.cluster_i0.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.cluster_i0.lut.prog_data[15:0] != 16'hf0f0) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i0.lut.prog_data[15:0] == 16'h%h != 16'hf0f0",
+                    `DUT.i_tile_x2y5.i_blk.cluster_i0.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.cluster_i0.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i0.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x2y5.i_blk.cluster_i0.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i1_i_0.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i1_i_0.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i1_i_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i1_i_1.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i1_i_1.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i1_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i1_i_2.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i1_i_2.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i1_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i1_i_3.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i1_i_3.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i1_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.cluster_i1.i_sw_o.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i1.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x2y5.i_blk.cluster_i1.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.cluster_i1.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i1.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x2y5.i_blk.cluster_i1.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.cluster_i1.lut.prog_data[15:0] != 16'h5140) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i1.lut.prog_data[15:0] == 16'h%h != 16'h5140",
+                    `DUT.i_tile_x2y5.i_blk.cluster_i1.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.cluster_i1.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i1.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x2y5.i_blk.cluster_i1.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i2_i_0.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i2_i_0.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i2_i_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i2_i_1.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i2_i_1.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i2_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i2_i_2.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i2_i_2.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i2_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i2_i_3.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i2_i_3.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i2_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.cluster_i2.i_sw_o.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i2.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x2y5.i_blk.cluster_i2.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.cluster_i2.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i2.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x2y5.i_blk.cluster_i2.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.cluster_i2.lut.prog_data[15:0] != 16'hee00) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i2.lut.prog_data[15:0] == 16'h%h != 16'hee00",
+                    `DUT.i_tile_x2y5.i_blk.cluster_i2.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.cluster_i2.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i2.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x2y5.i_blk.cluster_i2.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i3_i_0.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i3_i_0.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i3_i_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i3_i_1.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i3_i_1.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i3_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i3_i_2.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i3_i_2.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i3_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i3_i_3.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i3_i_3.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i3_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.cluster_i3.i_sw_o.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i3.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x2y5.i_blk.cluster_i3.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.cluster_i3.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i3.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x2y5.i_blk.cluster_i3.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.cluster_i3.lut.prog_data[15:0] != 16'h5e4c) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i3.lut.prog_data[15:0] == 16'h%h != 16'h5e4c",
+                    `DUT.i_tile_x2y5.i_blk.cluster_i3.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.cluster_i3.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i3.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x2y5.i_blk.cluster_i3.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i4_i_0.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i4_i_0.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i4_i_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i4_i_1.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i4_i_1.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i4_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i4_i_2.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i4_i_2.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i4_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i4_i_3.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i4_i_3.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i4_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.cluster_i4.i_sw_o.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i4.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x2y5.i_blk.cluster_i4.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.cluster_i4.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i4.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x2y5.i_blk.cluster_i4.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.cluster_i4.lut.prog_data[15:0] != 16'hff48) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i4.lut.prog_data[15:0] == 16'h%h != 16'hff48",
+                    `DUT.i_tile_x2y5.i_blk.cluster_i4.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.cluster_i4.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i4.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x2y5.i_blk.cluster_i4.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i5_i_0.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i5_i_0.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i5_i_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.cluster_i5.i_sw_o.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i5.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x2y5.i_blk.cluster_i5.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.cluster_i5.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i5.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x2y5.i_blk.cluster_i5.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.cluster_i5.lut.prog_data[15:0] != 16'hf4f8) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i5.lut.prog_data[15:0] == 16'h%h != 16'hf4f8",
+                    `DUT.i_tile_x2y5.i_blk.cluster_i5.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.cluster_i5.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i5.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x2y5.i_blk.cluster_i5.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i6_i_2.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i6_i_2.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i6_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i6_i_3.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i6_i_3.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i6_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.cluster_i6.i_sw_o.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i6.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x2y5.i_blk.cluster_i6.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.cluster_i6.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i6.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x2y5.i_blk.cluster_i6.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.cluster_i6.lut.prog_data[15:0] != 16'h3f) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i6.lut.prog_data[15:0] == 16'h%h != 16'h3f",
+                    `DUT.i_tile_x2y5.i_blk.cluster_i6.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.cluster_i6.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i6.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x2y5.i_blk.cluster_i6.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i7_i_0.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i7_i_0.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i7_i_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i7_i_2.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i7_i_2.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i7_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x2y5.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.cluster_i7.i_sw_o.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i7.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x2y5.i_blk.cluster_i7.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.cluster_i7.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i7.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x2y5.i_blk.cluster_i7.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.cluster_i7.lut.prog_data[15:0] != 16'hf4f0) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i7.lut.prog_data[15:0] == 16'h%h != 16'hf4f0",
+                    `DUT.i_tile_x2y5.i_blk.cluster_i7.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_blk.cluster_i7.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_blk.cluster_i7.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x2y5.i_blk.cluster_i7.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i0_i_0.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i0_i_0.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i0_i_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i0_i_1.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i0_i_1.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i0_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i0_i_2.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i0_i_2.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i0_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i0_i_3.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i0_i_3.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i0_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.cluster_i0.i_sw_o.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i0.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x2y4.i_blk.cluster_i0.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.cluster_i0.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i0.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x2y4.i_blk.cluster_i0.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.cluster_i0.lut.prog_data[15:0] != 16'hfcfe) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i0.lut.prog_data[15:0] == 16'h%h != 16'hfcfe",
+                    `DUT.i_tile_x2y4.i_blk.cluster_i0.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.cluster_i0.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i0.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x2y4.i_blk.cluster_i0.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i1_i_0.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i1_i_0.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i1_i_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i1_i_1.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i1_i_1.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i1_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.cluster_i1.i_sw_o.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i1.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x2y4.i_blk.cluster_i1.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.cluster_i1.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i1.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x2y4.i_blk.cluster_i1.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.cluster_i1.lut.prog_data[15:0] != 16'h8888) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i1.lut.prog_data[15:0] == 16'h%h != 16'h8888",
+                    `DUT.i_tile_x2y4.i_blk.cluster_i1.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.cluster_i1.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i1.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x2y4.i_blk.cluster_i1.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i2_i_3.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i2_i_3.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i2_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.cluster_i2.i_sw_o.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i2.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x2y4.i_blk.cluster_i2.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.cluster_i2.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i2.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x2y4.i_blk.cluster_i2.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.cluster_i2.lut.prog_data[15:0] != 16'hff00) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i2.lut.prog_data[15:0] == 16'h%h != 16'hff00",
+                    `DUT.i_tile_x2y4.i_blk.cluster_i2.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.cluster_i2.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i2.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x2y4.i_blk.cluster_i2.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i3_i_0.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i3_i_0.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i3_i_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i3_i_1.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i3_i_1.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i3_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i3_i_2.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i3_i_2.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i3_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i3_i_3.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i3_i_3.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i3_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.cluster_i3.i_sw_o.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i3.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x2y4.i_blk.cluster_i3.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.cluster_i3.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i3.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x2y4.i_blk.cluster_i3.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.cluster_i3.lut.prog_data[15:0] != 16'hd0c) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i3.lut.prog_data[15:0] == 16'h%h != 16'hd0c",
+                    `DUT.i_tile_x2y4.i_blk.cluster_i3.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.cluster_i3.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i3.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x2y4.i_blk.cluster_i3.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i4_i_1.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i4_i_1.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i4_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i4_i_2.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i4_i_2.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i4_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.cluster_i4.i_sw_o.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i4.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x2y4.i_blk.cluster_i4.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.cluster_i4.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i4.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x2y4.i_blk.cluster_i4.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.cluster_i4.lut.prog_data[15:0] != 16'hc0c) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i4.lut.prog_data[15:0] == 16'h%h != 16'hc0c",
+                    `DUT.i_tile_x2y4.i_blk.cluster_i4.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.cluster_i4.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i4.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x2y4.i_blk.cluster_i4.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i5_i_0.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i5_i_0.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i5_i_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.cluster_i5.i_sw_o.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i5.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x2y4.i_blk.cluster_i5.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.cluster_i5.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i5.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x2y4.i_blk.cluster_i5.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.cluster_i5.lut.prog_data[15:0] != 16'h313) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i5.lut.prog_data[15:0] == 16'h%h != 16'h313",
+                    `DUT.i_tile_x2y4.i_blk.cluster_i5.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.cluster_i5.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i5.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x2y4.i_blk.cluster_i5.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i6_i_0.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i6_i_0.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i6_i_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i6_i_3.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i6_i_3.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i6_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.cluster_i6.i_sw_o.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i6.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x2y4.i_blk.cluster_i6.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.cluster_i6.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i6.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x2y4.i_blk.cluster_i6.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.cluster_i6.lut.prog_data[15:0] != 16'h1100) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i6.lut.prog_data[15:0] == 16'h%h != 16'h1100",
+                    `DUT.i_tile_x2y4.i_blk.cluster_i6.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.cluster_i6.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i6.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x2y4.i_blk.cluster_i6.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i7_i_0.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i7_i_0.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i7_i_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i7_i_2.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i7_i_2.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i7_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x2y4.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.cluster_i7.i_sw_o.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i7.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x2y4.i_blk.cluster_i7.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.cluster_i7.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i7.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x2y4.i_blk.cluster_i7.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.cluster_i7.lut.prog_data[15:0] != 16'heaba) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i7.lut.prog_data[15:0] == 16'h%h != 16'heaba",
+                    `DUT.i_tile_x2y4.i_blk.cluster_i7.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_blk.cluster_i7.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_blk.cluster_i7.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x2y4.i_blk.cluster_i7.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i0_i_0.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i0_i_0.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i0_i_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i0_i_1.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i0_i_1.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i0_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i0_i_2.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i0_i_2.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i0_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i0_i_3.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i0_i_3.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i0_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.cluster_i0.i_sw_o.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i0.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x1y4.i_blk.cluster_i0.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.cluster_i0.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i0.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x1y4.i_blk.cluster_i0.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.cluster_i0.lut.prog_data[15:0] != 16'h73) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i0.lut.prog_data[15:0] == 16'h%h != 16'h73",
+                    `DUT.i_tile_x1y4.i_blk.cluster_i0.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.cluster_i0.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i0.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x1y4.i_blk.cluster_i0.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i1_i_0.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i1_i_0.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i1_i_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i1_i_1.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i1_i_1.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i1_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i1_i_2.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i1_i_2.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i1_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i1_i_3.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i1_i_3.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i1_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.cluster_i1.i_sw_o.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i1.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x1y4.i_blk.cluster_i1.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.cluster_i1.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i1.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x1y4.i_blk.cluster_i1.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.cluster_i1.lut.prog_data[15:0] != 16'h4004) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i1.lut.prog_data[15:0] == 16'h%h != 16'h4004",
+                    `DUT.i_tile_x1y4.i_blk.cluster_i1.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.cluster_i1.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i1.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x1y4.i_blk.cluster_i1.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i2_i_0.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i2_i_0.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i2_i_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i2_i_1.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i2_i_1.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i2_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i2_i_2.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i2_i_2.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i2_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i2_i_3.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i2_i_3.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i2_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.cluster_i2.i_sw_o.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i2.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x1y4.i_blk.cluster_i2.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.cluster_i2.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i2.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x1y4.i_blk.cluster_i2.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.cluster_i2.lut.prog_data[15:0] != 16'h3175) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i2.lut.prog_data[15:0] == 16'h%h != 16'h3175",
+                    `DUT.i_tile_x1y4.i_blk.cluster_i2.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.cluster_i2.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i2.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x1y4.i_blk.cluster_i2.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i3_i_0.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i3_i_0.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i3_i_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i3_i_1.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i3_i_1.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i3_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i3_i_2.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i3_i_2.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i3_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i3_i_3.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i3_i_3.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i3_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.cluster_i3.i_sw_o.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i3.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x1y4.i_blk.cluster_i3.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.cluster_i3.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i3.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x1y4.i_blk.cluster_i3.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.cluster_i3.lut.prog_data[15:0] != 16'hdddc) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i3.lut.prog_data[15:0] == 16'h%h != 16'hdddc",
+                    `DUT.i_tile_x1y4.i_blk.cluster_i3.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.cluster_i3.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i3.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x1y4.i_blk.cluster_i3.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i4_i_1.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i4_i_1.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i4_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i4_i_2.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i4_i_2.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i4_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i4_i_3.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i4_i_3.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i4_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.cluster_i4.i_sw_o.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i4.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x1y4.i_blk.cluster_i4.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.cluster_i4.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i4.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x1y4.i_blk.cluster_i4.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.cluster_i4.lut.prog_data[15:0] != 16'hff30) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i4.lut.prog_data[15:0] == 16'h%h != 16'hff30",
+                    `DUT.i_tile_x1y4.i_blk.cluster_i4.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.cluster_i4.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i4.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x1y4.i_blk.cluster_i4.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i5_i_0.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i5_i_0.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i5_i_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.cluster_i5.i_sw_o.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i5.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x1y4.i_blk.cluster_i5.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.cluster_i5.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i5.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x1y4.i_blk.cluster_i5.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.cluster_i5.lut.prog_data[15:0] != 16'he02) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i5.lut.prog_data[15:0] == 16'h%h != 16'he02",
+                    `DUT.i_tile_x1y4.i_blk.cluster_i5.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.cluster_i5.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i5.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x1y4.i_blk.cluster_i5.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i6_i_0.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i6_i_0.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i6_i_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i6_i_2.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i6_i_2.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i6_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.cluster_i6.i_sw_o.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i6.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x1y4.i_blk.cluster_i6.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.cluster_i6.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i6.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x1y4.i_blk.cluster_i6.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.cluster_i6.lut.prog_data[15:0] != 16'h404) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i6.lut.prog_data[15:0] == 16'h%h != 16'h404",
+                    `DUT.i_tile_x1y4.i_blk.cluster_i6.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.cluster_i6.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i6.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x1y4.i_blk.cluster_i6.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i7_i_0.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i7_i_0.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i7_i_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i7_i_2.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i7_i_2.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i7_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x1y4.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.cluster_i7.i_sw_o.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i7.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x1y4.i_blk.cluster_i7.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.cluster_i7.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i7.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x1y4.i_blk.cluster_i7.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.cluster_i7.lut.prog_data[15:0] != 16'h5140) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i7.lut.prog_data[15:0] == 16'h%h != 16'h5140",
+                    `DUT.i_tile_x1y4.i_blk.cluster_i7.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_blk.cluster_i7.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_blk.cluster_i7.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x1y4.i_blk.cluster_i7.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i0_i_0.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i0_i_0.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i0_i_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i0_i_1.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i0_i_1.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i0_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i0_i_2.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i0_i_2.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i0_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i0_i_3.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i0_i_3.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i0_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.cluster_i0.i_sw_o.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i0.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x3y4.i_blk.cluster_i0.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.cluster_i0.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i0.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x3y4.i_blk.cluster_i0.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.cluster_i0.lut.prog_data[15:0] != 16'h100) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i0.lut.prog_data[15:0] == 16'h%h != 16'h100",
+                    `DUT.i_tile_x3y4.i_blk.cluster_i0.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.cluster_i0.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i0.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x3y4.i_blk.cluster_i0.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i1_i_0.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i1_i_0.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i1_i_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i1_i_1.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i1_i_1.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i1_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i1_i_2.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i1_i_2.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i1_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i1_i_3.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i1_i_3.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i1_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.cluster_i1.i_sw_o.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i1.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x3y4.i_blk.cluster_i1.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.cluster_i1.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i1.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x3y4.i_blk.cluster_i1.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.cluster_i1.lut.prog_data[15:0] != 16'h47) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i1.lut.prog_data[15:0] == 16'h%h != 16'h47",
+                    `DUT.i_tile_x3y4.i_blk.cluster_i1.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.cluster_i1.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i1.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x3y4.i_blk.cluster_i1.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i2_i_0.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i2_i_0.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i2_i_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i2_i_2.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i2_i_2.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i2_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.cluster_i2.i_sw_o.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i2.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x3y4.i_blk.cluster_i2.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.cluster_i2.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i2.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x3y4.i_blk.cluster_i2.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.cluster_i2.lut.prog_data[15:0] != 16'ha0a0) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i2.lut.prog_data[15:0] == 16'h%h != 16'ha0a0",
+                    `DUT.i_tile_x3y4.i_blk.cluster_i2.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.cluster_i2.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i2.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x3y4.i_blk.cluster_i2.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i3_i_0.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i3_i_0.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i3_i_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i3_i_1.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i3_i_1.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i3_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i3_i_2.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i3_i_2.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i3_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i3_i_3.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i3_i_3.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i3_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.cluster_i3.i_sw_o.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i3.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x3y4.i_blk.cluster_i3.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.cluster_i3.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i3.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x3y4.i_blk.cluster_i3.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.cluster_i3.lut.prog_data[15:0] != 16'hcea0) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i3.lut.prog_data[15:0] == 16'h%h != 16'hcea0",
+                    `DUT.i_tile_x3y4.i_blk.cluster_i3.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.cluster_i3.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i3.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x3y4.i_blk.cluster_i3.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i4_i_1.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i4_i_1.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i4_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i4_i_2.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i4_i_2.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i4_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i4_i_3.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i4_i_3.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i4_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.cluster_i4.i_sw_o.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i4.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x3y4.i_blk.cluster_i4.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.cluster_i4.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i4.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x3y4.i_blk.cluster_i4.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.cluster_i4.lut.prog_data[15:0] != 16'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i4.lut.prog_data[15:0] == 16'h%h != 16'h3",
+                    `DUT.i_tile_x3y4.i_blk.cluster_i4.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.cluster_i4.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i4.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x3y4.i_blk.cluster_i4.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i5_i_0.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i5_i_0.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i5_i_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.cluster_i5.i_sw_o.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i5.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x3y4.i_blk.cluster_i5.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.cluster_i5.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i5.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x3y4.i_blk.cluster_i5.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.cluster_i5.lut.prog_data[15:0] != 16'hbba0) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i5.lut.prog_data[15:0] == 16'h%h != 16'hbba0",
+                    `DUT.i_tile_x3y4.i_blk.cluster_i5.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.cluster_i5.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i5.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x3y4.i_blk.cluster_i5.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i6_i_0.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i6_i_0.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i6_i_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i6_i_2.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i6_i_2.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i6_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i6_i_3.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i6_i_3.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i6_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.cluster_i6.i_sw_o.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i6.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x3y4.i_blk.cluster_i6.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.cluster_i6.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i6.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x3y4.i_blk.cluster_i6.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.cluster_i6.lut.prog_data[15:0] != 16'ha802) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i6.lut.prog_data[15:0] == 16'h%h != 16'ha802",
+                    `DUT.i_tile_x3y4.i_blk.cluster_i6.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.cluster_i6.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i6.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x3y4.i_blk.cluster_i6.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i7_i_0.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i7_i_0.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i7_i_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x3y4.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.cluster_i7.i_sw_o.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i7.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x3y4.i_blk.cluster_i7.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.cluster_i7.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i7.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x3y4.i_blk.cluster_i7.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.cluster_i7.lut.prog_data[15:0] != 16'hee) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i7.lut.prog_data[15:0] == 16'h%h != 16'hee",
+                    `DUT.i_tile_x3y4.i_blk.cluster_i7.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_blk.cluster_i7.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_blk.cluster_i7.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x3y4.i_blk.cluster_i7.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i0_i_0.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i0_i_0.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i0_i_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i0_i_1.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i0_i_1.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i0_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i0_i_2.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i0_i_2.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i0_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i0_i_3.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i0_i_3.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i0_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.cluster_i0.i_sw_o.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i0.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x5y5.i_blk.cluster_i0.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.cluster_i0.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i0.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x5y5.i_blk.cluster_i0.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.cluster_i0.lut.prog_data[15:0] != 16'hca) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i0.lut.prog_data[15:0] == 16'h%h != 16'hca",
+                    `DUT.i_tile_x5y5.i_blk.cluster_i0.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.cluster_i0.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i0.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x5y5.i_blk.cluster_i0.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i1_i_3.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i1_i_3.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i1_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.cluster_i1.i_sw_o.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i1.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x5y5.i_blk.cluster_i1.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.cluster_i1.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i1.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x5y5.i_blk.cluster_i1.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.cluster_i1.lut.prog_data[15:0] != 16'hff00) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i1.lut.prog_data[15:0] == 16'h%h != 16'hff00",
+                    `DUT.i_tile_x5y5.i_blk.cluster_i1.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.cluster_i1.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i1.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x5y5.i_blk.cluster_i1.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i2_i_0.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i2_i_0.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i2_i_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i2_i_1.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i2_i_1.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i2_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i2_i_2.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i2_i_2.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i2_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i2_i_3.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i2_i_3.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i2_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.cluster_i2.i_sw_o.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i2.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x5y5.i_blk.cluster_i2.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.cluster_i2.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i2.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x5y5.i_blk.cluster_i2.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.cluster_i2.lut.prog_data[15:0] != 16'hac) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i2.lut.prog_data[15:0] == 16'h%h != 16'hac",
+                    `DUT.i_tile_x5y5.i_blk.cluster_i2.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.cluster_i2.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i2.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x5y5.i_blk.cluster_i2.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i3_i_0.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i3_i_0.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i3_i_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i3_i_1.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i3_i_1.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i3_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i3_i_2.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i3_i_2.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i3_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i3_i_3.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i3_i_3.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i3_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.cluster_i3.i_sw_o.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i3.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x5y5.i_blk.cluster_i3.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.cluster_i3.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i3.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x5y5.i_blk.cluster_i3.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.cluster_i3.lut.prog_data[15:0] != 16'h4540) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i3.lut.prog_data[15:0] == 16'h%h != 16'h4540",
+                    `DUT.i_tile_x5y5.i_blk.cluster_i3.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.cluster_i3.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i3.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x5y5.i_blk.cluster_i3.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i4_i_0.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i4_i_0.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i4_i_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i4_i_1.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i4_i_1.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i4_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i4_i_2.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i4_i_2.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i4_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i4_i_3.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i4_i_3.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i4_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.cluster_i4.i_sw_o.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i4.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x5y5.i_blk.cluster_i4.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.cluster_i4.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i4.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x5y5.i_blk.cluster_i4.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.cluster_i4.lut.prog_data[15:0] != 16'hb8) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i4.lut.prog_data[15:0] == 16'h%h != 16'hb8",
+                    `DUT.i_tile_x5y5.i_blk.cluster_i4.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.cluster_i4.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i4.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x5y5.i_blk.cluster_i4.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i5_i_0.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i5_i_0.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i5_i_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.cluster_i5.i_sw_o.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i5.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x5y5.i_blk.cluster_i5.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.cluster_i5.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i5.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x5y5.i_blk.cluster_i5.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.cluster_i5.lut.prog_data[15:0] != 16'hca) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i5.lut.prog_data[15:0] == 16'h%h != 16'hca",
+                    `DUT.i_tile_x5y5.i_blk.cluster_i5.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.cluster_i5.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i5.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x5y5.i_blk.cluster_i5.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i6_i_0.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i6_i_0.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i6_i_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i6_i_2.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i6_i_2.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i6_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i6_i_3.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i6_i_3.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i6_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.cluster_i6.i_sw_o.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i6.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x5y5.i_blk.cluster_i6.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.cluster_i6.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i6.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x5y5.i_blk.cluster_i6.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.cluster_i6.lut.prog_data[15:0] != 16'h5044) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i6.lut.prog_data[15:0] == 16'h%h != 16'h5044",
+                    `DUT.i_tile_x5y5.i_blk.cluster_i6.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.cluster_i6.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i6.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x5y5.i_blk.cluster_i6.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i7_i_0.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i7_i_0.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i7_i_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i7_i_2.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i7_i_2.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i7_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x5y5.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.cluster_i7.i_sw_o.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i7.i_sw_o.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x5y5.i_blk.cluster_i7.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.cluster_i7.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i7.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x5y5.i_blk.cluster_i7.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.cluster_i7.lut.prog_data[15:0] != 16'he4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i7.lut.prog_data[15:0] == 16'h%h != 16'he4",
+                    `DUT.i_tile_x5y5.i_blk.cluster_i7.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_blk.cluster_i7.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_blk.cluster_i7.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x5y5.i_blk.cluster_i7.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x1y5.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y5.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x1y5.i_blk.i_sw_cluster_i5_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y5.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y5.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x1y5.i_blk.i_sw_cluster_i5_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y5.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y5.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x1y5.i_blk.i_sw_cluster_i5_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y5.i_blk.cluster_i5.i_sw_o.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y5.i_blk.cluster_i5.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x1y5.i_blk.cluster_i5.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y5.i_blk.cluster_i5.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y5.i_blk.cluster_i5.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x1y5.i_blk.cluster_i5.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x1y5.i_blk.cluster_i5.lut.prog_data[15:0] != 16'h30) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y5.i_blk.cluster_i5.lut.prog_data[15:0] == 16'h%h != 16'h30",
+                    `DUT.i_tile_x1y5.i_blk.cluster_i5.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x1y5.i_blk.cluster_i5.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y5.i_blk.cluster_i5.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x1y5.i_blk.cluster_i5.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x1y5.i_blk.i_sw_cluster_i6_i_0.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y5.i_blk.i_sw_cluster_i6_i_0.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x1y5.i_blk.i_sw_cluster_i6_i_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y5.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y5.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x1y5.i_blk.i_sw_cluster_i6_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y5.i_blk.i_sw_cluster_i6_i_2.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y5.i_blk.i_sw_cluster_i6_i_2.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x1y5.i_blk.i_sw_cluster_i6_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y5.i_blk.cluster_i6.i_sw_o.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y5.i_blk.cluster_i6.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x1y5.i_blk.cluster_i6.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y5.i_blk.cluster_i6.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y5.i_blk.cluster_i6.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x1y5.i_blk.cluster_i6.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x1y5.i_blk.cluster_i6.lut.prog_data[15:0] != 16'h404) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y5.i_blk.cluster_i6.lut.prog_data[15:0] == 16'h%h != 16'h404",
+                    `DUT.i_tile_x1y5.i_blk.cluster_i6.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x1y5.i_blk.cluster_i6.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y5.i_blk.cluster_i6.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x1y5.i_blk.cluster_i6.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x1y5.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y5.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x1y5.i_blk.i_sw_cluster_i7_i_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y5.i_blk.i_sw_cluster_i7_i_2.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y5.i_blk.i_sw_cluster_i7_i_2.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x1y5.i_blk.i_sw_cluster_i7_i_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y5.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y5.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x1y5.i_blk.i_sw_cluster_i7_i_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y5.i_blk.cluster_i7.i_sw_o.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y5.i_blk.cluster_i7.i_sw_o.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x1y5.i_blk.cluster_i7.i_sw_o.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y5.i_blk.cluster_i7.lut.prog_data[16:16] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y5.i_blk.cluster_i7.lut.prog_data[16:16] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x1y5.i_blk.cluster_i7.lut.prog_data[16:16]);
+        end
+
+        if (`DUT.i_tile_x1y5.i_blk.cluster_i7.lut.prog_data[15:0] != 16'h300) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y5.i_blk.cluster_i7.lut.prog_data[15:0] == 16'h%h != 16'h300",
+                    `DUT.i_tile_x1y5.i_blk.cluster_i7.lut.prog_data[15:0]);
+        end
+
+        if (`DUT.i_tile_x1y5.i_blk.cluster_i7.ff.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y5.i_blk.cluster_i7.ff.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_tile_x1y5.i_blk.cluster_i7.ff.prog_data[0:0]);
+        end
+
+        if (`DUT.i_tile_x3y9.i_blk_i0.io.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y9.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x3y9.i_blk_i0.io.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x4y9.i_blk_i0.io.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x4y9.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x4y9.i_blk_i0.io.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y9.i_blk_i0.io.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y9.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x5y9.i_blk_i0.io.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x6y9.i_blk_i0.io.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x6y9.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x6y9.i_blk_i0.io.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x7y9.i_blk_i0.io.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x7y9.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x7y9.i_blk_i0.io.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x9y8.i_blk_i0.io.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x9y8.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x9y8.i_blk_i0.io.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x9y7.i_blk_i0.io.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x9y7.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x9y7.i_blk_i0.io.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x9y7.i_blk_i1.io.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x9y7.i_blk_i1.io.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x9y7.i_blk_i1.io.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x9y6.i_blk_i0.io.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x9y6.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x9y6.i_blk_i0.io.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x0y1.i_blk_i0.io.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x0y1.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x0y1.i_blk_i0.io.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x0y2.i_blk_i0.io.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x0y2.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x0y2.i_blk_i0.io.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x0y3.i_blk_i0.io.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x0y3.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x0y3.i_blk_i0.io.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x0y4.i_blk_i0.io.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x0y4.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x0y4.i_blk_i0.io.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x0y5.i_blk_i0.io.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x0y5.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x0y5.i_blk_i0.io.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x0y6.i_blk_i0.io.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x0y6.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x0y6.i_blk_i0.io.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x0y7.i_blk_i0.io.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x0y7.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x0y7.i_blk_i0.io.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x0y8.i_blk_i0.io.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x0y8.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x0y8.i_blk_i0.io.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y9.i_blk_i0.io.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y9.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x1y9.i_blk_i0.io.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y9.i_blk_i0.io.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y9.i_blk_i0.io.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x2y9.i_blk_i0.io.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y9.i_blk_i1.io.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y9.i_blk_i1.io.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x2y9.i_blk_i1.io.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_7.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_7.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_7.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_cbox_e0.i_sw_cu_x0y0s_L1_7.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_cbox_e0.i_sw_cu_x0y0s_L1_7.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x2y5.i_cbox_e0.i_sw_cu_x0y0s_L1_7.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_8.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_8.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_8.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_8.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_6.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_6.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_6.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y4nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y4nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x3y4nw.i_sw_so_x0y0e_L1_6.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_9.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y6se.i_sw_so_x0v1w_L1_8.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y6se.i_sw_so_x0v1w_L1_8.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x3y6se.i_sw_so_x0v1w_L1_8.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_8.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_8.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_8.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_8.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_15.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_15.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_15.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_0.prog_data[2:0] != 3'h6) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_0.prog_data[2:0] == 3'h%h != 3'h6",
+                    `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_0.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_8.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_8.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_8.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_6.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y2ne.i_sw_so_x0y0s_L1_7.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y2ne.i_sw_so_x0y0s_L1_7.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x1y2ne.i_sw_so_x0y0s_L1_7.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y2se.i_sw_so_x0v1w_L1_8.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y2se.i_sw_so_x0v1w_L1_8.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y2se.i_sw_so_x0v1w_L1_8.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_9.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_9.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_9.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_9.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_9.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_9.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_9.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_9.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_9.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_9.prog_data[2:0] != 3'h5) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_9.prog_data[2:0] == 3'h%h != 3'h5",
+                    `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_9.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_0.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_0.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_10.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_10.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_10.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_1.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_1.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_1.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_1.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_1.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_1.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_4.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_4.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_4.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_1.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_1.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_1.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y5nw.i_sw_so_x0y0e_L1_11.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y5nw.i_sw_so_x0y0e_L1_11.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x2y5nw.i_sw_so_x0y0e_L1_11.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_11.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_11.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_11.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_11.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_11.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_11.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_0.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_0.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_0.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_14.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_14.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_14.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_10.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_10.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_10.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_8.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_11.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y4se.i_sw_so_x0v1w_L1_10.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y4se.i_sw_so_x0v1w_L1_10.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x1y4se.i_sw_so_x0v1w_L1_10.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_11.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_11.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_11.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_11.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_11.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_11.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_11.prog_data[2:0] != 3'h5) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_11.prog_data[2:0] == 3'h%h != 3'h5",
+                    `DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_11.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_6.prog_data[2:0] != 3'h5) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_6.prog_data[2:0] == 3'h%h != 3'h5",
+                    `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_6.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y2nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y2nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x2y2nw.i_sw_so_x0y0e_L1_8.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x3y2nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y2nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x3y2nw.i_sw_so_x0y0e_L1_8.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x4y3sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y3sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x4y3sw.i_sw_so_u1y0n_L1_11.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_11.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y5se.i_sw_so_x0v1w_L1_10.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y5se.i_sw_so_x0v1w_L1_10.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x3y5se.i_sw_so_x0v1w_L1_10.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_10.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_10.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_10.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_11.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0] != 3'h5) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0] == 3'h%h != 3'h5",
+                    `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_6.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_6.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_6.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x0y2.i_cbox_e0.i_sw_cu_x0y0n_L1_6.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x0y2.i_cbox_e0.i_sw_cu_x0y0n_L1_6.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x0y2.i_cbox_e0.i_sw_cu_x0y0n_L1_6.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_6.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_6.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_6.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y3nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y3nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x1y3nw.i_sw_so_x0y0e_L1_4.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_7.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_10.prog_data[2:0] != 3'h5) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_10.prog_data[2:0] == 3'h%h != 3'h5",
+                    `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_10.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_6.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_6.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_6.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_7.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_7.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_7.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_6.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_6.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_6.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y5nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y5nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x1y5nw.i_sw_so_x0y0e_L1_4.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y6sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y6sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x2y6sw.i_sw_so_u1y0n_L1_7.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y7sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y7sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x2y7sw.i_sw_so_u1y0n_L1_7.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y7nw.i_sw_so_x0y0e_L1_5.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y7nw.i_sw_so_x0y0e_L1_5.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x2y7nw.i_sw_so_x0y0e_L1_5.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y7ne.i_sw_so_x0y0s_L1_6.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y7ne.i_sw_so_x0y0s_L1_6.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x2y7ne.i_sw_so_x0y0s_L1_6.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y7se.i_sw_so_x0v1w_L1_7.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y7se.i_sw_so_x0v1w_L1_7.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x2y7se.i_sw_so_x0v1w_L1_7.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y6ne.i_sw_so_x0y0s_L1_7.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y6ne.i_sw_so_x0y0s_L1_7.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x1y6ne.i_sw_so_x0y0s_L1_7.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y5nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y5nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x2y5nw.i_sw_so_x0y0e_L1_6.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_6.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_6.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_7.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_7.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_7.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y6se.i_sw_so_x0v1w_L1_8.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y6se.i_sw_so_x0v1w_L1_8.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y6se.i_sw_so_x0v1w_L1_8.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_8.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_8.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_8.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_8.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_8.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_8.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_0.prog_data[2:0] != 3'h6) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_0.prog_data[2:0] == 3'h%h != 3'h6",
+                    `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_0.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y5nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y5nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x2y5nw.i_sw_so_x0y0e_L1_4.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_4.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_4.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_5.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_5.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_5.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_4.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_7.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_5.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_5.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_5.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_8.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_8.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_8.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_15.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_15.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_15.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_4.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_3.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_3.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_3.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_3.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_3.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_3.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_3.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_3.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_11.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_9.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_9.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_9.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x4y6sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y6sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x4y6sw.i_sw_so_u1y0n_L1_0.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x4y6nw.i_sw_so_x0y0e_L1_10.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y6nw.i_sw_so_x0y0e_L1_10.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x4y6nw.i_sw_so_x0y0e_L1_10.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x4y6ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y6ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x4y6ne.i_sw_so_x0y0s_L1_11.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x4y6se.i_sw_so_x0v1w_L1_0.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y6se.i_sw_so_x0v1w_L1_0.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x4y6se.i_sw_so_x0v1w_L1_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x3y5ne.i_sw_so_x0y0s_L1_0.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y5ne.i_sw_so_x0y0s_L1_0.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x3y5ne.i_sw_so_x0y0s_L1_0.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y5se.i_sw_so_x0v1w_L1_1.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y5se.i_sw_so_x0v1w_L1_1.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x3y5se.i_sw_so_x0v1w_L1_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_1.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_1.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_2.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_2.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_2.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_7.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x1y5.i_cbox_e0.i_sw_cu_x0y0n_L1_7.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y5.i_cbox_e0.i_sw_cu_x0y0n_L1_7.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x1y5.i_cbox_e0.i_sw_cu_x0y0n_L1_7.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y5nw.i_sw_so_x0y0e_L1_5.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y5nw.i_sw_so_x0y0e_L1_5.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x2y5nw.i_sw_so_x0y0e_L1_5.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x3y6sw.i_sw_so_u1y0n_L1_8.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y6sw.i_sw_so_u1y0n_L1_8.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x3y6sw.i_sw_so_u1y0n_L1_8.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y6nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y6nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x3y6nw.i_sw_so_x0y0e_L1_6.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x4y7sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y7sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x4y7sw.i_sw_so_u1y0n_L1_9.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y8se.i_sw_so_x0v1w_L1_8.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y8se.i_sw_so_x0v1w_L1_8.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x3y8se.i_sw_so_x0v1w_L1_8.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y7ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y7ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x2y7ne.i_sw_so_x0y0s_L1_8.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y7se.i_sw_so_x0v1w_L1_9.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y7se.i_sw_so_x0v1w_L1_9.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x2y7se.i_sw_so_x0v1w_L1_9.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y6ne.i_sw_so_x0y0s_L1_9.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y6ne.i_sw_so_x0y0s_L1_9.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x1y6ne.i_sw_so_x0y0s_L1_9.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_9.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_9.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_9.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_4.prog_data[2:0] != 3'h6) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_4.prog_data[2:0] == 3'h%h != 3'h6",
+                    `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_4.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_3.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_3.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_3.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y4se.i_sw_so_x0v1w_L1_4.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y4se.i_sw_so_x0v1w_L1_4.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x2y4se.i_sw_so_x0v1w_L1_4.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y3ne.i_sw_so_x0y0s_L1_4.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y3ne.i_sw_so_x0y0s_L1_4.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x1y3ne.i_sw_so_x0y0s_L1_4.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y3se.i_sw_so_x0v1w_L1_5.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y3se.i_sw_so_x0v1w_L1_5.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y3se.i_sw_so_x0v1w_L1_5.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x0y2ne.i_sw_so_x0y0s_L1_5.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x0y2ne.i_sw_so_x0y0s_L1_5.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x0y2ne.i_sw_so_x0y0s_L1_5.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y1nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y1nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y1nw.i_sw_so_x0y0e_L1_4.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y2sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y2sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x2y2sw.i_sw_so_u1y0n_L1_7.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y2nw.i_sw_so_x0y0e_L1_5.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y2nw.i_sw_so_x0y0e_L1_5.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x2y2nw.i_sw_so_x0y0e_L1_5.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x3y2nw.i_sw_so_x0y0e_L1_5.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y2nw.i_sw_so_x0y0e_L1_5.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x3y2nw.i_sw_so_x0y0e_L1_5.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x4y3sw.i_sw_so_u1y0n_L1_8.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y3sw.i_sw_so_u1y0n_L1_8.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x4y3sw.i_sw_so_u1y0n_L1_8.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x4y3nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y3nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x4y3nw.i_sw_so_x0y0e_L1_6.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x5y4sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x5y4sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x5y4sw.i_sw_so_u1y0n_L1_9.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x5y4nw.i_sw_so_x0y0e_L1_7.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x5y4nw.i_sw_so_x0y0e_L1_7.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x5y4nw.i_sw_so_x0y0e_L1_7.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_10.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x5y6se.i_sw_so_x0v1w_L1_9.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x5y6se.i_sw_so_x0v1w_L1_9.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x5y6se.i_sw_so_x0v1w_L1_9.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x4y6se.i_sw_so_x0v1w_L1_9.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y6se.i_sw_so_x0v1w_L1_9.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x4y6se.i_sw_so_x0v1w_L1_9.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x3y6se.i_sw_so_x0v1w_L1_9.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y6se.i_sw_so_x0v1w_L1_9.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x3y6se.i_sw_so_x0v1w_L1_9.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_9.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_9.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_9.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_9.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_9.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_9.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_4.prog_data[2:0] != 3'h6) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_4.prog_data[2:0] == 3'h%h != 3'h6",
+                    `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_4.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_3.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_3.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_3.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_3.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_3.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_3.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_2.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_2.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y6se.i_sw_so_x0v1w_L1_2.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y6se.i_sw_so_x0v1w_L1_2.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x1y6se.i_sw_so_x0v1w_L1_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_2.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_2.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y4nw.i_sw_so_x0y0e_L1_1.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y4nw.i_sw_so_x0y0e_L1_1.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y4nw.i_sw_so_x0y0e_L1_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_4.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_9.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_9.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_9.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_2.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_2.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_3.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_3.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_3.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_8.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_8.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_8.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x1y5.i_cbox_e0.i_sw_cu_x0y0n_L1_8.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y5.i_cbox_e0.i_sw_cu_x0y0n_L1_8.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x1y5.i_cbox_e0.i_sw_cu_x0y0n_L1_8.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_6.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_6.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_6.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_7.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_cbox_e0.i_sw_cu_x0y0n_L1_7.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_cbox_e0.i_sw_cu_x0y0n_L1_7.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x2y5.i_cbox_e0.i_sw_cu_x0y0n_L1_7.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_6.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_6.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_6.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_6.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_6.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_6.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_7.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_7.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_7.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_8.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_8.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_8.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y4nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y4nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x1y4nw.i_sw_so_x0y0e_L1_6.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_9.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y5nw.i_sw_so_x0y0e_L1_7.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y5nw.i_sw_so_x0y0e_L1_7.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x2y5nw.i_sw_so_x0y0e_L1_7.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x3y6sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y6sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x3y6sw.i_sw_so_u1y0n_L1_10.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y7sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y7sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x3y7sw.i_sw_so_u1y0n_L1_10.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y7nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y7nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x3y7nw.i_sw_so_x0y0e_L1_8.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x3y7ne.i_sw_so_x0y0s_L1_9.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y7ne.i_sw_so_x0y0s_L1_9.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x3y7ne.i_sw_so_x0y0s_L1_9.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y7se.i_sw_so_x0v1w_L1_10.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y7se.i_sw_so_x0v1w_L1_10.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x3y7se.i_sw_so_x0v1w_L1_10.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y7se.i_sw_so_x0v1w_L1_10.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y7se.i_sw_so_x0v1w_L1_10.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x2y7se.i_sw_so_x0v1w_L1_10.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y6ne.i_sw_so_x0y0s_L1_10.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y6ne.i_sw_so_x0y0s_L1_10.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x1y6ne.i_sw_so_x0y0s_L1_10.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_10.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_10.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_10.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0] != 3'h6) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0] == 3'h%h != 3'h6",
+                    `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_10.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_8.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x4y6sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y6sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x4y6sw.i_sw_so_u1y0n_L1_11.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x4y6nw.i_sw_so_x0y0e_L1_9.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y6nw.i_sw_so_x0y0e_L1_9.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x4y6nw.i_sw_so_x0y0e_L1_9.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x5y7sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x5y7sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x5y7sw.i_sw_so_u1y0n_L1_0.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x4y8se.i_sw_so_x0v1w_L1_11.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y8se.i_sw_so_x0v1w_L1_11.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x4y8se.i_sw_so_x0v1w_L1_11.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x3y7ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y7ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x3y7ne.i_sw_so_x0y0s_L1_11.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y7se.i_sw_so_x0v1w_L1_0.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y7se.i_sw_so_x0v1w_L1_0.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x3y7se.i_sw_so_x0v1w_L1_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y7se.i_sw_so_x0v1w_L1_0.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y7se.i_sw_so_x0v1w_L1_0.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x2y7se.i_sw_so_x0v1w_L1_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y6ne.i_sw_so_x0y0s_L1_0.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y6ne.i_sw_so_x0y0s_L1_0.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x1y6ne.i_sw_so_x0y0s_L1_0.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_0.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_0.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_0.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_10.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_10.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_10.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_5.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_5.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_4.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_4.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_4.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_4.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_4.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_4.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y4nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y4nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x2y4nw.i_sw_so_x0y0e_L1_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_4.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_4.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_4.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_0.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_0.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_0.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_6.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_5.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_5.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_5.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_5.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_5.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_5.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_5.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_5.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_5.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_6.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_6.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_6.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_6.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_6.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_6.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_11.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_11.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_11.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_5.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_5.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_5.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_5.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_5.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_5.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_11.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_11.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_11.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x4y6sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y6sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x4y6sw.i_sw_so_u1y0n_L1_6.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x4y6nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y6nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x4y6nw.i_sw_so_x0y0e_L1_4.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x4y6ne.i_sw_so_x0y0s_L1_5.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y6ne.i_sw_so_x0y0s_L1_5.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x4y6ne.i_sw_so_x0y0s_L1_5.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x4y6se.i_sw_so_x0v1w_L1_6.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y6se.i_sw_so_x0v1w_L1_6.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x4y6se.i_sw_so_x0v1w_L1_6.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x3y5ne.i_sw_so_x0y0s_L1_6.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y5ne.i_sw_so_x0y0s_L1_6.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x3y5ne.i_sw_so_x0y0s_L1_6.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y5se.i_sw_so_x0v1w_L1_7.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y5se.i_sw_so_x0v1w_L1_7.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x3y5se.i_sw_so_x0v1w_L1_7.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_7.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_7.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_7.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0] != 3'h6) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0] == 3'h%h != 3'h6",
+                    `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y7se.i_sw_so_x0v1w_L1_5.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y7se.i_sw_so_x0v1w_L1_5.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x3y7se.i_sw_so_x0v1w_L1_5.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x3y7sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y7sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x3y7sw.i_sw_so_u1y0n_L1_6.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y8se.i_sw_so_x0v1w_L1_5.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y8se.i_sw_so_x0v1w_L1_5.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x2y8se.i_sw_so_x0v1w_L1_5.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y7ne.i_sw_so_x0y0s_L1_5.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y7ne.i_sw_so_x0y0s_L1_5.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x1y7ne.i_sw_so_x0y0s_L1_5.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y7se.i_sw_so_x0v1w_L1_6.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y7se.i_sw_so_x0v1w_L1_6.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y7se.i_sw_so_x0v1w_L1_6.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_6.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_6.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_6.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_6.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_6.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_6.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y5ne.i_sw_so_x0y0s_L1_4.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y5ne.i_sw_so_x0y0s_L1_4.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x3y5ne.i_sw_so_x0y0s_L1_4.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x4y4nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y4nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x4y4nw.i_sw_so_x0y0e_L1_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_6.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_7.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_7.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_7.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_4.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_4.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_4.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_9.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_9.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_9.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_4.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_4.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_4.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_4.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_4.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_4.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_5.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_5.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_5.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_8.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_cbox_e0.i_sw_cu_x0y0s_L1_8.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_cbox_e0.i_sw_cu_x0y0s_L1_8.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x2y5.i_cbox_e0.i_sw_cu_x0y0s_L1_8.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_9.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_9.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_9.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_9.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_9.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_9.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_10.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_10.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_10.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y5nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y5nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x1y5nw.i_sw_so_x0y0e_L1_8.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y6sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y6sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x2y6sw.i_sw_so_u1y0n_L1_11.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y6nw.i_sw_so_x0y0e_L1_9.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y6nw.i_sw_so_x0y0e_L1_9.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x2y6nw.i_sw_so_x0y0e_L1_9.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y6ne.i_sw_so_x0y0s_L1_10.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y6ne.i_sw_so_x0y0s_L1_10.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x2y6ne.i_sw_so_x0y0s_L1_10.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_11.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_11.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_11.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_11.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0] != 3'h6) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0] == 3'h%h != 3'h6",
+                    `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_9.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_9.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_9.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_3.prog_data[2:0] != 3'h6) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_3.prog_data[2:0] == 3'h%h != 3'h6",
+                    `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_3.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_1.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_1.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_0.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_0.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_1.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_1.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_2.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_2.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y2ne.i_sw_so_x0y0s_L1_2.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y2ne.i_sw_so_x0y0s_L1_2.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x1y2ne.i_sw_so_x0y0s_L1_2.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y2se.i_sw_so_x0v1w_L1_3.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y2se.i_sw_so_x0v1w_L1_3.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y2se.i_sw_so_x0v1w_L1_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_4.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_4.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_4.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_2.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_2.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_5.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y4se.i_sw_so_x0v1w_L1_4.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y4se.i_sw_so_x0v1w_L1_4.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x1y4se.i_sw_so_x0v1w_L1_4.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_5.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_5.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_5.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y4nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y4nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x1y4nw.i_sw_so_x0y0e_L1_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_6.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x2y5sw.i_sw_so_u1y0n_L1_0.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x1y5.i_cbox_e0.i_sw_cu_x0y0n_L1_0.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y5.i_cbox_e0.i_sw_cu_x0y0n_L1_0.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x1y5.i_cbox_e0.i_sw_cu_x0y0n_L1_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_14.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_14.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_tile_x2y5.i_cbox_w0.i_sw_bp_x0y0i0_in_14.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_8.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_8.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_8.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y5.i_cbox_e0.i_sw_cu_x0y0n_L1_8.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y5.i_cbox_e0.i_sw_cu_x0y0n_L1_8.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x2y5.i_cbox_e0.i_sw_cu_x0y0n_L1_8.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_7.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_7.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_7.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_7.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_7.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_7.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_7.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_7.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_7.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_15.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_15.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_15.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_2.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_2.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_2.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_cbox_e0.i_sw_cu_x0y0s_L1_2.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_cbox_e0.i_sw_cu_x0y0s_L1_2.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x2y4.i_cbox_e0.i_sw_cu_x0y0s_L1_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_2.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_2.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_2.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_3.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_3.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_4.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_4.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_0.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_0.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_0.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_0.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_cbox_e0.i_sw_cu_x0y0n_L1_0.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_cbox_e0.i_sw_cu_x0y0n_L1_0.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x2y4.i_cbox_e0.i_sw_cu_x0y0n_L1_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x3y5sw.i_sw_so_u1y0n_L1_0.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_10.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_10.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x3y5nw.i_sw_so_x0y0e_L1_10.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x3y5ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y5ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x3y5ne.i_sw_so_x0y0s_L1_11.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_11.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_0.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_0.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y4se.i_sw_so_x0v1w_L1_0.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y4se.i_sw_so_x0v1w_L1_0.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x2y4se.i_sw_so_x0v1w_L1_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y3ne.i_sw_so_x0y0s_L1_0.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y3ne.i_sw_so_x0y0s_L1_0.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x1y3ne.i_sw_so_x0y0s_L1_0.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y3se.i_sw_so_x0v1w_L1_1.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y3se.i_sw_so_x0v1w_L1_1.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y3se.i_sw_so_x0v1w_L1_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_2.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_2.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_2.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_2.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y6sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y6sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x3y6sw.i_sw_so_u1y0n_L1_0.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y7sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y7sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x3y7sw.i_sw_so_u1y0n_L1_0.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y8sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y8sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x3y8sw.i_sw_so_u1y0n_L1_0.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y8nw.i_sw_so_x0y0e_L1_10.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y8nw.i_sw_so_x0y0e_L1_10.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x3y8nw.i_sw_so_x0y0e_L1_10.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x3y9.i_cbox_s0.i_sw_bp_x0y0i0_outpad.prog_data[3:0] != 4'hb) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y9.i_cbox_s0.i_sw_bp_x0y0i0_outpad.prog_data[3:0] == 4'h%h != 4'hb",
+                    `DUT.i_tile_x3y9.i_cbox_s0.i_sw_bp_x0y0i0_outpad.prog_data[3:0]);
+        end
+
+        if (`DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_11.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_11.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x2y5se.i_sw_so_x0v1w_L1_11.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_11.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0] != 3'h6) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0] == 3'h%h != 3'h6",
+                    `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_10.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_10.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_10.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_1.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_1.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_1.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_3.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_3.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_3.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_8.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_cbox_e0.i_sw_cu_x0y0s_L1_8.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_cbox_e0.i_sw_cu_x0y0s_L1_8.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x2y4.i_cbox_e0.i_sw_cu_x0y0s_L1_8.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_8.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_9.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_9.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_9.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_10.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_10.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0] != 3'h5) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0] == 3'h%h != 3'h5",
+                    `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x0y8ne.i_sw_so_x0y0s_L1_4.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x0y8ne.i_sw_so_x0y0s_L1_4.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x0y8ne.i_sw_so_x0y0s_L1_4.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x0y7ne.i_sw_so_x0y0s_L1_4.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x0y7ne.i_sw_so_x0y0s_L1_4.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x0y7ne.i_sw_so_x0y0s_L1_4.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_4.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_4.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_4.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_4.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_4.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_4.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_4.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_4.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_4.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y3nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y3nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y3nw.i_sw_so_x0y0e_L1_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_6.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_7.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_7.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_7.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_6.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_6.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_6.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_7.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_7.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_7.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_7.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_7.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_7.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_8.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_8.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_8.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_9.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_9.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_9.prog_data[2:0] != 3'h5) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_9.prog_data[2:0] == 3'h%h != 3'h5",
+                    `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_9.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_8.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_8.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_8.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y3nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y3nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x1y3nw.i_sw_so_x0y0e_L1_6.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_6.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_9.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_9.prog_data[2:0] != 3'h5) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_9.prog_data[2:0] == 3'h%h != 3'h5",
+                    `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_9.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_4.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_4.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_4.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y3nw.i_sw_so_x0y0e_L1_2.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y3nw.i_sw_so_x0y0e_L1_2.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x1y3nw.i_sw_so_x0y0e_L1_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_5.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_11.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_11.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_11.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_10.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_10.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_10.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y3nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y3nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x1y3nw.i_sw_so_x0y0e_L1_8.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_8.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_11.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_6.prog_data[2:0] != 3'h5) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_6.prog_data[2:0] == 3'h%h != 3'h5",
+                    `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_6.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x0y3ne.i_sw_so_x0y0s_L1_0.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x0y3ne.i_sw_so_x0y0s_L1_0.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x0y3ne.i_sw_so_x0y0s_L1_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_11.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_11.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y2nw.i_sw_so_x0y0e_L1_11.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_2.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_2.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x2y3sw.i_sw_so_u1y0n_L1_2.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_2.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_2.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_2.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_14.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_14.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_14.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_0.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_0.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_0.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_0.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_14.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_14.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_14.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_9.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x4y4nw.i_sw_so_x0y0e_L1_7.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y4nw.i_sw_so_x0y0e_L1_7.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x4y4nw.i_sw_so_x0y0e_L1_7.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_10.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x5y6sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x5y6sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x5y6sw.i_sw_so_u1y0n_L1_10.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x5y7sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x5y7sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x5y7sw.i_sw_so_u1y0n_L1_10.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x4y8se.i_sw_so_x0v1w_L1_9.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y8se.i_sw_so_x0v1w_L1_9.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x4y8se.i_sw_so_x0v1w_L1_9.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x4y8sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y8sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x4y8sw.i_sw_so_u1y0n_L1_10.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x4y8nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y8nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x4y8nw.i_sw_so_x0y0e_L1_8.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x4y9.i_cbox_s0.i_sw_bp_x0y0i0_outpad.prog_data[3:0] != 4'h9) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x4y9.i_cbox_s0.i_sw_bp_x0y0i0_outpad.prog_data[3:0] == 4'h%h != 4'h9",
+                    `DUT.i_tile_x4y9.i_cbox_s0.i_sw_bp_x0y0i0_outpad.prog_data[3:0]);
+        end
+
+        if (`DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_9.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_9.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_9.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_10.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_10.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_10.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y4se.i_sw_so_x0v1w_L1_10.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y4se.i_sw_so_x0v1w_L1_10.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x2y4se.i_sw_so_x0v1w_L1_10.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_11.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0] != 3'h5) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0] == 3'h%h != 3'h5",
+                    `DUT.i_tile_x2y4.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_4.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y4nw.i_sw_so_x0y0e_L1_2.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y4nw.i_sw_so_x0y0e_L1_2.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x3y4nw.i_sw_so_x0y0e_L1_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_3.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_3.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_3.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_4.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_4.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_4.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_4.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_4.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_4.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_5.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_5.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_5.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y2ne.i_sw_so_x0y0s_L1_5.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y2ne.i_sw_so_x0y0s_L1_5.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x1y2ne.i_sw_so_x0y0s_L1_5.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y2se.i_sw_so_x0v1w_L1_6.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y2se.i_sw_so_x0v1w_L1_6.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y2se.i_sw_so_x0v1w_L1_6.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_7.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_7.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_7.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_7.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_7.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_7.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_7.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_7.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_7.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_15.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_15.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_15.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_7.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x2y4.i_cbox_e0.i_sw_cu_x0y0n_L1_7.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x2y4.i_cbox_e0.i_sw_cu_x0y0n_L1_7.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x2y4.i_cbox_e0.i_sw_cu_x0y0n_L1_7.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x3y4nw.i_sw_so_x0y0e_L1_5.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y4nw.i_sw_so_x0y0e_L1_5.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x3y4nw.i_sw_so_x0y0e_L1_5.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x4y4nw.i_sw_so_x0y0e_L1_5.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y4nw.i_sw_so_x0y0e_L1_5.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x4y4nw.i_sw_so_x0y0e_L1_5.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_8.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_8.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_8.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_0.prog_data[2:0] != 3'h5) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_0.prog_data[2:0] == 3'h%h != 3'h5",
+                    `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_0.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_8.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_8.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_8.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_cbox_e0.i_sw_cu_x0y0n_L1_8.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_cbox_e0.i_sw_cu_x0y0n_L1_8.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x1y4.i_cbox_e0.i_sw_cu_x0y0n_L1_8.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_7.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_7.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_7.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_7.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_7.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_7.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_8.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_8.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_8.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y5nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y5nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x1y5nw.i_sw_so_x0y0e_L1_6.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y6sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y6sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x2y6sw.i_sw_so_u1y0n_L1_9.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y6nw.i_sw_so_x0y0e_L1_7.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y6nw.i_sw_so_x0y0e_L1_7.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x2y6nw.i_sw_so_x0y0e_L1_7.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y6ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y6ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x2y6ne.i_sw_so_x0y0s_L1_8.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_9.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_9.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x2y6se.i_sw_so_x0v1w_L1_9.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y6se.i_sw_so_x0v1w_L1_9.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y6se.i_sw_so_x0v1w_L1_9.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x1y6se.i_sw_so_x0v1w_L1_9.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_9.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_9.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_9.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_9.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_9.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_9.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_3.prog_data[2:0] != 3'h6) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_3.prog_data[2:0] == 3'h%h != 3'h6",
+                    `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_3.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_2.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_2.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_2.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_cbox_e0.i_sw_cu_x0y0s_L1_2.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_cbox_e0.i_sw_cu_x0y0s_L1_2.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x1y4.i_cbox_e0.i_sw_cu_x0y0s_L1_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y4se.i_sw_so_x0v1w_L1_3.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y4se.i_sw_so_x0v1w_L1_3.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y4se.i_sw_so_x0v1w_L1_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_4.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_4.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_4.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_4.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_4.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_4.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_2.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_2.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y5nw.i_sw_so_x0y0e_L1_1.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y5nw.i_sw_so_x0y0e_L1_1.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y5nw.i_sw_so_x0y0e_L1_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_2.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_2.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_2.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_3.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_3.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_3.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_3.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_0.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_0.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_0.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_cbox_e0.i_sw_cu_x0y0s_L1_0.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_cbox_e0.i_sw_cu_x0y0s_L1_0.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x1y4.i_cbox_e0.i_sw_cu_x0y0s_L1_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_11.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_11.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_11.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_2.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_2.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_2.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_14.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_14.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_14.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x2y4sw.i_sw_so_u1y0n_L1_0.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_cbox_e0.i_sw_cu_x0y0n_L1_0.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_cbox_e0.i_sw_cu_x0y0n_L1_0.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_tile_x1y4.i_cbox_e0.i_sw_cu_x0y0n_L1_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y4nw.i_sw_so_x0y0e_L1_10.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y4nw.i_sw_so_x0y0e_L1_10.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x2y4nw.i_sw_so_x0y0e_L1_10.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x3y4nw.i_sw_so_x0y0e_L1_10.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y4nw.i_sw_so_x0y0e_L1_10.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x3y4nw.i_sw_so_x0y0e_L1_10.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_1.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_1.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_1.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y6se.i_sw_so_x0v1w_L1_0.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y6se.i_sw_so_x0v1w_L1_0.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x3y6se.i_sw_so_x0v1w_L1_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_0.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_0.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_0.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_0.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_0.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_0.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_10.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_10.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_10.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_6.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_6.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_6.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y3ne.i_sw_so_x0y0s_L1_6.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y3ne.i_sw_so_x0y0s_L1_6.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x1y3ne.i_sw_so_x0y0s_L1_6.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y3se.i_sw_so_x0v1w_L1_7.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y3se.i_sw_so_x0v1w_L1_7.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y3se.i_sw_so_x0v1w_L1_7.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x0y2ne.i_sw_so_x0y0s_L1_7.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x0y2ne.i_sw_so_x0y0s_L1_7.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x0y2ne.i_sw_so_x0y0s_L1_7.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y1nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y1nw.i_sw_so_x0y0e_L1_6.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y1nw.i_sw_so_x0y0e_L1_6.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y2sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y2sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x2y2sw.i_sw_so_u1y0n_L1_9.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y2nw.i_sw_so_x0y0e_L1_7.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y2nw.i_sw_so_x0y0e_L1_7.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x2y2nw.i_sw_so_x0y0e_L1_7.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x3y3sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y3sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x3y3sw.i_sw_so_u1y0n_L1_10.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y4se.i_sw_so_x0v1w_L1_9.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y4se.i_sw_so_x0v1w_L1_9.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x2y4se.i_sw_so_x0v1w_L1_9.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y4se.i_sw_so_x0v1w_L1_9.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y4se.i_sw_so_x0v1w_L1_9.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x1y4se.i_sw_so_x0v1w_L1_9.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_10.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_10.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_10.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0] != 3'h5) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0] == 3'h%h != 3'h5",
+                    `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x0y7ne.i_sw_so_x0y0s_L1_0.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x0y7ne.i_sw_so_x0y0s_L1_0.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x0y7ne.i_sw_so_x0y0s_L1_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_0.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_0.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_0.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_0.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_0.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_0.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x0y4ne.i_sw_so_x0y0s_L1_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_10.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_10.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_10.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_10.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_10.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x1y4ne.i_sw_so_x0y0s_L1_10.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_9.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_9.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x2y3nw.i_sw_so_x0y0e_L1_9.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x3y3nw.i_sw_so_x0y0e_L1_9.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y3nw.i_sw_so_x0y0e_L1_9.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x3y3nw.i_sw_so_x0y0e_L1_9.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_0.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_0.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y6se.i_sw_so_x0v1w_L1_11.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y6se.i_sw_so_x0v1w_L1_11.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x3y6se.i_sw_so_x0v1w_L1_11.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_11.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_11.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0] != 3'h6) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0] == 3'h%h != 3'h6",
+                    `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_12.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_10.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_10.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_10.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_11.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_11.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x2y3se.i_sw_so_x0v1w_L1_11.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y2ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y2ne.i_sw_so_x0y0s_L1_11.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x1y2ne.i_sw_so_x0y0s_L1_11.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y2se.i_sw_so_x0v1w_L1_0.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y2se.i_sw_so_x0v1w_L1_0.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y2se.i_sw_so_x0v1w_L1_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_1.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_1.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y2sw.i_sw_so_u1y0n_L1_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_1.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_1.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x1y3sw.i_sw_so_u1y0n_L1_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_1.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_1.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x1y4sw.i_sw_so_u1y0n_L1_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_tile_x1y4.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_7.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_cbox_e0.i_sw_cu_x0y0n_L1_7.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_cbox_e0.i_sw_cu_x0y0n_L1_7.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x3y4.i_cbox_e0.i_sw_cu_x0y0n_L1_7.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x3y5se.i_sw_so_x0v1w_L1_6.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y5se.i_sw_so_x0v1w_L1_6.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x3y5se.i_sw_so_x0v1w_L1_6.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_6.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_6.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_6.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_8.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_cbox_e0.i_sw_cu_x0y0s_L1_8.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_cbox_e0.i_sw_cu_x0y0s_L1_8.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x3y4.i_cbox_e0.i_sw_cu_x0y0s_L1_8.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_9.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_9.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_9.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_10.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_7.prog_data[2:0] != 3'h5) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_7.prog_data[2:0] == 3'h%h != 3'h5",
+                    `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_7.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_10.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x4y4nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y4nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x4y4nw.i_sw_so_x0y0e_L1_8.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_11.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x4y6se.i_sw_so_x0v1w_L1_10.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y6se.i_sw_so_x0v1w_L1_10.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x4y6se.i_sw_so_x0v1w_L1_10.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x3y6se.i_sw_so_x0v1w_L1_10.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y6se.i_sw_so_x0v1w_L1_10.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x3y6se.i_sw_so_x0v1w_L1_10.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_10.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_10.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x2y5ne.i_sw_so_x0y0s_L1_10.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_10.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_10.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_10.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0] != 3'h6) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0] == 3'h%h != 3'h6",
+                    `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_8.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_2.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_2.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x3y4ne.i_sw_so_x0y0s_L1_2.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_cbox_e0.i_sw_cu_x0y0s_L1_2.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_cbox_e0.i_sw_cu_x0y0s_L1_2.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x3y4.i_cbox_e0.i_sw_cu_x0y0s_L1_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_3.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_3.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x3y4se.i_sw_so_x0v1w_L1_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_3.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_3.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x2y3ne.i_sw_so_x0y0s_L1_3.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y2nw.i_sw_so_x0y0e_L1_2.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y2nw.i_sw_so_x0y0e_L1_2.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x3y2nw.i_sw_so_x0y0e_L1_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x4y3sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y3sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x4y3sw.i_sw_so_u1y0n_L1_5.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x4y3nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y3nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x4y3nw.i_sw_so_x0y0e_L1_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x5y4sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x5y4sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x5y4sw.i_sw_so_u1y0n_L1_6.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x4y5se.i_sw_so_x0v1w_L1_5.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y5se.i_sw_so_x0v1w_L1_5.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x4y5se.i_sw_so_x0v1w_L1_5.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x3y5se.i_sw_so_x0v1w_L1_5.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y5se.i_sw_so_x0v1w_L1_5.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x3y5se.i_sw_so_x0v1w_L1_5.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_5.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_5.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x2y4ne.i_sw_so_x0y0s_L1_5.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_11.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_11.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_11.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_3.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_3.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x4y4sw.i_sw_so_u1y0n_L1_3.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x4y4nw.i_sw_so_x0y0e_L1_1.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y4nw.i_sw_so_x0y0e_L1_1.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x4y4nw.i_sw_so_x0y0e_L1_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x4y4ne.i_sw_so_x0y0s_L1_2.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y4ne.i_sw_so_x0y0s_L1_2.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x4y4ne.i_sw_so_x0y0s_L1_2.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x4y4se.i_sw_so_x0v1w_L1_3.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y4se.i_sw_so_x0v1w_L1_3.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x4y4se.i_sw_so_x0v1w_L1_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x3y3ne.i_sw_so_x0y0s_L1_3.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y3ne.i_sw_so_x0y0s_L1_3.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x3y3ne.i_sw_so_x0y0s_L1_3.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y3se.i_sw_so_x0v1w_L1_4.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y3se.i_sw_so_x0v1w_L1_4.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x3y3se.i_sw_so_x0v1w_L1_4.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x2y2ne.i_sw_so_x0y0s_L1_4.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y2ne.i_sw_so_x0y0s_L1_4.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x2y2ne.i_sw_so_x0y0s_L1_4.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y1nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y1nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x3y1nw.i_sw_so_x0y0e_L1_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x4y2sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y2sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x4y2sw.i_sw_so_u1y0n_L1_6.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y3se.i_sw_so_x0v1w_L1_5.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y3se.i_sw_so_x0v1w_L1_5.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x3y3se.i_sw_so_x0v1w_L1_5.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x3y3sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y3sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x3y3sw.i_sw_so_u1y0n_L1_6.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x3y4sw.i_sw_so_u1y0n_L1_6.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_tile_x3y4.i_cbox_w0.i_sw_bp_x0y0i0_in_13.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x5y5ne.i_sw_so_x0y0s_L1_5.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x5y5ne.i_sw_so_x0y0s_L1_5.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x5y5ne.i_sw_so_x0y0s_L1_5.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x5y5se.i_sw_so_x0v1w_L1_6.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x5y5se.i_sw_so_x0v1w_L1_6.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x5y5se.i_sw_so_x0v1w_L1_6.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x4y5se.i_sw_so_x0v1w_L1_6.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y5se.i_sw_so_x0v1w_L1_6.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x4y5se.i_sw_so_x0v1w_L1_6.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_7.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_5.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_5.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_5.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_6.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_6.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_6.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_2.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_7.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_7.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_15.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_15.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_15.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_5.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x6y6sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x6y6sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x6y6sw.i_sw_so_u1y0n_L1_5.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x6y7sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x6y7sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x6y7sw.i_sw_so_u1y0n_L1_5.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x6y7nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x6y7nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x6y7nw.i_sw_so_x0y0e_L1_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x7y8sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x7y8sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x7y8sw.i_sw_so_u1y0n_L1_6.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x7y8nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x7y8nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x7y8nw.i_sw_so_x0y0e_L1_4.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x7y9.i_cbox_s0.i_sw_bp_x0y0i0_outpad.prog_data[3:0] != 4'h5) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x7y9.i_cbox_s0.i_sw_bp_x0y0i0_outpad.prog_data[3:0] == 4'h%h != 4'h5",
+                    `DUT.i_tile_x7y9.i_cbox_s0.i_sw_bp_x0y0i0_outpad.prog_data[3:0]);
+        end
+
+        if (`DUT.i_sbox_x5y5ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x5y5ne.i_sw_so_x0y0s_L1_8.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x5y5ne.i_sw_so_x0y0s_L1_8.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_cbox_e0.i_sw_cu_x0y0s_L1_8.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_cbox_e0.i_sw_cu_x0y0s_L1_8.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x5y5.i_cbox_e0.i_sw_cu_x0y0s_L1_8.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x5y5se.i_sw_so_x0v1w_L1_9.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x5y5se.i_sw_so_x0v1w_L1_9.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x5y5se.i_sw_so_x0v1w_L1_9.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x4y5se.i_sw_so_x0v1w_L1_9.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y5se.i_sw_so_x0v1w_L1_9.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x4y5se.i_sw_so_x0v1w_L1_9.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_10.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_8.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_9.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_9.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_9.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_3.prog_data[2:0] != 3'h6) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_3.prog_data[2:0] == 3'h%h != 3'h6",
+                    `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_3.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x6y4nw.i_sw_so_x0y0e_L1_7.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x6y4nw.i_sw_so_x0y0e_L1_7.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x6y4nw.i_sw_so_x0y0e_L1_7.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x7y5sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x7y5sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x7y5sw.i_sw_so_u1y0n_L1_10.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x7y6sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x7y6sw.i_sw_so_u1y0n_L1_10.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x7y6sw.i_sw_so_u1y0n_L1_10.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x7y6nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x7y6nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x7y6nw.i_sw_so_x0y0e_L1_8.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x8y6nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x8y6nw.i_sw_so_x0y0e_L1_8.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x8y6nw.i_sw_so_x0y0e_L1_8.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x9y7sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x9y7sw.i_sw_so_u1y0n_L1_11.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x9y7sw.i_sw_so_u1y0n_L1_11.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x9y7.i_cbox_w0.i_sw_bp_x0y0i1_outpad.prog_data[3:0] != 4'hb) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x9y7.i_cbox_w0.i_sw_bp_x0y0i1_outpad.prog_data[3:0] == 4'h%h != 4'hb",
+                    `DUT.i_tile_x9y7.i_cbox_w0.i_sw_bp_x0y0i1_outpad.prog_data[3:0]);
+        end
+
+        if (`DUT.i_sbox_x5y5ne.i_sw_so_x0y0s_L1_2.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x5y5ne.i_sw_so_x0y0s_L1_2.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x5y5ne.i_sw_so_x0y0s_L1_2.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_cbox_e0.i_sw_cu_x0y0s_L1_2.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_cbox_e0.i_sw_cu_x0y0s_L1_2.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x5y5.i_cbox_e0.i_sw_cu_x0y0s_L1_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x5y5se.i_sw_so_x0v1w_L1_3.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x5y5se.i_sw_so_x0v1w_L1_3.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x5y5se.i_sw_so_x0v1w_L1_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x4y5se.i_sw_so_x0v1w_L1_3.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y5se.i_sw_so_x0v1w_L1_3.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x4y5se.i_sw_so_x0v1w_L1_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x4y5sw.i_sw_so_u1y0n_L1_4.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_2.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_2.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x4y5nw.i_sw_so_x0y0e_L1_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_3.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_3.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x4y5ne.i_sw_so_x0y0s_L1_3.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_10.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_10.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_10.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_sbox_x5y5sw.i_sw_so_u1y0n_L1_4.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_4.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_4.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_tile_x5y5.i_cbox_w0.i_sw_bp_x0y0i0_in_4.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x6y4nw.i_sw_so_x0y0e_L1_1.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x6y4nw.i_sw_so_x0y0e_L1_1.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x6y4nw.i_sw_so_x0y0e_L1_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x7y5sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x7y5sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x7y5sw.i_sw_so_u1y0n_L1_4.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x7y5nw.i_sw_so_x0y0e_L1_2.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x7y5nw.i_sw_so_x0y0e_L1_2.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x7y5nw.i_sw_so_x0y0e_L1_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x8y6sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x8y6sw.i_sw_so_u1y0n_L1_5.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x8y6sw.i_sw_so_u1y0n_L1_5.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x8y6nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x8y6nw.i_sw_so_x0y0e_L1_3.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x8y6nw.i_sw_so_x0y0e_L1_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x9y7sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x9y7sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x9y7sw.i_sw_so_u1y0n_L1_6.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x9y7.i_cbox_w0.i_sw_bp_x0y0i0_outpad.prog_data[3:0] != 4'h7) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x9y7.i_cbox_w0.i_sw_bp_x0y0i0_outpad.prog_data[3:0] == 4'h%h != 4'h7",
+                    `DUT.i_tile_x9y7.i_cbox_w0.i_sw_bp_x0y0i0_outpad.prog_data[3:0]);
+        end
+
+        if (`DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_6.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x6y6sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x6y6sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x6y6sw.i_sw_so_u1y0n_L1_6.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x6y7sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x6y7sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x6y7sw.i_sw_so_u1y0n_L1_6.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x6y8sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x6y8sw.i_sw_so_u1y0n_L1_6.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x6y8sw.i_sw_so_u1y0n_L1_6.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x6y8nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x6y8nw.i_sw_so_x0y0e_L1_4.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x6y8nw.i_sw_so_x0y0e_L1_4.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x6y9.i_cbox_s0.i_sw_bp_x0y0i0_outpad.prog_data[3:0] != 4'h5) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x6y9.i_cbox_s0.i_sw_bp_x0y0i0_outpad.prog_data[3:0] == 4'h%h != 4'h5",
+                    `DUT.i_tile_x6y9.i_cbox_s0.i_sw_bp_x0y0i0_outpad.prog_data[3:0]);
+        end
+
+        if (`DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_9.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x6y6sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x6y6sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x6y6sw.i_sw_so_u1y0n_L1_9.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x6y7sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x6y7sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x6y7sw.i_sw_so_u1y0n_L1_9.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x6y8sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x6y8sw.i_sw_so_u1y0n_L1_9.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x6y8sw.i_sw_so_u1y0n_L1_9.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x5y9se.i_sw_so_x0v1w_L1_8.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x5y9se.i_sw_so_x0v1w_L1_8.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x5y9se.i_sw_so_x0v1w_L1_8.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x5y9.i_cbox_s0.i_sw_bp_x0y0i0_outpad.prog_data[3:0] != 4'ha) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y9.i_cbox_s0.i_sw_bp_x0y0i0_outpad.prog_data[3:0] == 4'h%h != 4'ha",
+                    `DUT.i_tile_x5y9.i_cbox_s0.i_sw_bp_x0y0i0_outpad.prog_data[3:0]);
+        end
+
+        if (`DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_3.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_3.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_3.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x6y5nw.i_sw_so_x0y0e_L1_1.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x6y5nw.i_sw_so_x0y0e_L1_1.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x6y5nw.i_sw_so_x0y0e_L1_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x7y5nw.i_sw_so_x0y0e_L1_1.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x7y5nw.i_sw_so_x0y0e_L1_1.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x7y5nw.i_sw_so_x0y0e_L1_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x8y5nw.i_sw_so_x0y0e_L1_1.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x8y5nw.i_sw_so_x0y0e_L1_1.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x8y5nw.i_sw_so_x0y0e_L1_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x9y6sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x9y6sw.i_sw_so_u1y0n_L1_4.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x9y6sw.i_sw_so_u1y0n_L1_4.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x9y6.i_cbox_w0.i_sw_bp_x0y0i0_outpad.prog_data[3:0] != 4'h5) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x9y6.i_cbox_w0.i_sw_bp_x0y0i0_outpad.prog_data[3:0] == 4'h%h != 4'h5",
+                    `DUT.i_tile_x9y6.i_cbox_w0.i_sw_bp_x0y0i0_outpad.prog_data[3:0]);
+        end
+
+        if (`DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] != 3'h4) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] == 3'h%h != 3'h4",
+                    `DUT.i_sbox_x6y5sw.i_sw_so_u1y0n_L1_0.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x5y5.i_cbox_e0.i_sw_cu_x0y0n_L1_0.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x5y5.i_cbox_e0.i_sw_cu_x0y0n_L1_0.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_tile_x5y5.i_cbox_e0.i_sw_cu_x0y0n_L1_0.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x6y6sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] != 3'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x6y6sw.i_sw_so_u1y0n_L1_0.prog_data[2:0] == 3'h%h != 3'h1",
+                    `DUT.i_sbox_x6y6sw.i_sw_so_u1y0n_L1_0.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x6y6nw.i_sw_so_x0y0e_L1_10.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x6y6nw.i_sw_so_x0y0e_L1_10.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x6y6nw.i_sw_so_x0y0e_L1_10.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x7y7sw.i_sw_so_u1y0n_L1_1.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x7y7sw.i_sw_so_u1y0n_L1_1.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x7y7sw.i_sw_so_u1y0n_L1_1.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x7y7nw.i_sw_so_x0y0e_L1_11.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x7y7nw.i_sw_so_x0y0e_L1_11.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x7y7nw.i_sw_so_x0y0e_L1_11.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x8y7nw.i_sw_so_x0y0e_L1_11.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x8y7nw.i_sw_so_x0y0e_L1_11.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x8y7nw.i_sw_so_x0y0e_L1_11.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x9y8sw.i_sw_so_u1y0n_L1_2.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x9y8sw.i_sw_so_u1y0n_L1_2.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x9y8sw.i_sw_so_u1y0n_L1_2.prog_data[2:0]);
+        end
+
+        if (`DUT.i_tile_x9y8.i_cbox_w0.i_sw_bp_x0y0i0_outpad.prog_data[3:0] != 4'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x9y8.i_cbox_w0.i_sw_bp_x0y0i0_outpad.prog_data[3:0] == 4'h%h != 4'h3",
+                    `DUT.i_tile_x9y8.i_cbox_w0.i_sw_bp_x0y0i0_outpad.prog_data[3:0]);
+        end
+
+        if (`DUT.i_sbox_x2y9se.i_sw_so_x0v1w_L1_3.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y9se.i_sw_so_x0v1w_L1_3.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x2y9se.i_sw_so_x0v1w_L1_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y9se.i_sw_so_x0v1w_L1_3.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y9se.i_sw_so_x0v1w_L1_3.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y9se.i_sw_so_x0v1w_L1_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x0y8ne.i_sw_so_x0y0s_L1_3.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x0y8ne.i_sw_so_x0y0s_L1_3.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x0y8ne.i_sw_so_x0y0s_L1_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x0y7ne.i_sw_so_x0y0s_L1_3.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x0y7ne.i_sw_so_x0y0s_L1_3.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x0y7ne.i_sw_so_x0y0s_L1_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_3.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_3.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_3.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_3.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_1.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y8nw.i_sw_so_x0y0e_L1_0.prog_data[0:0] != 1'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y8nw.i_sw_so_x0y0e_L1_0.prog_data[0:0] == 1'h%h != 1'h1",
+                    `DUT.i_sbox_x1y8nw.i_sw_so_x0y0e_L1_0.prog_data[0:0]);
+        end
+
+        if (`DUT.i_sbox_x1y8ne.i_sw_so_x0y0s_L1_1.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y8ne.i_sw_so_x0y0s_L1_1.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x1y8ne.i_sw_so_x0y0s_L1_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y7ne.i_sw_so_x0y0s_L1_1.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y7ne.i_sw_so_x0y0s_L1_1.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y7ne.i_sw_so_x0y0s_L1_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y6ne.i_sw_so_x0y0s_L1_1.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y6ne.i_sw_so_x0y0s_L1_1.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y6ne.i_sw_so_x0y0s_L1_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_1.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_1.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y5ne.i_sw_so_x0y0s_L1_1.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_2.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_2.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y5se.i_sw_so_x0v1w_L1_2.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_3.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_3.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y5sw.i_sw_so_u1y0n_L1_3.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0] != 3'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0] == 3'h%h != 3'h3",
+                    `DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_5.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x2y9se.i_sw_so_x0v1w_L1_10.prog_data[1:0] != 2'h3) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x2y9se.i_sw_so_x0v1w_L1_10.prog_data[1:0] == 2'h%h != 2'h3",
+                    `DUT.i_sbox_x2y9se.i_sw_so_x0v1w_L1_10.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y8ne.i_sw_so_x0y0s_L1_10.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y8ne.i_sw_so_x0y0s_L1_10.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y8ne.i_sw_so_x0y0s_L1_10.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x1y7ne.i_sw_so_x0y0s_L1_10.prog_data[2:0] != 3'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y7ne.i_sw_so_x0y0s_L1_10.prog_data[2:0] == 3'h%h != 3'h2",
+                    `DUT.i_sbox_x1y7ne.i_sw_so_x0y0s_L1_10.prog_data[2:0]);
+        end
+
+        if (`DUT.i_sbox_x1y7se.i_sw_so_x0v1w_L1_11.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x1y7se.i_sw_so_x0v1w_L1_11.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x1y7se.i_sw_so_x0v1w_L1_11.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_11.prog_data[1:0] != 2'h2) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_11.prog_data[1:0] == 2'h%h != 2'h2",
+                    `DUT.i_sbox_x0y6ne.i_sw_so_x0y0s_L1_11.prog_data[1:0]);
+        end
+
+        if (`DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_11.prog_data[1:0] != 2'h1) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_11.prog_data[1:0] == 2'h%h != 2'h1",
+                    `DUT.i_sbox_x0y5ne.i_sw_so_x0y0s_L1_11.prog_data[1:0]);
+        end
+
+        if (`DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_6.prog_data[2:0] != 3'h6) begin
+            fail = 1'b1;
+            $display("[ERROR] `DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_6.prog_data[2:0] == 3'h%h != 3'h6",
+                    `DUT.i_tile_x1y5.i_cbox_w0.i_sw_bp_x0y0i0_in_6.prog_data[2:0]);
+        end
+
+        if (fail) begin
+            $display("[ERROR] Magic bitstream check failed. See ERRORs above.");
+            $finish;
+        end else begin
+            $display("[INFO] Magic bitstream check passed. The bitstream seems to be loaded correctly.");
+        end
+
+    end
+
+endmodule
diff --git a/verilog/dv/prga/prga_bitstream_loader.v b/verilog/dv/prga/prga_bitstream_loader.v
index 771af8d..50811e1 100644
--- a/verilog/dv/prga/prga_bitstream_loader.v
+++ b/verilog/dv/prga/prga_bitstream_loader.v
@@ -132,6 +132,9 @@
         end
     end
 
+    // Magic checker
+    prga_magic_bitstream_checker i_checker ();
+
     // tb prog_done
     reg [31:0]  prog_done_cnt;
 
diff --git a/verilog/dv/prga/prga_tb.v b/verilog/dv/prga/prga_tb.v
index 735ee1c..1c327c4 100644
--- a/verilog/dv/prga/prga_tb.v
+++ b/verilog/dv/prga/prga_tb.v
@@ -23,6 +23,7 @@
 	reg CSB;
 	reg power1, power2;
 	reg power3, power4;
+    reg f_tb_rst;
 
 	wire gpio;
 	wire [37:0] mprj_io;
@@ -62,10 +63,13 @@
 	initial begin
 		RSTB = 1'b0;
 		CSB  = 1'b1;		// Force CSB high
+        f_tb_rst = 1'b1;
 		#2000;
 		RSTB = 1'b1;	    	// Release reset
 		#300000;
 		CSB = 1'b0;		// CSB can be released
+        #10000;
+        f_tb_rst = 1'b0;
 	end
 
 	initial begin		// Power-up sequence
@@ -139,9 +143,6 @@
     // -----------------------------------------------------------------------
     // -- PRGA Testing -------------------------------------------------------
     // -----------------------------------------------------------------------
-    wire f_tb_rst;
-    assign f_tb_rst = CSB || !gpio;
-
     wire w_tb_pass, w_tb_fail, w_tb_prog_done;
 
     // Logging
diff --git a/verilog/rtl/user_project_wrapper.v b/verilog/rtl/user_project_wrapper.v
index 153f572..56a1d3a 100644
--- a/verilog/rtl/user_project_wrapper.v
+++ b/verilog/rtl/user_project_wrapper.v
@@ -649,6 +649,9 @@
    
    //~
    // FPGA instance   
+`define IPIN(x) ipin_``x``
+`define OPIN(x) opin_``x``
+`define OE(x)     oe_``x``
    
    top dut (
 `ifdef USE_POWER_PINS
@@ -656,9 +659,9 @@
 	    .vssd1(vssd1),	// User area 1 digital ground
 `endif
 `ifdef MPRJ_IO_37_IO
-         .ipin_`MPRJ_IO_37_CONN ( io_in[37])
-        ,.opin_`MPRJ_IO_37_CONN (io_out[37])
-        ,  .oe_`MPRJ_IO_37_CONN (io_oeb[37])
+         .`IPIN(`MPRJ_IO_37_CONN) ( io_in[37])
+        ,.`OPIN(`MPRJ_IO_37_CONN) (io_out[37])
+        ,  .`OE(`MPRJ_IO_37_CONN) (io_oeb[37])
 `else /* `ifdef MPRJ_IO_37_IO */
 `ifdef MPRJ_IO_37_I
          .`MPRJ_IO_37_CONN ( io_in[37])
@@ -668,9 +671,9 @@
 `endif /* `ifdef MPRJ_IO_37_IO */
 
 `ifdef MPRJ_IO_36_IO
-        ,.ipin_`MPRJ_IO_36_CONN ( io_in[36])
-        ,.opin_`MPRJ_IO_36_CONN (io_out[36])
-        ,  .oe_`MPRJ_IO_36_CONN (io_oeb[36])
+        ,.`IPIN(`MPRJ_IO_36_CONN) ( io_in[36])
+        ,.`OPIN(`MPRJ_IO_36_CONN) (io_out[36])
+        ,  .`OE(`MPRJ_IO_36_CONN) (io_oeb[36])
 `else /* `ifdef MPRJ_IO_36_IO */
 `ifdef MPRJ_IO_36_I
         ,.`MPRJ_IO_36_CONN ( io_in[36])
@@ -680,9 +683,9 @@
 `endif /* `ifdef MPRJ_IO_36_IO */
 
 `ifdef MPRJ_IO_35_IO
-        ,.ipin_`MPRJ_IO_35_CONN ( io_in[35])
-        ,.opin_`MPRJ_IO_35_CONN (io_out[35])
-        ,  .oe_`MPRJ_IO_35_CONN (io_oeb[35])
+        ,.`IPIN(`MPRJ_IO_35_CONN) ( io_in[35])
+        ,.`OPIN(`MPRJ_IO_35_CONN) (io_out[35])
+        ,  .`OE(`MPRJ_IO_35_CONN) (io_oeb[35])
 `else /* `ifdef MPRJ_IO_35_IO */
 `ifdef MPRJ_IO_35_I
         ,.`MPRJ_IO_35_CONN ( io_in[35])
@@ -692,9 +695,9 @@
 `endif /* `ifdef MPRJ_IO_35_IO */
 
 `ifdef MPRJ_IO_34_IO
-        ,.ipin_`MPRJ_IO_34_CONN ( io_in[34])
-        ,.opin_`MPRJ_IO_34_CONN (io_out[34])
-        ,  .oe_`MPRJ_IO_34_CONN (io_oeb[34])
+        ,.`IPIN(`MPRJ_IO_34_CONN) ( io_in[34])
+        ,.`OPIN(`MPRJ_IO_34_CONN) (io_out[34])
+        ,  .`OE(`MPRJ_IO_34_CONN) (io_oeb[34])
 `else /* `ifdef MPRJ_IO_34_IO */
 `ifdef MPRJ_IO_34_I
         ,.`MPRJ_IO_34_CONN ( io_in[34])
@@ -704,9 +707,9 @@
 `endif /* `ifdef MPRJ_IO_34_IO */
 
 `ifdef MPRJ_IO_33_IO
-        ,.ipin_`MPRJ_IO_33_CONN ( io_in[33])
-        ,.opin_`MPRJ_IO_33_CONN (io_out[33])
-        ,  .oe_`MPRJ_IO_33_CONN (io_oeb[33])
+        ,.`IPIN(`MPRJ_IO_33_CONN) ( io_in[33])
+        ,.`OPIN(`MPRJ_IO_33_CONN) (io_out[33])
+        ,  .`OE(`MPRJ_IO_33_CONN) (io_oeb[33])
 `else /* `ifdef MPRJ_IO_33_IO */
 `ifdef MPRJ_IO_33_I
         ,.`MPRJ_IO_33_CONN ( io_in[33])
@@ -716,9 +719,9 @@
 `endif /* `ifdef MPRJ_IO_33_IO */
 
 `ifdef MPRJ_IO_32_IO
-        ,.ipin_`MPRJ_IO_32_CONN ( io_in[32])
-        ,.opin_`MPRJ_IO_32_CONN (io_out[32])
-        ,  .oe_`MPRJ_IO_32_CONN (io_oeb[32])
+        ,.`IPIN(`MPRJ_IO_32_CONN) ( io_in[32])
+        ,.`OPIN(`MPRJ_IO_32_CONN) (io_out[32])
+        ,  .`OE(`MPRJ_IO_32_CONN) (io_oeb[32])
 `else /* `ifdef MPRJ_IO_32_IO */
 `ifdef MPRJ_IO_32_I
         ,.`MPRJ_IO_32_CONN ( io_in[32])
@@ -728,9 +731,9 @@
 `endif /* `ifdef MPRJ_IO_32_IO */
 
 `ifdef MPRJ_IO_31_IO
-        ,.ipin_`MPRJ_IO_31_CONN ( io_in[31])
-        ,.opin_`MPRJ_IO_31_CONN (io_out[31])
-        ,  .oe_`MPRJ_IO_31_CONN (io_oeb[31])
+        ,.`IPIN(`MPRJ_IO_31_CONN) ( io_in[31])
+        ,.`OPIN(`MPRJ_IO_31_CONN) (io_out[31])
+        ,  .`OE(`MPRJ_IO_31_CONN) (io_oeb[31])
 `else /* `ifdef MPRJ_IO_31_IO */
 `ifdef MPRJ_IO_31_I
         ,.`MPRJ_IO_31_CONN ( io_in[31])
@@ -740,9 +743,9 @@
 `endif /* `ifdef MPRJ_IO_31_IO */
 
 `ifdef MPRJ_IO_30_IO
-        ,.ipin_`MPRJ_IO_30_CONN ( io_in[30])
-        ,.opin_`MPRJ_IO_30_CONN (io_out[30])
-        ,  .oe_`MPRJ_IO_30_CONN (io_oeb[30])
+        ,.`IPIN(`MPRJ_IO_30_CONN) ( io_in[30])
+        ,.`OPIN(`MPRJ_IO_30_CONN) (io_out[30])
+        ,  .`OE(`MPRJ_IO_30_CONN) (io_oeb[30])
 `else /* `ifdef MPRJ_IO_30_IO */
 `ifdef MPRJ_IO_30_I
         ,.`MPRJ_IO_30_CONN ( io_in[30])
@@ -752,9 +755,9 @@
 `endif /* `ifdef MPRJ_IO_30_IO */
 
 `ifdef MPRJ_IO_29_IO
-        ,.ipin_`MPRJ_IO_29_CONN ( io_in[29])
-        ,.opin_`MPRJ_IO_29_CONN (io_out[29])
-        ,  .oe_`MPRJ_IO_29_CONN (io_oeb[29])
+        ,.`IPIN(`MPRJ_IO_29_CONN) ( io_in[29])
+        ,.`OPIN(`MPRJ_IO_29_CONN) (io_out[29])
+        ,  .`OE(`MPRJ_IO_29_CONN) (io_oeb[29])
 `else /* `ifdef MPRJ_IO_29_IO */
 `ifdef MPRJ_IO_29_I
         ,.`MPRJ_IO_29_CONN ( io_in[29])
@@ -764,9 +767,9 @@
 `endif /* `ifdef MPRJ_IO_29_IO */
 
 `ifdef MPRJ_IO_28_IO
-        ,.ipin_`MPRJ_IO_28_CONN ( io_in[28])
-        ,.opin_`MPRJ_IO_28_CONN (io_out[28])
-        ,  .oe_`MPRJ_IO_28_CONN (io_oeb[28])
+        ,.`IPIN(`MPRJ_IO_28_CONN) ( io_in[28])
+        ,.`OPIN(`MPRJ_IO_28_CONN) (io_out[28])
+        ,  .`OE(`MPRJ_IO_28_CONN) (io_oeb[28])
 `else /* `ifdef MPRJ_IO_28_IO */
 `ifdef MPRJ_IO_28_I
         ,.`MPRJ_IO_28_CONN ( io_in[28])
@@ -776,9 +779,9 @@
 `endif /* `ifdef MPRJ_IO_28_IO */
 
 `ifdef MPRJ_IO_27_IO
-        ,.ipin_`MPRJ_IO_27_CONN ( io_in[27])
-        ,.opin_`MPRJ_IO_27_CONN (io_out[27])
-        ,  .oe_`MPRJ_IO_27_CONN (io_oeb[27])
+        ,.`IPIN(`MPRJ_IO_27_CONN) ( io_in[27])
+        ,.`OPIN(`MPRJ_IO_27_CONN) (io_out[27])
+        ,  .`OE(`MPRJ_IO_27_CONN) (io_oeb[27])
 `else /* `ifdef MPRJ_IO_27_IO */
 `ifdef MPRJ_IO_27_I
         ,.`MPRJ_IO_27_CONN ( io_in[27])
@@ -788,9 +791,9 @@
 `endif /* `ifdef MPRJ_IO_27_IO */
 
 `ifdef MPRJ_IO_26_IO
-        ,.ipin_`MPRJ_IO_26_CONN ( io_in[26])
-        ,.opin_`MPRJ_IO_26_CONN (io_out[26])
-        ,  .oe_`MPRJ_IO_26_CONN (io_oeb[26])
+        ,.`IPIN(`MPRJ_IO_26_CONN) ( io_in[26])
+        ,.`OPIN(`MPRJ_IO_26_CONN) (io_out[26])
+        ,  .`OE(`MPRJ_IO_26_CONN) (io_oeb[26])
 `else /* `ifdef MPRJ_IO_26_IO */
 `ifdef MPRJ_IO_26_I
         ,.`MPRJ_IO_26_CONN ( io_in[26])
@@ -800,9 +803,9 @@
 `endif /* `ifdef MPRJ_IO_26_IO */
 
 `ifdef MPRJ_IO_25_IO
-        ,.ipin_`MPRJ_IO_25_CONN ( io_in[25])
-        ,.opin_`MPRJ_IO_25_CONN (io_out[25])
-        ,  .oe_`MPRJ_IO_25_CONN (io_oeb[25])
+        ,.`IPIN(`MPRJ_IO_25_CONN) ( io_in[25])
+        ,.`OPIN(`MPRJ_IO_25_CONN) (io_out[25])
+        ,  .`OE(`MPRJ_IO_25_CONN) (io_oeb[25])
 `else /* `ifdef MPRJ_IO_25_IO */
 `ifdef MPRJ_IO_25_I
         ,.`MPRJ_IO_25_CONN ( io_in[25])
@@ -812,9 +815,9 @@
 `endif /* `ifdef MPRJ_IO_25_IO */
 
 `ifdef MPRJ_IO_24_IO
-        ,.ipin_`MPRJ_IO_24_CONN ( io_in[24])
-        ,.opin_`MPRJ_IO_24_CONN (io_out[24])
-        ,  .oe_`MPRJ_IO_24_CONN (io_oeb[24])
+        ,.`IPIN(`MPRJ_IO_24_CONN) ( io_in[24])
+        ,.`OPIN(`MPRJ_IO_24_CONN) (io_out[24])
+        ,  .`OE(`MPRJ_IO_24_CONN) (io_oeb[24])
 `else /* `ifdef MPRJ_IO_24_IO */
 `ifdef MPRJ_IO_24_I
         ,.`MPRJ_IO_24_CONN ( io_in[24])
@@ -824,9 +827,9 @@
 `endif /* `ifdef MPRJ_IO_24_IO */
 
 `ifdef MPRJ_IO_23_IO
-        ,.ipin_`MPRJ_IO_23_CONN ( io_in[23])
-        ,.opin_`MPRJ_IO_23_CONN (io_out[23])
-        ,  .oe_`MPRJ_IO_23_CONN (io_oeb[23])
+        ,.`IPIN(`MPRJ_IO_23_CONN) ( io_in[23])
+        ,.`OPIN(`MPRJ_IO_23_CONN) (io_out[23])
+        ,  .`OE(`MPRJ_IO_23_CONN) (io_oeb[23])
 `else /* `ifdef MPRJ_IO_23_IO */
 `ifdef MPRJ_IO_23_I
         ,.`MPRJ_IO_23_CONN ( io_in[23])
@@ -836,9 +839,9 @@
 `endif /* `ifdef MPRJ_IO_23_IO */
 
 `ifdef MPRJ_IO_22_IO
-        ,.ipin_`MPRJ_IO_22_CONN ( io_in[22])
-        ,.opin_`MPRJ_IO_22_CONN (io_out[22])
-        ,  .oe_`MPRJ_IO_22_CONN (io_oeb[22])
+        ,.`IPIN(`MPRJ_IO_22_CONN) ( io_in[22])
+        ,.`OPIN(`MPRJ_IO_22_CONN) (io_out[22])
+        ,  .`OE(`MPRJ_IO_22_CONN) (io_oeb[22])
 `else /* `ifdef MPRJ_IO_22_IO */
 `ifdef MPRJ_IO_22_I
         ,.`MPRJ_IO_22_CONN ( io_in[22])
@@ -848,9 +851,9 @@
 `endif /* `ifdef MPRJ_IO_22_IO */
 
 `ifdef MPRJ_IO_21_IO
-        ,.ipin_`MPRJ_IO_21_CONN ( io_in[21])
-        ,.opin_`MPRJ_IO_21_CONN (io_out[21])
-        ,  .oe_`MPRJ_IO_21_CONN (io_oeb[21])
+        ,.`IPIN(`MPRJ_IO_21_CONN) ( io_in[21])
+        ,.`OPIN(`MPRJ_IO_21_CONN) (io_out[21])
+        ,  .`OE(`MPRJ_IO_21_CONN) (io_oeb[21])
 `else /* `ifdef MPRJ_IO_21_IO */
 `ifdef MPRJ_IO_21_I
         ,.`MPRJ_IO_21_CONN ( io_in[21])
@@ -860,9 +863,9 @@
 `endif /* `ifdef MPRJ_IO_21_IO */
 
 `ifdef MPRJ_IO_20_IO
-        ,.ipin_`MPRJ_IO_20_CONN ( io_in[20])
-        ,.opin_`MPRJ_IO_20_CONN (io_out[20])
-        ,  .oe_`MPRJ_IO_20_CONN (io_oeb[20])
+        ,.`IPIN(`MPRJ_IO_20_CONN) ( io_in[20])
+        ,.`OPIN(`MPRJ_IO_20_CONN) (io_out[20])
+        ,  .`OE(`MPRJ_IO_20_CONN) (io_oeb[20])
 `else /* `ifdef MPRJ_IO_20_IO */
 `ifdef MPRJ_IO_20_I
         ,.`MPRJ_IO_20_CONN ( io_in[20])
@@ -872,9 +875,9 @@
 `endif /* `ifdef MPRJ_IO_20_IO */
 
 `ifdef MPRJ_IO_19_IO
-        ,.ipin_`MPRJ_IO_19_CONN ( io_in[19])
-        ,.opin_`MPRJ_IO_19_CONN (io_out[19])
-        ,  .oe_`MPRJ_IO_19_CONN (io_oeb[19])
+        ,.`IPIN(`MPRJ_IO_19_CONN) ( io_in[19])
+        ,.`OPIN(`MPRJ_IO_19_CONN) (io_out[19])
+        ,  .`OE(`MPRJ_IO_19_CONN) (io_oeb[19])
 `else /* `ifdef MPRJ_IO_19_IO */
 `ifdef MPRJ_IO_19_I
         ,.`MPRJ_IO_19_CONN ( io_in[19])
@@ -884,9 +887,9 @@
 `endif /* `ifdef MPRJ_IO_19_IO */
 
 `ifdef MPRJ_IO_18_IO
-        ,.ipin_`MPRJ_IO_18_CONN ( io_in[18])
-        ,.opin_`MPRJ_IO_18_CONN (io_out[18])
-        ,  .oe_`MPRJ_IO_18_CONN (io_oeb[18])
+        ,.`IPIN(`MPRJ_IO_18_CONN) ( io_in[18])
+        ,.`OPIN(`MPRJ_IO_18_CONN) (io_out[18])
+        ,  .`OE(`MPRJ_IO_18_CONN) (io_oeb[18])
 `else /* `ifdef MPRJ_IO_18_IO */
 `ifdef MPRJ_IO_18_I
         ,.`MPRJ_IO_18_CONN ( io_in[18])
@@ -896,9 +899,9 @@
 `endif /* `ifdef MPRJ_IO_18_IO */
 
 `ifdef MPRJ_IO_17_IO
-        ,.ipin_`MPRJ_IO_17_CONN ( io_in[17])
-        ,.opin_`MPRJ_IO_17_CONN (io_out[17])
-        ,  .oe_`MPRJ_IO_17_CONN (io_oeb[17])
+        ,.`IPIN(`MPRJ_IO_17_CONN) ( io_in[17])
+        ,.`OPIN(`MPRJ_IO_17_CONN) (io_out[17])
+        ,  .`OE(`MPRJ_IO_17_CONN) (io_oeb[17])
 `else /* `ifdef MPRJ_IO_17_IO */
 `ifdef MPRJ_IO_17_I
         ,.`MPRJ_IO_17_CONN ( io_in[17])
@@ -908,9 +911,9 @@
 `endif /* `ifdef MPRJ_IO_17_IO */
 
 `ifdef MPRJ_IO_16_IO
-        ,.ipin_`MPRJ_IO_16_CONN ( io_in[16])
-        ,.opin_`MPRJ_IO_16_CONN (io_out[16])
-        ,  .oe_`MPRJ_IO_16_CONN (io_oeb[16])
+        ,.`IPIN(`MPRJ_IO_16_CONN) ( io_in[16])
+        ,.`OPIN(`MPRJ_IO_16_CONN) (io_out[16])
+        ,  .`OE(`MPRJ_IO_16_CONN) (io_oeb[16])
 `else /* `ifdef MPRJ_IO_16_IO */
 `ifdef MPRJ_IO_16_I
         ,.`MPRJ_IO_16_CONN ( io_in[16])
@@ -920,9 +923,9 @@
 `endif /* `ifdef MPRJ_IO_16_IO */
 
 `ifdef MPRJ_IO_15_IO
-        ,.ipin_`MPRJ_IO_15_CONN ( io_in[15])
-        ,.opin_`MPRJ_IO_15_CONN (io_out[15])
-        ,  .oe_`MPRJ_IO_15_CONN (io_oeb[15])
+        ,.`IPIN(`MPRJ_IO_15_CONN) ( io_in[15])
+        ,.`OPIN(`MPRJ_IO_15_CONN) (io_out[15])
+        ,  .`OE(`MPRJ_IO_15_CONN) (io_oeb[15])
 `else /* `ifdef MPRJ_IO_15_IO */
 `ifdef MPRJ_IO_15_I
         ,.`MPRJ_IO_15_CONN ( io_in[15])
@@ -932,9 +935,9 @@
 `endif /* `ifdef MPRJ_IO_15_IO */
 
 `ifdef MPRJ_IO_14_IO
-        ,.ipin_`MPRJ_IO_14_CONN ( io_in[14])
-        ,.opin_`MPRJ_IO_14_CONN (io_out[14])
-        ,  .oe_`MPRJ_IO_14_CONN (io_oeb[14])
+        ,.`IPIN(`MPRJ_IO_14_CONN) ( io_in[14])
+        ,.`OPIN(`MPRJ_IO_14_CONN) (io_out[14])
+        ,  .`OE(`MPRJ_IO_14_CONN) (io_oeb[14])
 `else /* `ifdef MPRJ_IO_14_IO */
 `ifdef MPRJ_IO_14_I
         ,.`MPRJ_IO_14_CONN ( io_in[14])
@@ -944,9 +947,9 @@
 `endif /* `ifdef MPRJ_IO_14_IO */
 
 `ifdef MPRJ_IO_13_IO
-        ,.ipin_`MPRJ_IO_13_CONN ( io_in[13])
-        ,.opin_`MPRJ_IO_13_CONN (io_out[13])
-        ,  .oe_`MPRJ_IO_13_CONN (io_oeb[13])
+        ,.`IPIN(`MPRJ_IO_13_CONN) ( io_in[13])
+        ,.`OPIN(`MPRJ_IO_13_CONN) (io_out[13])
+        ,  .`OE(`MPRJ_IO_13_CONN) (io_oeb[13])
 `else /* `ifdef MPRJ_IO_13_IO */
 `ifdef MPRJ_IO_13_I
         ,.`MPRJ_IO_13_CONN ( io_in[13])
@@ -956,9 +959,9 @@
 `endif /* `ifdef MPRJ_IO_13_IO */
 
 `ifdef MPRJ_IO_12_IO
-        ,.ipin_`MPRJ_IO_12_CONN ( io_in[12])
-        ,.opin_`MPRJ_IO_12_CONN (io_out[12])
-        ,  .oe_`MPRJ_IO_12_CONN (io_oeb[12])
+        ,.`IPIN(`MPRJ_IO_12_CONN) ( io_in[12])
+        ,.`OPIN(`MPRJ_IO_12_CONN) (io_out[12])
+        ,  .`OE(`MPRJ_IO_12_CONN) (io_oeb[12])
 `else /* `ifdef MPRJ_IO_12_IO */
 `ifdef MPRJ_IO_12_I
         ,.`MPRJ_IO_12_CONN ( io_in[12])
@@ -968,9 +971,9 @@
 `endif /* `ifdef MPRJ_IO_12_IO */
 
 `ifdef MPRJ_IO_11_IO
-        ,.ipin_`MPRJ_IO_11_CONN ( io_in[11])
-        ,.opin_`MPRJ_IO_11_CONN (io_out[11])
-        ,  .oe_`MPRJ_IO_11_CONN (io_oeb[11])
+        ,.`IPIN(`MPRJ_IO_11_CONN) ( io_in[11])
+        ,.`OPIN(`MPRJ_IO_11_CONN) (io_out[11])
+        ,  .`OE(`MPRJ_IO_11_CONN) (io_oeb[11])
 `else /* `ifdef MPRJ_IO_11_IO */
 `ifdef MPRJ_IO_11_I
         ,.`MPRJ_IO_11_CONN ( io_in[11])
@@ -980,9 +983,9 @@
 `endif /* `ifdef MPRJ_IO_11_IO */
 
 `ifdef MPRJ_IO_10_IO
-        ,.ipin_`MPRJ_IO_10_CONN ( io_in[10])
-        ,.opin_`MPRJ_IO_10_CONN (io_out[10])
-        ,  .oe_`MPRJ_IO_10_CONN (io_oeb[10])
+        ,.`IPIN(`MPRJ_IO_10_CONN) ( io_in[10])
+        ,.`OPIN(`MPRJ_IO_10_CONN) (io_out[10])
+        ,  .`OE(`MPRJ_IO_10_CONN) (io_oeb[10])
 `else /* `ifdef MPRJ_IO_10_IO */
 `ifdef MPRJ_IO_10_I
         ,.`MPRJ_IO_10_CONN ( io_in[10])
@@ -992,9 +995,9 @@
 `endif /* `ifdef MPRJ_IO_10_IO */
 
 `ifdef MPRJ_IO_9_IO
-        ,.ipin_`MPRJ_IO_9_CONN ( io_in[9])
-        ,.opin_`MPRJ_IO_9_CONN (io_out[9])
-        ,  .oe_`MPRJ_IO_9_CONN (io_oeb[9])
+        ,.`IPIN(`MPRJ_IO_9_CONN) ( io_in[9])
+        ,.`OPIN(`MPRJ_IO_9_CONN) (io_out[9])
+        ,  .`OE(`MPRJ_IO_9_CONN) (io_oeb[9])
 `else /* `ifdef MPRJ_IO_9_IO */
 `ifdef MPRJ_IO_9_I
         ,.`MPRJ_IO_9_CONN ( io_in[9])
@@ -1004,9 +1007,9 @@
 `endif /* `ifdef MPRJ_IO_9_IO */
 
 `ifdef MPRJ_IO_8_IO
-        ,.ipin_`MPRJ_IO_8_CONN ( io_in[8])
-        ,.opin_`MPRJ_IO_8_CONN (io_out[8])
-        ,  .oe_`MPRJ_IO_8_CONN (io_oeb[8])
+        ,.`IPIN(`MPRJ_IO_8_CONN) ( io_in[8])
+        ,.`OPIN(`MPRJ_IO_8_CONN) (io_out[8])
+        ,  .`OE(`MPRJ_IO_8_CONN) (io_oeb[8])
 `else /* `ifdef MPRJ_IO_8_IO */
 `ifdef MPRJ_IO_8_I
         ,.`MPRJ_IO_8_CONN ( io_in[8])
@@ -1016,9 +1019,9 @@
 `endif /* `ifdef MPRJ_IO_8_IO */
 
 `ifdef MPRJ_IO_7_IO
-        ,.ipin_`MPRJ_IO_7_CONN ( io_in[7])
-        ,.opin_`MPRJ_IO_7_CONN (io_out[7])
-        ,  .oe_`MPRJ_IO_7_CONN (io_oeb[7])
+        ,.`IPIN(`MPRJ_IO_7_CONN) ( io_in[7])
+        ,.`OPIN(`MPRJ_IO_7_CONN) (io_out[7])
+        ,  .`OE(`MPRJ_IO_7_CONN) (io_oeb[7])
 `else /* `ifdef MPRJ_IO_7_IO */
 `ifdef MPRJ_IO_7_I
         ,.`MPRJ_IO_7_CONN ( io_in[7])
@@ -1028,9 +1031,9 @@
 `endif /* `ifdef MPRJ_IO_7_IO */
 
 `ifdef MPRJ_IO_6_IO
-        ,.ipin_`MPRJ_IO_6_CONN ( io_in[6])
-        ,.opin_`MPRJ_IO_6_CONN (io_out[6])
-        ,  .oe_`MPRJ_IO_6_CONN (io_oeb[6])
+        ,.`IPIN(`MPRJ_IO_6_CONN) ( io_in[6])
+        ,.`OPIN(`MPRJ_IO_6_CONN) (io_out[6])
+        ,  .`OE(`MPRJ_IO_6_CONN) (io_oeb[6])
 `else /* `ifdef MPRJ_IO_6_IO */
 `ifdef MPRJ_IO_6_I
         ,.`MPRJ_IO_6_CONN ( io_in[6])
@@ -1040,9 +1043,9 @@
 `endif /* `ifdef MPRJ_IO_6_IO */
 
 `ifdef MPRJ_IO_5_IO
-        ,.ipin_`MPRJ_IO_5_CONN ( io_in[5])
-        ,.opin_`MPRJ_IO_5_CONN (io_out[5])
-        ,  .oe_`MPRJ_IO_5_CONN (io_oeb[5])
+        ,.`IPIN(`MPRJ_IO_5_CONN) ( io_in[5])
+        ,.`OPIN(`MPRJ_IO_5_CONN) (io_out[5])
+        ,  .`OE(`MPRJ_IO_5_CONN) (io_oeb[5])
 `else /* `ifdef MPRJ_IO_5_IO */
 `ifdef MPRJ_IO_5_I
         ,.`MPRJ_IO_5_CONN ( io_in[5])
@@ -1052,9 +1055,9 @@
 `endif /* `ifdef MPRJ_IO_5_IO */
 
 `ifdef MPRJ_IO_4_IO
-        ,.ipin_`MPRJ_IO_4_CONN ( io_in[4])
-        ,.opin_`MPRJ_IO_4_CONN (io_out[4])
-        ,  .oe_`MPRJ_IO_4_CONN (io_oeb[4])
+        ,.`IPIN(`MPRJ_IO_4_CONN) ( io_in[4])
+        ,.`OPIN(`MPRJ_IO_4_CONN) (io_out[4])
+        ,  .`OE(`MPRJ_IO_4_CONN) (io_oeb[4])
 `else /* `ifdef MPRJ_IO_4_IO */
 `ifdef MPRJ_IO_4_I
         ,.`MPRJ_IO_4_CONN ( io_in[4])
@@ -1064,9 +1067,9 @@
 `endif /* `ifdef MPRJ_IO_4_IO */
 
 `ifdef MPRJ_IO_3_IO
-        ,.ipin_`MPRJ_IO_3_CONN ( io_in[3])
-        ,.opin_`MPRJ_IO_3_CONN (io_out[3])
-        ,  .oe_`MPRJ_IO_3_CONN (io_oeb[3])
+        ,.`IPIN(`MPRJ_IO_3_CONN) ( io_in[3])
+        ,.`OPIN(`MPRJ_IO_3_CONN) (io_out[3])
+        ,  .`OE(`MPRJ_IO_3_CONN) (io_oeb[3])
 `else /* `ifdef MPRJ_IO_3_IO */
 `ifdef MPRJ_IO_3_I
         ,.`MPRJ_IO_3_CONN ( io_in[3])
@@ -1076,9 +1079,9 @@
 `endif /* `ifdef MPRJ_IO_3_IO */
 
 `ifdef MPRJ_IO_2_IO
-        ,.ipin_`MPRJ_IO_2_CONN ( io_in[2])
-        ,.opin_`MPRJ_IO_2_CONN (io_out[2])
-        ,  .oe_`MPRJ_IO_2_CONN (io_oeb[2])
+        ,.`IPIN(`MPRJ_IO_2_CONN) ( io_in[2])
+        ,.`OPIN(`MPRJ_IO_2_CONN) (io_out[2])
+        ,  .`OE(`MPRJ_IO_2_CONN) (io_oeb[2])
 `else /* `ifdef MPRJ_IO_2_IO */
 `ifdef MPRJ_IO_2_I
         ,.`MPRJ_IO_2_CONN ( io_in[2])
@@ -1088,9 +1091,9 @@
 `endif /* `ifdef MPRJ_IO_2_IO */
 
 `ifdef MPRJ_IO_1_IO
-        ,.ipin_`MPRJ_IO_1_CONN ( io_in[1])
-        ,.opin_`MPRJ_IO_1_CONN (io_out[1])
-        ,  .oe_`MPRJ_IO_1_CONN (io_oeb[1])
+        ,.`IPIN(`MPRJ_IO_1_CONN) ( io_in[1])
+        ,.`OPIN(`MPRJ_IO_1_CONN) (io_out[1])
+        ,  .`OE(`MPRJ_IO_1_CONN) (io_oeb[1])
 `else /* `ifdef MPRJ_IO_1_IO */
 `ifdef MPRJ_IO_1_I
         ,.`MPRJ_IO_1_CONN ( io_in[1])
@@ -1100,9 +1103,9 @@
 `endif /* `ifdef MPRJ_IO_1_IO */
 
 `ifdef MPRJ_IO_0_IO
-        ,.ipin_`MPRJ_IO_0_CONN ( io_in[0])
-        ,.opin_`MPRJ_IO_0_CONN (io_out[0])
-        ,  .oe_`MPRJ_IO_0_CONN (io_oeb[0])
+        ,.`IPIN(`MPRJ_IO_0_CONN) ( io_in[0])
+        ,.`OPIN(`MPRJ_IO_0_CONN) (io_out[0])
+        ,  .`OE(`MPRJ_IO_0_CONN) (io_oeb[0])
 `else /* `ifdef MPRJ_IO_0_IO */
 `ifdef MPRJ_IO_0_I
         ,.`MPRJ_IO_0_CONN ( io_in[0])