SRAM
diff --git a/verilog/rtl/sky130_sram_2kbyte_1rw1r_32x512_8.v b/verilog/rtl/sky130_sram_2kbyte_1rw1r_32x512_8.v
index ce7c30f..c959db0 100644
--- a/verilog/rtl/sky130_sram_2kbyte_1rw1r_32x512_8.v
+++ b/verilog/rtl/sky130_sram_2kbyte_1rw1r_32x512_8.v
@@ -72,7 +72,7 @@
         addr1_reg = addr1;

         if (!csb0 && !web0 && !csb1 && (addr0 == addr1))

              $display($time," WARNING: Writing and reading addr0=%b and addr1=%b simultaneously!",addr0,addr1);

-        #(T_HOLD) dout1 = 32'bx;

+        #(T_HOLD) dout1 <= 32'bx;

         if ( !csb1_reg && VERBOSE ) 

           $display($time," Reading %m addr1=%b dout1=%b",addr1_reg,mem[addr1_reg]);

       end