blob: bca53f5b52c4c65533463f446ad3f342f79c92c5 [file] [log] [blame]
*SPEF "ieee 1481-1999"
*DESIGN "wb_interface"
*DATE "11:11:11 Fri 11 11, 1111"
*VENDOR "OpenRCX"
*PROGRAM "Parallel Extraction"
*VERSION "1.0"
*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE"
*DIVIDER /
*DELIMITER :
*BUS_DELIMITER []
*T_UNIT 1 NS
*C_UNIT 1 PF
*R_UNIT 1 OHM
*L_UNIT 1 HENRY
*NAME_MAP
*1 addr0[0]
*2 addr0[1]
*3 addr0[2]
*4 addr0[3]
*5 addr0[4]
*6 addr0[5]
*7 addr0[6]
*8 addr0[7]
*9 addr0[8]
*10 clk0
*11 csb0
*12 din0[0]
*13 din0[10]
*14 din0[11]
*15 din0[12]
*16 din0[13]
*17 din0[14]
*18 din0[15]
*19 din0[16]
*20 din0[17]
*21 din0[18]
*22 din0[19]
*23 din0[1]
*24 din0[20]
*25 din0[21]
*26 din0[22]
*27 din0[23]
*28 din0[24]
*29 din0[25]
*30 din0[26]
*31 din0[27]
*32 din0[28]
*33 din0[29]
*34 din0[2]
*35 din0[30]
*36 din0[31]
*37 din0[3]
*38 din0[4]
*39 din0[5]
*40 din0[6]
*41 din0[7]
*42 din0[8]
*43 din0[9]
*44 net120
*45 processor_reset
*48 wb_clk_i
*49 wb_rst_i
*50 wbs_ack_o
*51 wbs_adr_i[0]
*52 wbs_adr_i[10]
*53 wbs_adr_i[11]
*54 wbs_adr_i[12]
*55 wbs_adr_i[13]
*56 wbs_adr_i[14]
*57 wbs_adr_i[15]
*58 wbs_adr_i[16]
*59 wbs_adr_i[17]
*60 wbs_adr_i[18]
*61 wbs_adr_i[19]
*62 wbs_adr_i[1]
*63 wbs_adr_i[20]
*64 wbs_adr_i[21]
*65 wbs_adr_i[22]
*66 wbs_adr_i[23]
*67 wbs_adr_i[24]
*68 wbs_adr_i[25]
*69 wbs_adr_i[26]
*70 wbs_adr_i[27]
*71 wbs_adr_i[28]
*72 wbs_adr_i[29]
*73 wbs_adr_i[2]
*74 wbs_adr_i[30]
*75 wbs_adr_i[31]
*76 wbs_adr_i[3]
*77 wbs_adr_i[4]
*78 wbs_adr_i[5]
*79 wbs_adr_i[6]
*80 wbs_adr_i[7]
*81 wbs_adr_i[8]
*82 wbs_adr_i[9]
*83 wbs_cyc_i
*84 wbs_dat_i[0]
*85 wbs_dat_i[10]
*86 wbs_dat_i[11]
*87 wbs_dat_i[12]
*88 wbs_dat_i[13]
*89 wbs_dat_i[14]
*90 wbs_dat_i[15]
*91 wbs_dat_i[16]
*92 wbs_dat_i[17]
*93 wbs_dat_i[18]
*94 wbs_dat_i[19]
*95 wbs_dat_i[1]
*96 wbs_dat_i[20]
*97 wbs_dat_i[21]
*98 wbs_dat_i[22]
*99 wbs_dat_i[23]
*100 wbs_dat_i[24]
*101 wbs_dat_i[25]
*102 wbs_dat_i[26]
*103 wbs_dat_i[27]
*104 wbs_dat_i[28]
*105 wbs_dat_i[29]
*106 wbs_dat_i[2]
*107 wbs_dat_i[30]
*108 wbs_dat_i[31]
*109 wbs_dat_i[3]
*110 wbs_dat_i[4]
*111 wbs_dat_i[5]
*112 wbs_dat_i[6]
*113 wbs_dat_i[7]
*114 wbs_dat_i[8]
*115 wbs_dat_i[9]
*116 wbs_sel_i[0]
*117 wbs_sel_i[1]
*118 wbs_sel_i[2]
*119 wbs_sel_i[3]
*120 wbs_stb_i
*121 wbs_we_i
*122 web0
*123 wmask0[0]
*124 wmask0[1]
*125 wmask0[2]
*126 wmask0[3]
*127 L0_ready_a1
*128 _00_
*129 _01_
*130 _02_
*131 _03_
*132 _04_
*133 _05_
*134 _06_
*135 _07_
*136 _08_
*137 _09_
*138 _10_
*139 _11_
*140 _12_
*141 clknet_0_wb_clk_i
*142 clknet_1_0__leaf_wb_clk_i
*143 clknet_1_1__leaf_wb_clk_i
*144 net1
*145 net10
*146 net100
*147 net101
*148 net102
*149 net103
*150 net104
*151 net105
*152 net106
*153 net107
*154 net108
*155 net109
*156 net11
*157 net110
*158 net111
*159 net112
*160 net113
*161 net114
*162 net115
*163 net116
*164 net117
*165 net118
*166 net119
*167 net12
*168 net13
*169 net14
*170 net15
*171 net16
*172 net17
*173 net18
*174 net19
*175 net2
*176 net20
*177 net21
*178 net22
*179 net23
*180 net24
*181 net25
*182 net26
*183 net27
*184 net28
*185 net29
*186 net3
*187 net30
*188 net31
*189 net32
*190 net33
*191 net34
*192 net35
*193 net36
*194 net37
*195 net38
*196 net39
*197 net4
*198 net40
*199 net41
*200 net42
*201 net43
*202 net44
*203 net45
*204 net46
*205 net47
*206 net48
*207 net49
*208 net5
*209 net50
*210 net51
*211 net52
*212 net53
*213 net54
*214 net55
*215 net56
*216 net57
*217 net58
*218 net59
*219 net6
*220 net60
*221 net61
*222 net62
*223 net63
*224 net64
*225 net65
*226 net66
*227 net67
*228 net68
*229 net69
*230 net7
*231 net70
*232 net71
*233 net72
*234 net73
*235 net74
*236 net75
*237 net76
*238 net77
*239 net78
*240 net79
*241 net8
*242 net80
*243 net81
*244 net82
*245 net83
*246 net84
*247 net85
*248 net86
*249 net87
*250 net88
*251 net89
*252 net9
*253 net90
*254 net91
*255 net92
*256 net93
*257 net94
*258 net95
*259 net96
*260 net97
*261 net98
*262 net99
*263 ANTENNA__25__A
*264 ANTENNA__25__B
*265 ANTENNA__27__A
*266 ANTENNA__27__B
*267 ANTENNA__29__A
*268 ANTENNA__29__B
*269 ANTENNA__31__A
*270 ANTENNA__31__B
*271 ANTENNA__33__A
*272 ANTENNA__36__A
*273 ANTENNA__37__A
*274 ANTENNA__38__A
*275 ANTENNA__39__A
*276 ANTENNA__40__A
*277 ANTENNA__41__A
*278 ANTENNA__42__A
*279 ANTENNA__43__A
*280 ANTENNA__44__A
*281 ANTENNA__47__A
*282 ANTENNA__48__A
*283 ANTENNA__49__A
*284 ANTENNA__50__A
*285 ANTENNA__51__A
*286 ANTENNA__52__A
*287 ANTENNA__53__A
*288 ANTENNA__54__A
*289 ANTENNA__55__A
*290 ANTENNA__56__A
*291 ANTENNA__57__A
*292 ANTENNA__58__A
*293 ANTENNA__59__A
*294 ANTENNA__61__A
*295 ANTENNA__62__A
*296 ANTENNA__63__A
*297 ANTENNA__64__A
*298 ANTENNA__65__A
*299 ANTENNA__66__A
*300 ANTENNA__67__A
*301 ANTENNA__68__A
*302 ANTENNA__69__A
*303 ANTENNA__70__A
*304 ANTENNA__71__A
*305 ANTENNA__72__A
*306 ANTENNA__73__A
*307 ANTENNA__74__A
*308 ANTENNA__75__A
*309 ANTENNA__76__A
*310 ANTENNA__77__A
*311 ANTENNA_clkbuf_0_wb_clk_i_A
*312 ANTENNA_input10_A
*313 ANTENNA_input11_A
*314 ANTENNA_input12_A
*315 ANTENNA_input13_A
*316 ANTENNA_input14_A
*317 ANTENNA_input15_A
*318 ANTENNA_input16_A
*319 ANTENNA_input17_A
*320 ANTENNA_input18_A
*321 ANTENNA_input19_A
*322 ANTENNA_input1_A
*323 ANTENNA_input20_A
*324 ANTENNA_input21_A
*325 ANTENNA_input22_A
*326 ANTENNA_input23_A
*327 ANTENNA_input24_A
*328 ANTENNA_input25_A
*329 ANTENNA_input26_A
*330 ANTENNA_input27_A
*331 ANTENNA_input28_A
*332 ANTENNA_input29_A
*333 ANTENNA_input2_A
*334 ANTENNA_input30_A
*335 ANTENNA_input31_A
*336 ANTENNA_input32_A
*337 ANTENNA_input33_A
*338 ANTENNA_input34_A
*339 ANTENNA_input35_A
*340 ANTENNA_input36_A
*341 ANTENNA_input37_A
*342 ANTENNA_input38_A
*343 ANTENNA_input39_A
*344 ANTENNA_input3_A
*345 ANTENNA_input40_A
*346 ANTENNA_input41_A
*347 ANTENNA_input42_A
*348 ANTENNA_input43_A
*349 ANTENNA_input44_A
*350 ANTENNA_input45_A
*351 ANTENNA_input46_A
*352 ANTENNA_input47_A
*353 ANTENNA_input48_A
*354 ANTENNA_input49_A
*355 ANTENNA_input4_A
*356 ANTENNA_input50_A
*357 ANTENNA_input51_A
*358 ANTENNA_input52_A
*359 ANTENNA_input53_A
*360 ANTENNA_input54_A
*361 ANTENNA_input55_A
*362 ANTENNA_input56_A
*363 ANTENNA_input57_A
*364 ANTENNA_input58_A
*365 ANTENNA_input59_A
*366 ANTENNA_input5_A
*367 ANTENNA_input60_A
*368 ANTENNA_input61_A
*369 ANTENNA_input62_A
*370 ANTENNA_input63_A
*371 ANTENNA_input64_A
*372 ANTENNA_input65_A
*373 ANTENNA_input66_A
*374 ANTENNA_input67_A
*375 ANTENNA_input68_A
*376 ANTENNA_input69_A
*377 ANTENNA_input6_A
*378 ANTENNA_input7_A
*379 ANTENNA_input8_A
*380 ANTENNA_input9_A
*381 ANTENNA_output100_A
*382 ANTENNA_output101_A
*383 ANTENNA_output102_A
*384 ANTENNA_output103_A
*385 ANTENNA_output105_A
*386 ANTENNA_output107_A
*387 ANTENNA_output108_A
*388 ANTENNA_output109_A
*389 ANTENNA_output110_A
*390 ANTENNA_output111_A
*391 ANTENNA_output112_A
*392 ANTENNA_output113_A
*393 ANTENNA_output70_A
*394 ANTENNA_output71_A
*395 ANTENNA_output72_A
*396 ANTENNA_output73_A
*397 ANTENNA_output74_A
*398 ANTENNA_output75_A
*399 ANTENNA_output76_A
*400 ANTENNA_output77_A
*401 ANTENNA_output78_A
*402 ANTENNA_output79_A
*403 ANTENNA_output80_A
*404 ANTENNA_output81_A
*405 ANTENNA_output82_A
*406 ANTENNA_output83_A
*407 ANTENNA_output85_A
*408 ANTENNA_output86_A
*409 ANTENNA_output87_A
*410 ANTENNA_output89_A
*411 ANTENNA_output91_A
*412 ANTENNA_output94_A
*413 ANTENNA_output96_A
*414 ANTENNA_output97_A
*415 ANTENNA_output98_A
*416 FILLER_0_109
*417 FILLER_0_113
*418 FILLER_0_125
*419 FILLER_0_137
*420 FILLER_0_141
*421 FILLER_0_15
*422 FILLER_0_153
*423 FILLER_0_165
*424 FILLER_0_169
*425 FILLER_0_181
*426 FILLER_0_193
*427 FILLER_0_197
*428 FILLER_0_209
*429 FILLER_0_221
*430 FILLER_0_225
*431 FILLER_0_237
*432 FILLER_0_249
*433 FILLER_0_253
*434 FILLER_0_265
*435 FILLER_0_27
*436 FILLER_0_277
*437 FILLER_0_281
*438 FILLER_0_29
*439 FILLER_0_293
*440 FILLER_0_3
*441 FILLER_0_305
*442 FILLER_0_309
*443 FILLER_0_321
*444 FILLER_0_333
*445 FILLER_0_337
*446 FILLER_0_349
*447 FILLER_0_361
*448 FILLER_0_365
*449 FILLER_0_377
*450 FILLER_0_389
*451 FILLER_0_393
*452 FILLER_0_405
*453 FILLER_0_41
*454 FILLER_0_53
*455 FILLER_0_57
*456 FILLER_0_69
*457 FILLER_0_81
*458 FILLER_0_85
*459 FILLER_0_97
*460 FILLER_10_109
*461 FILLER_10_12
*462 FILLER_10_121
*463 FILLER_10_133
*464 FILLER_10_139
*465 FILLER_10_141
*466 FILLER_10_153
*467 FILLER_10_165
*468 FILLER_10_177
*469 FILLER_10_18
*470 FILLER_10_189
*471 FILLER_10_192
*472 FILLER_10_197
*473 FILLER_10_206
*474 FILLER_10_215
*475 FILLER_10_222
*476 FILLER_10_234
*477 FILLER_10_246
*478 FILLER_10_253
*479 FILLER_10_26
*480 FILLER_10_265
*481 FILLER_10_277
*482 FILLER_10_289
*483 FILLER_10_29
*484 FILLER_10_301
*485 FILLER_10_307
*486 FILLER_10_309
*487 FILLER_10_321
*488 FILLER_10_333
*489 FILLER_10_345
*490 FILLER_10_357
*491 FILLER_10_363
*492 FILLER_10_365
*493 FILLER_10_377
*494 FILLER_10_389
*495 FILLER_10_397
*496 FILLER_10_403
*497 FILLER_10_41
*498 FILLER_10_53
*499 FILLER_10_6
*500 FILLER_10_65
*501 FILLER_10_77
*502 FILLER_10_83
*503 FILLER_10_85
*504 FILLER_10_97
*505 FILLER_11_105
*506 FILLER_11_111
*507 FILLER_11_113
*508 FILLER_11_125
*509 FILLER_11_137
*510 FILLER_11_149
*511 FILLER_11_16
*512 FILLER_11_161
*513 FILLER_11_167
*514 FILLER_11_169
*515 FILLER_11_181
*516 FILLER_11_193
*517 FILLER_11_197
*518 FILLER_11_206
*519 FILLER_11_215
*520 FILLER_11_223
*521 FILLER_11_225
*522 FILLER_11_237
*523 FILLER_11_249
*524 FILLER_11_261
*525 FILLER_11_273
*526 FILLER_11_279
*527 FILLER_11_28
*528 FILLER_11_281
*529 FILLER_11_293
*530 FILLER_11_305
*531 FILLER_11_317
*532 FILLER_11_329
*533 FILLER_11_335
*534 FILLER_11_337
*535 FILLER_11_349
*536 FILLER_11_361
*537 FILLER_11_373
*538 FILLER_11_385
*539 FILLER_11_391
*540 FILLER_11_393
*541 FILLER_11_40
*542 FILLER_11_403
*543 FILLER_11_52
*544 FILLER_11_57
*545 FILLER_11_6
*546 FILLER_11_69
*547 FILLER_11_81
*548 FILLER_11_93
*549 FILLER_12_109
*550 FILLER_12_12
*551 FILLER_12_121
*552 FILLER_12_133
*553 FILLER_12_139
*554 FILLER_12_141
*555 FILLER_12_153
*556 FILLER_12_165
*557 FILLER_12_177
*558 FILLER_12_18
*559 FILLER_12_189
*560 FILLER_12_195
*561 FILLER_12_199
*562 FILLER_12_207
*563 FILLER_12_210
*564 FILLER_12_216
*565 FILLER_12_228
*566 FILLER_12_240
*567 FILLER_12_253
*568 FILLER_12_26
*569 FILLER_12_265
*570 FILLER_12_277
*571 FILLER_12_289
*572 FILLER_12_29
*573 FILLER_12_301
*574 FILLER_12_307
*575 FILLER_12_309
*576 FILLER_12_321
*577 FILLER_12_333
*578 FILLER_12_345
*579 FILLER_12_357
*580 FILLER_12_363
*581 FILLER_12_365
*582 FILLER_12_377
*583 FILLER_12_389
*584 FILLER_12_395
*585 FILLER_12_403
*586 FILLER_12_41
*587 FILLER_12_53
*588 FILLER_12_6
*589 FILLER_12_65
*590 FILLER_12_77
*591 FILLER_12_83
*592 FILLER_12_85
*593 FILLER_12_97
*594 FILLER_13_105
*595 FILLER_13_111
*596 FILLER_13_113
*597 FILLER_13_12
*598 FILLER_13_125
*599 FILLER_13_137
*600 FILLER_13_149
*601 FILLER_13_161
*602 FILLER_13_167
*603 FILLER_13_169
*604 FILLER_13_181
*605 FILLER_13_193
*606 FILLER_13_201
*607 FILLER_13_205
*608 FILLER_13_211
*609 FILLER_13_223
*610 FILLER_13_225
*611 FILLER_13_237
*612 FILLER_13_24
*613 FILLER_13_249
*614 FILLER_13_261
*615 FILLER_13_273
*616 FILLER_13_279
*617 FILLER_13_281
*618 FILLER_13_293
*619 FILLER_13_305
*620 FILLER_13_317
*621 FILLER_13_329
*622 FILLER_13_335
*623 FILLER_13_337
*624 FILLER_13_349
*625 FILLER_13_36
*626 FILLER_13_361
*627 FILLER_13_373
*628 FILLER_13_385
*629 FILLER_13_391
*630 FILLER_13_393
*631 FILLER_13_403
*632 FILLER_13_48
*633 FILLER_13_57
*634 FILLER_13_6
*635 FILLER_13_69
*636 FILLER_13_81
*637 FILLER_13_93
*638 FILLER_14_109
*639 FILLER_14_121
*640 FILLER_14_13
*641 FILLER_14_133
*642 FILLER_14_139
*643 FILLER_14_141
*644 FILLER_14_153
*645 FILLER_14_165
*646 FILLER_14_177
*647 FILLER_14_189
*648 FILLER_14_19
*649 FILLER_14_195
*650 FILLER_14_197
*651 FILLER_14_201
*652 FILLER_14_205
*653 FILLER_14_211
*654 FILLER_14_223
*655 FILLER_14_235
*656 FILLER_14_247
*657 FILLER_14_251
*658 FILLER_14_253
*659 FILLER_14_265
*660 FILLER_14_27
*661 FILLER_14_277
*662 FILLER_14_289
*663 FILLER_14_29
*664 FILLER_14_301
*665 FILLER_14_307
*666 FILLER_14_309
*667 FILLER_14_321
*668 FILLER_14_333
*669 FILLER_14_345
*670 FILLER_14_357
*671 FILLER_14_363
*672 FILLER_14_365
*673 FILLER_14_377
*674 FILLER_14_389
*675 FILLER_14_401
*676 FILLER_14_41
*677 FILLER_14_53
*678 FILLER_14_65
*679 FILLER_14_77
*680 FILLER_14_83
*681 FILLER_14_85
*682 FILLER_14_97
*683 FILLER_15_105
*684 FILLER_15_111
*685 FILLER_15_113
*686 FILLER_15_125
*687 FILLER_15_13
*688 FILLER_15_137
*689 FILLER_15_149
*690 FILLER_15_161
*691 FILLER_15_167
*692 FILLER_15_169
*693 FILLER_15_181
*694 FILLER_15_19
*695 FILLER_15_193
*696 FILLER_15_205
*697 FILLER_15_217
*698 FILLER_15_223
*699 FILLER_15_225
*700 FILLER_15_237
*701 FILLER_15_249
*702 FILLER_15_261
*703 FILLER_15_273
*704 FILLER_15_279
*705 FILLER_15_281
*706 FILLER_15_293
*707 FILLER_15_305
*708 FILLER_15_31
*709 FILLER_15_317
*710 FILLER_15_329
*711 FILLER_15_335
*712 FILLER_15_337
*713 FILLER_15_349
*714 FILLER_15_361
*715 FILLER_15_373
*716 FILLER_15_385
*717 FILLER_15_391
*718 FILLER_15_395
*719 FILLER_15_403
*720 FILLER_15_43
*721 FILLER_15_55
*722 FILLER_15_57
*723 FILLER_15_6
*724 FILLER_15_69
*725 FILLER_15_81
*726 FILLER_15_93
*727 FILLER_16_109
*728 FILLER_16_12
*729 FILLER_16_121
*730 FILLER_16_133
*731 FILLER_16_139
*732 FILLER_16_141
*733 FILLER_16_153
*734 FILLER_16_165
*735 FILLER_16_177
*736 FILLER_16_18
*737 FILLER_16_189
*738 FILLER_16_195
*739 FILLER_16_197
*740 FILLER_16_206
*741 FILLER_16_212
*742 FILLER_16_224
*743 FILLER_16_236
*744 FILLER_16_248
*745 FILLER_16_253
*746 FILLER_16_26
*747 FILLER_16_265
*748 FILLER_16_277
*749 FILLER_16_289
*750 FILLER_16_29
*751 FILLER_16_301
*752 FILLER_16_307
*753 FILLER_16_309
*754 FILLER_16_321
*755 FILLER_16_333
*756 FILLER_16_345
*757 FILLER_16_357
*758 FILLER_16_363
*759 FILLER_16_365
*760 FILLER_16_377
*761 FILLER_16_389
*762 FILLER_16_397
*763 FILLER_16_403
*764 FILLER_16_41
*765 FILLER_16_53
*766 FILLER_16_6
*767 FILLER_16_65
*768 FILLER_16_77
*769 FILLER_16_83
*770 FILLER_16_85
*771 FILLER_16_97
*772 FILLER_17_105
*773 FILLER_17_111
*774 FILLER_17_113
*775 FILLER_17_125
*776 FILLER_17_13
*777 FILLER_17_137
*778 FILLER_17_149
*779 FILLER_17_161
*780 FILLER_17_167
*781 FILLER_17_169
*782 FILLER_17_181
*783 FILLER_17_19
*784 FILLER_17_193
*785 FILLER_17_205
*786 FILLER_17_217
*787 FILLER_17_223
*788 FILLER_17_225
*789 FILLER_17_237
*790 FILLER_17_249
*791 FILLER_17_261
*792 FILLER_17_273
*793 FILLER_17_279
*794 FILLER_17_281
*795 FILLER_17_293
*796 FILLER_17_305
*797 FILLER_17_31
*798 FILLER_17_317
*799 FILLER_17_329
*800 FILLER_17_335
*801 FILLER_17_337
*802 FILLER_17_349
*803 FILLER_17_361
*804 FILLER_17_373
*805 FILLER_17_385
*806 FILLER_17_391
*807 FILLER_17_395
*808 FILLER_17_403
*809 FILLER_17_43
*810 FILLER_17_55
*811 FILLER_17_57
*812 FILLER_17_69
*813 FILLER_17_81
*814 FILLER_17_93
*815 FILLER_18_109
*816 FILLER_18_12
*817 FILLER_18_121
*818 FILLER_18_133
*819 FILLER_18_139
*820 FILLER_18_141
*821 FILLER_18_153
*822 FILLER_18_165
*823 FILLER_18_177
*824 FILLER_18_18
*825 FILLER_18_189
*826 FILLER_18_195
*827 FILLER_18_197
*828 FILLER_18_206
*829 FILLER_18_212
*830 FILLER_18_224
*831 FILLER_18_236
*832 FILLER_18_248
*833 FILLER_18_253
*834 FILLER_18_26
*835 FILLER_18_265
*836 FILLER_18_277
*837 FILLER_18_289
*838 FILLER_18_29
*839 FILLER_18_301
*840 FILLER_18_307
*841 FILLER_18_309
*842 FILLER_18_321
*843 FILLER_18_333
*844 FILLER_18_345
*845 FILLER_18_357
*846 FILLER_18_363
*847 FILLER_18_365
*848 FILLER_18_377
*849 FILLER_18_389
*850 FILLER_18_395
*851 FILLER_18_403
*852 FILLER_18_41
*853 FILLER_18_53
*854 FILLER_18_6
*855 FILLER_18_65
*856 FILLER_18_77
*857 FILLER_18_83
*858 FILLER_18_85
*859 FILLER_18_97
*860 FILLER_19_105
*861 FILLER_19_111
*862 FILLER_19_113
*863 FILLER_19_125
*864 FILLER_19_13
*865 FILLER_19_137
*866 FILLER_19_149
*867 FILLER_19_161
*868 FILLER_19_167
*869 FILLER_19_169
*870 FILLER_19_181
*871 FILLER_19_19
*872 FILLER_19_193
*873 FILLER_19_201
*874 FILLER_19_206
*875 FILLER_19_212
*876 FILLER_19_225
*877 FILLER_19_237
*878 FILLER_19_249
*879 FILLER_19_261
*880 FILLER_19_273
*881 FILLER_19_279
*882 FILLER_19_281
*883 FILLER_19_293
*884 FILLER_19_305
*885 FILLER_19_31
*886 FILLER_19_317
*887 FILLER_19_329
*888 FILLER_19_335
*889 FILLER_19_337
*890 FILLER_19_349
*891 FILLER_19_361
*892 FILLER_19_373
*893 FILLER_19_385
*894 FILLER_19_391
*895 FILLER_19_393
*896 FILLER_19_405
*897 FILLER_19_43
*898 FILLER_19_55
*899 FILLER_19_57
*900 FILLER_19_69
*901 FILLER_19_81
*902 FILLER_19_93
*903 FILLER_1_105
*904 FILLER_1_111
*905 FILLER_1_113
*906 FILLER_1_125
*907 FILLER_1_137
*908 FILLER_1_149
*909 FILLER_1_15
*910 FILLER_1_161
*911 FILLER_1_167
*912 FILLER_1_169
*913 FILLER_1_181
*914 FILLER_1_193
*915 FILLER_1_205
*916 FILLER_1_217
*917 FILLER_1_223
*918 FILLER_1_225
*919 FILLER_1_237
*920 FILLER_1_249
*921 FILLER_1_261
*922 FILLER_1_273
*923 FILLER_1_279
*924 FILLER_1_281
*925 FILLER_1_293
*926 FILLER_1_3
*927 FILLER_1_305
*928 FILLER_1_317
*929 FILLER_1_329
*930 FILLER_1_335
*931 FILLER_1_337
*932 FILLER_1_349
*933 FILLER_1_36
*934 FILLER_1_361
*935 FILLER_1_373
*936 FILLER_1_385
*937 FILLER_1_391
*938 FILLER_1_393
*939 FILLER_1_405
*940 FILLER_1_48
*941 FILLER_1_57
*942 FILLER_1_69
*943 FILLER_1_81
*944 FILLER_1_93
*945 FILLER_20_109
*946 FILLER_20_121
*947 FILLER_20_13
*948 FILLER_20_133
*949 FILLER_20_139
*950 FILLER_20_141
*951 FILLER_20_153
*952 FILLER_20_165
*953 FILLER_20_177
*954 FILLER_20_189
*955 FILLER_20_195
*956 FILLER_20_197
*957 FILLER_20_20
*958 FILLER_20_209
*959 FILLER_20_221
*960 FILLER_20_233
*961 FILLER_20_245
*962 FILLER_20_251
*963 FILLER_20_253
*964 FILLER_20_265
*965 FILLER_20_277
*966 FILLER_20_289
*967 FILLER_20_29
*968 FILLER_20_301
*969 FILLER_20_307
*970 FILLER_20_309
*971 FILLER_20_321
*972 FILLER_20_333
*973 FILLER_20_345
*974 FILLER_20_357
*975 FILLER_20_363
*976 FILLER_20_365
*977 FILLER_20_377
*978 FILLER_20_389
*979 FILLER_20_395
*980 FILLER_20_403
*981 FILLER_20_41
*982 FILLER_20_53
*983 FILLER_20_65
*984 FILLER_20_77
*985 FILLER_20_83
*986 FILLER_20_85
*987 FILLER_20_97
*988 FILLER_21_105
*989 FILLER_21_111
*990 FILLER_21_113
*991 FILLER_21_125
*992 FILLER_21_13
*993 FILLER_21_137
*994 FILLER_21_149
*995 FILLER_21_161
*996 FILLER_21_167
*997 FILLER_21_169
*998 FILLER_21_181
*999 FILLER_21_19
*1000 FILLER_21_193
*1001 FILLER_21_208
*1002 FILLER_21_214
*1003 FILLER_21_222
*1004 FILLER_21_225
*1005 FILLER_21_237
*1006 FILLER_21_249
*1007 FILLER_21_25
*1008 FILLER_21_261
*1009 FILLER_21_273
*1010 FILLER_21_279
*1011 FILLER_21_281
*1012 FILLER_21_293
*1013 FILLER_21_305
*1014 FILLER_21_317
*1015 FILLER_21_329
*1016 FILLER_21_335
*1017 FILLER_21_337
*1018 FILLER_21_349
*1019 FILLER_21_361
*1020 FILLER_21_37
*1021 FILLER_21_373
*1022 FILLER_21_385
*1023 FILLER_21_391
*1024 FILLER_21_395
*1025 FILLER_21_403
*1026 FILLER_21_49
*1027 FILLER_21_55
*1028 FILLER_21_57
*1029 FILLER_21_6
*1030 FILLER_21_69
*1031 FILLER_21_81
*1032 FILLER_21_93
*1033 FILLER_22_109
*1034 FILLER_22_12
*1035 FILLER_22_121
*1036 FILLER_22_133
*1037 FILLER_22_139
*1038 FILLER_22_141
*1039 FILLER_22_153
*1040 FILLER_22_165
*1041 FILLER_22_177
*1042 FILLER_22_18
*1043 FILLER_22_189
*1044 FILLER_22_195
*1045 FILLER_22_197
*1046 FILLER_22_208
*1047 FILLER_22_214
*1048 FILLER_22_226
*1049 FILLER_22_238
*1050 FILLER_22_250
*1051 FILLER_22_253
*1052 FILLER_22_26
*1053 FILLER_22_265
*1054 FILLER_22_277
*1055 FILLER_22_289
*1056 FILLER_22_29
*1057 FILLER_22_301
*1058 FILLER_22_307
*1059 FILLER_22_309
*1060 FILLER_22_321
*1061 FILLER_22_333
*1062 FILLER_22_345
*1063 FILLER_22_357
*1064 FILLER_22_363
*1065 FILLER_22_365
*1066 FILLER_22_377
*1067 FILLER_22_389
*1068 FILLER_22_395
*1069 FILLER_22_403
*1070 FILLER_22_41
*1071 FILLER_22_53
*1072 FILLER_22_6
*1073 FILLER_22_65
*1074 FILLER_22_77
*1075 FILLER_22_83
*1076 FILLER_22_85
*1077 FILLER_22_97
*1078 FILLER_23_105
*1079 FILLER_23_111
*1080 FILLER_23_113
*1081 FILLER_23_125
*1082 FILLER_23_13
*1083 FILLER_23_137
*1084 FILLER_23_149
*1085 FILLER_23_161
*1086 FILLER_23_167
*1087 FILLER_23_169
*1088 FILLER_23_181
*1089 FILLER_23_19
*1090 FILLER_23_193
*1091 FILLER_23_208
*1092 FILLER_23_214
*1093 FILLER_23_222
*1094 FILLER_23_225
*1095 FILLER_23_237
*1096 FILLER_23_249
*1097 FILLER_23_261
*1098 FILLER_23_273
*1099 FILLER_23_279
*1100 FILLER_23_281
*1101 FILLER_23_293
*1102 FILLER_23_305
*1103 FILLER_23_31
*1104 FILLER_23_317
*1105 FILLER_23_329
*1106 FILLER_23_335
*1107 FILLER_23_337
*1108 FILLER_23_349
*1109 FILLER_23_361
*1110 FILLER_23_373
*1111 FILLER_23_385
*1112 FILLER_23_391
*1113 FILLER_23_395
*1114 FILLER_23_403
*1115 FILLER_23_43
*1116 FILLER_23_55
*1117 FILLER_23_57
*1118 FILLER_23_6
*1119 FILLER_23_69
*1120 FILLER_23_81
*1121 FILLER_23_93
*1122 FILLER_24_109
*1123 FILLER_24_12
*1124 FILLER_24_121
*1125 FILLER_24_133
*1126 FILLER_24_139
*1127 FILLER_24_141
*1128 FILLER_24_153
*1129 FILLER_24_165
*1130 FILLER_24_177
*1131 FILLER_24_18
*1132 FILLER_24_189
*1133 FILLER_24_195
*1134 FILLER_24_197
*1135 FILLER_24_209
*1136 FILLER_24_221
*1137 FILLER_24_233
*1138 FILLER_24_245
*1139 FILLER_24_251
*1140 FILLER_24_253
*1141 FILLER_24_26
*1142 FILLER_24_265
*1143 FILLER_24_277
*1144 FILLER_24_289
*1145 FILLER_24_29
*1146 FILLER_24_301
*1147 FILLER_24_307
*1148 FILLER_24_309
*1149 FILLER_24_321
*1150 FILLER_24_333
*1151 FILLER_24_345
*1152 FILLER_24_357
*1153 FILLER_24_363
*1154 FILLER_24_365
*1155 FILLER_24_377
*1156 FILLER_24_389
*1157 FILLER_24_401
*1158 FILLER_24_41
*1159 FILLER_24_53
*1160 FILLER_24_6
*1161 FILLER_24_65
*1162 FILLER_24_77
*1163 FILLER_24_83
*1164 FILLER_24_85
*1165 FILLER_24_97
*1166 FILLER_25_105
*1167 FILLER_25_111
*1168 FILLER_25_113
*1169 FILLER_25_12
*1170 FILLER_25_125
*1171 FILLER_25_137
*1172 FILLER_25_149
*1173 FILLER_25_161
*1174 FILLER_25_167
*1175 FILLER_25_169
*1176 FILLER_25_181
*1177 FILLER_25_193
*1178 FILLER_25_199
*1179 FILLER_25_206
*1180 FILLER_25_212
*1181 FILLER_25_225
*1182 FILLER_25_237
*1183 FILLER_25_24
*1184 FILLER_25_249
*1185 FILLER_25_261
*1186 FILLER_25_273
*1187 FILLER_25_279
*1188 FILLER_25_281
*1189 FILLER_25_293
*1190 FILLER_25_305
*1191 FILLER_25_317
*1192 FILLER_25_329
*1193 FILLER_25_335
*1194 FILLER_25_337
*1195 FILLER_25_349
*1196 FILLER_25_36
*1197 FILLER_25_361
*1198 FILLER_25_373
*1199 FILLER_25_385
*1200 FILLER_25_391
*1201 FILLER_25_395
*1202 FILLER_25_403
*1203 FILLER_25_48
*1204 FILLER_25_57
*1205 FILLER_25_6
*1206 FILLER_25_69
*1207 FILLER_25_81
*1208 FILLER_25_93
*1209 FILLER_26_109
*1210 FILLER_26_121
*1211 FILLER_26_13
*1212 FILLER_26_133
*1213 FILLER_26_139
*1214 FILLER_26_141
*1215 FILLER_26_153
*1216 FILLER_26_165
*1217 FILLER_26_177
*1218 FILLER_26_189
*1219 FILLER_26_19
*1220 FILLER_26_195
*1221 FILLER_26_197
*1222 FILLER_26_202
*1223 FILLER_26_209
*1224 FILLER_26_215
*1225 FILLER_26_227
*1226 FILLER_26_239
*1227 FILLER_26_251
*1228 FILLER_26_253
*1229 FILLER_26_265
*1230 FILLER_26_27
*1231 FILLER_26_277
*1232 FILLER_26_289
*1233 FILLER_26_29
*1234 FILLER_26_301
*1235 FILLER_26_307
*1236 FILLER_26_309
*1237 FILLER_26_321
*1238 FILLER_26_333
*1239 FILLER_26_345
*1240 FILLER_26_357
*1241 FILLER_26_363
*1242 FILLER_26_365
*1243 FILLER_26_377
*1244 FILLER_26_389
*1245 FILLER_26_395
*1246 FILLER_26_403
*1247 FILLER_26_41
*1248 FILLER_26_53
*1249 FILLER_26_6
*1250 FILLER_26_65
*1251 FILLER_26_77
*1252 FILLER_26_83
*1253 FILLER_26_85
*1254 FILLER_26_97
*1255 FILLER_27_105
*1256 FILLER_27_111
*1257 FILLER_27_113
*1258 FILLER_27_12
*1259 FILLER_27_125
*1260 FILLER_27_137
*1261 FILLER_27_149
*1262 FILLER_27_161
*1263 FILLER_27_167
*1264 FILLER_27_169
*1265 FILLER_27_18
*1266 FILLER_27_181
*1267 FILLER_27_193
*1268 FILLER_27_196
*1269 FILLER_27_204
*1270 FILLER_27_212
*1271 FILLER_27_218
*1272 FILLER_27_225
*1273 FILLER_27_237
*1274 FILLER_27_249
*1275 FILLER_27_261
*1276 FILLER_27_273
*1277 FILLER_27_279
*1278 FILLER_27_281
*1279 FILLER_27_293
*1280 FILLER_27_30
*1281 FILLER_27_305
*1282 FILLER_27_317
*1283 FILLER_27_329
*1284 FILLER_27_335
*1285 FILLER_27_337
*1286 FILLER_27_349
*1287 FILLER_27_361
*1288 FILLER_27_373
*1289 FILLER_27_385
*1290 FILLER_27_391
*1291 FILLER_27_393
*1292 FILLER_27_403
*1293 FILLER_27_42
*1294 FILLER_27_54
*1295 FILLER_27_57
*1296 FILLER_27_6
*1297 FILLER_27_69
*1298 FILLER_27_81
*1299 FILLER_27_93
*1300 FILLER_28_109
*1301 FILLER_28_12
*1302 FILLER_28_121
*1303 FILLER_28_133
*1304 FILLER_28_139
*1305 FILLER_28_141
*1306 FILLER_28_153
*1307 FILLER_28_165
*1308 FILLER_28_177
*1309 FILLER_28_189
*1310 FILLER_28_192
*1311 FILLER_28_197
*1312 FILLER_28_205
*1313 FILLER_28_212
*1314 FILLER_28_218
*1315 FILLER_28_230
*1316 FILLER_28_24
*1317 FILLER_28_242
*1318 FILLER_28_250
*1319 FILLER_28_253
*1320 FILLER_28_265
*1321 FILLER_28_277
*1322 FILLER_28_289
*1323 FILLER_28_29
*1324 FILLER_28_301
*1325 FILLER_28_307
*1326 FILLER_28_309
*1327 FILLER_28_321
*1328 FILLER_28_333
*1329 FILLER_28_345
*1330 FILLER_28_357
*1331 FILLER_28_363
*1332 FILLER_28_365
*1333 FILLER_28_377
*1334 FILLER_28_389
*1335 FILLER_28_395
*1336 FILLER_28_403
*1337 FILLER_28_41
*1338 FILLER_28_53
*1339 FILLER_28_6
*1340 FILLER_28_65
*1341 FILLER_28_77
*1342 FILLER_28_83
*1343 FILLER_28_85
*1344 FILLER_28_97
*1345 FILLER_29_105
*1346 FILLER_29_111
*1347 FILLER_29_113
*1348 FILLER_29_125
*1349 FILLER_29_13
*1350 FILLER_29_137
*1351 FILLER_29_149
*1352 FILLER_29_161
*1353 FILLER_29_167
*1354 FILLER_29_169
*1355 FILLER_29_181
*1356 FILLER_29_19
*1357 FILLER_29_193
*1358 FILLER_29_201
*1359 FILLER_29_213
*1360 FILLER_29_221
*1361 FILLER_29_225
*1362 FILLER_29_237
*1363 FILLER_29_249
*1364 FILLER_29_261
*1365 FILLER_29_273
*1366 FILLER_29_279
*1367 FILLER_29_281
*1368 FILLER_29_293
*1369 FILLER_29_305
*1370 FILLER_29_31
*1371 FILLER_29_317
*1372 FILLER_29_329
*1373 FILLER_29_335
*1374 FILLER_29_337
*1375 FILLER_29_349
*1376 FILLER_29_361
*1377 FILLER_29_373
*1378 FILLER_29_385
*1379 FILLER_29_391
*1380 FILLER_29_393
*1381 FILLER_29_405
*1382 FILLER_29_43
*1383 FILLER_29_55
*1384 FILLER_29_57
*1385 FILLER_29_6
*1386 FILLER_29_69
*1387 FILLER_29_81
*1388 FILLER_29_93
*1389 FILLER_2_109
*1390 FILLER_2_11
*1391 FILLER_2_121
*1392 FILLER_2_133
*1393 FILLER_2_139
*1394 FILLER_2_141
*1395 FILLER_2_153
*1396 FILLER_2_165
*1397 FILLER_2_177
*1398 FILLER_2_189
*1399 FILLER_2_195
*1400 FILLER_2_197
*1401 FILLER_2_209
*1402 FILLER_2_221
*1403 FILLER_2_23
*1404 FILLER_2_233
*1405 FILLER_2_245
*1406 FILLER_2_251
*1407 FILLER_2_253
*1408 FILLER_2_265
*1409 FILLER_2_27
*1410 FILLER_2_277
*1411 FILLER_2_289
*1412 FILLER_2_29
*1413 FILLER_2_3
*1414 FILLER_2_301
*1415 FILLER_2_307
*1416 FILLER_2_309
*1417 FILLER_2_321
*1418 FILLER_2_333
*1419 FILLER_2_345
*1420 FILLER_2_357
*1421 FILLER_2_363
*1422 FILLER_2_365
*1423 FILLER_2_377
*1424 FILLER_2_389
*1425 FILLER_2_397
*1426 FILLER_2_403
*1427 FILLER_2_41
*1428 FILLER_2_53
*1429 FILLER_2_65
*1430 FILLER_2_77
*1431 FILLER_2_83
*1432 FILLER_2_85
*1433 FILLER_2_97
*1434 FILLER_30_10
*1435 FILLER_30_109
*1436 FILLER_30_121
*1437 FILLER_30_133
*1438 FILLER_30_139
*1439 FILLER_30_141
*1440 FILLER_30_153
*1441 FILLER_30_16
*1442 FILLER_30_165
*1443 FILLER_30_177
*1444 FILLER_30_189
*1445 FILLER_30_195
*1446 FILLER_30_197
*1447 FILLER_30_205
*1448 FILLER_30_211
*1449 FILLER_30_217
*1450 FILLER_30_229
*1451 FILLER_30_241
*1452 FILLER_30_249
*1453 FILLER_30_253
*1454 FILLER_30_265
*1455 FILLER_30_277
*1456 FILLER_30_289
*1457 FILLER_30_29
*1458 FILLER_30_3
*1459 FILLER_30_301
*1460 FILLER_30_307
*1461 FILLER_30_309
*1462 FILLER_30_321
*1463 FILLER_30_333
*1464 FILLER_30_345
*1465 FILLER_30_357
*1466 FILLER_30_363
*1467 FILLER_30_365
*1468 FILLER_30_377
*1469 FILLER_30_389
*1470 FILLER_30_395
*1471 FILLER_30_403
*1472 FILLER_30_41
*1473 FILLER_30_53
*1474 FILLER_30_65
*1475 FILLER_30_77
*1476 FILLER_30_83
*1477 FILLER_30_85
*1478 FILLER_30_97
*1479 FILLER_31_105
*1480 FILLER_31_111
*1481 FILLER_31_113
*1482 FILLER_31_125
*1483 FILLER_31_13
*1484 FILLER_31_137
*1485 FILLER_31_149
*1486 FILLER_31_161
*1487 FILLER_31_167
*1488 FILLER_31_169
*1489 FILLER_31_181
*1490 FILLER_31_184
*1491 FILLER_31_19
*1492 FILLER_31_190
*1493 FILLER_31_197
*1494 FILLER_31_205
*1495 FILLER_31_213
*1496 FILLER_31_220
*1497 FILLER_31_225
*1498 FILLER_31_237
*1499 FILLER_31_249
*1500 FILLER_31_25
*1501 FILLER_31_261
*1502 FILLER_31_273
*1503 FILLER_31_279
*1504 FILLER_31_281
*1505 FILLER_31_293
*1506 FILLER_31_305
*1507 FILLER_31_317
*1508 FILLER_31_329
*1509 FILLER_31_335
*1510 FILLER_31_337
*1511 FILLER_31_349
*1512 FILLER_31_361
*1513 FILLER_31_37
*1514 FILLER_31_373
*1515 FILLER_31_385
*1516 FILLER_31_391
*1517 FILLER_31_395
*1518 FILLER_31_403
*1519 FILLER_31_49
*1520 FILLER_31_55
*1521 FILLER_31_57
*1522 FILLER_31_6
*1523 FILLER_31_69
*1524 FILLER_31_81
*1525 FILLER_31_93
*1526 FILLER_32_109
*1527 FILLER_32_121
*1528 FILLER_32_133
*1529 FILLER_32_139
*1530 FILLER_32_14
*1531 FILLER_32_141
*1532 FILLER_32_153
*1533 FILLER_32_165
*1534 FILLER_32_177
*1535 FILLER_32_189
*1536 FILLER_32_192
*1537 FILLER_32_197
*1538 FILLER_32_205
*1539 FILLER_32_21
*1540 FILLER_32_213
*1541 FILLER_32_219
*1542 FILLER_32_231
*1543 FILLER_32_243
*1544 FILLER_32_251
*1545 FILLER_32_253
*1546 FILLER_32_265
*1547 FILLER_32_27
*1548 FILLER_32_277
*1549 FILLER_32_289
*1550 FILLER_32_29
*1551 FILLER_32_3
*1552 FILLER_32_301
*1553 FILLER_32_307
*1554 FILLER_32_309
*1555 FILLER_32_321
*1556 FILLER_32_333
*1557 FILLER_32_345
*1558 FILLER_32_357
*1559 FILLER_32_363
*1560 FILLER_32_365
*1561 FILLER_32_377
*1562 FILLER_32_389
*1563 FILLER_32_397
*1564 FILLER_32_403
*1565 FILLER_32_41
*1566 FILLER_32_53
*1567 FILLER_32_65
*1568 FILLER_32_7
*1569 FILLER_32_77
*1570 FILLER_32_83
*1571 FILLER_32_85
*1572 FILLER_32_97
*1573 FILLER_33_105
*1574 FILLER_33_111
*1575 FILLER_33_113
*1576 FILLER_33_12
*1577 FILLER_33_125
*1578 FILLER_33_137
*1579 FILLER_33_149
*1580 FILLER_33_161
*1581 FILLER_33_167
*1582 FILLER_33_169
*1583 FILLER_33_181
*1584 FILLER_33_19
*1585 FILLER_33_193
*1586 FILLER_33_197
*1587 FILLER_33_205
*1588 FILLER_33_212
*1589 FILLER_33_218
*1590 FILLER_33_225
*1591 FILLER_33_237
*1592 FILLER_33_249
*1593 FILLER_33_25
*1594 FILLER_33_261
*1595 FILLER_33_273
*1596 FILLER_33_279
*1597 FILLER_33_281
*1598 FILLER_33_293
*1599 FILLER_33_3
*1600 FILLER_33_305
*1601 FILLER_33_317
*1602 FILLER_33_329
*1603 FILLER_33_335
*1604 FILLER_33_337
*1605 FILLER_33_349
*1606 FILLER_33_361
*1607 FILLER_33_37
*1608 FILLER_33_373
*1609 FILLER_33_385
*1610 FILLER_33_391
*1611 FILLER_33_395
*1612 FILLER_33_403
*1613 FILLER_33_49
*1614 FILLER_33_55
*1615 FILLER_33_57
*1616 FILLER_33_69
*1617 FILLER_33_81
*1618 FILLER_33_93
*1619 FILLER_34_109
*1620 FILLER_34_121
*1621 FILLER_34_13
*1622 FILLER_34_133
*1623 FILLER_34_139
*1624 FILLER_34_141
*1625 FILLER_34_153
*1626 FILLER_34_165
*1627 FILLER_34_177
*1628 FILLER_34_189
*1629 FILLER_34_19
*1630 FILLER_34_195
*1631 FILLER_34_197
*1632 FILLER_34_201
*1633 FILLER_34_208
*1634 FILLER_34_214
*1635 FILLER_34_226
*1636 FILLER_34_238
*1637 FILLER_34_250
*1638 FILLER_34_253
*1639 FILLER_34_265
*1640 FILLER_34_27
*1641 FILLER_34_277
*1642 FILLER_34_289
*1643 FILLER_34_29
*1644 FILLER_34_301
*1645 FILLER_34_307
*1646 FILLER_34_309
*1647 FILLER_34_321
*1648 FILLER_34_333
*1649 FILLER_34_345
*1650 FILLER_34_357
*1651 FILLER_34_363
*1652 FILLER_34_365
*1653 FILLER_34_377
*1654 FILLER_34_389
*1655 FILLER_34_401
*1656 FILLER_34_41
*1657 FILLER_34_53
*1658 FILLER_34_6
*1659 FILLER_34_65
*1660 FILLER_34_77
*1661 FILLER_34_83
*1662 FILLER_34_85
*1663 FILLER_34_97
*1664 FILLER_35_105
*1665 FILLER_35_111
*1666 FILLER_35_113
*1667 FILLER_35_12
*1668 FILLER_35_125
*1669 FILLER_35_137
*1670 FILLER_35_149
*1671 FILLER_35_161
*1672 FILLER_35_167
*1673 FILLER_35_169
*1674 FILLER_35_18
*1675 FILLER_35_181
*1676 FILLER_35_193
*1677 FILLER_35_208
*1678 FILLER_35_214
*1679 FILLER_35_222
*1680 FILLER_35_225
*1681 FILLER_35_237
*1682 FILLER_35_249
*1683 FILLER_35_261
*1684 FILLER_35_273
*1685 FILLER_35_279
*1686 FILLER_35_281
*1687 FILLER_35_293
*1688 FILLER_35_30
*1689 FILLER_35_305
*1690 FILLER_35_317
*1691 FILLER_35_329
*1692 FILLER_35_335
*1693 FILLER_35_337
*1694 FILLER_35_349
*1695 FILLER_35_361
*1696 FILLER_35_373
*1697 FILLER_35_385
*1698 FILLER_35_391
*1699 FILLER_35_393
*1700 FILLER_35_403
*1701 FILLER_35_42
*1702 FILLER_35_54
*1703 FILLER_35_57
*1704 FILLER_35_6
*1705 FILLER_35_69
*1706 FILLER_35_81
*1707 FILLER_35_93
*1708 FILLER_36_109
*1709 FILLER_36_12
*1710 FILLER_36_121
*1711 FILLER_36_133
*1712 FILLER_36_139
*1713 FILLER_36_141
*1714 FILLER_36_153
*1715 FILLER_36_165
*1716 FILLER_36_177
*1717 FILLER_36_189
*1718 FILLER_36_195
*1719 FILLER_36_197
*1720 FILLER_36_209
*1721 FILLER_36_221
*1722 FILLER_36_233
*1723 FILLER_36_24
*1724 FILLER_36_245
*1725 FILLER_36_251
*1726 FILLER_36_253
*1727 FILLER_36_265
*1728 FILLER_36_277
*1729 FILLER_36_289
*1730 FILLER_36_29
*1731 FILLER_36_301
*1732 FILLER_36_307
*1733 FILLER_36_309
*1734 FILLER_36_321
*1735 FILLER_36_333
*1736 FILLER_36_345
*1737 FILLER_36_357
*1738 FILLER_36_363
*1739 FILLER_36_365
*1740 FILLER_36_377
*1741 FILLER_36_389
*1742 FILLER_36_395
*1743 FILLER_36_403
*1744 FILLER_36_41
*1745 FILLER_36_53
*1746 FILLER_36_6
*1747 FILLER_36_65
*1748 FILLER_36_77
*1749 FILLER_36_83
*1750 FILLER_36_85
*1751 FILLER_36_97
*1752 FILLER_37_105
*1753 FILLER_37_111
*1754 FILLER_37_113
*1755 FILLER_37_12
*1756 FILLER_37_125
*1757 FILLER_37_137
*1758 FILLER_37_149
*1759 FILLER_37_161
*1760 FILLER_37_167
*1761 FILLER_37_169
*1762 FILLER_37_181
*1763 FILLER_37_193
*1764 FILLER_37_205
*1765 FILLER_37_210
*1766 FILLER_37_216
*1767 FILLER_37_225
*1768 FILLER_37_237
*1769 FILLER_37_24
*1770 FILLER_37_249
*1771 FILLER_37_261
*1772 FILLER_37_273
*1773 FILLER_37_279
*1774 FILLER_37_281
*1775 FILLER_37_293
*1776 FILLER_37_305
*1777 FILLER_37_317
*1778 FILLER_37_329
*1779 FILLER_37_335
*1780 FILLER_37_337
*1781 FILLER_37_349
*1782 FILLER_37_36
*1783 FILLER_37_361
*1784 FILLER_37_373
*1785 FILLER_37_385
*1786 FILLER_37_391
*1787 FILLER_37_393
*1788 FILLER_37_403
*1789 FILLER_37_48
*1790 FILLER_37_57
*1791 FILLER_37_6
*1792 FILLER_37_69
*1793 FILLER_37_81
*1794 FILLER_37_93
*1795 FILLER_38_109
*1796 FILLER_38_12
*1797 FILLER_38_121
*1798 FILLER_38_133
*1799 FILLER_38_139
*1800 FILLER_38_141
*1801 FILLER_38_153
*1802 FILLER_38_165
*1803 FILLER_38_177
*1804 FILLER_38_18
*1805 FILLER_38_189
*1806 FILLER_38_195
*1807 FILLER_38_197
*1808 FILLER_38_205
*1809 FILLER_38_210
*1810 FILLER_38_216
*1811 FILLER_38_228
*1812 FILLER_38_240
*1813 FILLER_38_253
*1814 FILLER_38_26
*1815 FILLER_38_265
*1816 FILLER_38_277
*1817 FILLER_38_289
*1818 FILLER_38_29
*1819 FILLER_38_301
*1820 FILLER_38_307
*1821 FILLER_38_309
*1822 FILLER_38_321
*1823 FILLER_38_333
*1824 FILLER_38_345
*1825 FILLER_38_357
*1826 FILLER_38_363
*1827 FILLER_38_365
*1828 FILLER_38_37
*1829 FILLER_38_377
*1830 FILLER_38_389
*1831 FILLER_38_395
*1832 FILLER_38_403
*1833 FILLER_38_49
*1834 FILLER_38_6
*1835 FILLER_38_61
*1836 FILLER_38_73
*1837 FILLER_38_81
*1838 FILLER_38_85
*1839 FILLER_38_97
*1840 FILLER_39_105
*1841 FILLER_39_111
*1842 FILLER_39_113
*1843 FILLER_39_125
*1844 FILLER_39_137
*1845 FILLER_39_149
*1846 FILLER_39_161
*1847 FILLER_39_167
*1848 FILLER_39_169
*1849 FILLER_39_18
*1850 FILLER_39_181
*1851 FILLER_39_193
*1852 FILLER_39_208
*1853 FILLER_39_214
*1854 FILLER_39_222
*1855 FILLER_39_225
*1856 FILLER_39_237
*1857 FILLER_39_249
*1858 FILLER_39_261
*1859 FILLER_39_273
*1860 FILLER_39_279
*1861 FILLER_39_281
*1862 FILLER_39_293
*1863 FILLER_39_30
*1864 FILLER_39_305
*1865 FILLER_39_317
*1866 FILLER_39_329
*1867 FILLER_39_335
*1868 FILLER_39_337
*1869 FILLER_39_349
*1870 FILLER_39_361
*1871 FILLER_39_373
*1872 FILLER_39_385
*1873 FILLER_39_391
*1874 FILLER_39_393
*1875 FILLER_39_405
*1876 FILLER_39_42
*1877 FILLER_39_54
*1878 FILLER_39_57
*1879 FILLER_39_6
*1880 FILLER_39_69
*1881 FILLER_39_81
*1882 FILLER_39_93
*1883 FILLER_3_105
*1884 FILLER_3_111
*1885 FILLER_3_113
*1886 FILLER_3_125
*1887 FILLER_3_137
*1888 FILLER_3_149
*1889 FILLER_3_161
*1890 FILLER_3_167
*1891 FILLER_3_169
*1892 FILLER_3_181
*1893 FILLER_3_19
*1894 FILLER_3_193
*1895 FILLER_3_205
*1896 FILLER_3_217
*1897 FILLER_3_223
*1898 FILLER_3_225
*1899 FILLER_3_237
*1900 FILLER_3_249
*1901 FILLER_3_261
*1902 FILLER_3_273
*1903 FILLER_3_279
*1904 FILLER_3_281
*1905 FILLER_3_293
*1906 FILLER_3_305
*1907 FILLER_3_31
*1908 FILLER_3_317
*1909 FILLER_3_329
*1910 FILLER_3_335
*1911 FILLER_3_337
*1912 FILLER_3_349
*1913 FILLER_3_361
*1914 FILLER_3_373
*1915 FILLER_3_385
*1916 FILLER_3_391
*1917 FILLER_3_393
*1918 FILLER_3_396
*1919 FILLER_3_403
*1920 FILLER_3_43
*1921 FILLER_3_55
*1922 FILLER_3_57
*1923 FILLER_3_69
*1924 FILLER_3_81
*1925 FILLER_3_93
*1926 FILLER_40_109
*1927 FILLER_40_12
*1928 FILLER_40_121
*1929 FILLER_40_133
*1930 FILLER_40_139
*1931 FILLER_40_141
*1932 FILLER_40_153
*1933 FILLER_40_165
*1934 FILLER_40_177
*1935 FILLER_40_189
*1936 FILLER_40_19
*1937 FILLER_40_195
*1938 FILLER_40_197
*1939 FILLER_40_206
*1940 FILLER_40_212
*1941 FILLER_40_224
*1942 FILLER_40_236
*1943 FILLER_40_248
*1944 FILLER_40_253
*1945 FILLER_40_265
*1946 FILLER_40_27
*1947 FILLER_40_277
*1948 FILLER_40_289
*1949 FILLER_40_29
*1950 FILLER_40_3
*1951 FILLER_40_301
*1952 FILLER_40_307
*1953 FILLER_40_309
*1954 FILLER_40_321
*1955 FILLER_40_333
*1956 FILLER_40_345
*1957 FILLER_40_357
*1958 FILLER_40_363
*1959 FILLER_40_365
*1960 FILLER_40_377
*1961 FILLER_40_389
*1962 FILLER_40_397
*1963 FILLER_40_403
*1964 FILLER_40_41
*1965 FILLER_40_53
*1966 FILLER_40_65
*1967 FILLER_40_77
*1968 FILLER_40_83
*1969 FILLER_40_85
*1970 FILLER_40_97
*1971 FILLER_41_105
*1972 FILLER_41_111
*1973 FILLER_41_113
*1974 FILLER_41_125
*1975 FILLER_41_13
*1976 FILLER_41_137
*1977 FILLER_41_149
*1978 FILLER_41_161
*1979 FILLER_41_167
*1980 FILLER_41_169
*1981 FILLER_41_181
*1982 FILLER_41_19
*1983 FILLER_41_193
*1984 FILLER_41_205
*1985 FILLER_41_217
*1986 FILLER_41_223
*1987 FILLER_41_225
*1988 FILLER_41_237
*1989 FILLER_41_249
*1990 FILLER_41_261
*1991 FILLER_41_273
*1992 FILLER_41_279
*1993 FILLER_41_281
*1994 FILLER_41_293
*1995 FILLER_41_305
*1996 FILLER_41_31
*1997 FILLER_41_317
*1998 FILLER_41_329
*1999 FILLER_41_335
*2000 FILLER_41_337
*2001 FILLER_41_349
*2002 FILLER_41_361
*2003 FILLER_41_373
*2004 FILLER_41_385
*2005 FILLER_41_391
*2006 FILLER_41_395
*2007 FILLER_41_403
*2008 FILLER_41_43
*2009 FILLER_41_55
*2010 FILLER_41_57
*2011 FILLER_41_6
*2012 FILLER_41_69
*2013 FILLER_41_81
*2014 FILLER_41_93
*2015 FILLER_42_109
*2016 FILLER_42_12
*2017 FILLER_42_121
*2018 FILLER_42_133
*2019 FILLER_42_139
*2020 FILLER_42_141
*2021 FILLER_42_153
*2022 FILLER_42_165
*2023 FILLER_42_177
*2024 FILLER_42_18
*2025 FILLER_42_189
*2026 FILLER_42_195
*2027 FILLER_42_197
*2028 FILLER_42_204
*2029 FILLER_42_210
*2030 FILLER_42_222
*2031 FILLER_42_234
*2032 FILLER_42_246
*2033 FILLER_42_253
*2034 FILLER_42_26
*2035 FILLER_42_265
*2036 FILLER_42_277
*2037 FILLER_42_289
*2038 FILLER_42_29
*2039 FILLER_42_301
*2040 FILLER_42_307
*2041 FILLER_42_309
*2042 FILLER_42_321
*2043 FILLER_42_333
*2044 FILLER_42_345
*2045 FILLER_42_357
*2046 FILLER_42_363
*2047 FILLER_42_365
*2048 FILLER_42_377
*2049 FILLER_42_389
*2050 FILLER_42_395
*2051 FILLER_42_403
*2052 FILLER_42_41
*2053 FILLER_42_53
*2054 FILLER_42_6
*2055 FILLER_42_65
*2056 FILLER_42_77
*2057 FILLER_42_83
*2058 FILLER_42_85
*2059 FILLER_42_97
*2060 FILLER_43_105
*2061 FILLER_43_111
*2062 FILLER_43_113
*2063 FILLER_43_12
*2064 FILLER_43_125
*2065 FILLER_43_137
*2066 FILLER_43_149
*2067 FILLER_43_161
*2068 FILLER_43_167
*2069 FILLER_43_169
*2070 FILLER_43_18
*2071 FILLER_43_181
*2072 FILLER_43_193
*2073 FILLER_43_204
*2074 FILLER_43_210
*2075 FILLER_43_222
*2076 FILLER_43_225
*2077 FILLER_43_237
*2078 FILLER_43_24
*2079 FILLER_43_249
*2080 FILLER_43_261
*2081 FILLER_43_273
*2082 FILLER_43_279
*2083 FILLER_43_281
*2084 FILLER_43_293
*2085 FILLER_43_305
*2086 FILLER_43_317
*2087 FILLER_43_329
*2088 FILLER_43_335
*2089 FILLER_43_337
*2090 FILLER_43_349
*2091 FILLER_43_36
*2092 FILLER_43_361
*2093 FILLER_43_373
*2094 FILLER_43_385
*2095 FILLER_43_391
*2096 FILLER_43_395
*2097 FILLER_43_403
*2098 FILLER_43_48
*2099 FILLER_43_57
*2100 FILLER_43_6
*2101 FILLER_43_69
*2102 FILLER_43_81
*2103 FILLER_43_93
*2104 FILLER_44_109
*2105 FILLER_44_121
*2106 FILLER_44_13
*2107 FILLER_44_133
*2108 FILLER_44_139
*2109 FILLER_44_141
*2110 FILLER_44_153
*2111 FILLER_44_165
*2112 FILLER_44_177
*2113 FILLER_44_189
*2114 FILLER_44_195
*2115 FILLER_44_197
*2116 FILLER_44_20
*2117 FILLER_44_206
*2118 FILLER_44_212
*2119 FILLER_44_224
*2120 FILLER_44_236
*2121 FILLER_44_248
*2122 FILLER_44_253
*2123 FILLER_44_265
*2124 FILLER_44_277
*2125 FILLER_44_289
*2126 FILLER_44_29
*2127 FILLER_44_301
*2128 FILLER_44_307
*2129 FILLER_44_309
*2130 FILLER_44_321
*2131 FILLER_44_333
*2132 FILLER_44_345
*2133 FILLER_44_357
*2134 FILLER_44_363
*2135 FILLER_44_365
*2136 FILLER_44_377
*2137 FILLER_44_389
*2138 FILLER_44_401
*2139 FILLER_44_41
*2140 FILLER_44_53
*2141 FILLER_44_6
*2142 FILLER_44_65
*2143 FILLER_44_77
*2144 FILLER_44_83
*2145 FILLER_44_85
*2146 FILLER_44_97
*2147 FILLER_45_105
*2148 FILLER_45_111
*2149 FILLER_45_113
*2150 FILLER_45_12
*2151 FILLER_45_125
*2152 FILLER_45_137
*2153 FILLER_45_149
*2154 FILLER_45_161
*2155 FILLER_45_167
*2156 FILLER_45_169
*2157 FILLER_45_18
*2158 FILLER_45_181
*2159 FILLER_45_193
*2160 FILLER_45_201
*2161 FILLER_45_207
*2162 FILLER_45_213
*2163 FILLER_45_221
*2164 FILLER_45_225
*2165 FILLER_45_237
*2166 FILLER_45_249
*2167 FILLER_45_261
*2168 FILLER_45_273
*2169 FILLER_45_279
*2170 FILLER_45_281
*2171 FILLER_45_293
*2172 FILLER_45_3
*2173 FILLER_45_30
*2174 FILLER_45_305
*2175 FILLER_45_317
*2176 FILLER_45_329
*2177 FILLER_45_335
*2178 FILLER_45_337
*2179 FILLER_45_349
*2180 FILLER_45_361
*2181 FILLER_45_373
*2182 FILLER_45_385
*2183 FILLER_45_391
*2184 FILLER_45_393
*2185 FILLER_45_403
*2186 FILLER_45_42
*2187 FILLER_45_54
*2188 FILLER_45_57
*2189 FILLER_45_69
*2190 FILLER_45_81
*2191 FILLER_45_93
*2192 FILLER_46_109
*2193 FILLER_46_12
*2194 FILLER_46_121
*2195 FILLER_46_133
*2196 FILLER_46_139
*2197 FILLER_46_141
*2198 FILLER_46_153
*2199 FILLER_46_165
*2200 FILLER_46_177
*2201 FILLER_46_18
*2202 FILLER_46_189
*2203 FILLER_46_195
*2204 FILLER_46_197
*2205 FILLER_46_209
*2206 FILLER_46_221
*2207 FILLER_46_233
*2208 FILLER_46_245
*2209 FILLER_46_251
*2210 FILLER_46_253
*2211 FILLER_46_26
*2212 FILLER_46_265
*2213 FILLER_46_277
*2214 FILLER_46_289
*2215 FILLER_46_29
*2216 FILLER_46_301
*2217 FILLER_46_307
*2218 FILLER_46_309
*2219 FILLER_46_321
*2220 FILLER_46_333
*2221 FILLER_46_345
*2222 FILLER_46_357
*2223 FILLER_46_363
*2224 FILLER_46_365
*2225 FILLER_46_377
*2226 FILLER_46_389
*2227 FILLER_46_395
*2228 FILLER_46_403
*2229 FILLER_46_41
*2230 FILLER_46_53
*2231 FILLER_46_6
*2232 FILLER_46_65
*2233 FILLER_46_77
*2234 FILLER_46_83
*2235 FILLER_46_85
*2236 FILLER_46_97
*2237 FILLER_47_105
*2238 FILLER_47_111
*2239 FILLER_47_113
*2240 FILLER_47_125
*2241 FILLER_47_13
*2242 FILLER_47_137
*2243 FILLER_47_149
*2244 FILLER_47_161
*2245 FILLER_47_167
*2246 FILLER_47_169
*2247 FILLER_47_181
*2248 FILLER_47_19
*2249 FILLER_47_193
*2250 FILLER_47_201
*2251 FILLER_47_207
*2252 FILLER_47_213
*2253 FILLER_47_221
*2254 FILLER_47_225
*2255 FILLER_47_237
*2256 FILLER_47_249
*2257 FILLER_47_261
*2258 FILLER_47_273
*2259 FILLER_47_279
*2260 FILLER_47_281
*2261 FILLER_47_293
*2262 FILLER_47_305
*2263 FILLER_47_31
*2264 FILLER_47_317
*2265 FILLER_47_329
*2266 FILLER_47_335
*2267 FILLER_47_337
*2268 FILLER_47_349
*2269 FILLER_47_361
*2270 FILLER_47_373
*2271 FILLER_47_385
*2272 FILLER_47_391
*2273 FILLER_47_395
*2274 FILLER_47_403
*2275 FILLER_47_43
*2276 FILLER_47_55
*2277 FILLER_47_57
*2278 FILLER_47_6
*2279 FILLER_47_69
*2280 FILLER_47_81
*2281 FILLER_47_93
*2282 FILLER_48_109
*2283 FILLER_48_12
*2284 FILLER_48_121
*2285 FILLER_48_133
*2286 FILLER_48_139
*2287 FILLER_48_141
*2288 FILLER_48_153
*2289 FILLER_48_165
*2290 FILLER_48_177
*2291 FILLER_48_189
*2292 FILLER_48_195
*2293 FILLER_48_197
*2294 FILLER_48_208
*2295 FILLER_48_214
*2296 FILLER_48_226
*2297 FILLER_48_238
*2298 FILLER_48_24
*2299 FILLER_48_250
*2300 FILLER_48_253
*2301 FILLER_48_265
*2302 FILLER_48_277
*2303 FILLER_48_289
*2304 FILLER_48_29
*2305 FILLER_48_301
*2306 FILLER_48_307
*2307 FILLER_48_309
*2308 FILLER_48_321
*2309 FILLER_48_333
*2310 FILLER_48_345
*2311 FILLER_48_357
*2312 FILLER_48_363
*2313 FILLER_48_365
*2314 FILLER_48_377
*2315 FILLER_48_389
*2316 FILLER_48_395
*2317 FILLER_48_403
*2318 FILLER_48_41
*2319 FILLER_48_53
*2320 FILLER_48_6
*2321 FILLER_48_65
*2322 FILLER_48_77
*2323 FILLER_48_83
*2324 FILLER_48_85
*2325 FILLER_48_97
*2326 FILLER_49_105
*2327 FILLER_49_111
*2328 FILLER_49_113
*2329 FILLER_49_12
*2330 FILLER_49_125
*2331 FILLER_49_137
*2332 FILLER_49_149
*2333 FILLER_49_161
*2334 FILLER_49_167
*2335 FILLER_49_169
*2336 FILLER_49_18
*2337 FILLER_49_181
*2338 FILLER_49_193
*2339 FILLER_49_205
*2340 FILLER_49_209
*2341 FILLER_49_215
*2342 FILLER_49_223
*2343 FILLER_49_225
*2344 FILLER_49_237
*2345 FILLER_49_249
*2346 FILLER_49_261
*2347 FILLER_49_273
*2348 FILLER_49_279
*2349 FILLER_49_281
*2350 FILLER_49_293
*2351 FILLER_49_30
*2352 FILLER_49_305
*2353 FILLER_49_317
*2354 FILLER_49_329
*2355 FILLER_49_335
*2356 FILLER_49_337
*2357 FILLER_49_349
*2358 FILLER_49_361
*2359 FILLER_49_373
*2360 FILLER_49_385
*2361 FILLER_49_391
*2362 FILLER_49_393
*2363 FILLER_49_405
*2364 FILLER_49_42
*2365 FILLER_49_54
*2366 FILLER_49_57
*2367 FILLER_49_6
*2368 FILLER_49_69
*2369 FILLER_49_81
*2370 FILLER_49_93
*2371 FILLER_4_109
*2372 FILLER_4_121
*2373 FILLER_4_133
*2374 FILLER_4_139
*2375 FILLER_4_141
*2376 FILLER_4_153
*2377 FILLER_4_165
*2378 FILLER_4_177
*2379 FILLER_4_189
*2380 FILLER_4_195
*2381 FILLER_4_197
*2382 FILLER_4_209
*2383 FILLER_4_221
*2384 FILLER_4_233
*2385 FILLER_4_24
*2386 FILLER_4_245
*2387 FILLER_4_251
*2388 FILLER_4_253
*2389 FILLER_4_265
*2390 FILLER_4_277
*2391 FILLER_4_289
*2392 FILLER_4_3
*2393 FILLER_4_301
*2394 FILLER_4_307
*2395 FILLER_4_309
*2396 FILLER_4_31
*2397 FILLER_4_321
*2398 FILLER_4_333
*2399 FILLER_4_345
*2400 FILLER_4_357
*2401 FILLER_4_363
*2402 FILLER_4_365
*2403 FILLER_4_377
*2404 FILLER_4_389
*2405 FILLER_4_401
*2406 FILLER_4_43
*2407 FILLER_4_55
*2408 FILLER_4_67
*2409 FILLER_4_79
*2410 FILLER_4_83
*2411 FILLER_4_85
*2412 FILLER_4_97
*2413 FILLER_50_109
*2414 FILLER_50_121
*2415 FILLER_50_13
*2416 FILLER_50_133
*2417 FILLER_50_139
*2418 FILLER_50_141
*2419 FILLER_50_153
*2420 FILLER_50_165
*2421 FILLER_50_177
*2422 FILLER_50_189
*2423 FILLER_50_19
*2424 FILLER_50_195
*2425 FILLER_50_197
*2426 FILLER_50_208
*2427 FILLER_50_214
*2428 FILLER_50_226
*2429 FILLER_50_238
*2430 FILLER_50_250
*2431 FILLER_50_253
*2432 FILLER_50_265
*2433 FILLER_50_27
*2434 FILLER_50_277
*2435 FILLER_50_289
*2436 FILLER_50_301
*2437 FILLER_50_307
*2438 FILLER_50_309
*2439 FILLER_50_31
*2440 FILLER_50_321
*2441 FILLER_50_333
*2442 FILLER_50_345
*2443 FILLER_50_357
*2444 FILLER_50_363
*2445 FILLER_50_365
*2446 FILLER_50_377
*2447 FILLER_50_389
*2448 FILLER_50_397
*2449 FILLER_50_403
*2450 FILLER_50_43
*2451 FILLER_50_55
*2452 FILLER_50_6
*2453 FILLER_50_67
*2454 FILLER_50_79
*2455 FILLER_50_83
*2456 FILLER_50_85
*2457 FILLER_50_97
*2458 FILLER_51_105
*2459 FILLER_51_111
*2460 FILLER_51_113
*2461 FILLER_51_125
*2462 FILLER_51_13
*2463 FILLER_51_137
*2464 FILLER_51_149
*2465 FILLER_51_161
*2466 FILLER_51_167
*2467 FILLER_51_169
*2468 FILLER_51_181
*2469 FILLER_51_19
*2470 FILLER_51_193
*2471 FILLER_51_205
*2472 FILLER_51_217
*2473 FILLER_51_223
*2474 FILLER_51_225
*2475 FILLER_51_237
*2476 FILLER_51_249
*2477 FILLER_51_261
*2478 FILLER_51_273
*2479 FILLER_51_279
*2480 FILLER_51_281
*2481 FILLER_51_293
*2482 FILLER_51_305
*2483 FILLER_51_31
*2484 FILLER_51_317
*2485 FILLER_51_329
*2486 FILLER_51_335
*2487 FILLER_51_337
*2488 FILLER_51_349
*2489 FILLER_51_361
*2490 FILLER_51_373
*2491 FILLER_51_385
*2492 FILLER_51_391
*2493 FILLER_51_395
*2494 FILLER_51_403
*2495 FILLER_51_43
*2496 FILLER_51_55
*2497 FILLER_51_57
*2498 FILLER_51_6
*2499 FILLER_51_69
*2500 FILLER_51_81
*2501 FILLER_51_93
*2502 FILLER_52_109
*2503 FILLER_52_12
*2504 FILLER_52_121
*2505 FILLER_52_133
*2506 FILLER_52_139
*2507 FILLER_52_141
*2508 FILLER_52_153
*2509 FILLER_52_165
*2510 FILLER_52_177
*2511 FILLER_52_18
*2512 FILLER_52_189
*2513 FILLER_52_195
*2514 FILLER_52_197
*2515 FILLER_52_203
*2516 FILLER_52_207
*2517 FILLER_52_213
*2518 FILLER_52_225
*2519 FILLER_52_237
*2520 FILLER_52_249
*2521 FILLER_52_253
*2522 FILLER_52_26
*2523 FILLER_52_265
*2524 FILLER_52_277
*2525 FILLER_52_289
*2526 FILLER_52_29
*2527 FILLER_52_3
*2528 FILLER_52_301
*2529 FILLER_52_307
*2530 FILLER_52_309
*2531 FILLER_52_321
*2532 FILLER_52_333
*2533 FILLER_52_345
*2534 FILLER_52_357
*2535 FILLER_52_363
*2536 FILLER_52_365
*2537 FILLER_52_377
*2538 FILLER_52_389
*2539 FILLER_52_395
*2540 FILLER_52_403
*2541 FILLER_52_41
*2542 FILLER_52_53
*2543 FILLER_52_65
*2544 FILLER_52_77
*2545 FILLER_52_83
*2546 FILLER_52_85
*2547 FILLER_52_97
*2548 FILLER_53_105
*2549 FILLER_53_111
*2550 FILLER_53_113
*2551 FILLER_53_125
*2552 FILLER_53_13
*2553 FILLER_53_137
*2554 FILLER_53_149
*2555 FILLER_53_161
*2556 FILLER_53_167
*2557 FILLER_53_169
*2558 FILLER_53_181
*2559 FILLER_53_19
*2560 FILLER_53_193
*2561 FILLER_53_205
*2562 FILLER_53_217
*2563 FILLER_53_223
*2564 FILLER_53_225
*2565 FILLER_53_237
*2566 FILLER_53_249
*2567 FILLER_53_261
*2568 FILLER_53_273
*2569 FILLER_53_279
*2570 FILLER_53_281
*2571 FILLER_53_293
*2572 FILLER_53_305
*2573 FILLER_53_31
*2574 FILLER_53_317
*2575 FILLER_53_329
*2576 FILLER_53_335
*2577 FILLER_53_337
*2578 FILLER_53_349
*2579 FILLER_53_361
*2580 FILLER_53_373
*2581 FILLER_53_385
*2582 FILLER_53_391
*2583 FILLER_53_395
*2584 FILLER_53_403
*2585 FILLER_53_43
*2586 FILLER_53_55
*2587 FILLER_53_57
*2588 FILLER_53_6
*2589 FILLER_53_69
*2590 FILLER_53_81
*2591 FILLER_53_93
*2592 FILLER_54_109
*2593 FILLER_54_12
*2594 FILLER_54_121
*2595 FILLER_54_133
*2596 FILLER_54_139
*2597 FILLER_54_141
*2598 FILLER_54_153
*2599 FILLER_54_165
*2600 FILLER_54_177
*2601 FILLER_54_18
*2602 FILLER_54_189
*2603 FILLER_54_195
*2604 FILLER_54_197
*2605 FILLER_54_209
*2606 FILLER_54_221
*2607 FILLER_54_233
*2608 FILLER_54_245
*2609 FILLER_54_251
*2610 FILLER_54_253
*2611 FILLER_54_26
*2612 FILLER_54_265
*2613 FILLER_54_277
*2614 FILLER_54_289
*2615 FILLER_54_29
*2616 FILLER_54_301
*2617 FILLER_54_307
*2618 FILLER_54_309
*2619 FILLER_54_321
*2620 FILLER_54_333
*2621 FILLER_54_345
*2622 FILLER_54_357
*2623 FILLER_54_363
*2624 FILLER_54_365
*2625 FILLER_54_377
*2626 FILLER_54_389
*2627 FILLER_54_401
*2628 FILLER_54_41
*2629 FILLER_54_53
*2630 FILLER_54_6
*2631 FILLER_54_65
*2632 FILLER_54_77
*2633 FILLER_54_83
*2634 FILLER_54_85
*2635 FILLER_54_97
*2636 FILLER_55_105
*2637 FILLER_55_111
*2638 FILLER_55_113
*2639 FILLER_55_125
*2640 FILLER_55_137
*2641 FILLER_55_149
*2642 FILLER_55_15
*2643 FILLER_55_161
*2644 FILLER_55_167
*2645 FILLER_55_169
*2646 FILLER_55_181
*2647 FILLER_55_193
*2648 FILLER_55_205
*2649 FILLER_55_217
*2650 FILLER_55_22
*2651 FILLER_55_223
*2652 FILLER_55_225
*2653 FILLER_55_237
*2654 FILLER_55_249
*2655 FILLER_55_261
*2656 FILLER_55_273
*2657 FILLER_55_279
*2658 FILLER_55_28
*2659 FILLER_55_281
*2660 FILLER_55_293
*2661 FILLER_55_305
*2662 FILLER_55_317
*2663 FILLER_55_329
*2664 FILLER_55_335
*2665 FILLER_55_337
*2666 FILLER_55_349
*2667 FILLER_55_361
*2668 FILLER_55_373
*2669 FILLER_55_385
*2670 FILLER_55_391
*2671 FILLER_55_395
*2672 FILLER_55_40
*2673 FILLER_55_403
*2674 FILLER_55_52
*2675 FILLER_55_57
*2676 FILLER_55_6
*2677 FILLER_55_69
*2678 FILLER_55_81
*2679 FILLER_55_93
*2680 FILLER_56_109
*2681 FILLER_56_121
*2682 FILLER_56_13
*2683 FILLER_56_133
*2684 FILLER_56_139
*2685 FILLER_56_141
*2686 FILLER_56_153
*2687 FILLER_56_165
*2688 FILLER_56_177
*2689 FILLER_56_189
*2690 FILLER_56_195
*2691 FILLER_56_197
*2692 FILLER_56_20
*2693 FILLER_56_209
*2694 FILLER_56_221
*2695 FILLER_56_233
*2696 FILLER_56_245
*2697 FILLER_56_251
*2698 FILLER_56_253
*2699 FILLER_56_265
*2700 FILLER_56_277
*2701 FILLER_56_289
*2702 FILLER_56_29
*2703 FILLER_56_3
*2704 FILLER_56_301
*2705 FILLER_56_307
*2706 FILLER_56_309
*2707 FILLER_56_321
*2708 FILLER_56_333
*2709 FILLER_56_345
*2710 FILLER_56_357
*2711 FILLER_56_363
*2712 FILLER_56_365
*2713 FILLER_56_377
*2714 FILLER_56_389
*2715 FILLER_56_395
*2716 FILLER_56_403
*2717 FILLER_56_41
*2718 FILLER_56_53
*2719 FILLER_56_65
*2720 FILLER_56_77
*2721 FILLER_56_83
*2722 FILLER_56_85
*2723 FILLER_56_97
*2724 FILLER_57_105
*2725 FILLER_57_111
*2726 FILLER_57_113
*2727 FILLER_57_125
*2728 FILLER_57_137
*2729 FILLER_57_14
*2730 FILLER_57_149
*2731 FILLER_57_161
*2732 FILLER_57_167
*2733 FILLER_57_169
*2734 FILLER_57_17
*2735 FILLER_57_181
*2736 FILLER_57_193
*2737 FILLER_57_205
*2738 FILLER_57_217
*2739 FILLER_57_223
*2740 FILLER_57_225
*2741 FILLER_57_23
*2742 FILLER_57_237
*2743 FILLER_57_249
*2744 FILLER_57_261
*2745 FILLER_57_273
*2746 FILLER_57_279
*2747 FILLER_57_281
*2748 FILLER_57_293
*2749 FILLER_57_305
*2750 FILLER_57_317
*2751 FILLER_57_329
*2752 FILLER_57_335
*2753 FILLER_57_337
*2754 FILLER_57_349
*2755 FILLER_57_35
*2756 FILLER_57_361
*2757 FILLER_57_373
*2758 FILLER_57_385
*2759 FILLER_57_391
*2760 FILLER_57_395
*2761 FILLER_57_403
*2762 FILLER_57_47
*2763 FILLER_57_55
*2764 FILLER_57_57
*2765 FILLER_57_6
*2766 FILLER_57_69
*2767 FILLER_57_81
*2768 FILLER_57_93
*2769 FILLER_58_109
*2770 FILLER_58_12
*2771 FILLER_58_121
*2772 FILLER_58_133
*2773 FILLER_58_139
*2774 FILLER_58_141
*2775 FILLER_58_153
*2776 FILLER_58_165
*2777 FILLER_58_177
*2778 FILLER_58_18
*2779 FILLER_58_189
*2780 FILLER_58_195
*2781 FILLER_58_197
*2782 FILLER_58_209
*2783 FILLER_58_221
*2784 FILLER_58_233
*2785 FILLER_58_245
*2786 FILLER_58_251
*2787 FILLER_58_253
*2788 FILLER_58_26
*2789 FILLER_58_265
*2790 FILLER_58_277
*2791 FILLER_58_289
*2792 FILLER_58_29
*2793 FILLER_58_301
*2794 FILLER_58_307
*2795 FILLER_58_309
*2796 FILLER_58_321
*2797 FILLER_58_333
*2798 FILLER_58_345
*2799 FILLER_58_357
*2800 FILLER_58_363
*2801 FILLER_58_365
*2802 FILLER_58_377
*2803 FILLER_58_389
*2804 FILLER_58_395
*2805 FILLER_58_403
*2806 FILLER_58_41
*2807 FILLER_58_53
*2808 FILLER_58_6
*2809 FILLER_58_65
*2810 FILLER_58_77
*2811 FILLER_58_83
*2812 FILLER_58_85
*2813 FILLER_58_97
*2814 FILLER_59_105
*2815 FILLER_59_111
*2816 FILLER_59_113
*2817 FILLER_59_125
*2818 FILLER_59_13
*2819 FILLER_59_137
*2820 FILLER_59_149
*2821 FILLER_59_161
*2822 FILLER_59_167
*2823 FILLER_59_169
*2824 FILLER_59_181
*2825 FILLER_59_19
*2826 FILLER_59_193
*2827 FILLER_59_205
*2828 FILLER_59_217
*2829 FILLER_59_223
*2830 FILLER_59_225
*2831 FILLER_59_237
*2832 FILLER_59_249
*2833 FILLER_59_261
*2834 FILLER_59_273
*2835 FILLER_59_279
*2836 FILLER_59_281
*2837 FILLER_59_293
*2838 FILLER_59_305
*2839 FILLER_59_31
*2840 FILLER_59_317
*2841 FILLER_59_329
*2842 FILLER_59_335
*2843 FILLER_59_337
*2844 FILLER_59_349
*2845 FILLER_59_361
*2846 FILLER_59_373
*2847 FILLER_59_385
*2848 FILLER_59_391
*2849 FILLER_59_393
*2850 FILLER_59_405
*2851 FILLER_59_43
*2852 FILLER_59_55
*2853 FILLER_59_57
*2854 FILLER_59_6
*2855 FILLER_59_69
*2856 FILLER_59_81
*2857 FILLER_59_93
*2858 FILLER_5_105
*2859 FILLER_5_111
*2860 FILLER_5_113
*2861 FILLER_5_125
*2862 FILLER_5_13
*2863 FILLER_5_137
*2864 FILLER_5_149
*2865 FILLER_5_161
*2866 FILLER_5_167
*2867 FILLER_5_169
*2868 FILLER_5_181
*2869 FILLER_5_19
*2870 FILLER_5_193
*2871 FILLER_5_205
*2872 FILLER_5_217
*2873 FILLER_5_223
*2874 FILLER_5_225
*2875 FILLER_5_237
*2876 FILLER_5_249
*2877 FILLER_5_261
*2878 FILLER_5_273
*2879 FILLER_5_279
*2880 FILLER_5_281
*2881 FILLER_5_293
*2882 FILLER_5_305
*2883 FILLER_5_31
*2884 FILLER_5_317
*2885 FILLER_5_329
*2886 FILLER_5_335
*2887 FILLER_5_337
*2888 FILLER_5_349
*2889 FILLER_5_361
*2890 FILLER_5_373
*2891 FILLER_5_385
*2892 FILLER_5_391
*2893 FILLER_5_395
*2894 FILLER_5_403
*2895 FILLER_5_43
*2896 FILLER_5_55
*2897 FILLER_5_57
*2898 FILLER_5_6
*2899 FILLER_5_69
*2900 FILLER_5_81
*2901 FILLER_5_93
*2902 FILLER_60_109
*2903 FILLER_60_12
*2904 FILLER_60_121
*2905 FILLER_60_133
*2906 FILLER_60_139
*2907 FILLER_60_141
*2908 FILLER_60_153
*2909 FILLER_60_165
*2910 FILLER_60_177
*2911 FILLER_60_189
*2912 FILLER_60_195
*2913 FILLER_60_197
*2914 FILLER_60_209
*2915 FILLER_60_221
*2916 FILLER_60_233
*2917 FILLER_60_24
*2918 FILLER_60_245
*2919 FILLER_60_251
*2920 FILLER_60_253
*2921 FILLER_60_265
*2922 FILLER_60_277
*2923 FILLER_60_289
*2924 FILLER_60_29
*2925 FILLER_60_301
*2926 FILLER_60_307
*2927 FILLER_60_309
*2928 FILLER_60_321
*2929 FILLER_60_333
*2930 FILLER_60_345
*2931 FILLER_60_357
*2932 FILLER_60_363
*2933 FILLER_60_365
*2934 FILLER_60_377
*2935 FILLER_60_389
*2936 FILLER_60_395
*2937 FILLER_60_403
*2938 FILLER_60_41
*2939 FILLER_60_53
*2940 FILLER_60_6
*2941 FILLER_60_65
*2942 FILLER_60_77
*2943 FILLER_60_83
*2944 FILLER_60_85
*2945 FILLER_60_97
*2946 FILLER_61_105
*2947 FILLER_61_111
*2948 FILLER_61_113
*2949 FILLER_61_12
*2950 FILLER_61_125
*2951 FILLER_61_137
*2952 FILLER_61_149
*2953 FILLER_61_161
*2954 FILLER_61_167
*2955 FILLER_61_169
*2956 FILLER_61_181
*2957 FILLER_61_193
*2958 FILLER_61_205
*2959 FILLER_61_217
*2960 FILLER_61_223
*2961 FILLER_61_225
*2962 FILLER_61_237
*2963 FILLER_61_24
*2964 FILLER_61_249
*2965 FILLER_61_261
*2966 FILLER_61_273
*2967 FILLER_61_279
*2968 FILLER_61_281
*2969 FILLER_61_293
*2970 FILLER_61_305
*2971 FILLER_61_317
*2972 FILLER_61_329
*2973 FILLER_61_335
*2974 FILLER_61_337
*2975 FILLER_61_349
*2976 FILLER_61_36
*2977 FILLER_61_361
*2978 FILLER_61_373
*2979 FILLER_61_385
*2980 FILLER_61_391
*2981 FILLER_61_395
*2982 FILLER_61_403
*2983 FILLER_61_48
*2984 FILLER_61_57
*2985 FILLER_61_6
*2986 FILLER_61_69
*2987 FILLER_61_81
*2988 FILLER_61_93
*2989 FILLER_62_109
*2990 FILLER_62_121
*2991 FILLER_62_133
*2992 FILLER_62_139
*2993 FILLER_62_141
*2994 FILLER_62_153
*2995 FILLER_62_165
*2996 FILLER_62_17
*2997 FILLER_62_177
*2998 FILLER_62_189
*2999 FILLER_62_195
*3000 FILLER_62_197
*3001 FILLER_62_209
*3002 FILLER_62_221
*3003 FILLER_62_233
*3004 FILLER_62_245
*3005 FILLER_62_25
*3006 FILLER_62_251
*3007 FILLER_62_253
*3008 FILLER_62_265
*3009 FILLER_62_277
*3010 FILLER_62_289
*3011 FILLER_62_29
*3012 FILLER_62_301
*3013 FILLER_62_307
*3014 FILLER_62_309
*3015 FILLER_62_321
*3016 FILLER_62_333
*3017 FILLER_62_345
*3018 FILLER_62_357
*3019 FILLER_62_363
*3020 FILLER_62_365
*3021 FILLER_62_377
*3022 FILLER_62_389
*3023 FILLER_62_395
*3024 FILLER_62_403
*3025 FILLER_62_41
*3026 FILLER_62_5
*3027 FILLER_62_53
*3028 FILLER_62_65
*3029 FILLER_62_77
*3030 FILLER_62_83
*3031 FILLER_62_85
*3032 FILLER_62_97
*3033 FILLER_63_105
*3034 FILLER_63_111
*3035 FILLER_63_113
*3036 FILLER_63_125
*3037 FILLER_63_137
*3038 FILLER_63_149
*3039 FILLER_63_15
*3040 FILLER_63_161
*3041 FILLER_63_167
*3042 FILLER_63_169
*3043 FILLER_63_181
*3044 FILLER_63_193
*3045 FILLER_63_205
*3046 FILLER_63_217
*3047 FILLER_63_223
*3048 FILLER_63_225
*3049 FILLER_63_237
*3050 FILLER_63_249
*3051 FILLER_63_261
*3052 FILLER_63_27
*3053 FILLER_63_273
*3054 FILLER_63_279
*3055 FILLER_63_281
*3056 FILLER_63_293
*3057 FILLER_63_3
*3058 FILLER_63_305
*3059 FILLER_63_317
*3060 FILLER_63_329
*3061 FILLER_63_335
*3062 FILLER_63_337
*3063 FILLER_63_349
*3064 FILLER_63_361
*3065 FILLER_63_373
*3066 FILLER_63_385
*3067 FILLER_63_39
*3068 FILLER_63_391
*3069 FILLER_63_395
*3070 FILLER_63_403
*3071 FILLER_63_51
*3072 FILLER_63_55
*3073 FILLER_63_57
*3074 FILLER_63_69
*3075 FILLER_63_81
*3076 FILLER_63_93
*3077 FILLER_64_109
*3078 FILLER_64_113
*3079 FILLER_64_125
*3080 FILLER_64_137
*3081 FILLER_64_141
*3082 FILLER_64_15
*3083 FILLER_64_153
*3084 FILLER_64_165
*3085 FILLER_64_169
*3086 FILLER_64_181
*3087 FILLER_64_193
*3088 FILLER_64_197
*3089 FILLER_64_209
*3090 FILLER_64_221
*3091 FILLER_64_225
*3092 FILLER_64_237
*3093 FILLER_64_249
*3094 FILLER_64_253
*3095 FILLER_64_265
*3096 FILLER_64_27
*3097 FILLER_64_277
*3098 FILLER_64_281
*3099 FILLER_64_29
*3100 FILLER_64_293
*3101 FILLER_64_3
*3102 FILLER_64_305
*3103 FILLER_64_309
*3104 FILLER_64_321
*3105 FILLER_64_333
*3106 FILLER_64_337
*3107 FILLER_64_349
*3108 FILLER_64_361
*3109 FILLER_64_365
*3110 FILLER_64_377
*3111 FILLER_64_389
*3112 FILLER_64_393
*3113 FILLER_64_405
*3114 FILLER_64_41
*3115 FILLER_64_53
*3116 FILLER_64_57
*3117 FILLER_64_69
*3118 FILLER_64_81
*3119 FILLER_64_85
*3120 FILLER_64_97
*3121 FILLER_6_109
*3122 FILLER_6_121
*3123 FILLER_6_133
*3124 FILLER_6_139
*3125 FILLER_6_141
*3126 FILLER_6_153
*3127 FILLER_6_165
*3128 FILLER_6_177
*3129 FILLER_6_189
*3130 FILLER_6_195
*3131 FILLER_6_197
*3132 FILLER_6_209
*3133 FILLER_6_221
*3134 FILLER_6_233
*3135 FILLER_6_24
*3136 FILLER_6_245
*3137 FILLER_6_251
*3138 FILLER_6_253
*3139 FILLER_6_265
*3140 FILLER_6_277
*3141 FILLER_6_289
*3142 FILLER_6_29
*3143 FILLER_6_3
*3144 FILLER_6_301
*3145 FILLER_6_307
*3146 FILLER_6_309
*3147 FILLER_6_321
*3148 FILLER_6_333
*3149 FILLER_6_345
*3150 FILLER_6_357
*3151 FILLER_6_363
*3152 FILLER_6_365
*3153 FILLER_6_377
*3154 FILLER_6_389
*3155 FILLER_6_397
*3156 FILLER_6_403
*3157 FILLER_6_41
*3158 FILLER_6_53
*3159 FILLER_6_65
*3160 FILLER_6_77
*3161 FILLER_6_83
*3162 FILLER_6_85
*3163 FILLER_6_97
*3164 FILLER_7_105
*3165 FILLER_7_111
*3166 FILLER_7_113
*3167 FILLER_7_125
*3168 FILLER_7_137
*3169 FILLER_7_149
*3170 FILLER_7_161
*3171 FILLER_7_167
*3172 FILLER_7_169
*3173 FILLER_7_181
*3174 FILLER_7_19
*3175 FILLER_7_193
*3176 FILLER_7_205
*3177 FILLER_7_212
*3178 FILLER_7_218
*3179 FILLER_7_225
*3180 FILLER_7_237
*3181 FILLER_7_249
*3182 FILLER_7_261
*3183 FILLER_7_273
*3184 FILLER_7_279
*3185 FILLER_7_281
*3186 FILLER_7_293
*3187 FILLER_7_305
*3188 FILLER_7_31
*3189 FILLER_7_317
*3190 FILLER_7_329
*3191 FILLER_7_335
*3192 FILLER_7_337
*3193 FILLER_7_349
*3194 FILLER_7_361
*3195 FILLER_7_373
*3196 FILLER_7_385
*3197 FILLER_7_391
*3198 FILLER_7_393
*3199 FILLER_7_403
*3200 FILLER_7_43
*3201 FILLER_7_55
*3202 FILLER_7_57
*3203 FILLER_7_69
*3204 FILLER_7_7
*3205 FILLER_7_81
*3206 FILLER_7_93
*3207 FILLER_8_10
*3208 FILLER_8_109
*3209 FILLER_8_121
*3210 FILLER_8_133
*3211 FILLER_8_139
*3212 FILLER_8_141
*3213 FILLER_8_153
*3214 FILLER_8_165
*3215 FILLER_8_17
*3216 FILLER_8_177
*3217 FILLER_8_189
*3218 FILLER_8_195
*3219 FILLER_8_197
*3220 FILLER_8_205
*3221 FILLER_8_210
*3222 FILLER_8_222
*3223 FILLER_8_23
*3224 FILLER_8_234
*3225 FILLER_8_246
*3226 FILLER_8_253
*3227 FILLER_8_265
*3228 FILLER_8_27
*3229 FILLER_8_277
*3230 FILLER_8_289
*3231 FILLER_8_29
*3232 FILLER_8_3
*3233 FILLER_8_301
*3234 FILLER_8_307
*3235 FILLER_8_309
*3236 FILLER_8_321
*3237 FILLER_8_333
*3238 FILLER_8_345
*3239 FILLER_8_357
*3240 FILLER_8_363
*3241 FILLER_8_365
*3242 FILLER_8_377
*3243 FILLER_8_389
*3244 FILLER_8_397
*3245 FILLER_8_403
*3246 FILLER_8_41
*3247 FILLER_8_53
*3248 FILLER_8_65
*3249 FILLER_8_77
*3250 FILLER_8_83
*3251 FILLER_8_85
*3252 FILLER_8_97
*3253 FILLER_9_105
*3254 FILLER_9_11
*3255 FILLER_9_111
*3256 FILLER_9_113
*3257 FILLER_9_125
*3258 FILLER_9_137
*3259 FILLER_9_149
*3260 FILLER_9_161
*3261 FILLER_9_167
*3262 FILLER_9_169
*3263 FILLER_9_17
*3264 FILLER_9_181
*3265 FILLER_9_186
*3266 FILLER_9_192
*3267 FILLER_9_201
*3268 FILLER_9_207
*3269 FILLER_9_211
*3270 FILLER_9_215
*3271 FILLER_9_223
*3272 FILLER_9_225
*3273 FILLER_9_237
*3274 FILLER_9_249
*3275 FILLER_9_261
*3276 FILLER_9_273
*3277 FILLER_9_279
*3278 FILLER_9_281
*3279 FILLER_9_29
*3280 FILLER_9_293
*3281 FILLER_9_3
*3282 FILLER_9_305
*3283 FILLER_9_317
*3284 FILLER_9_329
*3285 FILLER_9_335
*3286 FILLER_9_337
*3287 FILLER_9_349
*3288 FILLER_9_361
*3289 FILLER_9_373
*3290 FILLER_9_385
*3291 FILLER_9_391
*3292 FILLER_9_393
*3293 FILLER_9_405
*3294 FILLER_9_41
*3295 FILLER_9_53
*3296 FILLER_9_57
*3297 FILLER_9_69
*3298 FILLER_9_81
*3299 FILLER_9_93
*3300 PHY_0
*3301 PHY_1
*3302 PHY_10
*3303 PHY_100
*3304 PHY_101
*3305 PHY_102
*3306 PHY_103
*3307 PHY_104
*3308 PHY_105
*3309 PHY_106
*3310 PHY_107
*3311 PHY_108
*3312 PHY_109
*3313 PHY_11
*3314 PHY_110
*3315 PHY_111
*3316 PHY_112
*3317 PHY_113
*3318 PHY_114
*3319 PHY_115
*3320 PHY_116
*3321 PHY_117
*3322 PHY_118
*3323 PHY_119
*3324 PHY_12
*3325 PHY_120
*3326 PHY_121
*3327 PHY_122
*3328 PHY_123
*3329 PHY_124
*3330 PHY_125
*3331 PHY_126
*3332 PHY_127
*3333 PHY_128
*3334 PHY_129
*3335 PHY_13
*3336 PHY_14
*3337 PHY_15
*3338 PHY_16
*3339 PHY_17
*3340 PHY_18
*3341 PHY_19
*3342 PHY_2
*3343 PHY_20
*3344 PHY_21
*3345 PHY_22
*3346 PHY_23
*3347 PHY_24
*3348 PHY_25
*3349 PHY_26
*3350 PHY_27
*3351 PHY_28
*3352 PHY_29
*3353 PHY_3
*3354 PHY_30
*3355 PHY_31
*3356 PHY_32
*3357 PHY_33
*3358 PHY_34
*3359 PHY_35
*3360 PHY_36
*3361 PHY_37
*3362 PHY_38
*3363 PHY_39
*3364 PHY_4
*3365 PHY_40
*3366 PHY_41
*3367 PHY_42
*3368 PHY_43
*3369 PHY_44
*3370 PHY_45
*3371 PHY_46
*3372 PHY_47
*3373 PHY_48
*3374 PHY_49
*3375 PHY_5
*3376 PHY_50
*3377 PHY_51
*3378 PHY_52
*3379 PHY_53
*3380 PHY_54
*3381 PHY_55
*3382 PHY_56
*3383 PHY_57
*3384 PHY_58
*3385 PHY_59
*3386 PHY_6
*3387 PHY_60
*3388 PHY_61
*3389 PHY_62
*3390 PHY_63
*3391 PHY_64
*3392 PHY_65
*3393 PHY_66
*3394 PHY_67
*3395 PHY_68
*3396 PHY_69
*3397 PHY_7
*3398 PHY_70
*3399 PHY_71
*3400 PHY_72
*3401 PHY_73
*3402 PHY_74
*3403 PHY_75
*3404 PHY_76
*3405 PHY_77
*3406 PHY_78
*3407 PHY_79
*3408 PHY_8
*3409 PHY_80
*3410 PHY_81
*3411 PHY_82
*3412 PHY_83
*3413 PHY_84
*3414 PHY_85
*3415 PHY_86
*3416 PHY_87
*3417 PHY_88
*3418 PHY_89
*3419 PHY_9
*3420 PHY_90
*3421 PHY_91
*3422 PHY_92
*3423 PHY_93
*3424 PHY_94
*3425 PHY_95
*3426 PHY_96
*3427 PHY_97
*3428 PHY_98
*3429 PHY_99
*3430 TAP_130
*3431 TAP_131
*3432 TAP_132
*3433 TAP_133
*3434 TAP_134
*3435 TAP_135
*3436 TAP_136
*3437 TAP_137
*3438 TAP_138
*3439 TAP_139
*3440 TAP_140
*3441 TAP_141
*3442 TAP_142
*3443 TAP_143
*3444 TAP_144
*3445 TAP_145
*3446 TAP_146
*3447 TAP_147
*3448 TAP_148
*3449 TAP_149
*3450 TAP_150
*3451 TAP_151
*3452 TAP_152
*3453 TAP_153
*3454 TAP_154
*3455 TAP_155
*3456 TAP_156
*3457 TAP_157
*3458 TAP_158
*3459 TAP_159
*3460 TAP_160
*3461 TAP_161
*3462 TAP_162
*3463 TAP_163
*3464 TAP_164
*3465 TAP_165
*3466 TAP_166
*3467 TAP_167
*3468 TAP_168
*3469 TAP_169
*3470 TAP_170
*3471 TAP_171
*3472 TAP_172
*3473 TAP_173
*3474 TAP_174
*3475 TAP_175
*3476 TAP_176
*3477 TAP_177
*3478 TAP_178
*3479 TAP_179
*3480 TAP_180
*3481 TAP_181
*3482 TAP_182
*3483 TAP_183
*3484 TAP_184
*3485 TAP_185
*3486 TAP_186
*3487 TAP_187
*3488 TAP_188
*3489 TAP_189
*3490 TAP_190
*3491 TAP_191
*3492 TAP_192
*3493 TAP_193
*3494 TAP_194
*3495 TAP_195
*3496 TAP_196
*3497 TAP_197
*3498 TAP_198
*3499 TAP_199
*3500 TAP_200
*3501 TAP_201
*3502 TAP_202
*3503 TAP_203
*3504 TAP_204
*3505 TAP_205
*3506 TAP_206
*3507 TAP_207
*3508 TAP_208
*3509 TAP_209
*3510 TAP_210
*3511 TAP_211
*3512 TAP_212
*3513 TAP_213
*3514 TAP_214
*3515 TAP_215
*3516 TAP_216
*3517 TAP_217
*3518 TAP_218
*3519 TAP_219
*3520 TAP_220
*3521 TAP_221
*3522 TAP_222
*3523 TAP_223
*3524 TAP_224
*3525 TAP_225
*3526 TAP_226
*3527 TAP_227
*3528 TAP_228
*3529 TAP_229
*3530 TAP_230
*3531 TAP_231
*3532 TAP_232
*3533 TAP_233
*3534 TAP_234
*3535 TAP_235
*3536 TAP_236
*3537 TAP_237
*3538 TAP_238
*3539 TAP_239
*3540 TAP_240
*3541 TAP_241
*3542 TAP_242
*3543 TAP_243
*3544 TAP_244
*3545 TAP_245
*3546 TAP_246
*3547 TAP_247
*3548 TAP_248
*3549 TAP_249
*3550 TAP_250
*3551 TAP_251
*3552 TAP_252
*3553 TAP_253
*3554 TAP_254
*3555 TAP_255
*3556 TAP_256
*3557 TAP_257
*3558 TAP_258
*3559 TAP_259
*3560 TAP_260
*3561 TAP_261
*3562 TAP_262
*3563 TAP_263
*3564 TAP_264
*3565 TAP_265
*3566 TAP_266
*3567 TAP_267
*3568 TAP_268
*3569 TAP_269
*3570 TAP_270
*3571 TAP_271
*3572 TAP_272
*3573 TAP_273
*3574 TAP_274
*3575 TAP_275
*3576 TAP_276
*3577 TAP_277
*3578 TAP_278
*3579 TAP_279
*3580 TAP_280
*3581 TAP_281
*3582 TAP_282
*3583 TAP_283
*3584 TAP_284
*3585 TAP_285
*3586 TAP_286
*3587 TAP_287
*3588 TAP_288
*3589 TAP_289
*3590 TAP_290
*3591 TAP_291
*3592 TAP_292
*3593 TAP_293
*3594 TAP_294
*3595 TAP_295
*3596 TAP_296
*3597 TAP_297
*3598 TAP_298
*3599 TAP_299
*3600 TAP_300
*3601 TAP_301
*3602 TAP_302
*3603 TAP_303
*3604 TAP_304
*3605 TAP_305
*3606 TAP_306
*3607 TAP_307
*3608 TAP_308
*3609 TAP_309
*3610 TAP_310
*3611 TAP_311
*3612 TAP_312
*3613 TAP_313
*3614 TAP_314
*3615 TAP_315
*3616 TAP_316
*3617 TAP_317
*3618 TAP_318
*3619 TAP_319
*3620 TAP_320
*3621 TAP_321
*3622 TAP_322
*3623 TAP_323
*3624 TAP_324
*3625 TAP_325
*3626 TAP_326
*3627 TAP_327
*3628 TAP_328
*3629 TAP_329
*3630 TAP_330
*3631 TAP_331
*3632 TAP_332
*3633 TAP_333
*3634 TAP_334
*3635 TAP_335
*3636 TAP_336
*3637 TAP_337
*3638 TAP_338
*3639 TAP_339
*3640 TAP_340
*3641 TAP_341
*3642 TAP_342
*3643 TAP_343
*3644 TAP_344
*3645 TAP_345
*3646 TAP_346
*3647 TAP_347
*3648 TAP_348
*3649 TAP_349
*3650 TAP_350
*3651 TAP_351
*3652 TAP_352
*3653 TAP_353
*3654 TAP_354
*3655 TAP_355
*3656 TAP_356
*3657 TAP_357
*3658 TAP_358
*3659 TAP_359
*3660 TAP_360
*3661 TAP_361
*3662 TAP_362
*3663 TAP_363
*3664 TAP_364
*3665 TAP_365
*3666 TAP_366
*3667 TAP_367
*3668 TAP_368
*3669 TAP_369
*3670 TAP_370
*3671 TAP_371
*3672 TAP_372
*3673 TAP_373
*3674 TAP_374
*3675 TAP_375
*3676 TAP_376
*3677 TAP_377
*3678 TAP_378
*3679 TAP_379
*3680 TAP_380
*3681 TAP_381
*3682 TAP_382
*3683 TAP_383
*3684 TAP_384
*3685 TAP_385
*3686 TAP_386
*3687 TAP_387
*3688 TAP_388
*3689 TAP_389
*3690 TAP_390
*3691 TAP_391
*3692 TAP_392
*3693 TAP_393
*3694 TAP_394
*3695 TAP_395
*3696 TAP_396
*3697 TAP_397
*3698 TAP_398
*3699 TAP_399
*3700 TAP_400
*3701 TAP_401
*3702 TAP_402
*3703 TAP_403
*3704 TAP_404
*3705 TAP_405
*3706 TAP_406
*3707 TAP_407
*3708 TAP_408
*3709 TAP_409
*3710 TAP_410
*3711 TAP_411
*3712 TAP_412
*3713 TAP_413
*3714 TAP_414
*3715 TAP_415
*3716 TAP_416
*3717 TAP_417
*3718 TAP_418
*3719 TAP_419
*3720 TAP_420
*3721 TAP_421
*3722 TAP_422
*3723 TAP_423
*3724 TAP_424
*3725 TAP_425
*3726 TAP_426
*3727 TAP_427
*3728 TAP_428
*3729 TAP_429
*3730 TAP_430
*3731 TAP_431
*3732 TAP_432
*3733 TAP_433
*3734 TAP_434
*3735 TAP_435
*3736 TAP_436
*3737 TAP_437
*3738 TAP_438
*3739 TAP_439
*3740 TAP_440
*3741 TAP_441
*3742 TAP_442
*3743 TAP_443
*3744 TAP_444
*3745 TAP_445
*3746 TAP_446
*3747 TAP_447
*3748 TAP_448
*3749 TAP_449
*3750 TAP_450
*3751 TAP_451
*3752 TAP_452
*3753 TAP_453
*3754 TAP_454
*3755 TAP_455
*3756 TAP_456
*3757 TAP_457
*3758 TAP_458
*3759 TAP_459
*3760 TAP_460
*3761 TAP_461
*3762 TAP_462
*3763 TAP_463
*3764 TAP_464
*3765 TAP_465
*3766 TAP_466
*3767 TAP_467
*3768 TAP_468
*3769 TAP_469
*3770 TAP_470
*3771 TAP_471
*3772 TAP_472
*3773 TAP_473
*3774 TAP_474
*3775 TAP_475
*3776 TAP_476
*3777 TAP_477
*3778 TAP_478
*3779 TAP_479
*3780 TAP_480
*3781 TAP_481
*3782 TAP_482
*3783 TAP_483
*3784 TAP_484
*3785 TAP_485
*3786 TAP_486
*3787 TAP_487
*3788 TAP_488
*3789 TAP_489
*3790 TAP_490
*3791 TAP_491
*3792 TAP_492
*3793 TAP_493
*3794 TAP_494
*3795 TAP_495
*3796 TAP_496
*3797 TAP_497
*3798 TAP_498
*3799 TAP_499
*3800 TAP_500
*3801 TAP_501
*3802 TAP_502
*3803 TAP_503
*3804 TAP_504
*3805 TAP_505
*3806 TAP_506
*3807 TAP_507
*3808 TAP_508
*3809 TAP_509
*3810 TAP_510
*3811 TAP_511
*3812 TAP_512
*3813 TAP_513
*3814 TAP_514
*3815 TAP_515
*3816 TAP_516
*3817 TAP_517
*3818 TAP_518
*3819 TAP_519
*3820 TAP_520
*3821 TAP_521
*3822 TAP_522
*3823 TAP_523
*3824 TAP_524
*3825 TAP_525
*3826 TAP_526
*3827 TAP_527
*3828 TAP_528
*3829 TAP_529
*3830 TAP_530
*3831 TAP_531
*3832 TAP_532
*3833 TAP_533
*3834 TAP_534
*3835 TAP_535
*3836 TAP_536
*3837 TAP_537
*3838 TAP_538
*3839 TAP_539
*3840 TAP_540
*3841 TAP_541
*3842 TAP_542
*3843 TAP_543
*3844 TAP_544
*3845 TAP_545
*3846 TAP_546
*3847 TAP_547
*3848 TAP_548
*3849 TAP_549
*3850 TAP_550
*3851 TAP_551
*3852 TAP_552
*3853 TAP_553
*3854 TAP_554
*3855 TAP_555
*3856 TAP_556
*3857 TAP_557
*3858 TAP_558
*3859 TAP_559
*3860 TAP_560
*3861 TAP_561
*3862 TAP_562
*3863 TAP_563
*3864 TAP_564
*3865 TAP_565
*3866 TAP_566
*3867 TAP_567
*3868 TAP_568
*3869 TAP_569
*3870 TAP_570
*3871 TAP_571
*3872 TAP_572
*3873 TAP_573
*3874 TAP_574
*3875 TAP_575
*3876 TAP_576
*3877 TAP_577
*3878 TAP_578
*3879 TAP_579
*3880 TAP_580
*3881 TAP_581
*3882 TAP_582
*3883 TAP_583
*3884 TAP_584
*3885 TAP_585
*3886 TAP_586
*3887 TAP_587
*3888 TAP_588
*3889 TAP_589
*3890 TAP_590
*3891 TAP_591
*3892 TAP_592
*3893 TAP_593
*3894 TAP_594
*3895 TAP_595
*3896 TAP_596
*3897 TAP_597
*3898 TAP_598
*3899 _13_
*3900 _14_
*3901 _15_
*3902 _16_
*3903 _17_
*3904 _18_
*3905 _19_
*3906 _20_
*3907 _21_
*3908 _22_
*3909 _23_
*3910 _24_
*3911 _25_
*3912 _26_
*3913 _27_
*3914 _28_
*3915 _29_
*3916 _30_
*3917 _31_
*3918 _32_
*3919 _33_
*3920 _34_
*3921 _36_
*3922 _37_
*3923 _38_
*3924 _39_
*3925 _40_
*3926 _41_
*3927 _42_
*3928 _43_
*3929 _44_
*3930 _45_
*3931 _46_
*3932 _47_
*3933 _48_
*3934 _49_
*3935 _50_
*3936 _51_
*3937 _52_
*3938 _53_
*3939 _54_
*3940 _55_
*3941 _56_
*3942 _57_
*3943 _58_
*3944 _59_
*3945 _60_
*3946 _61_
*3947 _62_
*3948 _63_
*3949 _64_
*3950 _65_
*3951 _66_
*3952 _67_
*3953 _68_
*3954 _69_
*3955 _70_
*3956 _71_
*3957 _72_
*3958 _73_
*3959 _74_
*3960 _75_
*3961 _76_
*3962 _77_
*3963 clkbuf_0_wb_clk_i
*3964 clkbuf_1_0__f_wb_clk_i
*3965 clkbuf_1_1__f_wb_clk_i
*3966 input1
*3967 input10
*3968 input11
*3969 input12
*3970 input13
*3971 input14
*3972 input15
*3973 input16
*3974 input17
*3975 input18
*3976 input19
*3977 input2
*3978 input20
*3979 input21
*3980 input22
*3981 input23
*3982 input24
*3983 input25
*3984 input26
*3985 input27
*3986 input28
*3987 input29
*3988 input3
*3989 input30
*3990 input31
*3991 input32
*3992 input33
*3993 input34
*3994 input35
*3995 input36
*3996 input37
*3997 input38
*3998 input39
*3999 input4
*4000 input40
*4001 input41
*4002 input42
*4003 input43
*4004 input44
*4005 input45
*4006 input46
*4007 input47
*4008 input48
*4009 input49
*4010 input5
*4011 input50
*4012 input51
*4013 input52
*4014 input53
*4015 input54
*4016 input55
*4017 input56
*4018 input57
*4019 input58
*4020 input59
*4021 input6
*4022 input60
*4023 input61
*4024 input62
*4025 input63
*4026 input64
*4027 input65
*4028 input66
*4029 input67
*4030 input68
*4031 input69
*4032 input7
*4033 input8
*4034 input9
*4035 output100
*4036 output101
*4037 output102
*4038 output103
*4039 output104
*4040 output105
*4041 output106
*4042 output107
*4043 output108
*4044 output109
*4045 output110
*4046 output111
*4047 output112
*4048 output113
*4049 output114
*4050 output115
*4051 output116
*4052 output117
*4053 output118
*4054 output119
*4055 output70
*4056 output71
*4057 output72
*4058 output73
*4059 output74
*4060 output75
*4061 output76
*4062 output77
*4063 output78
*4064 output79
*4065 output80
*4066 output81
*4067 output82
*4068 output83
*4069 output84
*4070 output85
*4071 output86
*4072 output87
*4073 output88
*4074 output89
*4075 output90
*4076 output91
*4077 output92
*4078 output93
*4079 output94
*4080 output95
*4081 output96
*4082 output97
*4083 output98
*4084 output99
*4085 wb_interface_120
*PORTS
addr0[0] O
addr0[1] O
addr0[2] O
addr0[3] O
addr0[4] O
addr0[5] O
addr0[6] O
addr0[7] O
addr0[8] O
clk0 O
csb0 O
din0[0] O
din0[10] O
din0[11] O
din0[12] O
din0[13] O
din0[14] O
din0[15] O
din0[16] O
din0[17] O
din0[18] O
din0[19] O
din0[1] O
din0[20] O
din0[21] O
din0[22] O
din0[23] O
din0[24] O
din0[25] O
din0[26] O
din0[27] O
din0[28] O
din0[29] O
din0[2] O
din0[30] O
din0[31] O
din0[3] O
din0[4] O
din0[5] O
din0[6] O
din0[7] O
din0[8] O
din0[9] O
imem_rd_cs1 O
processor_reset O
wb_clk_i I
wb_rst_i I
wbs_ack_o O
wbs_adr_i[0] I
wbs_adr_i[10] I
wbs_adr_i[11] I
wbs_adr_i[12] I
wbs_adr_i[13] I
wbs_adr_i[14] I
wbs_adr_i[15] I
wbs_adr_i[16] I
wbs_adr_i[17] I
wbs_adr_i[18] I
wbs_adr_i[19] I
wbs_adr_i[1] I
wbs_adr_i[20] I
wbs_adr_i[21] I
wbs_adr_i[22] I
wbs_adr_i[23] I
wbs_adr_i[24] I
wbs_adr_i[25] I
wbs_adr_i[26] I
wbs_adr_i[27] I
wbs_adr_i[28] I
wbs_adr_i[29] I
wbs_adr_i[2] I
wbs_adr_i[30] I
wbs_adr_i[31] I
wbs_adr_i[3] I
wbs_adr_i[4] I
wbs_adr_i[5] I
wbs_adr_i[6] I
wbs_adr_i[7] I
wbs_adr_i[8] I
wbs_adr_i[9] I
wbs_cyc_i I
wbs_dat_i[0] I
wbs_dat_i[10] I
wbs_dat_i[11] I
wbs_dat_i[12] I
wbs_dat_i[13] I
wbs_dat_i[14] I
wbs_dat_i[15] I
wbs_dat_i[16] I
wbs_dat_i[17] I
wbs_dat_i[18] I
wbs_dat_i[19] I
wbs_dat_i[1] I
wbs_dat_i[20] I
wbs_dat_i[21] I
wbs_dat_i[22] I
wbs_dat_i[23] I
wbs_dat_i[24] I
wbs_dat_i[25] I
wbs_dat_i[26] I
wbs_dat_i[27] I
wbs_dat_i[28] I
wbs_dat_i[29] I
wbs_dat_i[2] I
wbs_dat_i[30] I
wbs_dat_i[31] I
wbs_dat_i[3] I
wbs_dat_i[4] I
wbs_dat_i[5] I
wbs_dat_i[6] I
wbs_dat_i[7] I
wbs_dat_i[8] I
wbs_dat_i[9] I
wbs_sel_i[0] I
wbs_sel_i[1] I
wbs_sel_i[2] I
wbs_sel_i[3] I
wbs_stb_i I
wbs_we_i I
web0 O
wmask0[0] O
wmask0[1] O
wmask0[2] O
wmask0[3] O
*D_NET *1 0.000518798
*CONN
*P addr0[0] O
*I *4055:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 addr0[0] 0.000259399
2 *4055:X 0.000259399
3 addr0[0] addr0[1] 0
4 addr0[0] din0[31] 0
5 addr0[0] *232:12 0
*RES
1 *4055:X addr0[0] 22.9832
*END
*D_NET *2 0.000518832
*CONN
*P addr0[1] O
*I *4056:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 addr0[1] 0.000259416
2 *4056:X 0.000259416
3 addr0[1] addr0[2] 0
4 addr0[1] *232:12 0
5 addr0[0] addr0[1] 0
*RES
1 *4056:X addr0[1] 22.9832
*END
*D_NET *3 0.000612086
*CONN
*P addr0[2] O
*I *4057:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 addr0[2] 0.000306043
2 *4057:X 0.000306043
3 addr0[2] addr0[3] 0
4 addr0[2] *237:12 0
5 addr0[2] *238:12 0
6 addr0[1] addr0[2] 0
*RES
1 *4057:X addr0[2] 24.1975
*END
*D_NET *4 0.000565425
*CONN
*P addr0[3] O
*I *4058:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 addr0[3] 0.000282712
2 *4058:X 0.000282712
3 addr0[3] addr0[4] 0
4 addr0[3] *237:12 0
5 addr0[3] *238:12 0
6 addr0[2] addr0[3] 0
*RES
1 *4058:X addr0[3] 23.5903
*END
*D_NET *5 0.000518798
*CONN
*P addr0[4] O
*I *4059:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 addr0[4] 0.000259399
2 *4059:X 0.000259399
3 addr0[4] addr0[5] 0
4 addr0[4] *238:12 0
5 addr0[3] addr0[4] 0
*RES
1 *4059:X addr0[4] 22.9832
*END
*D_NET *6 0.000542146
*CONN
*P addr0[5] O
*I *4060:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 addr0[5] 0.000271073
2 *4060:X 0.000271073
3 addr0[5] addr0[6] 0
4 addr0[5] *237:12 0
5 addr0[5] *238:12 0
6 addr0[4] addr0[5] 0
*RES
1 *4060:X addr0[5] 23.2868
*END
*D_NET *7 0.000612086
*CONN
*P addr0[6] O
*I *4061:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 addr0[6] 0.000306043
2 *4061:X 0.000306043
3 addr0[6] addr0[7] 0
4 addr0[6] *237:12 0
5 addr0[6] *238:12 0
6 addr0[5] addr0[6] 0
*RES
1 *4061:X addr0[6] 24.1975
*END
*D_NET *8 0.000565425
*CONN
*P addr0[7] O
*I *4062:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 addr0[7] 0.000282712
2 *4062:X 0.000282712
3 addr0[7] addr0[8] 0
4 addr0[7] *238:12 0
5 addr0[7] *239:12 0
6 addr0[6] addr0[7] 0
*RES
1 *4062:X addr0[7] 23.5903
*END
*D_NET *9 0.000518798
*CONN
*P addr0[8] O
*I *4063:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 addr0[8] 0.000259399
2 *4063:X 0.000259399
3 addr0[8] processor_reset 0
4 addr0[8] *160:8 0
5 addr0[7] addr0[8] 0
*RES
1 *4063:X addr0[8] 22.9832
*END
*D_NET *10 0.000482844
*CONN
*P clk0 O
*I *4064:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 clk0 0.000241422
2 *4064:X 0.000241422
3 clk0 csb0 0
4 clk0 imem_rd_cs1 0
*RES
1 *4064:X clk0 22.9111
*END
*D_NET *11 0.000612086
*CONN
*P csb0 O
*I *4065:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 csb0 0.000306043
2 *4065:X 0.000306043
3 csb0 web0 0
4 clk0 csb0 0
*RES
1 *4065:X csb0 24.1975
*END
*D_NET *12 0.000518798
*CONN
*P din0[0] O
*I *4066:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 din0[0] 0.000259399
2 *4066:X 0.000259399
3 din0[0] din0[1] 0
4 din0[0] wmask0[3] 0
5 din0[0] *243:18 0
*RES
1 *4066:X din0[0] 22.9832
*END
*D_NET *13 0.000612086
*CONN
*P din0[10] O
*I *4067:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 din0[10] 0.000306043
2 *4067:X 0.000306043
3 din0[10] din0[11] 0
4 din0[10] din0[9] 0
*RES
1 *4067:X din0[10] 24.1975
*END
*D_NET *14 0.000565425
*CONN
*P din0[11] O
*I *4068:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 din0[11] 0.000282712
2 *4068:X 0.000282712
3 din0[11] din0[12] 0
4 din0[10] din0[11] 0
*RES
1 *4068:X din0[11] 23.5903
*END
*D_NET *15 0.000518764
*CONN
*P din0[12] O
*I *4069:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 din0[12] 0.000259382
2 *4069:X 0.000259382
3 din0[12] din0[13] 0
4 din0[11] din0[12] 0
*RES
1 *4069:X din0[12] 22.9832
*END
*D_NET *16 0.000542111
*CONN
*P din0[13] O
*I *4070:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 din0[13] 0.000271056
2 *4070:X 0.000271056
3 din0[13] din0[14] 0
4 din0[13] *237:12 0
5 din0[13] *238:12 0
6 din0[12] din0[13] 0
*RES
1 *4070:X din0[13] 23.2868
*END
*D_NET *17 0.000612086
*CONN
*P din0[14] O
*I *4071:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 din0[14] 0.000306043
2 *4071:X 0.000306043
3 din0[14] din0[15] 0
4 din0[14] *237:12 0
5 din0[14] *238:12 0
6 din0[13] din0[14] 0
*RES
1 *4071:X din0[14] 24.1975
*END
*D_NET *18 0.000565425
*CONN
*P din0[15] O
*I *4072:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 din0[15] 0.000282712
2 *4072:X 0.000282712
3 din0[15] din0[16] 0
4 din0[15] *237:12 0
5 din0[15] *238:12 0
6 din0[14] din0[15] 0
*RES
1 *4072:X din0[15] 23.5903
*END
*D_NET *19 0.000518798
*CONN
*P din0[16] O
*I *4073:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 din0[16] 0.000259399
2 *4073:X 0.000259399
3 din0[16] din0[17] 0
4 din0[16] *238:12 0
5 din0[15] din0[16] 0
*RES
1 *4073:X din0[16] 22.9832
*END
*D_NET *20 0.000518832
*CONN
*P din0[17] O
*I *4074:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 din0[17] 0.000259416
2 *4074:X 0.000259416
3 din0[17] din0[18] 0
4 din0[17] *232:12 0
5 din0[16] din0[17] 0
*RES
1 *4074:X din0[17] 22.9832
*END
*D_NET *21 0.000612086
*CONN
*P din0[18] O
*I *4075:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 din0[18] 0.000306043
2 *4075:X 0.000306043
3 din0[18] din0[19] 0
4 din0[18] *232:12 0
5 din0[18] *237:12 0
6 din0[17] din0[18] 0
*RES
1 *4075:X din0[18] 24.1975
*END
*D_NET *22 0.000565425
*CONN
*P din0[19] O
*I *4076:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 din0[19] 0.000282712
2 *4076:X 0.000282712
3 din0[19] din0[20] 0
4 din0[19] *232:12 0
5 din0[19] *237:12 0
6 din0[18] din0[19] 0
*RES
1 *4076:X din0[19] 23.5903
*END
*D_NET *23 0.000518832
*CONN
*P din0[1] O
*I *4077:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 din0[1] 0.000259416
2 *4077:X 0.000259416
3 din0[1] din0[2] 0
4 din0[0] din0[1] 0
*RES
1 *4077:X din0[1] 22.9832
*END
*D_NET *24 0.000518798
*CONN
*P din0[20] O
*I *4078:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 din0[20] 0.000259399
2 *4078:X 0.000259399
3 din0[20] din0[21] 0
4 din0[20] *232:12 0
5 din0[19] din0[20] 0
*RES
1 *4078:X din0[20] 22.9832
*END
*D_NET *25 0.000542146
*CONN
*P din0[21] O
*I *4079:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 din0[21] 0.000271073
2 *4079:X 0.000271073
3 din0[21] din0[22] 0
4 din0[21] *232:12 0
5 din0[21] *237:12 0
6 din0[20] din0[21] 0
*RES
1 *4079:X din0[21] 23.2868
*END
*D_NET *26 0.000612086
*CONN
*P din0[22] O
*I *4080:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 din0[22] 0.000306043
2 *4080:X 0.000306043
3 din0[22] din0[23] 0
4 din0[22] *232:12 0
5 din0[22] *237:12 0
6 din0[21] din0[22] 0
*RES
1 *4080:X din0[22] 24.1975
*END
*D_NET *27 0.000565425
*CONN
*P din0[23] O
*I *4081:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 din0[23] 0.000282712
2 *4081:X 0.000282712
3 din0[23] din0[24] 0
4 din0[23] *232:12 0
5 din0[23] *237:12 0
6 din0[22] din0[23] 0
*RES
1 *4081:X din0[23] 23.5903
*END
*D_NET *28 0.000518798
*CONN
*P din0[24] O
*I *4082:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 din0[24] 0.000259399
2 *4082:X 0.000259399
3 din0[24] din0[25] 0
4 din0[24] *232:12 0
5 din0[23] din0[24] 0
*RES
1 *4082:X din0[24] 22.9832
*END
*D_NET *29 0.000518832
*CONN
*P din0[25] O
*I *4083:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 din0[25] 0.000259416
2 *4083:X 0.000259416
3 din0[25] din0[26] 0
4 din0[25] *232:12 0
5 din0[24] din0[25] 0
*RES
1 *4083:X din0[25] 22.9832
*END
*D_NET *30 0.000612086
*CONN
*P din0[26] O
*I *4084:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 din0[26] 0.000306043
2 *4084:X 0.000306043
3 din0[26] din0[27] 0
4 din0[26] *232:12 0
5 din0[26] *237:12 0
6 din0[25] din0[26] 0
*RES
1 *4084:X din0[26] 24.1975
*END
*D_NET *31 0.000565425
*CONN
*P din0[27] O
*I *4035:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 din0[27] 0.000282712
2 *4035:X 0.000282712
3 din0[27] din0[28] 0
4 din0[27] *232:12 0
5 din0[27] *237:12 0
6 din0[26] din0[27] 0
*RES
1 *4035:X din0[27] 23.5903
*END
*D_NET *32 0.000518798
*CONN
*P din0[28] O
*I *4036:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 din0[28] 0.000259399
2 *4036:X 0.000259399
3 din0[28] din0[29] 0
4 din0[28] *232:12 0
5 din0[27] din0[28] 0
*RES
1 *4036:X din0[28] 22.9832
*END
*D_NET *33 0.000542146
*CONN
*P din0[29] O
*I *4037:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 din0[29] 0.000271073
2 *4037:X 0.000271073
3 din0[29] din0[30] 0
4 din0[29] *232:12 0
5 din0[29] *237:12 0
6 din0[28] din0[29] 0
*RES
1 *4037:X din0[29] 23.2868
*END
*D_NET *34 0.000612086
*CONN
*P din0[2] O
*I *4038:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 din0[2] 0.000306043
2 *4038:X 0.000306043
3 din0[2] din0[3] 0
4 din0[2] *149:16 0
5 din0[1] din0[2] 0
*RES
1 *4038:X din0[2] 24.1975
*END
*D_NET *35 0.000612086
*CONN
*P din0[30] O
*I *4039:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 din0[30] 0.000306043
2 *4039:X 0.000306043
3 din0[30] din0[31] 0
4 din0[30] *232:12 0
5 din0[30] *237:12 0
6 din0[29] din0[30] 0
*RES
1 *4039:X din0[30] 24.1975
*END
*D_NET *36 0.000565425
*CONN
*P din0[31] O
*I *4040:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 din0[31] 0.000282712
2 *4040:X 0.000282712
3 din0[31] *232:12 0
4 din0[31] *237:12 0
5 addr0[0] din0[31] 0
6 din0[30] din0[31] 0
*RES
1 *4040:X din0[31] 23.5903
*END
*D_NET *37 0.000658644
*CONN
*P din0[3] O
*I *4041:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 din0[3] 0.000329322
2 *4041:X 0.000329322
3 din0[3] din0[4] 0
4 din0[2] din0[3] 0
*RES
1 *4041:X din0[3] 24.8046
*END
*D_NET *38 0.000518764
*CONN
*P din0[4] O
*I *4042:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 din0[4] 0.000259382
2 *4042:X 0.000259382
3 din0[4] din0[5] 0
4 din0[3] din0[4] 0
*RES
1 *4042:X din0[4] 22.9832
*END
*D_NET *39 0.000542146
*CONN
*P din0[5] O
*I *4043:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 din0[5] 0.000271073
2 *4043:X 0.000271073
3 din0[5] din0[6] 0
4 din0[4] din0[5] 0
*RES
1 *4043:X din0[5] 23.2868
*END
*D_NET *40 0.000612086
*CONN
*P din0[6] O
*I *4044:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 din0[6] 0.000306043
2 *4044:X 0.000306043
3 din0[6] din0[7] 0
4 din0[5] din0[6] 0
*RES
1 *4044:X din0[6] 24.1975
*END
*D_NET *41 0.000565425
*CONN
*P din0[7] O
*I *4045:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 din0[7] 0.000282712
2 *4045:X 0.000282712
3 din0[7] din0[8] 0
4 din0[7] *155:13 0
5 din0[6] din0[7] 0
*RES
1 *4045:X din0[7] 23.5903
*END
*D_NET *42 0.000518798
*CONN
*P din0[8] O
*I *4046:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 din0[8] 0.000259399
2 *4046:X 0.000259399
3 din0[8] din0[9] 0
4 din0[7] din0[8] 0
*RES
1 *4046:X din0[8] 22.9832
*END
*D_NET *43 0.000518832
*CONN
*P din0[9] O
*I *4047:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 din0[9] 0.000259416
2 *4047:X 0.000259416
3 din0[9] *158:14 0
4 din0[10] din0[9] 0
5 din0[8] din0[9] 0
*RES
1 *4047:X din0[9] 22.9832
*END
*D_NET *44 0.000529402
*CONN
*P imem_rd_cs1 O
*I *4085:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 imem_rd_cs1 0.000264701
2 *4085:LO 0.000264701
3 imem_rd_cs1 *240:10 0
4 clk0 imem_rd_cs1 0
*RES
1 *4085:LO imem_rd_cs1 23.5183
*END
*D_NET *45 0.000518832
*CONN
*P processor_reset O
*I *4048:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 processor_reset 0.000259416
2 *4048:X 0.000259416
3 processor_reset *4048:A 0
4 addr0[8] processor_reset 0
*RES
1 *4048:X processor_reset 22.9832
*END
*D_NET *48 0.00146998
*CONN
*P wb_clk_i I
*I *311:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3963:A I *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 wb_clk_i 0.000610924
2 *311:DIODE 0.000124066
3 *3963:A 0
4 *48:10 0.00073499
5 *48:10 wbs_ack_o 0
6 *48:10 *3900:A 0
*RES
1 wb_clk_i *48:10 16.8892
2 *48:10 *3963:A 9.3
3 *48:10 *311:DIODE 11.8893
*END
*D_NET *50 0.000421438
*CONN
*P wbs_ack_o O
*I *4049:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wbs_ack_o 0.000210719
2 *4049:X 0.000210719
3 wbs_ack_o *3899:B 0
4 wbs_ack_o *83:11 0
5 wbs_ack_o *120:10 0
6 *48:10 wbs_ack_o 0
*RES
1 *4049:X wbs_ack_o 23.3021
*END
*D_NET *52 0.000909093
*CONN
*P wbs_adr_i[10] I
*I *322:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3966:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_adr_i[10] 0.000192725
2 *322:DIODE 0.000261822
3 *3966:A 0
4 *52:10 0.000454546
5 *322:DIODE *333:DIODE 0
6 *322:DIODE *144:5 0
7 *52:10 *3902:C 0
8 *52:10 *85:10 0
9 *52:10 *115:12 0
*RES
1 wbs_adr_i[10] *52:10 13.93
2 *52:10 *3966:A 9.3
3 *52:10 *322:DIODE 14.7643
*END
*D_NET *53 0.000963606
*CONN
*P wbs_adr_i[11] I
*I *3977:A I *D sky130_fd_sc_hd__clkbuf_1
*I *333:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 wbs_adr_i[11] 0.000269002
2 *3977:A 2.56688e-05
3 *333:DIODE 0.000187132
4 *53:8 0.000481803
5 *333:DIODE *3902:C 0
6 *3977:A *338:DIODE 0
7 *53:8 *338:DIODE 0
8 *53:8 *3902:C 0
9 *53:8 *85:10 0
10 *53:8 *86:8 0
11 *322:DIODE *333:DIODE 0
*RES
1 wbs_adr_i[11] *53:8 6.51992
2 *53:8 *333:DIODE 22.5321
3 *53:8 *3977:A 18.8357
*END
*D_NET *54 0.000893212
*CONN
*P wbs_adr_i[12] I
*I *3988:A I *D sky130_fd_sc_hd__clkbuf_1
*I *344:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 wbs_adr_i[12] 0.000284776
2 *3988:A 6.65117e-05
3 *344:DIODE 9.5318e-05
4 *54:7 0.000446606
5 *344:DIODE *3909:B 0
6 *3988:A *3902:B 0
7 *54:7 *85:10 0
8 *54:7 *86:8 0
9 *54:7 *87:8 0
*RES
1 wbs_adr_i[12] *54:7 4.55053
2 *54:7 *344:DIODE 20.3446
3 *54:7 *3988:A 20.0946
*END
*D_NET *55 0.00140706
*CONN
*P wbs_adr_i[13] I
*I *355:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3999:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_adr_i[13] 0.000239352
2 *355:DIODE 0.000464177
3 *3999:A 0
4 *55:10 0.000703529
5 *55:10 *3901:B 0
6 *55:10 *3902:C 0
7 *55:10 *87:8 0
8 *55:10 *88:10 0
*RES
1 wbs_adr_i[13] *55:10 15.1443
2 *55:10 *3999:A 9.3
3 *55:10 *355:DIODE 19.05
*END
*D_NET *56 0.000964743
*CONN
*P wbs_adr_i[14] I
*I *366:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4010:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_adr_i[14] 0.000377985
2 *366:DIODE 0.000104386
3 *4010:A 0
4 *56:10 0.000482371
5 *366:DIODE *341:DIODE 0
6 *366:DIODE *194:7 0
7 *56:10 *3901:A 0
8 *56:10 *88:10 0
9 *56:10 *89:10 0
10 *56:10 *130:10 0
11 *56:10 *136:8 0
*RES
1 wbs_adr_i[14] *56:10 15.1857
2 *56:10 *4010:A 9.3
3 *56:10 *366:DIODE 11.4786
*END
*D_NET *57 0.000649695
*CONN
*P wbs_adr_i[15] I
*I *377:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4021:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_adr_i[15] 0.000181102
2 *377:DIODE 0.000143745
3 *4021:A 0
4 *57:10 0.000324847
5 *57:10 *3901:C 0
6 *57:10 *89:10 0
7 *57:10 *90:10 0
*RES
1 wbs_adr_i[15] *57:10 13.6264
2 *57:10 *4021:A 9.3
3 *57:10 *377:DIODE 12.3
*END
*D_NET *58 0.00074288
*CONN
*P wbs_adr_i[16] I
*I *378:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4032:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_adr_i[16] 0.000227695
2 *378:DIODE 0.000143745
3 *4032:A 0
4 *58:10 0.00037144
5 *378:DIODE *3901:C 0
6 *378:DIODE *196:9 0
7 *58:10 *3901:C 0
8 *58:10 *90:10 0
9 *58:10 *91:14 0
10 *58:10 *136:8 0
*RES
1 wbs_adr_i[16] *58:10 14.8407
2 *58:10 *4032:A 9.3
3 *58:10 *378:DIODE 12.3
*END
*D_NET *59 0.00112982
*CONN
*P wbs_adr_i[17] I
*I *4033:A I *D sky130_fd_sc_hd__clkbuf_1
*I *379:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 wbs_adr_i[17] 0.000210719
2 *4033:A 0.000112048
3 *379:DIODE 0.000242142
4 *59:8 0.000564909
5 *379:DIODE *343:DIODE 0
6 *4033:A *92:10 0
7 *4033:A *136:8 0
8 *59:8 *91:14 0
9 *59:8 *92:10 0
10 *59:8 *136:8 0
*RES
1 wbs_adr_i[17] *59:8 5.00206
2 *59:8 *379:DIODE 23.3536
3 *59:8 *4033:A 21.0857
*END
*D_NET *60 0.00111658
*CONN
*P wbs_adr_i[18] I
*I *380:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4034:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_adr_i[18] 0.00048595
2 *380:DIODE 7.23401e-05
3 *4034:A 0
4 *60:8 0.00055829
5 *380:DIODE *3907:D 0
6 *60:8 *3907:C 0
7 *60:8 *3907:D 0
8 *60:8 *61:8 0
9 *60:8 *92:10 0
10 *60:8 *93:10 0
11 *60:8 *204:22 0
*RES
1 wbs_adr_i[18] *60:8 6.61814
2 *60:8 *4034:A 18.3
3 *60:8 *380:DIODE 20.2464
*END
*D_NET *61 0.000822746
*CONN
*P wbs_adr_i[19] I
*I *312:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3967:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_adr_i[19] 0.000325021
2 *312:DIODE 6.06834e-05
3 *3967:A 2.56688e-05
4 *61:8 0.000411373
5 *312:DIODE *3907:D 0
6 *312:DIODE *131:13 0
7 *3967:A *198:7 0
8 *61:8 *3907:D 0
9 *61:8 *93:10 0
10 *61:8 *94:10 0
11 *61:8 *96:10 0
12 *61:8 *131:13 0
13 *60:8 *61:8 0
*RES
1 wbs_adr_i[19] *61:8 5.20296
2 *61:8 *3967:A 18.8357
3 *61:8 *312:DIODE 19.9429
*END
*D_NET *63 0.00129101
*CONN
*P wbs_adr_i[20] I
*I *313:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3968:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_adr_i[20] 0.000326017
2 *313:DIODE 0.00029382
3 *3968:A 2.56688e-05
4 *63:10 0.000645506
5 *313:DIODE *3903:C 0
6 *313:DIODE *3904:C 0
7 *313:DIODE *65:8 0
8 *313:DIODE *97:10 0
9 *313:DIODE *136:8 0
10 *63:10 *3904:C 0
11 *63:10 *94:10 0
12 *63:10 *96:10 0
13 *63:10 *200:8 0
*RES
1 wbs_adr_i[20] *63:10 17.0378
2 *63:10 *3968:A 9.83571
3 *63:10 *313:DIODE 34.3893
*END
*D_NET *64 0.00111089
*CONN
*P wbs_adr_i[21] I
*I *3969:A I *D sky130_fd_sc_hd__clkbuf_1
*I *314:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 wbs_adr_i[21] 0.000392741
2 *3969:A 0.000105448
3 *314:DIODE 5.72549e-05
4 *64:7 0.000555443
5 *314:DIODE *205:10 0
6 *3969:A *349:DIODE 0
7 *3969:A *3903:B 0
8 *3969:A *202:7 0
9 *3969:A *205:10 0
10 *64:7 *65:8 0
11 *64:7 *96:10 0
12 *64:7 *97:10 0
13 *64:7 *98:8 0
*RES
1 wbs_adr_i[21] *64:7 4.98293
2 *64:7 *314:DIODE 19.7911
3 *64:7 *3969:A 20.6661
*END
*D_NET *65 0.0011268
*CONN
*P wbs_adr_i[22] I
*I *315:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3970:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_adr_i[22] 0.000338943
2 *315:DIODE 0.000198789
3 *3970:A 2.56688e-05
4 *65:8 0.0005634
5 *315:DIODE *98:8 0
6 *315:DIODE *99:10 0
7 *315:DIODE *204:16 0
8 *65:8 *3903:C 0
9 *65:8 *97:10 0
10 *65:8 *98:8 0
11 *313:DIODE *65:8 0
12 *64:7 *65:8 0
*RES
1 wbs_adr_i[22] *65:8 8.34135
2 *65:8 *3970:A 18.8357
3 *65:8 *315:DIODE 22.8357
*END
*D_NET *66 0.000894871
*CONN
*P wbs_adr_i[23] I
*I *316:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3971:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_adr_i[23] 0.000343049
2 *316:DIODE 0.000104386
3 *3971:A 0
4 *66:10 0.000447436
5 *316:DIODE *210:11 0
6 *66:10 *98:8 0
7 *66:10 *99:10 0
8 *66:10 *100:8 0
9 *66:10 *134:10 0
10 *66:10 *206:8 0
*RES
1 wbs_adr_i[23] *66:10 14.275
2 *66:10 *3971:A 9.3
3 *66:10 *316:DIODE 11.4786
*END
*D_NET *67 0.00168101
*CONN
*P wbs_adr_i[24] I
*I *317:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3972:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_adr_i[24] 0.00030531
2 *317:DIODE 0.000514659
3 *3972:A 2.0535e-05
4 *67:11 0.000840504
5 *317:DIODE *318:DIODE 0
6 *317:DIODE *3903:C 0
7 *317:DIODE *3906:B 0
8 *317:DIODE *207:9 0
9 *67:11 *99:10 0
10 *67:11 *100:8 0
*RES
1 wbs_adr_i[24] *67:11 17.1258
2 *67:11 *3972:A 9.72857
3 *67:11 *317:DIODE 20.1036
*END
*D_NET *68 0.000871523
*CONN
*P wbs_adr_i[25] I
*I *318:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3973:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_adr_i[25] 0.000331375
2 *318:DIODE 0.000104386
3 *3973:A 0
4 *68:10 0.000435762
5 *318:DIODE *207:9 0
6 *68:10 *100:8 0
7 *68:10 *101:10 0
8 *317:DIODE *318:DIODE 0
*RES
1 wbs_adr_i[25] *68:10 13.9715
2 *68:10 *3973:A 9.3
3 *68:10 *318:DIODE 11.4786
*END
*D_NET *69 0.00112197
*CONN
*P wbs_adr_i[26] I
*I *319:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3974:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_adr_i[26] 0.000338942
2 *319:DIODE 0.000196372
3 *3974:A 2.56688e-05
4 *69:8 0.000560983
5 *319:DIODE *356:DIODE 0
6 *319:DIODE *102:10 0
7 *319:DIODE *210:10 0
8 *69:8 *353:DIODE 0
9 *69:8 *70:10 0
10 *69:8 *101:10 0
11 *69:8 *102:10 0
12 *69:8 *210:10 0
*RES
1 wbs_adr_i[26] *69:8 8.34135
2 *69:8 *3974:A 18.8357
3 *69:8 *319:DIODE 22.8357
*END
*D_NET *70 0.000894871
*CONN
*P wbs_adr_i[27] I
*I *320:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3975:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_adr_i[27] 0.000343049
2 *320:DIODE 0.000104386
3 *3975:A 0
4 *70:10 0.000447436
5 *70:10 *3906:D 0
6 *70:10 *71:10 0
7 *70:10 *101:10 0
8 *70:10 *102:10 0
9 *70:10 *103:8 0
10 *70:10 *215:8 0
11 *69:8 *70:10 0
*RES
1 wbs_adr_i[27] *70:10 14.275
2 *70:10 *3975:A 9.3
3 *70:10 *320:DIODE 11.4786
*END
*D_NET *71 0.00131195
*CONN
*P wbs_adr_i[28] I
*I *321:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3976:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_adr_i[28] 0.000551588
2 *321:DIODE 0.000104386
3 *3976:A 0
4 *71:10 0.000655975
5 *321:DIODE *160:5 0
6 *71:10 *72:8 0
7 *71:10 *103:8 0
8 *71:10 *104:10 0
9 *71:10 *215:8 0
10 *70:10 *71:10 0
*RES
1 wbs_adr_i[28] *71:10 16.1379
2 *71:10 *3976:A 9.3
3 *71:10 *321:DIODE 11.4786
*END
*D_NET *72 0.00118944
*CONN
*P wbs_adr_i[29] I
*I *323:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3978:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_adr_i[29] 0.000457351
2 *323:DIODE 0.000137368
3 *3978:A 0
4 *72:8 0.000594719
5 *323:DIODE *211:5 0
6 *323:DIODE *215:8 0
7 *72:8 *104:10 0
8 *72:8 *105:10 0
9 *72:8 *107:8 0
10 *71:10 *72:8 0
*RES
1 wbs_adr_i[29] *72:8 5.47592
2 *72:8 *3978:A 18.3
3 *72:8 *323:DIODE 21.6036
*END
*D_NET *73 0.000871523
*CONN
*P wbs_adr_i[2] I
*I *324:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3979:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 wbs_adr_i[2] 0.000331375
2 *324:DIODE 0.000104386
3 *3979:A 0
4 *73:10 0.000435762
5 *324:DIODE *177:7 0
6 *73:10 *76:10 0
7 *73:10 *106:10 0
8 *73:10 *117:8 0
*RES
1 wbs_adr_i[2] *73:10 13.9715
2 *73:10 *3979:A 9.3
3 *73:10 *324:DIODE 11.4786
*END
*D_NET *74 0.00106551
*CONN
*P wbs_adr_i[30] I
*I *325:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3980:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_adr_i[30] 0.000325925
2 *325:DIODE 0.000206828
3 *3980:A 0
4 *74:14 0.000532753
5 *325:DIODE *3905:A 0
6 *325:DIODE *107:8 0
7 *325:DIODE *214:8 0
8 *325:DIODE *214:9 0
9 *325:DIODE *215:8 0
10 *74:14 *3905:A 0
11 *74:14 *105:10 0
12 *74:14 *107:8 0
*RES
1 wbs_adr_i[30] *74:14 17.8579
2 *74:14 *3980:A 9.3
3 *74:14 *325:DIODE 31.9429
*END
*D_NET *75 0.000894871
*CONN
*P wbs_adr_i[31] I
*I *326:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3981:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_adr_i[31] 0.000343049
2 *326:DIODE 0.000104386
3 *3981:A 0
4 *75:10 0.000447436
5 *326:DIODE *214:9 0
6 *75:10 *107:8 0
7 *75:10 *108:8 0
8 *75:10 *215:8 0
*RES
1 wbs_adr_i[31] *75:10 14.275
2 *75:10 *3981:A 9.3
3 *75:10 *326:DIODE 11.4786
*END
*D_NET *76 0.00101137
*CONN
*P wbs_adr_i[3] I
*I *327:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3982:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 wbs_adr_i[3] 0.000401298
2 *327:DIODE 0.000104386
3 *3982:A 0
4 *76:10 0.000505685
5 *327:DIODE *180:5 0
6 *76:10 *77:7 0
7 *76:10 *106:10 0
8 *76:10 *109:10 0
9 *76:10 *118:8 0
10 *76:10 *128:17 0
11 *76:10 *189:15 0
12 *73:10 *76:10 0
*RES
1 wbs_adr_i[3] *76:10 15.7929
2 *76:10 *3982:A 9.3
3 *76:10 *327:DIODE 11.4786
*END
*D_NET *77 0.000941875
*CONN
*P wbs_adr_i[4] I
*I *3983:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *328:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 wbs_adr_i[4] 0.000320764
2 *3983:A 5.4855e-05
3 *328:DIODE 9.5318e-05
4 *77:7 0.000470937
5 *328:DIODE *227:7 0
6 *3983:A *329:DIODE 0
7 *3983:A *189:15 0
8 *77:7 *110:8 0
9 *77:7 *119:7 0
10 *76:10 *77:7 0
*RES
1 wbs_adr_i[4] *77:7 4.69467
2 *77:7 *328:DIODE 20.3446
3 *77:7 *3983:A 19.7911
*END
*D_NET *78 0.00097418
*CONN
*P wbs_adr_i[5] I
*I *3984:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *329:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 wbs_adr_i[5] 0.00030277
2 *3984:A 3.38799e-05
3 *329:DIODE 0.00015044
4 *78:7 0.00048709
5 *329:DIODE *128:17 0
6 *329:DIODE *189:15 0
7 *329:DIODE *218:8 0
8 *78:7 *110:8 0
9 *78:7 *111:10 0
10 *78:7 *184:11 0
11 *3983:A *329:DIODE 0
*RES
1 wbs_adr_i[5] *78:7 4.6226
2 *78:7 *329:DIODE 22.2196
3 *78:7 *3984:A 19.1125
*END
*D_NET *79 0.00122567
*CONN
*P wbs_adr_i[6] I
*I *3985:A I *D sky130_fd_sc_hd__clkbuf_1
*I *330:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 wbs_adr_i[6] 0.000192725
2 *3985:A 0
3 *330:DIODE 0.000420112
4 *79:10 0.000612837
5 *330:DIODE *365:DIODE 0
6 *330:DIODE *183:7 0
7 *79:10 *111:10 0
8 *79:10 *112:10 0
9 *79:10 *128:17 0
*RES
1 wbs_adr_i[6] *79:10 13.93
2 *79:10 *330:DIODE 18.0679
3 *79:10 *3985:A 9.3
*END
*D_NET *80 0.00102573
*CONN
*P wbs_adr_i[7] I
*I *331:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3986:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_adr_i[7] 0.000251042
2 *331:DIODE 0.000261822
3 *3986:A 0
4 *80:10 0.000512864
5 *331:DIODE *368:DIODE 0
6 *80:10 *368:DIODE 0
7 *80:10 *112:10 0
8 *80:10 *113:8 0
9 *80:10 *128:17 0
*RES
1 wbs_adr_i[7] *80:10 15.4479
2 *80:10 *3986:A 9.3
3 *80:10 *331:DIODE 14.7643
*END
*D_NET *81 0.000932406
*CONN
*P wbs_adr_i[8] I
*I *332:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3987:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_adr_i[8] 0.000204381
2 *332:DIODE 0.000261822
3 *3987:A 0
4 *81:10 0.000466203
5 *332:DIODE *334:DIODE 0
6 *332:DIODE *185:7 0
7 *81:10 *113:8 0
8 *81:10 *114:10 0
9 *81:10 *128:17 0
*RES
1 wbs_adr_i[8] *81:10 14.2336
2 *81:10 *3987:A 9.3
3 *81:10 *332:DIODE 14.7643
*END
*D_NET *82 0.000952334
*CONN
*P wbs_adr_i[9] I
*I *3989:A I *D sky130_fd_sc_hd__clkbuf_1
*I *334:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 wbs_adr_i[9] 0.000254408
2 *3989:A 0
3 *334:DIODE 0.000221759
4 *82:10 0.000476167
5 *334:DIODE *368:DIODE 0
6 *334:DIODE *128:17 0
7 *82:10 *370:DIODE 0
8 *82:10 *114:10 0
9 *82:10 *115:12 0
10 *332:DIODE *334:DIODE 0
*RES
1 wbs_adr_i[9] *82:10 5.69067
2 *82:10 *334:DIODE 23.4964
3 *82:10 *3989:A 18.3
*END
*D_NET *83 0.00132527
*CONN
*P wbs_cyc_i I
*I *335:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3990:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_cyc_i 0.000362096
2 *335:DIODE 0.000300539
3 *3990:A 0
4 *83:11 0.000662634
5 *335:DIODE *3899:B 0
6 *335:DIODE *3900:A 0
7 *335:DIODE *3900:B 0
8 *83:11 *3899:B 0
9 *83:11 *3920:CLK 0
10 *83:11 *120:10 0
11 wbs_ack_o *83:11 0
*RES
1 wbs_cyc_i *83:11 18.6436
2 *83:11 *3990:A 9.3
3 *83:11 *335:DIODE 15.6036
*END
*D_NET *84 0.000885848
*CONN
*P wbs_dat_i[0] I
*I *336:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3991:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_dat_i[0] 0.000181102
2 *336:DIODE 0.000261822
3 *3991:A 0
4 *84:10 0.000442924
5 *336:DIODE *371:DIODE 0
6 *336:DIODE *189:8 0
7 *84:10 *116:7 0
8 *84:10 *121:11 0
9 *84:10 *128:17 0
*RES
1 wbs_dat_i[0] *84:10 13.6264
2 *84:10 *3991:A 9.3
3 *84:10 *336:DIODE 14.7643
*END
*D_NET *85 0.00104142
*CONN
*P wbs_dat_i[10] I
*I *337:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3992:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_dat_i[10] 0.000376967
2 *337:DIODE 0.000143745
3 *3992:A 0
4 *85:10 0.000520712
5 *337:DIODE *191:7 0
6 *85:10 *3902:C 0
7 *85:10 *175:8 0
8 *85:10 *189:15 0
9 *52:10 *85:10 0
10 *53:8 *85:10 0
11 *54:7 *85:10 0
*RES
1 wbs_dat_i[10] *85:10 15.9523
2 *85:10 *3992:A 9.3
3 *85:10 *337:DIODE 12.3
*END
*D_NET *86 0.00089236
*CONN
*P wbs_dat_i[11] I
*I *3993:A I *D sky130_fd_sc_hd__clkbuf_1
*I *338:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 wbs_dat_i[11] 0.000216038
2 *3993:A 0
3 *338:DIODE 0.000230142
4 *86:8 0.00044618
5 *338:DIODE *3902:C 0
6 *338:DIODE *175:8 0
7 *86:8 *3902:C 0
8 *3977:A *338:DIODE 0
9 *53:8 *338:DIODE 0
10 *53:8 *86:8 0
11 *54:7 *86:8 0
*RES
1 wbs_dat_i[11] *86:8 5.53714
2 *86:8 *338:DIODE 23.55
3 *86:8 *3993:A 18.3
*END
*D_NET *87 0.00102333
*CONN
*P wbs_dat_i[12] I
*I *3994:A I *D sky130_fd_sc_hd__clkbuf_1
*I *339:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 wbs_dat_i[12] 0.000439323
2 *3994:A 7.23401e-05
3 *339:DIODE 0
4 *87:8 0.000511663
5 *3994:A *3902:A 0
6 *3994:A *3902:B 0
7 *87:8 *88:10 0
8 *54:7 *87:8 0
9 *55:10 *87:8 0
*RES
1 wbs_dat_i[12] *87:8 5.40385
2 *87:8 *339:DIODE 18.3
3 *87:8 *3994:A 20.2464
*END
*D_NET *88 0.00127805
*CONN
*P wbs_dat_i[13] I
*I *340:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3995:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_dat_i[13] 0.000492287
2 *340:DIODE 8.17123e-05
3 *3995:A 6.50276e-05
4 *88:10 0.000639027
5 *88:10 *130:10 0
6 *55:10 *88:10 0
7 *56:10 *88:10 0
8 *87:8 *88:10 0
*RES
1 wbs_dat_i[13] *88:10 15.3866
2 *88:10 *3995:A 10.6571
3 *88:10 *340:DIODE 11.0679
*END
*D_NET *89 0.00120174
*CONN
*P wbs_dat_i[14] I
*I *341:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3996:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_dat_i[14] 0.000187405
2 *341:DIODE 0.000367258
3 *3996:A 4.62038e-05
4 *89:10 0.000600868
5 *341:DIODE *130:10 0
6 *341:DIODE *194:7 0
7 *366:DIODE *341:DIODE 0
8 *56:10 *89:10 0
9 *57:10 *89:10 0
*RES
1 wbs_dat_i[14] *89:10 13.3949
2 *89:10 *3996:A 10.2643
3 *89:10 *341:DIODE 35.3536
*END
*D_NET *90 0.00067294
*CONN
*P wbs_dat_i[15] I
*I *342:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3997:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_dat_i[15] 0.000192725
2 *342:DIODE 0.000143745
3 *3997:A 0
4 *90:10 0.00033647
5 *342:DIODE *195:9 0
6 *90:10 *3901:C 0
7 *57:10 *90:10 0
8 *58:10 *90:10 0
*RES
1 wbs_dat_i[15] *90:10 13.93
2 *90:10 *3997:A 9.3
3 *90:10 *342:DIODE 12.3
*END
*D_NET *91 0.000807904
*CONN
*P wbs_dat_i[16] I
*I *343:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3998:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_dat_i[16] 0.000260207
2 *343:DIODE 0.000143745
3 *3998:A 0
4 *91:14 0.000403952
5 *91:14 *136:8 0
6 *91:14 *196:8 0
7 *379:DIODE *343:DIODE 0
8 *58:10 *91:14 0
9 *59:8 *91:14 0
*RES
1 wbs_dat_i[16] *91:14 14.7377
2 *91:14 *3998:A 9.3
3 *91:14 *343:DIODE 12.3
*END
*D_NET *92 0.00139385
*CONN
*P wbs_dat_i[17] I
*I *345:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4000:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_dat_i[17] 0.000390854
2 *345:DIODE 0.000306071
3 *4000:A 0
4 *92:10 0.000696926
5 *345:DIODE *347:DIODE 0
6 *345:DIODE *131:13 0
7 *345:DIODE *136:8 0
8 *345:DIODE *198:7 0
9 *345:DIODE *199:9 0
10 *92:10 *3904:B 0
11 *92:10 *93:10 0
12 *92:10 *136:8 0
13 *4033:A *92:10 0
14 *59:8 *92:10 0
15 *60:8 *92:10 0
*RES
1 wbs_dat_i[17] *92:10 19.0907
2 *92:10 *4000:A 9.3
3 *92:10 *345:DIODE 34.4964
*END
*D_NET *93 0.00123087
*CONN
*P wbs_dat_i[18] I
*I *346:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4001:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_dat_i[18] 0.000350616
2 *346:DIODE 0.000239147
3 *4001:A 2.56688e-05
4 *93:10 0.000615433
5 *346:DIODE *200:9 0
6 *346:DIODE *205:11 0
7 *93:10 *3904:B 0
8 *93:10 *3904:C 0
9 *93:10 *3904:D 0
10 *93:10 *94:10 0
11 *93:10 *199:8 0
12 *60:8 *93:10 0
13 *61:8 *93:10 0
14 *92:10 *93:10 0
*RES
1 wbs_dat_i[18] *93:10 17.6449
2 *93:10 *4001:A 9.83571
3 *93:10 *346:DIODE 14.3536
*END
*D_NET *94 0.001355
*CONN
*P wbs_dat_i[19] I
*I *347:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4002:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_dat_i[19] 0.000297601
2 *347:DIODE 0.000379898
3 *4002:A 0
4 *94:10 0.000677499
5 *347:DIODE *206:13 0
6 *94:10 *3904:C 0
7 *345:DIODE *347:DIODE 0
8 *61:8 *94:10 0
9 *63:10 *94:10 0
10 *93:10 *94:10 0
*RES
1 wbs_dat_i[19] *94:10 16.6621
2 *94:10 *4002:A 9.3
3 *94:10 *347:DIODE 17.2286
*END
*D_NET *95 0.000932406
*CONN
*P wbs_dat_i[1] I
*I *348:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4003:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_dat_i[1] 0.000204381
2 *348:DIODE 0.000261822
3 *4003:A 0
4 *95:10 0.000466203
5 *348:DIODE *372:DIODE 0
6 *348:DIODE *201:7 0
7 *95:10 *116:7 0
8 *95:10 *117:8 0
9 *95:10 *128:17 0
*RES
1 wbs_dat_i[1] *95:10 14.2336
2 *95:10 *4003:A 9.3
3 *95:10 *348:DIODE 14.7643
*END
*D_NET *96 0.00125825
*CONN
*P wbs_dat_i[20] I
*I *349:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4004:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_dat_i[20] 0.000365293
2 *349:DIODE 0.000263833
3 *4004:A 0
4 *96:10 0.000629126
5 *349:DIODE *3903:B 0
6 *349:DIODE *202:7 0
7 *349:DIODE *205:10 0
8 *349:DIODE *209:13 0
9 *96:10 *131:13 0
10 *96:10 *136:8 0
11 *3969:A *349:DIODE 0
12 *61:8 *96:10 0
13 *63:10 *96:10 0
14 *64:7 *96:10 0
*RES
1 wbs_dat_i[20] *96:10 15.6487
2 *96:10 *4004:A 9.3
3 *96:10 *349:DIODE 33.1929
*END
*D_NET *97 0.00109553
*CONN
*P wbs_dat_i[21] I
*I *350:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4005:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_dat_i[21] 0.000285944
2 *350:DIODE 0.000261822
3 *4005:A 0
4 *97:10 0.000547766
5 *350:DIODE *203:9 0
6 *313:DIODE *97:10 0
7 *64:7 *97:10 0
8 *65:8 *97:10 0
*RES
1 wbs_dat_i[21] *97:10 16.3586
2 *97:10 *4005:A 9.3
3 *97:10 *350:DIODE 14.7643
*END
*D_NET *98 0.00123283
*CONN
*P wbs_dat_i[22] I
*I *351:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4006:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_dat_i[22] 0.000371027
2 *351:DIODE 0.00020004
3 *4006:A 4.53482e-05
4 *98:8 0.000616415
5 *351:DIODE *352:DIODE 0
6 *351:DIODE *99:10 0
7 *351:DIODE *210:10 0
8 *98:8 *3903:C 0
9 *98:8 *99:10 0
10 *98:8 *210:10 0
11 *315:DIODE *98:8 0
12 *64:7 *98:8 0
13 *65:8 *98:8 0
14 *66:10 *98:8 0
*RES
1 wbs_dat_i[22] *98:8 8.71699
2 *98:8 *4006:A 19.2464
3 *98:8 *351:DIODE 23.0321
*END
*D_NET *99 0.00111888
*CONN
*P wbs_dat_i[23] I
*I *352:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4007:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_dat_i[23] 0.000297618
2 *352:DIODE 0.000261822
3 *4007:A 0
4 *99:10 0.000559439
5 *352:DIODE *205:10 0
6 *99:10 *210:10 0
7 *315:DIODE *99:10 0
8 *351:DIODE *352:DIODE 0
9 *351:DIODE *99:10 0
10 *66:10 *99:10 0
11 *67:11 *99:10 0
12 *98:8 *99:10 0
*RES
1 wbs_dat_i[23] *99:10 16.6621
2 *99:10 *4007:A 9.3
3 *99:10 *352:DIODE 14.7643
*END
*D_NET *100 0.00141606
*CONN
*P wbs_dat_i[24] I
*I *353:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4008:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_dat_i[24] 0.000362204
2 *353:DIODE 0.000320156
3 *4008:A 2.56688e-05
4 *100:8 0.000708029
5 *353:DIODE *134:10 0
6 *353:DIODE *210:10 0
7 *353:DIODE *215:11 0
8 *100:8 *210:10 0
9 *66:10 *100:8 0
10 *67:11 *100:8 0
11 *68:10 *100:8 0
12 *69:8 *353:DIODE 0
*RES
1 wbs_dat_i[24] *100:8 8.94849
2 *100:8 *4008:A 18.8357
3 *100:8 *353:DIODE 25.55
*END
*D_NET *101 0.000948171
*CONN
*P wbs_dat_i[25] I
*I *354:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4009:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_dat_i[25] 0.00033034
2 *354:DIODE 0.000143745
3 *4009:A 0
4 *101:10 0.000474085
5 *354:DIODE *206:8 0
6 *101:10 *3906:B 0
7 *101:10 *134:10 0
8 *68:10 *101:10 0
9 *69:8 *101:10 0
10 *70:10 *101:10 0
*RES
1 wbs_dat_i[25] *101:10 14.738
2 *101:10 *4009:A 9.3
3 *101:10 *354:DIODE 12.3
*END
*D_NET *102 0.00118885
*CONN
*P wbs_dat_i[26] I
*I *356:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4011:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_dat_i[26] 0.000332605
2 *356:DIODE 0.000261822
3 *4011:A 0
4 *102:10 0.000594427
5 *356:DIODE *209:8 0
6 *102:10 *210:10 0
7 *319:DIODE *356:DIODE 0
8 *319:DIODE *102:10 0
9 *69:8 *102:10 0
10 *70:10 *102:10 0
*RES
1 wbs_dat_i[26] *102:10 17.5729
2 *102:10 *4011:A 9.3
3 *102:10 *356:DIODE 14.7643
*END
*D_NET *103 0.00157407
*CONN
*P wbs_dat_i[27] I
*I *357:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4012:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_dat_i[27] 0.000381234
2 *357:DIODE 0.000300561
3 *4012:A 0.000105242
4 *103:8 0.000787037
5 *357:DIODE *359:DIODE 0
6 *357:DIODE *3905:A 0
7 *357:DIODE *3905:B 0
8 *357:DIODE *3905:D_N 0
9 *357:DIODE *104:10 0
10 *357:DIODE *215:8 0
11 *4012:A *133:9 0
12 *103:8 *3906:D 0
13 *103:8 *3910:B 0
14 *103:8 *210:10 0
15 *70:10 *103:8 0
16 *71:10 *103:8 0
*RES
1 wbs_dat_i[27] *103:8 8.25398
2 *103:8 *4012:A 20.4964
3 *103:8 *357:DIODE 25.8536
*END
*D_NET *104 0.00148696
*CONN
*P wbs_dat_i[28] I
*I *358:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4013:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_dat_i[28] 0.000380267
2 *358:DIODE 0.000317865
3 *4013:A 4.53482e-05
4 *104:10 0.00074348
5 *358:DIODE *211:5 0
6 *358:DIODE *212:9 0
7 *104:10 *3905:A 0
8 *104:10 *105:10 0
9 *104:10 *133:9 0
10 *357:DIODE *104:10 0
11 *71:10 *104:10 0
12 *72:8 *104:10 0
*RES
1 wbs_dat_i[28] *104:10 18.0206
2 *104:10 *4013:A 10.2464
3 *104:10 *358:DIODE 15.9964
*END
*D_NET *105 0.00109557
*CONN
*P wbs_dat_i[29] I
*I *359:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4014:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_dat_i[29] 0.000285961
2 *359:DIODE 0.000261822
3 *4014:A 0
4 *105:10 0.000547783
5 *105:10 *3905:A 0
6 *105:10 *212:8 0
7 *357:DIODE *359:DIODE 0
8 *72:8 *105:10 0
9 *74:14 *105:10 0
10 *104:10 *105:10 0
*RES
1 wbs_dat_i[29] *105:10 16.3586
2 *105:10 *4014:A 9.3
3 *105:10 *359:DIODE 14.7643
*END
*D_NET *106 0.000948171
*CONN
*P wbs_dat_i[2] I
*I *360:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4015:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_dat_i[2] 0.00033034
2 *360:DIODE 0.000143745
3 *4015:A 0
4 *106:10 0.000474085
5 *360:DIODE *213:5 0
6 *106:10 *118:8 0
7 *106:10 *128:17 0
8 *106:10 *189:15 0
9 *73:10 *106:10 0
10 *76:10 *106:10 0
*RES
1 wbs_dat_i[2] *106:10 14.738
2 *106:10 *4015:A 9.3
3 *106:10 *360:DIODE 12.3
*END
*D_NET *107 0.0011687
*CONN
*P wbs_dat_i[30] I
*I *361:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4016:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_dat_i[30] 0.000350616
2 *361:DIODE 0.000208063
3 *4016:A 2.56688e-05
4 *107:8 0.000584348
5 *361:DIODE *108:8 0
6 *361:DIODE *215:8 0
7 *107:8 *3905:A 0
8 *107:8 *108:8 0
9 *107:8 *214:8 0
10 *325:DIODE *107:8 0
11 *72:8 *107:8 0
12 *74:14 *107:8 0
13 *75:10 *107:8 0
*RES
1 wbs_dat_i[30] *107:8 8.64492
2 *107:8 *4016:A 18.8357
3 *107:8 *361:DIODE 23.1393
*END
*D_NET *108 0.000721437
*CONN
*P wbs_dat_i[31] I
*I *362:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4017:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_dat_i[31] 0.000297635
2 *362:DIODE 6.30833e-05
3 *4017:A 0
4 *108:8 0.000360718
5 *361:DIODE *108:8 0
6 *75:10 *108:8 0
7 *107:8 *108:8 0
*RES
1 wbs_dat_i[31] *108:8 7.66214
2 *108:8 *4017:A 18.3
3 *108:8 *362:DIODE 19.9429
*END
*D_NET *109 0.00102573
*CONN
*P wbs_dat_i[3] I
*I *363:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4018:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_dat_i[3] 0.000251042
2 *363:DIODE 0.000261822
3 *4018:A 0
4 *109:10 0.000512864
5 *363:DIODE *374:DIODE 0
6 *363:DIODE *216:7 0
7 *109:10 *374:DIODE 0
8 *109:10 *119:7 0
9 *109:10 *128:17 0
10 *76:10 *109:10 0
*RES
1 wbs_dat_i[3] *109:10 15.4479
2 *109:10 *4018:A 9.3
3 *109:10 *363:DIODE 14.7643
*END
*D_NET *110 0.00107466
*CONN
*P wbs_dat_i[4] I
*I *4019:A I *D sky130_fd_sc_hd__clkbuf_1
*I *364:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 wbs_dat_i[4] 0.000439323
2 *4019:A 9.80089e-05
3 *364:DIODE 0
4 *110:8 0.000537332
5 *4019:A *182:5 0
6 *4019:A *189:15 0
7 *77:7 *110:8 0
8 *78:7 *110:8 0
*RES
1 wbs_dat_i[4] *110:8 5.40385
2 *110:8 *364:DIODE 18.3
3 *110:8 *4019:A 20.7821
*END
*D_NET *111 0.000948171
*CONN
*P wbs_dat_i[5] I
*I *365:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4020:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_dat_i[5] 0.00033034
2 *365:DIODE 0.000143745
3 *4020:A 0
4 *111:10 0.000474085
5 *365:DIODE *183:7 0
6 *111:10 *128:17 0
7 *111:10 *184:11 0
8 *111:10 *189:15 0
9 *330:DIODE *365:DIODE 0
10 *78:7 *111:10 0
11 *79:10 *111:10 0
*RES
1 wbs_dat_i[5] *111:10 14.738
2 *111:10 *4020:A 9.3
3 *111:10 *365:DIODE 12.3
*END
*D_NET *112 0.00104149
*CONN
*P wbs_dat_i[6] I
*I *367:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4022:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_dat_i[6] 0.000377001
2 *367:DIODE 0.000143745
3 *4022:A 0
4 *112:10 0.000520746
5 *367:DIODE *221:7 0
6 *367:DIODE *222:11 0
7 *112:10 *128:17 0
8 *112:10 *184:11 0
9 *112:10 *189:15 0
10 *79:10 *112:10 0
11 *80:10 *112:10 0
*RES
1 wbs_dat_i[6] *112:10 15.9523
2 *112:10 *4022:A 9.3
3 *112:10 *367:DIODE 12.3
*END
*D_NET *113 0.000881457
*CONN
*P wbs_dat_i[7] I
*I *368:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4023:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_dat_i[7] 0.00021021
2 *368:DIODE 0.000219891
3 *4023:A 1.06282e-05
4 *113:8 0.000440729
5 *368:DIODE *128:17 0
6 *113:8 *128:17 0
7 *331:DIODE *368:DIODE 0
8 *334:DIODE *368:DIODE 0
9 *80:10 *368:DIODE 0
10 *80:10 *113:8 0
11 *81:10 *113:8 0
*RES
1 wbs_dat_i[7] *113:8 5.38535
2 *113:8 *4023:A 18.5768
3 *113:8 *368:DIODE 23.5232
*END
*D_NET *114 0.00101811
*CONN
*P wbs_dat_i[8] I
*I *369:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4024:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_dat_i[8] 0.00036531
2 *369:DIODE 0.000143745
3 *4024:A 0
4 *114:10 0.000509055
5 *114:10 *128:17 0
6 *114:10 *189:15 0
7 *114:10 *222:8 0
8 *81:10 *114:10 0
9 *82:10 *114:10 0
*RES
1 wbs_dat_i[8] *114:10 15.6487
2 *114:10 *4024:A 9.3
3 *114:10 *369:DIODE 12.3
*END
*D_NET *115 0.000945823
*CONN
*P wbs_dat_i[9] I
*I *4025:A I *D sky130_fd_sc_hd__clkbuf_1
*I *370:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 wbs_dat_i[9] 0.000249551
2 *4025:A 2.56688e-05
3 *370:DIODE 0.000197692
4 *115:12 0.000472911
5 *370:DIODE *128:17 0
6 *370:DIODE *187:5 0
7 *370:DIODE *223:8 0
8 *370:DIODE *223:11 0
9 *115:12 *3902:C 0
10 *52:10 *115:12 0
11 *82:10 *370:DIODE 0
12 *82:10 *115:12 0
*RES
1 wbs_dat_i[9] *115:12 6.50142
2 *115:12 *370:DIODE 22.8357
3 *115:12 *4025:A 18.8357
*END
*D_NET *116 0.000764205
*CONN
*P wbs_sel_i[0] I
*I *4026:A I *D sky130_fd_sc_hd__clkbuf_1
*I *371:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 wbs_sel_i[0] 0.000158817
2 *4026:A 2.22849e-05
3 *371:DIODE 0.000201
4 *116:7 0.000382102
5 *371:DIODE *128:17 0
6 *371:DIODE *189:8 0
7 *4026:A *128:17 0
8 *336:DIODE *371:DIODE 0
9 *84:10 *116:7 0
10 *95:10 *116:7 0
*RES
1 wbs_sel_i[0] *116:7 4.04607
2 *116:7 *371:DIODE 22.7911
3 *116:7 *4026:A 18.8804
*END
*D_NET *117 0.00078241
*CONN
*P wbs_sel_i[1] I
*I *4027:A I *D sky130_fd_sc_hd__clkbuf_1
*I *372:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 wbs_sel_i[1] 0.000169446
2 *4027:A 0
3 *372:DIODE 0.000221759
4 *117:8 0.000391205
5 *372:DIODE *128:17 0
6 *372:DIODE *201:7 0
7 *348:DIODE *372:DIODE 0
8 *73:10 *117:8 0
9 *95:10 *117:8 0
*RES
1 wbs_sel_i[1] *117:8 4.32285
2 *117:8 *372:DIODE 23.4964
3 *117:8 *4027:A 18.3
*END
*D_NET *118 0.00100886
*CONN
*P wbs_sel_i[2] I
*I *4028:A I *D sky130_fd_sc_hd__clkbuf_1
*I *373:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 wbs_sel_i[2] 0.000192725
2 *4028:A 0
3 *373:DIODE 0.000311704
4 *118:8 0.000504429
5 *373:DIODE *128:17 0
6 *373:DIODE *225:7 0
7 *373:DIODE *226:8 0
8 *118:8 *128:17 0
9 *76:10 *118:8 0
10 *106:10 *118:8 0
*RES
1 wbs_sel_i[2] *118:8 4.93
2 *118:8 *373:DIODE 25.675
3 *118:8 *4028:A 18.3
*END
*D_NET *119 0.000924313
*CONN
*P wbs_sel_i[3] I
*I *374:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4029:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_sel_i[3] 0.000158817
2 *374:DIODE 0.000270623
3 *4029:A 3.27159e-05
4 *119:7 0.000462156
5 *374:DIODE *128:17 0
6 *374:DIODE *216:7 0
7 *4029:A *128:17 0
8 *363:DIODE *374:DIODE 0
9 *77:7 *119:7 0
10 *109:10 *374:DIODE 0
11 *109:10 *119:7 0
*RES
1 wbs_sel_i[3] *119:7 4.04607
2 *119:7 *4029:A 19.1839
3 *119:7 *374:DIODE 24.7375
*END
*D_NET *120 0.00109344
*CONN
*P wbs_stb_i I
*I *375:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4030:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wbs_stb_i 0.00043934
2 *375:DIODE 6.20329e-05
3 *4030:A 4.53482e-05
4 *120:10 0.000546721
5 *120:10 *121:11 0
6 wbs_ack_o *120:10 0
7 *83:11 *120:10 0
*RES
1 wbs_stb_i *120:10 14.4039
2 *120:10 *4030:A 10.2464
3 *120:10 *375:DIODE 10.6571
*END
*D_NET *121 0.000885554
*CONN
*P wbs_we_i I
*I *376:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4031:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 wbs_we_i 0.000302027
2 *376:DIODE 0.00014075
3 *4031:A 0
4 *121:11 0.000442777
5 *376:DIODE *229:5 0
6 *121:11 *128:17 0
7 *84:10 *121:11 0
8 *120:10 *121:11 0
*RES
1 wbs_we_i *121:11 15.0575
2 *121:11 *4031:A 9.3
3 *121:11 *376:DIODE 12.3
*END
*D_NET *122 0.00056539
*CONN
*P web0 O
*I *4050:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 web0 0.000282695
2 *4050:X 0.000282695
3 web0 wmask0[0] 0
4 csb0 web0 0
*RES
1 *4050:X web0 23.5903
*END
*D_NET *123 0.000518798
*CONN
*P wmask0[0] O
*I *4051:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wmask0[0] 0.000259399
2 *4051:X 0.000259399
3 wmask0[0] wmask0[1] 0
4 web0 wmask0[0] 0
*RES
1 *4051:X wmask0[0] 22.9832
*END
*D_NET *124 0.000542146
*CONN
*P wmask0[1] O
*I *4052:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wmask0[1] 0.000271073
2 *4052:X 0.000271073
3 wmask0[1] wmask0[2] 0
4 wmask0[0] wmask0[1] 0
*RES
1 *4052:X wmask0[1] 23.2868
*END
*D_NET *125 0.000612086
*CONN
*P wmask0[2] O
*I *4053:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wmask0[2] 0.000306043
2 *4053:X 0.000306043
3 wmask0[2] wmask0[3] 0
4 wmask0[1] wmask0[2] 0
*RES
1 *4053:X wmask0[2] 24.1975
*END
*D_NET *126 0.000588738
*CONN
*P wmask0[3] O
*I *4054:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wmask0[3] 0.000294369
2 *4054:X 0.000294369
3 wmask0[3] *4054:A 0
4 din0[0] wmask0[3] 0
5 wmask0[2] wmask0[3] 0
*RES
1 *4054:X wmask0[3] 23.8939
*END
*D_NET *127 0.000584119
*CONN
*I *3900:A I *D sky130_fd_sc_hd__nor2_1
*I *3920:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3900:A 0.000292059
2 *3920:Q 0.000292059
3 *3900:A *3920:D 0
4 *335:DIODE *3900:A 0
5 *48:10 *3900:A 0
*RES
1 *3920:Q *3900:A 43.5643
*END
*D_NET *128 0.00531024
*CONN
*I *3902:C I *D sky130_fd_sc_hd__or4_1
*I *3900:B I *D sky130_fd_sc_hd__nor2_1
*I *3899:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3902:C 0.000627874
2 *3900:B 0.000294939
3 *3899:Y 0
4 *128:17 0.00236018
5 *128:5 0.00202724
6 *3900:B *3899:B 0
7 *3900:B *3920:CLK 0
8 *3900:B *3965:A 0
9 *3900:B *4049:A 0
10 *3902:C *3901:B 0
11 *3902:C *3902:B 0
12 *3902:C *136:8 0
13 *3902:C *175:8 0
14 *3902:C *189:15 0
15 *128:17 *3899:B 0
16 *128:17 *184:11 0
17 *128:17 *189:8 0
18 *128:17 *189:15 0
19 *128:17 *218:8 0
20 *128:17 *222:8 0
21 *128:17 *223:8 0
22 *128:17 *226:8 0
23 *329:DIODE *128:17 0
24 *333:DIODE *3902:C 0
25 *334:DIODE *128:17 0
26 *335:DIODE *3900:B 0
27 *338:DIODE *3902:C 0
28 *368:DIODE *128:17 0
29 *370:DIODE *128:17 0
30 *371:DIODE *128:17 0
31 *372:DIODE *128:17 0
32 *373:DIODE *128:17 0
33 *374:DIODE *128:17 0
34 *4026:A *128:17 0
35 *4029:A *128:17 0
36 *52:10 *3902:C 0
37 *53:8 *3902:C 0
38 *55:10 *3902:C 0
39 *76:10 *128:17 0
40 *79:10 *128:17 0
41 *80:10 *128:17 0
42 *81:10 *128:17 0
43 *84:10 *128:17 0
44 *85:10 *3902:C 0
45 *86:8 *3902:C 0
46 *95:10 *128:17 0
47 *106:10 *128:17 0
48 *109:10 *128:17 0
49 *111:10 *128:17 0
50 *112:10 *128:17 0
51 *113:8 *128:17 0
52 *114:10 *128:17 0
53 *115:12 *3902:C 0
54 *118:8 *128:17 0
55 *121:11 *128:17 0
*RES
1 *3899:Y *128:5 18.3
2 *128:5 *3900:B 25.7464
3 *128:5 *128:17 44.9911
4 *128:17 *3902:C 34.2375
*END
*D_NET *129 0.00029148
*CONN
*I *3902:D I *D sky130_fd_sc_hd__or4_1
*I *3901:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *3902:D 0.00014574
2 *3901:X 0.00014574
3 *3902:D *3902:B 0
4 *3902:D *130:10 0
5 *3902:D *136:8 0
6 *3902:D *193:8 0
*RES
1 *3901:X *3902:D 40.0286
*END
*D_NET *130 0.00135915
*CONN
*I *3907:A I *D sky130_fd_sc_hd__or4_1
*I *3902:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *3907:A 0
2 *3902:X 0.000679575
3 *130:10 0.000679575
4 *130:10 *3901:A 0
5 *130:10 *3907:C 0
6 *130:10 *131:13 0
7 *130:10 *136:8 0
8 *130:10 *193:8 0
9 *341:DIODE *130:10 0
10 *3902:D *130:10 0
11 *56:10 *130:10 0
12 *88:10 *130:10 0
*RES
1 *3902:X *130:10 44.7643
2 *130:10 *3907:A 9.3
*END
*D_NET *131 0.00126501
*CONN
*I *3907:B I *D sky130_fd_sc_hd__or4_1
*I *3903:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *3907:B 0
2 *3903:X 0.000632503
3 *131:13 0.000632503
4 *131:13 *3903:B 0
5 *131:13 *3907:C 0
6 *131:13 *3907:D 0
7 *131:13 *136:8 0
8 *312:DIODE *131:13 0
9 *345:DIODE *131:13 0
10 *61:8 *131:13 0
11 *96:10 *131:13 0
12 *130:10 *131:13 0
*RES
1 *3903:X *131:13 43.6036
2 *131:13 *3907:B 9.3
*END
*D_NET *132 0.000256153
*CONN
*I *3907:C I *D sky130_fd_sc_hd__or4_1
*I *3904:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *3907:C 0.000128077
2 *3904:X 0.000128077
3 *3907:C *3904:A 0
4 *3907:C *3907:D 0
5 *60:8 *3907:C 0
6 *130:10 *3907:C 0
7 *131:13 *3907:C 0
*RES
1 *3904:X *3907:C 39.6
*END
*D_NET *133 0.00101201
*CONN
*I *3906:D I *D sky130_fd_sc_hd__or4_1
*I *3910:A I *D sky130_fd_sc_hd__nor2_2
*I *3905:X O *D sky130_fd_sc_hd__or4bb_1
*CAP
1 *3906:D 0.000318857
2 *3910:A 0
3 *3905:X 0.000187149
4 *133:9 0.000506006
5 *3906:D *3905:D_N 0
6 *3906:D *3906:B 0
7 *3906:D *3906:C 0
8 *3906:D *3910:B 0
9 *3906:D *215:8 0
10 *133:9 *3905:D_N 0
11 *133:9 *3910:B 0
12 *133:9 *210:10 0
13 *4012:A *133:9 0
14 *70:10 *3906:D 0
15 *103:8 *3906:D 0
16 *104:10 *133:9 0
*RES
1 *3905:X *133:9 31.5321
2 *133:9 *3910:A 9.3
3 *133:9 *3906:D 35.55
*END
*D_NET *134 0.00260014
*CONN
*I *3907:D I *D sky130_fd_sc_hd__or4_1
*I *3906:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *3907:D 0.000698879
2 *3906:X 0.000601193
3 *134:10 0.00130007
4 *3907:D *3903:A 0
5 *3907:D *3903:D 0
6 *3907:D *136:8 0
7 *3907:D *205:10 0
8 *3907:D *206:8 0
9 *134:10 *3903:D 0
10 *134:10 *3906:B 0
11 *134:10 *3910:B 0
12 *134:10 *136:8 0
13 *134:10 *206:8 0
14 *134:10 *207:8 0
15 *134:10 *209:8 0
16 *312:DIODE *3907:D 0
17 *353:DIODE *134:10 0
18 *380:DIODE *3907:D 0
19 *3907:C *3907:D 0
20 *60:8 *3907:D 0
21 *61:8 *3907:D 0
22 *66:10 *134:10 0
23 *101:10 *134:10 0
24 *131:13 *3907:D 0
*RES
1 *3906:X *134:10 33.4339
2 *134:10 *3907:D 36.4875
*END
*D_NET *135 0.00115741
*CONN
*I *3908:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3907:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *3908:A 0.000578704
2 *3907:X 0.000578704
3 *3908:A *242:7 0
*RES
1 *3907:X *3908:A 49.0643
*END
*D_NET *136 0.00490875
*CONN
*I *3910:B I *D sky130_fd_sc_hd__nor2_2
*I *3909:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3910:B 0.000603797
2 *3909:Y 0
3 *136:8 0.00245437
4 *136:5 0.00185058
5 *3910:B *3905:D_N 0
6 *3910:B *3906:A 0
7 *3910:B *3906:B 0
8 *3910:B *210:10 0
9 *136:8 *3901:A 0
10 *136:8 *3901:B 0
11 *136:8 *3901:C 0
12 *136:8 *3902:B 0
13 *136:8 *3903:A 0
14 *136:8 *3903:B 0
15 *136:8 *3903:C 0
16 *136:8 *3904:B 0
17 *136:8 *3904:C 0
18 *136:8 *3904:D 0
19 *136:8 *196:8 0
20 *136:8 *210:10 0
21 *313:DIODE *136:8 0
22 *345:DIODE *136:8 0
23 *3902:C *136:8 0
24 *3902:D *136:8 0
25 *3906:D *3910:B 0
26 *3907:D *136:8 0
27 *4033:A *136:8 0
28 *56:10 *136:8 0
29 *58:10 *136:8 0
30 *59:8 *136:8 0
31 *91:14 *136:8 0
32 *92:10 *136:8 0
33 *96:10 *136:8 0
34 *103:8 *3910:B 0
35 *130:10 *136:8 0
36 *131:13 *136:8 0
37 *133:9 *3910:B 0
38 *134:10 *3910:B 0
39 *134:10 *136:8 0
*RES
1 *3909:Y *136:5 18.3
2 *136:5 *136:8 48.1696
3 *136:8 *3910:B 33.5768
*END
*D_NET *137 0.000436765
*CONN
*I *3912:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3911:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3912:A 0.000218382
2 *3911:X 0.000218382
*RES
1 *3911:X *3912:A 41.5464
*END
*D_NET *138 0.000413657
*CONN
*I *3914:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3913:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3914:A 0.000206828
2 *3913:X 0.000206828
*RES
1 *3913:X *3914:A 41.2429
*END
*D_NET *139 0.000358253
*CONN
*I *3916:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3915:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3916:A 0.000179126
2 *3915:X 0.000179126
3 *3916:A *3918:A 0
4 *3916:A *243:11 0
*RES
1 *3915:X *3916:A 40.725
*END
*D_NET *140 0.00043697
*CONN
*I *3918:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3917:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3918:A 0.000218485
2 *3917:X 0.000218485
3 *3918:A *243:11 0
4 *3916:A *3918:A 0
*RES
1 *3917:X *3918:A 41.5464
*END
*D_NET *141 0.00172749
*CONN
*I *3965:A I *D sky130_fd_sc_hd__clkbuf_16
*I *3964:A I *D sky130_fd_sc_hd__clkbuf_16
*I *3963:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *3965:A 0.000423781
2 *3964:A 0.000439962
3 *3963:X 0
4 *141:5 0.000863744
5 *3964:A *3920:CLK 0
6 *3964:A *3920:D 0
7 *3964:A *3930:A 0
8 *3965:A *3920:CLK 0
9 *3965:A *3920:D 0
10 *3965:A *4049:A 0
11 *3900:B *3965:A 0
*RES
1 *3963:X *141:5 18.3
2 *141:5 *3964:A 29.0143
3 *141:5 *3965:A 27.9429
*END
*D_NET *142 0.000925321
*CONN
*I *3930:A I *D sky130_fd_sc_hd__buf_2
*I *3964:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *3930:A 0.000462661
2 *3964:X 0.000462661
3 *3964:A *3930:A 0
*RES
1 *3964:X *3930:A 46.5821
*END
*D_NET *143 0.000573832
*CONN
*I *3920:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3965:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *3920:CLK 0.000286916
2 *3965:X 0.000286916
3 *3920:CLK *3899:B 0
4 *3900:B *3920:CLK 0
5 *3964:A *3920:CLK 0
6 *3965:A *3920:CLK 0
7 *83:11 *3920:CLK 0
*RES
1 *3965:X *3920:CLK 43.9393
*END
*D_NET *144 0.0079915
*CONN
*I *3929:A I *D sky130_fd_sc_hd__clkbuf_2
*I *280:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3966:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3929:A 0.000262677
2 *280:DIODE 0
3 *3966:X 0
4 *144:10 0.000349074
5 *144:5 0.00373307
6 *144:4 0.00364668
7 *3929:A *192:11 0
8 *144:5 *185:13 0
9 *144:5 *187:11 0
10 *144:10 *177:12 0
11 *144:10 *182:12 0
12 *322:DIODE *144:5 0
*RES
1 *3966:X *144:4 9.3
2 *144:4 *144:5 76.1071
3 *144:5 *144:10 20.25
4 *144:10 *280:DIODE 9.3
5 *144:10 *3929:A 14.7821
*END
*D_NET *145 0.000318894
*CONN
*I *3904:D I *D sky130_fd_sc_hd__or4_1
*I *3967:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3904:D 0.000159447
2 *3967:X 0.000159447
3 *3904:D *3904:B 0
4 *3904:D *3904:C 0
5 *3904:D *198:7 0
6 *93:10 *3904:D 0
7 *136:8 *3904:D 0
*RES
1 *3967:X *3904:D 40.3143
*END
*D_NET *146 0.00788691
*CONN
*I *381:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4035:A I *D sky130_fd_sc_hd__buf_2
*I *3958:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *381:DIODE 0
2 *4035:A 0.000159146
3 *3958:X 0.0013352
4 *146:14 0.000233886
5 *146:9 0.0024491
6 *146:7 0.00370957
7 *146:7 *306:DIODE 0
8 *146:9 *147:13 0
9 *146:14 *236:12 0
*RES
1 *3958:X *146:7 37.1661
2 *146:7 *146:9 49.6161
3 *146:9 *146:14 19.9464
4 *146:14 *4035:A 12.6214
5 *146:14 *381:DIODE 9.3
*END
*D_NET *147 0.00780092
*CONN
*I *382:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4036:A I *D sky130_fd_sc_hd__buf_2
*I *3959:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *382:DIODE 0
2 *4036:A 0.000159146
3 *3959:X 2.0535e-05
4 *147:13 0.000233247
5 *147:7 0.00372078
6 *147:5 0.00366721
7 *147:7 *307:DIODE 0
8 *147:13 *236:12 0
9 *146:9 *147:13 0
*RES
1 *3959:X *147:5 9.72857
2 *147:5 *147:7 76.1071
3 *147:7 *147:13 19.875
4 *147:13 *4036:A 12.6214
5 *147:13 *382:DIODE 9.3
*END
*D_NET *148 0.00772388
*CONN
*I *383:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4037:A I *D sky130_fd_sc_hd__buf_2
*I *3960:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *383:DIODE 0
2 *4037:A 0.000163836
3 *3960:X 0
4 *148:8 0.000215262
5 *148:5 0.0036981
6 *148:4 0.00364668
7 *4037:A *236:12 0
8 *148:5 *308:DIODE 0
9 *148:8 *236:12 0
*RES
1 *3960:X *148:4 9.3
2 *148:4 *148:5 76.1071
3 *148:5 *148:8 10.3393
4 *148:8 *4037:A 21.925
5 *148:8 *383:DIODE 18.3
*END
*D_NET *149 0.00808241
*CONN
*I *4038:A I *D sky130_fd_sc_hd__buf_2
*I *384:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3933:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4038:A 0.000173692
2 *384:DIODE 0
3 *3933:X 0.0013921
4 *149:16 0.000271745
5 *149:11 0.00247541
6 *149:9 0.00376946
7 *149:9 *282:DIODE 0
8 din0[2] *149:16 0
*RES
1 *3933:X *149:9 38.4161
2 *149:9 *149:11 49.6161
3 *149:11 *149:16 20.5536
4 *149:16 *384:DIODE 9.3
5 *149:16 *4038:A 12.925
*END
*D_NET *150 0.007657
*CONN
*I *4039:A I *D sky130_fd_sc_hd__buf_2
*I *3961:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4039:A 0
2 *3961:X 0.00133606
3 *150:11 0.00249244
4 *150:9 0.0038285
5 *150:9 *309:DIODE 0
*RES
1 *3961:X *150:9 37.1839
2 *150:9 *150:11 52.0804
3 *150:11 *4039:A 9.3
*END
*D_NET *151 0.0078345
*CONN
*I *4040:A I *D sky130_fd_sc_hd__buf_2
*I *385:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3962:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4040:A 0.000141606
2 *385:DIODE 0
3 *3962:X 2.0535e-05
4 *151:13 0.000801061
5 *151:7 0.00375511
6 *151:5 0.00311619
7 *151:7 *310:DIODE 0
*RES
1 *3962:X *151:5 9.72857
2 *151:5 *151:7 64.6071
3 *151:7 *151:13 32.0893
4 *151:13 *385:DIODE 9.3
5 *151:13 *4040:A 12.3179
*END
*D_NET *152 0.00772374
*CONN
*I *4041:A I *D sky130_fd_sc_hd__buf_2
*I *3934:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4041:A 0
2 *3934:X 0.00136643
3 *152:9 0.00249543
4 *152:7 0.00386187
5 *152:7 *283:DIODE 0
*RES
1 *3934:X *152:7 37.8804
2 *152:7 *152:9 52.0804
3 *152:9 *4041:A 9.3
*END
*D_NET *153 0.00790338
*CONN
*I *386:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4042:A I *D sky130_fd_sc_hd__buf_2
*I *3935:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *386:DIODE 0
2 *4042:A 0.000159146
3 *3935:X 0.00137242
4 *153:17 0.00022159
5 *153:11 0.00242012
6 *153:9 0.0037301
7 *153:9 *284:DIODE 0
8 *153:11 *154:15 0
*RES
1 *3935:X *153:9 38.0054
2 *153:9 *153:11 49.2054
3 *153:11 *153:17 19.5714
4 *153:17 *4042:A 12.6214
5 *153:17 *386:DIODE 9.3
*END
*D_NET *154 0.00789141
*CONN
*I *387:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4043:A I *D sky130_fd_sc_hd__buf_2
*I *3936:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *387:DIODE 0
2 *4043:A 0.000173692
3 *3936:X 0.00135189
4 *154:15 0.000275495
5 *154:9 0.00242012
6 *154:7 0.00367021
7 *154:7 *285:DIODE 0
8 *153:11 *154:15 0
*RES
1 *3936:X *154:7 37.5768
2 *154:7 *154:9 48.3839
3 *154:9 *154:15 20.3929
4 *154:15 *4043:A 12.925
5 *154:15 *387:DIODE 9.3
*END
*D_NET *155 0.00785332
*CONN
*I *388:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4044:A I *D sky130_fd_sc_hd__buf_2
*I *3937:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *388:DIODE 0
2 *4044:A 0.000173692
3 *3937:X 2.0535e-05
4 *155:13 0.000259449
5 *155:7 0.00373244
6 *155:5 0.00366721
7 *155:7 *286:DIODE 0
8 din0[7] *155:13 0
*RES
1 *3937:X *155:5 9.72857
2 *155:5 *155:7 76.1071
3 *155:7 *155:13 20.1786
4 *155:13 *4044:A 12.925
5 *155:13 *388:DIODE 9.3
*END
*D_NET *156 0.000869501
*CONN
*I *3904:C I *D sky130_fd_sc_hd__or4_1
*I *3968:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3904:C 0.00043475
2 *3968:X 0.00043475
3 *3904:C *199:8 0
4 *3904:C *200:8 0
5 *313:DIODE *3904:C 0
6 *3904:D *3904:C 0
7 *63:10 *3904:C 0
8 *93:10 *3904:C 0
9 *94:10 *3904:C 0
10 *136:8 *3904:C 0
*RES
1 *3968:X *3904:C 47.6893
*END
*D_NET *157 0.00782467
*CONN
*I *389:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4045:A I *D sky130_fd_sc_hd__buf_2
*I *3938:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *389:DIODE 0
2 *4045:A 0.000159146
3 *3938:X 0.00133307
4 *157:17 0.00022159
5 *157:11 0.00242012
6 *157:9 0.00369074
7 *157:9 *287:DIODE 0
*RES
1 *3938:X *157:9 37.1839
2 *157:9 *157:11 49.2054
3 *157:11 *157:17 19.5714
4 *157:17 *4045:A 12.6214
5 *157:17 *389:DIODE 9.3
*END
*D_NET *158 0.00785333
*CONN
*I *390:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4046:A I *D sky130_fd_sc_hd__buf_2
*I *3939:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *390:DIODE 0
2 *4046:A 0.000173692
3 *3939:X 0.00131552
4 *158:14 0.000236775
5 *158:9 0.00243745
6 *158:7 0.00368989
7 *158:7 *288:DIODE 0
8 *158:9 *159:11 0
9 din0[9] *158:14 0
*RES
1 *3939:X *158:7 36.7554
2 *158:7 *158:9 49.6161
3 *158:9 *158:14 19.6429
4 *158:14 *4046:A 12.925
5 *158:14 *390:DIODE 9.3
*END
*D_NET *159 0.00802507
*CONN
*I *391:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4047:A I *D sky130_fd_sc_hd__buf_2
*I *3940:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *391:DIODE 0
2 *4047:A 0.000159146
3 *3940:X 0
4 *159:11 0.00119239
5 *159:5 0.00385339
6 *159:4 0.00282014
7 *159:5 *289:DIODE 0
8 *158:9 *159:11 0
*RES
1 *3940:X *159:4 9.3
2 *159:4 *159:5 58.8571
3 *159:5 *159:11 40.375
4 *159:11 *4047:A 12.6214
5 *159:11 *391:DIODE 9.3
*END
*D_NET *160 0.0167743
*CONN
*I *4048:A I *D sky130_fd_sc_hd__buf_2
*I *392:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3910:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
1 *4048:A 0.000144156
2 *392:DIODE 0
3 *3910:Y 0
4 *160:8 0.000883328
5 *160:5 0.00824301
6 *160:4 0.00750384
7 *160:5 *3905:D_N 0
8 *160:8 *4059:A 0
9 *160:8 *235:12 0
10 *160:8 *236:18 0
11 *160:8 *238:12 0
12 *160:8 *239:12 0
13 addr0[8] *160:8 0
14 processor_reset *4048:A 0
15 *321:DIODE *160:5 0
*RES
1 *3910:Y *160:4 9.3
2 *160:4 *160:5 156.607
3 *160:5 *160:8 28.25
4 *160:8 *392:DIODE 18.3
5 *160:8 *4048:A 21.5143
*END
*D_NET *161 0.00116331
*CONN
*I *4049:A I *D sky130_fd_sc_hd__buf_2
*I *3920:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3900:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4049:A 0.00026998
2 *3920:D 0.000311675
3 *3900:Y 0
4 *161:5 0.000581655
5 *3900:A *3920:D 0
6 *3900:B *4049:A 0
7 *3964:A *3920:D 0
8 *3965:A *3920:D 0
9 *3965:A *4049:A 0
*RES
1 *3900:Y *161:5 18.3
2 *161:5 *3920:D 25.8045
3 *161:5 *4049:A 24.8536
*END
*D_NET *162 0.00764733
*CONN
*I *4050:A I *D sky130_fd_sc_hd__buf_2
*I *3919:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4050:A 7.474e-05
2 *3919:Y 0.00125349
3 *162:9 0.00257017
4 *162:7 0.00374893
5 *162:7 *271:DIODE 0
*RES
1 *3919:Y *162:7 35.5232
2 *162:7 *162:9 52.0804
3 *162:9 *4050:A 29.2464
*END
*D_NET *163 0.00761632
*CONN
*I *4051:A I *D sky130_fd_sc_hd__buf_2
*I *3912:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4051:A 6.30833e-05
2 *3912:X 0
3 *163:5 0.00380816
4 *163:4 0.00374507
*RES
1 *3912:X *163:4 9.3
2 *163:4 *163:5 78.1607
3 *163:5 *4051:A 28.9429
*END
*D_NET *164 0.00744284
*CONN
*I *4052:A I *D sky130_fd_sc_hd__buf_2
*I *3914:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4052:A 7.474e-05
2 *3914:X 0
3 *164:5 0.00372142
4 *164:4 0.00364668
*RES
1 *3914:X *164:4 9.3
2 *164:4 *164:5 76.1071
3 *164:5 *4052:A 29.2464
*END
*D_NET *165 0.00708801
*CONN
*I *4053:A I *D sky130_fd_sc_hd__buf_2
*I *3916:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4053:A 3.50807e-05
2 *3916:X 0
3 *165:5 0.003544
4 *165:4 0.00350892
5 *165:5 *166:7 0
*RES
1 *3916:X *165:4 9.3
2 *165:4 *165:5 73.2321
3 *165:5 *4053:A 10.0321
*END
*D_NET *166 0.00758914
*CONN
*I *4054:A I *D sky130_fd_sc_hd__buf_2
*I *3918:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4054:A 0.000225753
2 *3918:X 2.0535e-05
3 *166:7 0.00377403
4 *166:5 0.00356882
5 wmask0[3] *4054:A 0
6 *165:5 *166:7 0
*RES
1 *3918:X *166:5 9.72857
2 *166:5 *166:7 74.0536
3 *166:7 *4054:A 32.6393
*END
*D_NET *167 0.000406389
*CONN
*I *3903:B I *D sky130_fd_sc_hd__or4_1
*I *3969:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3903:B 0.000203194
2 *3969:X 0.000203194
3 *349:DIODE *3903:B 0
4 *3969:A *3903:B 0
5 *131:13 *3903:B 0
6 *136:8 *3903:B 0
*RES
1 *3969:X *3903:B 41.0464
*END
*D_NET *168 0.000482088
*CONN
*I *3903:A I *D sky130_fd_sc_hd__or4_1
*I *3970:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3903:A 0.000241044
2 *3970:X 0.000241044
3 *3903:A *3903:D 0
4 *3903:A *210:11 0
5 *3907:D *3903:A 0
6 *136:8 *3903:A 0
*RES
1 *3970:X *3903:A 42.4393
*END
*D_NET *169 0.000458774
*CONN
*I *3903:D I *D sky130_fd_sc_hd__or4_1
*I *3971:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3903:D 0.000229387
2 *3971:X 0.000229387
3 *3903:A *3903:D 0
4 *3907:D *3903:D 0
5 *134:10 *3903:D 0
*RES
1 *3971:X *3903:D 42.1357
*END
*D_NET *170 0.000986205
*CONN
*I *3903:C I *D sky130_fd_sc_hd__or4_1
*I *3972:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3903:C 0.000493102
2 *3972:X 0.000493102
3 *3903:C *210:10 0
4 *313:DIODE *3903:C 0
5 *317:DIODE *3903:C 0
6 *65:8 *3903:C 0
7 *98:8 *3903:C 0
8 *136:8 *3903:C 0
*RES
1 *3972:X *3903:C 49.2071
*END
*D_NET *171 0.000433883
*CONN
*I *3906:B I *D sky130_fd_sc_hd__or4_1
*I *3973:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3906:B 0.000216941
2 *3973:X 0.000216941
3 *3906:B *3906:A 0
4 *3906:B *3906:C 0
5 *3906:B *209:8 0
6 *317:DIODE *3906:B 0
7 *3906:D *3906:B 0
8 *3910:B *3906:B 0
9 *101:10 *3906:B 0
10 *134:10 *3906:B 0
*RES
1 *3973:X *3906:B 42.1179
*END
*D_NET *172 0.000279535
*CONN
*I *3906:A I *D sky130_fd_sc_hd__or4_1
*I *3974:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3906:A 0.000139768
2 *3974:X 0.000139768
3 *3906:A *3906:C 0
4 *3906:B *3906:A 0
5 *3910:B *3906:A 0
*RES
1 *3974:X *3906:A 39.9036
*END
*D_NET *173 0.000126167
*CONN
*I *3906:C I *D sky130_fd_sc_hd__or4_1
*I *3975:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3906:C 6.30833e-05
2 *3975:X 6.30833e-05
3 *3906:A *3906:C 0
4 *3906:B *3906:C 0
5 *3906:D *3906:C 0
*RES
1 *3975:X *3906:C 38.2429
*END
*D_NET *174 0.000498364
*CONN
*I *3905:D_N I *D sky130_fd_sc_hd__or4bb_1
*I *3976:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3905:D_N 0.000249182
2 *3976:X 0.000249182
3 *3905:D_N *215:8 0
4 *357:DIODE *3905:D_N 0
5 *3906:D *3905:D_N 0
6 *3910:B *3905:D_N 0
7 *133:9 *3905:D_N 0
8 *160:5 *3905:D_N 0
*RES
1 *3976:X *3905:D_N 42.0643
*END
*D_NET *175 0.000929705
*CONN
*I *3902:B I *D sky130_fd_sc_hd__or4_1
*I *3909:A I *D sky130_fd_sc_hd__nand2_1
*I *3977:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3902:B 0.000223809
2 *3909:A 0
3 *3977:X 0.000241044
4 *175:8 0.000464852
5 *3902:B *3902:A 0
6 *175:8 *189:15 0
7 *338:DIODE *175:8 0
8 *3902:C *3902:B 0
9 *3902:C *175:8 0
10 *3902:D *3902:B 0
11 *3988:A *3902:B 0
12 *3994:A *3902:B 0
13 *85:10 *175:8 0
14 *136:8 *3902:B 0
*RES
1 *3977:X *175:8 24.1393
2 *175:8 *3909:A 18.3
3 *175:8 *3902:B 24.1929
*END
*D_NET *176 0.000289202
*CONN
*I *3905:C_N I *D sky130_fd_sc_hd__or4bb_1
*I *3978:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3905:C_N 0.000144601
2 *3978:X 0.000144601
*RES
1 *3978:X *3905:C_N 21.6179
*END
*D_NET *177 0.0110901
*CONN
*I *3921:A I *D sky130_fd_sc_hd__clkbuf_2
*I *272:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3979:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3921:A 0.000287535
2 *272:DIODE 2.56688e-05
3 *3979:X 0.00107638
4 *177:12 0.00197325
5 *177:11 0.00166005
6 *177:9 0.00249543
7 *177:7 0.00357181
8 *272:DIODE *180:15 0
9 *3921:A *180:15 0
10 *3921:A *193:9 0
11 *3921:A *195:16 0
12 *177:7 *226:9 0
13 *177:9 *226:9 0
14 *177:12 *291:DIODE 0
15 *177:12 *181:14 0
16 *177:12 *182:12 0
17 *177:12 *192:11 0
18 *177:12 *195:16 0
19 *324:DIODE *177:7 0
20 *144:10 *177:12 0
*RES
1 *3979:X *177:7 31.8268
2 *177:7 *177:9 52.0804
3 *177:9 *177:11 9
4 *177:11 *177:12 43.2321
5 *177:12 *272:DIODE 18.8357
6 *177:12 *3921:A 24.4964
*END
*D_NET *178 0.000573832
*CONN
*I *3905:A I *D sky130_fd_sc_hd__or4bb_1
*I *3980:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3905:A 0.000286916
2 *3980:X 0.000286916
3 *3905:A *3905:B 0
4 *3905:A *212:8 0
5 *325:DIODE *3905:A 0
6 *357:DIODE *3905:A 0
7 *74:14 *3905:A 0
8 *104:10 *3905:A 0
9 *105:10 *3905:A 0
10 *107:8 *3905:A 0
*RES
1 *3980:X *3905:A 43.9393
*END
*D_NET *179 0.000582609
*CONN
*I *3905:B I *D sky130_fd_sc_hd__or4bb_1
*I *3981:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3905:B 0.000291305
2 *3981:X 0.000291305
3 *3905:B *215:8 0
4 *357:DIODE *3905:B 0
5 *3905:A *3905:B 0
*RES
1 *3981:X *3905:B 43.85
*END
*D_NET *180 0.0110653
*CONN
*I *273:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3922:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3982:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *273:DIODE 0.00014075
2 *3922:A 2.0535e-05
3 *3982:X 0
4 *180:15 0.00146997
5 *180:8 0.00268897
6 *180:5 0.00406268
7 *180:4 0.00268239
8 *273:DIODE *231:7 0
9 *180:8 *185:12 0
10 *180:8 *187:11 0
11 *180:8 *217:11 0
12 *180:8 *227:12 0
13 *180:15 *192:5 0
14 *180:15 *193:9 0
15 *180:15 *231:7 0
16 *272:DIODE *180:15 0
17 *327:DIODE *180:5 0
18 *3921:A *180:15 0
*RES
1 *3982:X *180:4 9.3
2 *180:4 *180:5 55.9821
3 *180:5 *180:8 44.9464
4 *180:8 *180:15 36.375
5 *180:15 *3922:A 9.72857
6 *180:15 *273:DIODE 12.3
*END
*D_NET *181 0.00997955
*CONN
*I *3923:A I *D sky130_fd_sc_hd__clkbuf_2
*I *274:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3983:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3923:A 0.000337117
2 *274:DIODE 0
3 *3983:X 0.00107638
4 *181:14 0.00143765
5 *181:9 0.00357628
6 *181:7 0.00355213
7 *3923:A *3946:A 0
8 *3923:A *249:7 0
9 *181:7 *218:9 0
10 *181:9 *218:9 0
11 *181:9 *218:13 0
12 *181:14 *191:17 0
13 *181:14 *192:11 0
14 *177:12 *181:14 0
*RES
1 *3983:X *181:7 31.8268
2 *181:7 *181:9 51.6696
3 *181:9 *181:14 46.6607
4 *181:14 *274:DIODE 9.3
5 *181:14 *3923:A 16.4607
*END
*D_NET *182 0.0101871
*CONN
*I *275:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3924:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3984:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *275:DIODE 6.06834e-05
2 *3924:A 6.50276e-05
3 *3984:X 0
4 *182:12 0.00136818
5 *182:5 0.00496786
6 *182:4 0.0037254
7 *275:DIODE *3945:A 0
8 *3924:A *196:15 0
9 *182:5 *217:5 0
10 *182:12 *291:DIODE 0
11 *182:12 *3925:A 0
12 *182:12 *3926:A 0
13 *182:12 *3945:A 0
14 *182:12 *184:12 0
15 *182:12 *220:15 0
16 *182:12 *234:11 0
17 *182:12 *248:8 0
18 *4019:A *182:5 0
19 *144:10 *182:12 0
20 *177:12 *182:12 0
*RES
1 *3984:X *182:4 9.3
2 *182:4 *182:5 77.75
3 *182:5 *182:12 41.3571
4 *182:12 *3924:A 19.6571
5 *182:12 *275:DIODE 19.9429
*END
*D_NET *183 0.0100065
*CONN
*I *3925:A I *D sky130_fd_sc_hd__clkbuf_2
*I *276:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3985:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3925:A 0.000156292
2 *276:DIODE 0
3 *3985:X 0.00115509
4 *183:12 0.00095613
5 *183:9 0.00369185
6 *183:7 0.00404711
7 *3925:A *293:DIODE 0
8 *3925:A *3926:A 0
9 *3925:A *233:7 0
10 *3925:A *249:7 0
11 *183:9 *286:DIODE 0
12 *183:9 *220:15 0
13 *183:12 *3926:A 0
14 *183:12 *184:12 0
15 *183:12 *190:18 0
16 *183:12 *220:15 0
17 *330:DIODE *183:7 0
18 *365:DIODE *183:7 0
19 *182:12 *3925:A 0
*RES
1 *3985:X *183:7 33.4696
2 *183:7 *183:9 60.2946
3 *183:9 *183:12 29.7679
4 *183:12 *276:DIODE 18.3
5 *183:12 *3925:A 22.3
*END
*D_NET *184 0.00923712
*CONN
*I *3926:A I *D sky130_fd_sc_hd__clkbuf_2
*I *277:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3986:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3926:A 0.000203674
2 *277:DIODE 0.000124066
3 *3986:X 0.0036508
4 *184:12 0.000967761
5 *184:11 0.00429082
6 *277:DIODE *190:18 0
7 *3926:A *236:7 0
8 *184:12 *221:16 0
9 *3925:A *3926:A 0
10 *78:7 *184:11 0
11 *111:10 *184:11 0
12 *112:10 *184:11 0
13 *128:17 *184:11 0
14 *182:12 *3926:A 0
15 *182:12 *184:12 0
16 *183:12 *3926:A 0
17 *183:12 *184:12 0
*RES
1 *3986:X *184:11 40.2328
2 *184:11 *184:12 16.6696
3 *184:12 *277:DIODE 20.8893
4 *184:12 *3926:A 23.2286
*END
*D_NET *185 0.00901478
*CONN
*I *278:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3927:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3987:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *278:DIODE 0.00014075
2 *3927:A 0
3 *3987:X 2.0535e-05
4 *185:13 0.0015243
5 *185:12 0.00170308
6 *185:7 0.00296256
7 *185:5 0.00266356
8 *278:DIODE *237:5 0
9 *278:DIODE *238:7 0
10 *185:13 *3928:A 0
11 *185:13 *187:11 0
12 *185:13 *238:7 0
13 *332:DIODE *185:7 0
14 *144:5 *185:13 0
15 *180:8 *185:12 0
*RES
1 *3987:X *185:5 9.72857
2 *185:5 *185:7 55.1607
3 *185:7 *185:12 26.3214
4 *185:12 *185:13 28.875
5 *185:13 *3927:A 9.3
6 *185:13 *278:DIODE 12.3
*END
*D_NET *186 0.000177504
*CONN
*I *3902:A I *D sky130_fd_sc_hd__or4_1
*I *3988:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3902:A 8.87521e-05
2 *3988:X 8.87521e-05
3 *3902:B *3902:A 0
4 *3994:A *3902:A 0
*RES
1 *3988:X *3902:A 38.7786
*END
*D_NET *187 0.00820282
*CONN
*I *3928:A I *D sky130_fd_sc_hd__clkbuf_2
*I *279:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3989:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3928:A 0.000190377
2 *279:DIODE 0
3 *3989:X 0
4 *187:11 0.00120255
5 *187:5 0.00391104
6 *187:4 0.00289886
7 *187:5 *223:11 0
8 *187:5 *223:13 0
9 *370:DIODE *187:5 0
10 *144:5 *187:11 0
11 *180:8 *187:11 0
12 *185:13 *3928:A 0
13 *185:13 *187:11 0
*RES
1 *3989:X *187:4 9.3
2 *187:4 *187:5 60.5
3 *187:5 *187:11 40.0536
4 *187:11 *279:DIODE 9.3
5 *187:11 *3928:A 13.3357
*END
*D_NET *188 0.000589843
*CONN
*I *3899:B I *D sky130_fd_sc_hd__nand2_1
*I *3990:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3899:B 0.000294921
2 *3990:X 0.000294921
3 wbs_ack_o *3899:B 0
4 *335:DIODE *3899:B 0
5 *3900:B *3899:B 0
6 *3920:CLK *3899:B 0
7 *83:11 *3899:B 0
8 *128:17 *3899:B 0
*RES
1 *3990:X *3899:B 44.0464
*END
*D_NET *189 0.00429508
*CONN
*I *3909:B I *D sky130_fd_sc_hd__nand2_1
*I *3931:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3991:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3909:B 0.000144601
2 *3931:A 0
3 *3991:X 0.000261478
4 *189:15 0.00188606
5 *189:8 0.00200294
6 *189:15 *218:8 0
7 *189:15 *222:8 0
8 *329:DIODE *189:15 0
9 *336:DIODE *189:8 0
10 *344:DIODE *3909:B 0
11 *371:DIODE *189:8 0
12 *3902:C *189:15 0
13 *3983:A *189:15 0
14 *4019:A *189:15 0
15 *76:10 *189:15 0
16 *85:10 *189:15 0
17 *106:10 *189:15 0
18 *111:10 *189:15 0
19 *112:10 *189:15 0
20 *114:10 *189:15 0
21 *128:17 *189:8 0
22 *128:17 *189:15 0
23 *175:8 *189:15 0
*RES
1 *3991:X *189:8 24.2643
2 *189:8 *3931:A 18.3
3 *189:8 *189:15 45.3571
4 *189:15 *3909:B 21.3179
*END
*D_NET *190 0.00889779
*CONN
*I *290:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3941:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3992:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *290:DIODE 0
2 *3941:A 0.000202356
3 *3992:X 0.00101734
4 *190:18 0.000798369
5 *190:9 0.0032292
6 *190:7 0.00365053
7 *3941:A *245:7 0
8 *190:7 *191:7 0
9 *190:9 *191:7 0
10 *190:9 *191:17 0
11 *277:DIODE *190:18 0
12 *183:12 *190:18 0
*RES
1 *3992:X *190:7 30.5946
2 *190:7 *190:9 54.9554
3 *190:9 *190:18 31.7321
4 *190:18 *3941:A 13.5857
5 *190:18 *290:DIODE 9.3
*END
*D_NET *191 0.00847699
*CONN
*I *3942:A I *D sky130_fd_sc_hd__clkbuf_1
*I *291:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3993:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3942:A 4.23535e-05
2 *291:DIODE 8.87521e-05
3 *3993:X 2.0535e-05
4 *191:17 0.00101707
5 *191:7 0.00408686
6 *191:5 0.00322143
7 *291:DIODE *223:13 0
8 *191:17 *192:11 0
9 *337:DIODE *191:7 0
10 *177:12 *291:DIODE 0
11 *181:14 *191:17 0
12 *182:12 *291:DIODE 0
13 *190:7 *191:7 0
14 *190:9 *191:7 0
15 *190:9 *191:17 0
*RES
1 *3993:X *191:5 9.72857
2 *191:5 *191:7 66.8661
3 *191:7 *191:17 37.9018
4 *191:17 *291:DIODE 29.4786
5 *191:17 *3942:A 10.2464
*END
*D_NET *192 0.00845327
*CONN
*I *292:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3943:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3994:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *292:DIODE 0.00014075
2 *3943:A 0
3 *3994:X 0
4 *192:11 0.00103258
5 *192:5 0.00408589
6 *192:4 0.00319405
7 *292:DIODE *239:7 0
8 *292:DIODE *246:9 0
9 *192:5 *193:9 0
10 *192:11 *195:16 0
11 *192:11 *239:7 0
12 *3929:A *192:11 0
13 *177:12 *192:11 0
14 *180:15 *192:5 0
15 *181:14 *192:11 0
16 *191:17 *192:11 0
*RES
1 *3994:X *192:4 9.3
2 *192:4 *192:5 66.6607
3 *192:5 *192:11 38.4464
4 *192:11 *3943:A 9.3
5 *192:11 *292:DIODE 12.3
*END
*D_NET *193 0.00836253
*CONN
*I *3944:A I *D sky130_fd_sc_hd__clkbuf_1
*I *293:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3995:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3944:A 0
2 *293:DIODE 0.000100409
3 *3995:X 5.13923e-05
4 *193:12 0.000207685
5 *193:9 0.00402947
6 *193:8 0.00397358
7 *293:DIODE *236:7 0
8 *193:9 *231:7 0
9 *193:9 *248:9 0
10 *3902:D *193:8 0
11 *3921:A *193:9 0
12 *3925:A *293:DIODE 0
13 *130:10 *193:8 0
14 *180:15 *193:9 0
15 *192:5 *193:9 0
*RES
1 *3995:X *193:8 28.6393
2 *193:8 *193:9 81.8571
3 *193:9 *193:12 11.8571
4 *193:12 *293:DIODE 20.7821
5 *193:12 *3944:A 18.3
*END
*D_NET *194 0.00764134
*CONN
*I *3945:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3996:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3945:A 7.474e-05
2 *3996:X 2.0535e-05
3 *194:7 0.00380014
4 *194:5 0.00374593
5 *194:7 *3901:A 0
6 *194:7 *198:15 0
7 *275:DIODE *3945:A 0
8 *341:DIODE *194:7 0
9 *366:DIODE *194:7 0
10 *182:12 *3945:A 0
*RES
1 *3996:X *194:5 9.72857
2 *194:5 *194:7 77.75
3 *194:7 *3945:A 29.2464
*END
*D_NET *195 0.00844663
*CONN
*I *294:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3946:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3997:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *294:DIODE 0
2 *3946:A 0.000257543
3 *3997:X 0.00117563
4 *195:16 0.000670328
5 *195:11 0.00279014
6 *195:9 0.00355299
7 *195:16 *196:15 0
8 *342:DIODE *195:9 0
9 *3921:A *195:16 0
10 *3923:A *3946:A 0
11 *177:12 *195:16 0
12 *192:11 *195:16 0
*RES
1 *3997:X *195:9 33.8982
2 *195:9 *195:11 49.6161
3 *195:11 *195:16 28.75
4 *195:16 *3946:A 14.675
5 *195:16 *294:DIODE 9.3
*END
*D_NET *196 0.00923417
*CONN
*I *295:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3947:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3998:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *295:DIODE 0.00014075
2 *3947:A 2.0535e-05
3 *3998:X 3.97528e-05
4 *196:15 0.00108809
5 *196:9 0.00441605
6 *196:8 0.003529
7 *295:DIODE *250:5 0
8 *196:9 *200:15 0
9 *196:15 *198:15 0
10 *378:DIODE *196:9 0
11 *3924:A *196:15 0
12 *91:14 *196:8 0
13 *136:8 *196:8 0
14 *195:16 *196:15 0
*RES
1 *3998:X *196:8 28.3357
2 *196:8 *196:9 72.8214
3 *196:9 *196:15 39.3571
4 *196:15 *3947:A 9.72857
5 *196:15 *295:DIODE 12.3
*END
*D_NET *197 0.000317384
*CONN
*I *3901:B I *D sky130_fd_sc_hd__or4_1
*I *3999:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3901:B 0.000158692
2 *3999:X 0.000158692
3 *3901:B *3901:D 0
4 *3902:C *3901:B 0
5 *55:10 *3901:B 0
6 *136:8 *3901:B 0
*RES
1 *3999:X *3901:B 40.6
*END
*D_NET *198 0.0094928
*CONN
*I *296:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3948:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4000:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *296:DIODE 0.00014075
2 *3948:A 0
3 *4000:X 0.0011551
4 *198:15 0.00125331
5 *198:9 0.00345055
6 *198:7 0.00349309
7 *296:DIODE *251:7 0
8 *198:7 *199:9 0
9 *198:9 *199:9 0
10 *345:DIODE *198:7 0
11 *3904:D *198:7 0
12 *3967:A *198:7 0
13 *194:7 *198:15 0
14 *196:15 *198:15 0
*RES
1 *4000:X *198:7 33.4696
2 *198:7 *198:9 48.7946
3 *198:9 *198:15 44.5
4 *198:15 *3948:A 9.3
5 *198:15 *296:DIODE 12.3
*END
*D_NET *199 0.00942477
*CONN
*I *297:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3949:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4001:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *297:DIODE 0.000143745
2 *3949:A 0
3 *4001:X 3.97699e-05
4 *199:14 0.000730746
5 *199:9 0.00452887
6 *199:8 0.00398164
7 *297:DIODE *253:7 0
8 *199:14 *203:17 0
9 *345:DIODE *199:9 0
10 *3904:C *199:8 0
11 *93:10 *199:8 0
12 *198:7 *199:9 0
13 *198:9 *199:9 0
*RES
1 *4001:X *199:8 28.3357
2 *199:8 *199:9 82.2679
3 *199:9 *199:14 33.3036
4 *199:14 *3949:A 9.3
5 *199:14 *297:DIODE 12.3
*END
*D_NET *200 0.0093242
*CONN
*I *298:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3950:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4002:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *298:DIODE 0.00014075
2 *3950:A 0
3 *4002:X 3.97528e-05
4 *200:15 0.00150462
5 *200:14 0.00185825
6 *200:9 0.00311773
7 *200:8 0.0026631
8 *298:DIODE *254:7 0
9 *200:9 *205:11 0
10 *346:DIODE *200:9 0
11 *3904:C *200:8 0
12 *63:10 *200:8 0
13 *196:9 *200:15 0
*RES
1 *4002:X *200:8 28.3357
2 *200:8 *200:9 54.75
3 *200:9 *200:14 30.875
4 *200:14 *200:15 28.4643
5 *200:15 *3950:A 9.3
6 *200:15 *298:DIODE 12.3
*END
*D_NET *201 0.00834845
*CONN
*I *281:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3932:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4003:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *281:DIODE 0.000143745
2 *3932:A 0
3 *4003:X 0.00116964
4 *201:14 0.000253318
5 *201:9 0.00286084
6 *201:7 0.00392091
7 *281:DIODE *255:7 0
8 *201:9 *226:15 0
9 *348:DIODE *201:7 0
10 *372:DIODE *201:7 0
*RES
1 *4003:X *201:7 33.7732
2 *201:7 *201:9 57.4196
3 *201:9 *201:14 20.8571
4 *201:14 *3932:A 9.3
5 *201:14 *281:DIODE 12.3
*END
*D_NET *202 0.00912682
*CONN
*I *299:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3951:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4004:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *299:DIODE 0.00014075
2 *3951:A 0
3 *4004:X 2.0535e-05
4 *202:13 0.0014669
5 *202:7 0.00440213
6 *202:5 0.00309651
7 *299:DIODE *256:7 0
8 *202:7 *207:15 0
9 *349:DIODE *202:7 0
10 *3969:A *202:7 0
*RES
1 *4004:X *202:5 9.72857
2 *202:5 *202:7 64.1964
3 *202:7 *202:13 48.5357
4 *202:13 *3951:A 9.3
5 *202:13 *299:DIODE 12.3
*END
*D_NET *203 0.00951056
*CONN
*I *300:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3952:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4005:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *300:DIODE 0.00014075
2 *3952:A 0
3 *4005:X 0.00117563
4 *203:17 0.000887421
5 *203:11 0.0034389
6 *203:9 0.00386786
7 *300:DIODE *257:7 0
8 *350:DIODE *203:9 0
9 *199:14 *203:17 0
*RES
1 *4005:X *203:9 33.8982
2 *203:9 *203:11 56.1875
3 *203:11 *203:17 36.8036
4 *203:17 *3952:A 9.3
5 *203:17 *300:DIODE 12.3
*END
*D_NET *204 0.00906807
*CONN
*I *301:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3953:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4006:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *301:DIODE 0.000143745
2 *3953:A 0
3 *4006:X 0.00101208
4 *204:22 0.00352195
5 *204:16 0.00439029
6 *301:DIODE *258:9 0
7 *204:16 *205:10 0
8 *204:16 *209:8 0
9 *315:DIODE *204:16 0
10 *60:8 *204:22 0
*RES
1 *4006:X *204:16 39.3853
2 *204:16 *204:22 26.1742
3 *204:22 *3953:A 9.3
4 *204:22 *301:DIODE 12.3
*END
*D_NET *205 0.00946926
*CONN
*I *302:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3954:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4007:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *302:DIODE 0.000142248
2 *3954:A 0
3 *4007:X 0.000906985
4 *205:13 0.000162783
5 *205:11 0.0036854
6 *205:10 0.00457184
7 *302:DIODE *259:7 0
8 *205:10 *206:8 0
9 *205:10 *209:8 0
10 *314:DIODE *205:10 0
11 *346:DIODE *205:11 0
12 *349:DIODE *205:10 0
13 *352:DIODE *205:10 0
14 *3907:D *205:10 0
15 *3969:A *205:10 0
16 *200:9 *205:11 0
17 *204:16 *205:10 0
*RES
1 *4007:X *205:10 49.8714
2 *205:10 *205:11 76.5179
3 *205:11 *205:13 0.428571
4 *205:13 *3954:A 9.3
5 *205:13 *302:DIODE 12.3
*END
*D_NET *206 0.00959759
*CONN
*I *303:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3955:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4008:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *303:DIODE 0.00014075
2 *3955:A 0
3 *4008:X 0.000933932
4 *206:15 0.00286934
5 *206:13 0.00372411
6 *206:8 0.00192945
7 *303:DIODE *260:5 0
8 *206:8 *207:8 0
9 *206:8 *209:8 0
10 *347:DIODE *206:13 0
11 *354:DIODE *206:8 0
12 *3907:D *206:8 0
13 *66:10 *206:8 0
14 *134:10 *206:8 0
15 *205:10 *206:8 0
*RES
1 *4008:X *206:8 41.675
2 *206:8 *206:13 29.7768
3 *206:13 *206:15 57.0089
4 *206:15 *3955:A 9.3
5 *206:15 *303:DIODE 12.3
*END
*D_NET *207 0.00914215
*CONN
*I *304:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3956:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4009:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *304:DIODE 0.000162783
2 *3956:A 0
3 *4009:X 3.97528e-05
4 *207:15 0.00174163
5 *207:14 0.00217814
6 *207:9 0.00278969
7 *207:8 0.00223016
8 *304:DIODE *261:9 0
9 *317:DIODE *207:9 0
10 *318:DIODE *207:9 0
11 *134:10 *207:8 0
12 *202:7 *207:15 0
13 *206:8 *207:8 0
*RES
1 *4009:X *207:8 28.3357
2 *207:8 *207:9 45.7143
3 *207:9 *207:14 33.6071
4 *207:14 *207:15 32.9821
5 *207:15 *3956:A 9.3
6 *207:15 *304:DIODE 12.7286
*END
*D_NET *208 0.000177436
*CONN
*I *3901:A I *D sky130_fd_sc_hd__or4_1
*I *4010:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3901:A 8.87178e-05
2 *4010:X 8.87178e-05
3 *56:10 *3901:A 0
4 *130:10 *3901:A 0
5 *136:8 *3901:A 0
6 *194:7 *3901:A 0
*RES
1 *4010:X *3901:A 38.7786
*END
*D_NET *209 0.00991967
*CONN
*I *305:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3957:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4011:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *305:DIODE 0.00014075
2 *3957:A 0
3 *4011:X 0.00110952
4 *209:15 0.00292838
5 *209:13 0.00370957
6 *209:8 0.00203146
7 *305:DIODE *262:7 0
8 *209:8 *215:8 0
9 *349:DIODE *209:13 0
10 *356:DIODE *209:8 0
11 *3906:B *209:8 0
12 *134:10 *209:8 0
13 *204:16 *209:8 0
14 *205:10 *209:8 0
15 *206:8 *209:8 0
*RES
1 *4011:X *209:8 45.9429
2 *209:8 *209:13 28.2411
3 *209:13 *209:15 58.2411
4 *209:15 *3957:A 9.3
5 *209:15 *305:DIODE 12.3
*END
*D_NET *210 0.00960142
*CONN
*I *306:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3958:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4012:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *306:DIODE 0.000142248
2 *3958:A 0
3 *4012:X 0.000736916
4 *210:13 0.000162783
5 *210:11 0.00392155
6 *210:10 0.00463793
7 *316:DIODE *210:11 0
8 *319:DIODE *210:10 0
9 *351:DIODE *210:10 0
10 *353:DIODE *210:10 0
11 *3903:A *210:11 0
12 *3903:C *210:10 0
13 *3910:B *210:10 0
14 *69:8 *210:10 0
15 *98:8 *210:10 0
16 *99:10 *210:10 0
17 *100:8 *210:10 0
18 *102:10 *210:10 0
19 *103:8 *210:10 0
20 *133:9 *210:10 0
21 *136:8 *210:10 0
22 *146:7 *306:DIODE 0
*RES
1 *4012:X *210:10 46.175
2 *210:10 *210:11 81.4464
3 *210:11 *210:13 0.428571
4 *210:13 *3958:A 9.3
5 *210:13 *306:DIODE 12.3
*END
*D_NET *211 0.00983498
*CONN
*I *307:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3959:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4013:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *307:DIODE 0.000143745
2 *3959:A 0
3 *4013:X 0
4 *211:10 0.000975622
5 *211:5 0.00477375
6 *211:4 0.00394187
7 *211:5 *212:9 0
8 *211:10 *212:15 0
9 *211:10 *214:15 0
10 *323:DIODE *211:5 0
11 *358:DIODE *211:5 0
12 *147:7 *307:DIODE 0
*RES
1 *4013:X *211:4 9.3
2 *211:4 *211:5 82.2679
3 *211:5 *211:10 39.6786
4 *211:10 *3959:A 9.3
5 *211:10 *307:DIODE 12.3
*END
*D_NET *212 0.00985039
*CONN
*I *308:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3960:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4014:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *308:DIODE 0.00014075
2 *3960:A 0
3 *4014:X 3.97699e-05
4 *212:15 0.00111768
5 *212:9 0.00474467
6 *212:8 0.00380752
7 *212:15 *214:15 0
8 *358:DIODE *212:9 0
9 *3905:A *212:8 0
10 *105:10 *212:8 0
11 *148:5 *308:DIODE 0
12 *211:5 *212:9 0
13 *211:10 *212:15 0
*RES
1 *4014:X *212:8 28.3357
2 *212:8 *212:9 78.5714
3 *212:9 *212:15 42.3929
4 *212:15 *3960:A 9.3
5 *212:15 *308:DIODE 12.3
*END
*D_NET *213 0.00795016
*CONN
*I *282:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3933:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4015:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *282:DIODE 0.000143745
2 *3933:A 0
3 *4015:X 0
4 *213:10 0.000230005
5 *213:5 0.00383133
6 *213:4 0.00374507
7 *360:DIODE *213:5 0
8 *149:9 *282:DIODE 0
*RES
1 *4015:X *213:4 9.3
2 *213:4 *213:5 78.1607
3 *213:5 *213:10 20.25
4 *213:10 *3933:A 9.3
5 *213:10 *282:DIODE 12.3
*END
*D_NET *214 0.0100149
*CONN
*I *309:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3961:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4016:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *309:DIODE 0.00014075
2 *3961:A 0
3 *4016:X 3.97699e-05
4 *214:15 0.00118323
5 *214:9 0.00482691
6 *214:8 0.0038242
7 *325:DIODE *214:8 0
8 *325:DIODE *214:9 0
9 *326:DIODE *214:9 0
10 *107:8 *214:8 0
11 *150:9 *309:DIODE 0
12 *211:10 *214:15 0
13 *212:15 *214:15 0
*RES
1 *4016:X *214:8 28.3357
2 *214:8 *214:9 78.9821
3 *214:9 *214:15 44.3036
4 *214:15 *3961:A 9.3
5 *214:15 *309:DIODE 12.3
*END
*D_NET *215 0.00978413
*CONN
*I *310:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3962:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4017:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *310:DIODE 0.00014075
2 *3962:A 0
3 *4017:X 0.00102592
4 *215:11 0.00386615
5 *215:10 0.0037254
6 *215:8 0.00102592
7 *323:DIODE *215:8 0
8 *325:DIODE *215:8 0
9 *353:DIODE *215:11 0
10 *357:DIODE *215:8 0
11 *361:DIODE *215:8 0
12 *3905:B *215:8 0
13 *3905:D_N *215:8 0
14 *3906:D *215:8 0
15 *70:10 *215:8 0
16 *71:10 *215:8 0
17 *75:10 *215:8 0
18 *151:7 *310:DIODE 0
19 *209:8 *215:8 0
*RES
1 *4017:X *215:8 43.9071
2 *215:8 *215:10 9
3 *215:10 *215:11 77.75
4 *215:11 *3962:A 9.3
5 *215:11 *310:DIODE 12.3
*END
*D_NET *216 0.00816267
*CONN
*I *283:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3934:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4018:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *283:DIODE 0.00014075
2 *3934:A 0
3 *4018:X 0.00116964
4 *216:9 0.0029117
5 *216:7 0.00394059
6 *363:DIODE *216:7 0
7 *374:DIODE *216:7 0
8 *152:7 *283:DIODE 0
*RES
1 *4018:X *216:7 33.7732
2 *216:7 *216:9 57.8304
3 *216:9 *3934:A 9.3
4 *216:9 *283:DIODE 12.3
*END
*D_NET *217 0.00788346
*CONN
*I *284:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3935:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4019:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *284:DIODE 0.00014075
2 *3935:A 0
3 *4019:X 0
4 *217:11 0.0012987
5 *217:5 0.00380098
6 *217:4 0.00264303
7 *217:11 *227:7 0
8 *153:9 *284:DIODE 0
9 *180:8 *217:11 0
10 *182:5 *217:5 0
*RES
1 *4019:X *217:4 9.3
2 *217:4 *217:5 55.1607
3 *217:5 *217:11 43.0357
4 *217:11 *3935:A 9.3
5 *217:11 *284:DIODE 12.3
*END
*D_NET *218 0.0081579
*CONN
*I *285:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3936:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4020:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *285:DIODE 0.00014075
2 *3936:A 0
3 *4020:X 0.000135372
4 *218:13 0.000880439
5 *218:9 0.00380283
6 *218:8 0.00319851
7 *329:DIODE *218:8 0
8 *128:17 *218:8 0
9 *154:7 *285:DIODE 0
10 *181:7 *218:9 0
11 *181:9 *218:9 0
12 *181:9 *218:13 0
13 *189:15 *218:8 0
*RES
1 *4020:X *218:8 30.7643
2 *218:8 *218:9 63.9911
3 *218:9 *218:13 15.4375
4 *218:13 *3936:A 9.3
5 *218:13 *285:DIODE 12.3
*END
*D_NET *219 0.000340595
*CONN
*I *3901:D I *D sky130_fd_sc_hd__or4_1
*I *4021:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3901:D 0.000170298
2 *4021:X 0.000170298
3 *3901:D *3901:C 0
4 *3901:B *3901:D 0
*RES
1 *4021:X *3901:D 40.9036
*END
*D_NET *220 0.00826144
*CONN
*I *286:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3937:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4022:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *286:DIODE 0.00014075
2 *3937:A 0
3 *4022:X 0.00101734
4 *220:15 0.000421153
5 *220:9 0.00297263
6 *220:7 0.00370957
7 *220:7 *221:7 0
8 *220:9 *221:7 0
9 *220:9 *221:16 0
10 *220:15 *221:16 0
11 *155:7 *286:DIODE 0
12 *182:12 *220:15 0
13 *183:9 *286:DIODE 0
14 *183:9 *220:15 0
15 *183:12 *220:15 0
*RES
1 *4022:X *220:7 30.5946
2 *220:7 *220:9 56.1875
3 *220:9 *220:15 24.6607
4 *220:15 *3937:A 9.3
5 *220:15 *286:DIODE 12.3
*END
*D_NET *221 0.00843205
*CONN
*I *287:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3938:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4023:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *287:DIODE 0.000143745
2 *3938:A 0
3 *4023:X 2.0535e-05
4 *221:16 0.000994592
5 *221:7 0.00405174
6 *221:5 0.00322143
7 *221:7 *222:11 0
8 *221:7 *222:13 0
9 *221:16 *222:13 0
10 *367:DIODE *221:7 0
11 *157:9 *287:DIODE 0
12 *184:12 *221:16 0
13 *220:7 *221:7 0
14 *220:9 *221:7 0
15 *220:9 *221:16 0
16 *220:15 *221:16 0
*RES
1 *4023:X *221:5 9.72857
2 *221:5 *221:7 66.8661
3 *221:7 *221:16 36.2054
4 *221:16 *3938:A 9.3
5 *221:16 *287:DIODE 12.3
*END
*D_NET *222 0.00841262
*CONN
*I *288:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3939:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4024:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *288:DIODE 0.00014075
2 *3939:A 0
3 *4024:X 0.000237917
4 *222:13 0.00295105
5 *222:11 0.00382764
6 *222:8 0.00125526
7 *367:DIODE *222:11 0
8 *114:10 *222:8 0
9 *128:17 *222:8 0
10 *158:7 *288:DIODE 0
11 *189:15 *222:8 0
12 *221:7 *222:11 0
13 *221:7 *222:13 0
14 *221:16 *222:13 0
*RES
1 *4024:X *222:8 33.4964
2 *222:8 *222:11 21.2946
3 *222:11 *222:13 58.6518
4 *222:13 *3939:A 9.3
5 *222:13 *288:DIODE 12.3
*END
*D_NET *223 0.00824221
*CONN
*I *289:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3940:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4025:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *289:DIODE 0.00014075
2 *3940:A 0
3 *4025:X 3.97699e-05
4 *223:13 0.0029117
5 *223:11 0.00394059
6 *223:8 0.00120941
7 *291:DIODE *223:13 0
8 *370:DIODE *223:8 0
9 *370:DIODE *223:11 0
10 *128:17 *223:8 0
11 *159:5 *289:DIODE 0
12 *187:5 *223:11 0
13 *187:5 *223:13 0
*RES
1 *4025:X *223:8 28.3357
2 *223:8 *223:11 24.4732
3 *223:11 *223:13 57.8304
4 *223:13 *3940:A 9.3
5 *223:13 *289:DIODE 12.3
*END
*D_NET *224 0.00797138
*CONN
*I *263:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3911:A I *D sky130_fd_sc_hd__and2_1
*I *4026:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *263:DIODE 0
2 *3911:A 0.000159146
3 *4026:X 0.00115809
4 *224:14 0.000315483
5 *224:9 0.00266845
6 *224:7 0.00367021
7 *3911:A *229:15 0
8 *224:7 *243:5 0
9 *224:9 *243:5 0
10 *224:14 *225:15 0
11 *224:14 *229:24 0
12 *224:14 *229:33 0
*RES
1 *4026:X *224:7 33.4696
2 *224:7 *224:9 52.4911
3 *224:9 *224:14 22.0714
4 *224:14 *3911:A 12.6214
5 *224:14 *263:DIODE 9.3
*END
*D_NET *225 0.00843383
*CONN
*I *266:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3913:B I *D sky130_fd_sc_hd__and2_1
*I *4027:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *266:DIODE 0
2 *3913:B 0.00031594
3 *4027:X 0.00115509
4 *225:15 0.000586068
5 *225:9 0.00274588
6 *225:7 0.00363085
7 *3913:B *3913:A 0
8 *373:DIODE *225:7 0
9 *224:14 *225:15 0
*RES
1 *4027:X *225:7 33.4696
2 *225:7 *225:9 51.6696
3 *225:9 *225:15 24.8393
4 *225:15 *3913:B 15.925
5 *225:15 *266:DIODE 9.3
*END
*D_NET *226 0.00888803
*CONN
*I *3915:B I *D sky130_fd_sc_hd__and2_1
*I *268:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4028:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3915:B 0.000156452
2 *268:DIODE 0
3 *4028:X 3.97356e-05
4 *226:15 0.00154299
5 *226:14 0.00162448
6 *226:9 0.00286128
7 *226:8 0.00266308
8 *3915:B *267:DIODE 0
9 *226:15 *267:DIODE 0
10 *226:15 *269:DIODE 0
11 *373:DIODE *226:8 0
12 *128:17 *226:8 0
13 *177:7 *226:9 0
14 *177:9 *226:9 0
15 *201:9 *226:15 0
*RES
1 *4028:X *226:8 28.3357
2 *226:8 *226:9 54.75
3 *226:9 *226:14 24.1964
4 *226:14 *226:15 28.875
5 *226:15 *268:DIODE 9.3
6 *226:15 *3915:B 31.0143
*END
*D_NET *227 0.00916809
*CONN
*I *3917:B I *D sky130_fd_sc_hd__and2_1
*I *270:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4029:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3917:B 0.0002015
2 *270:DIODE 0
3 *4029:X 2.0535e-05
4 *227:13 0.00101434
5 *227:12 0.00142379
6 *227:7 0.00354917
7 *227:5 0.00295875
8 *3917:B *229:36 0
9 *3917:B *243:11 0
10 *227:13 *243:5 0
11 *227:13 *243:11 0
12 *328:DIODE *227:7 0
13 *180:8 *227:12 0
14 *217:11 *227:7 0
*RES
1 *4029:X *227:5 9.72857
2 *227:5 *227:7 61.3214
3 *227:7 *227:12 33.9107
4 *227:12 *227:13 16.9643
5 *227:13 *270:DIODE 9.3
6 *227:13 *3917:B 13.5679
*END
*D_NET *228 0.000278934
*CONN
*I *3899:A I *D sky130_fd_sc_hd__nand2_1
*I *4030:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3899:A 0.000139467
2 *4030:X 0.000139467
*RES
1 *4030:X *3899:A 21.5107
*END
*D_NET *229 0.0103198
*CONN
*I *271:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3919:A I *D sky130_fd_sc_hd__inv_2
*I *265:DIODE I *D sky130_fd_sc_hd__diode_2
*I *267:DIODE I *D sky130_fd_sc_hd__diode_2
*I *269:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3915:A I *D sky130_fd_sc_hd__and2_1
*I *3917:A I *D sky130_fd_sc_hd__and2_1
*I *3913:A I *D sky130_fd_sc_hd__and2_1
*I *3911:B I *D sky130_fd_sc_hd__and2_1
*I *264:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4031:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *271:DIODE 0.00014075
2 *3919:A 0
3 *265:DIODE 0
4 *267:DIODE 0.000298186
5 *269:DIODE 2.56688e-05
6 *3915:A 0.000180109
7 *3917:A 0
8 *3913:A 4.53482e-05
9 *3911:B 0
10 *264:DIODE 0
11 *4031:X 0
12 *229:75 0.000418508
13 *229:63 0.000398828
14 *229:50 0.000396195
15 *229:36 0.000225457
16 *229:33 0.000213342
17 *229:24 0.000226198
18 *229:21 0.000228942
19 *229:15 0.00034225
20 *229:5 0.00374978
21 *229:4 0.0034302
22 *3915:A *243:11 0
23 *229:36 *243:11 0
24 *376:DIODE *229:5 0
25 *3911:A *229:15 0
26 *3913:B *3913:A 0
27 *3915:B *267:DIODE 0
28 *3917:B *229:36 0
29 *162:7 *271:DIODE 0
30 *224:14 *229:24 0
31 *224:14 *229:33 0
32 *226:15 *267:DIODE 0
33 *226:15 *269:DIODE 0
*RES
1 *4031:X *229:4 9.3
2 *229:4 *229:5 71.5893
3 *229:5 *264:DIODE 9.3
4 *229:5 *229:15 6.73214
5 *229:15 *3911:B 9.3
6 *229:15 *229:21 0.535714
7 *229:21 *229:24 11.25
8 *229:24 *3913:A 19.2464
9 *229:24 *229:33 2.55357
10 *229:33 *229:36 9.94643
11 *229:36 *3917:A 9.3
12 *229:36 *3915:A 13.1214
13 *229:33 *229:50 10.9464
14 *229:50 *269:DIODE 9.83571
15 *229:50 *267:DIODE 15.5857
16 *229:21 *229:63 2.58929
17 *229:63 *265:DIODE 9.3
18 *229:63 *229:75 24.875
19 *229:75 *3919:A 9.3
20 *229:75 *271:DIODE 12.3
*END
*D_NET *230 0.000799561
*CONN
*I *3901:C I *D sky130_fd_sc_hd__or4_1
*I *4032:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3901:C 0.00039978
2 *4032:X 0.00039978
3 *378:DIODE *3901:C 0
4 *3901:D *3901:C 0
5 *57:10 *3901:C 0
6 *58:10 *3901:C 0
7 *90:10 *3901:C 0
8 *136:8 *3901:C 0
*RES
1 *4032:X *3901:C 46.7786
*END
*D_NET *231 0.011715
*CONN
*I *4055:A I *D sky130_fd_sc_hd__buf_2
*I *393:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3921:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4055:A 7.89315e-05
2 *393:DIODE 6.35302e-05
3 *3921:X 0.00138911
4 *231:14 0.000142462
5 *231:12 0.00186984
6 *231:11 0.00186984
7 *231:9 0.00245607
8 *231:7 0.00384518
9 *231:7 *248:9 0
10 *231:9 *4073:A 0
11 *231:9 *248:9 0
12 *231:12 *234:12 0
13 *231:12 *235:12 0
14 *231:12 *238:12 0
15 *273:DIODE *231:7 0
16 *180:15 *231:7 0
17 *193:9 *231:7 0
*RES
1 *3921:X *231:7 38.2911
2 *231:7 *231:9 51.2589
3 *231:9 *231:11 9
4 *231:11 *231:12 48.6964
5 *231:12 *231:14 9
6 *231:14 *393:DIODE 10.6571
7 *231:14 *4055:A 10.9786
*END
*D_NET *232 0.0110257
*CONN
*I *4056:A I *D sky130_fd_sc_hd__buf_2
*I *394:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3922:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4056:A 0
2 *394:DIODE 0.000104386
3 *3922:X 0.00340522
4 *232:15 0.00012706
5 *232:12 0.00200327
6 *232:11 0.00538581
7 *232:11 *234:11 0
8 *232:12 *237:12 0
9 *232:12 *238:12 0
10 addr0[0] *232:12 0
11 addr0[1] *232:12 0
12 din0[17] *232:12 0
13 din0[18] *232:12 0
14 din0[19] *232:12 0
15 din0[20] *232:12 0
16 din0[21] *232:12 0
17 din0[22] *232:12 0
18 din0[23] *232:12 0
19 din0[24] *232:12 0
20 din0[25] *232:12 0
21 din0[26] *232:12 0
22 din0[27] *232:12 0
23 din0[28] *232:12 0
24 din0[29] *232:12 0
25 din0[30] *232:12 0
26 din0[31] *232:12 0
*RES
1 *3922:X *232:11 38.9923
2 *232:11 *232:12 51.5804
3 *232:12 *232:15 9.53571
4 *232:15 *394:DIODE 11.4786
5 *232:15 *4056:A 9.3
*END
*D_NET *233 0.0128741
*CONN
*I *4057:A I *D sky130_fd_sc_hd__buf_2
*I *395:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3923:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4057:A 0
2 *395:DIODE 0.000104386
3 *3923:X 0.00137157
4 *233:15 0.000205778
5 *233:12 0.00236728
6 *233:11 0.00226589
7 *233:9 0.00259383
8 *233:7 0.0039654
9 *233:7 *235:7 0
10 *233:7 *235:9 0
11 *233:7 *249:7 0
12 *233:9 *4072:A 0
13 *233:9 *235:9 0
14 *233:9 *249:7 0
15 *233:9 *249:11 0
16 *233:12 *239:12 0
17 *3925:A *233:7 0
*RES
1 *3923:X *233:7 37.9875
2 *233:7 *233:9 54.1339
3 *233:9 *233:11 9
4 *233:11 *233:12 59.0179
5 *233:12 *233:15 11.1786
6 *233:15 *395:DIODE 11.4786
7 *233:15 *4057:A 9.3
*END
*D_NET *234 0.0115036
*CONN
*I *4058:A I *D sky130_fd_sc_hd__buf_2
*I *396:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3924:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4058:A 9.86109e-05
2 *396:DIODE 4.38508e-05
3 *3924:X 0.00347719
4 *234:14 0.000142462
5 *234:12 0.00213215
6 *234:11 0.00560934
7 *234:12 *235:12 0
8 *234:12 *238:12 0
9 *182:12 *234:11 0
10 *231:12 *234:12 0
11 *232:11 *234:11 0
*RES
1 *3924:X *234:11 39.2806
2 *234:11 *234:12 55.5268
3 *234:12 *234:14 9
4 *234:14 *396:DIODE 10.2464
5 *234:14 *4058:A 11.3893
*END
*D_NET *235 0.0124099
*CONN
*I *4059:A I *D sky130_fd_sc_hd__buf_2
*I *397:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3925:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4059:A 0.00012058
2 *397:DIODE 2.41714e-05
3 *3925:X 0.000613912
4 *235:12 0.00257411
5 *235:11 0.00242936
6 *235:9 0.00301694
7 *235:7 0.00363085
8 *4059:A *238:12 0
9 *235:12 *236:12 0
10 *235:12 *236:18 0
11 *235:12 *238:12 0
12 *160:8 *4059:A 0
13 *160:8 *235:12 0
14 *231:12 *235:12 0
15 *233:7 *235:7 0
16 *233:7 *235:9 0
17 *233:9 *235:9 0
18 *234:12 *235:12 0
*RES
1 *3925:X *235:7 22.175
2 *235:7 *235:9 62.9643
3 *235:9 *235:11 9
4 *235:11 *235:12 63.2679
5 *235:12 *397:DIODE 18.8357
6 *235:12 *4059:A 21.1036
*END
*D_NET *236 0.0131428
*CONN
*I *4060:A I *D sky130_fd_sc_hd__buf_2
*I *398:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3926:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4060:A 0.000159146
2 *398:DIODE 0
3 *3926:X 0.00137157
4 *236:18 0.000609986
5 *236:12 0.00264364
6 *236:11 0.0021928
7 *236:9 0.00239704
8 *236:7 0.0037686
9 *236:9 *4071:A 0
10 *236:9 *248:15 0
11 *236:12 *4079:A 0
12 *236:12 *238:12 0
13 *236:12 *254:14 0
14 *236:12 *257:12 0
15 *236:12 *260:11 0
16 *236:12 *261:17 0
17 *293:DIODE *236:7 0
18 *3926:A *236:7 0
19 *4037:A *236:12 0
20 *146:14 *236:12 0
21 *147:13 *236:12 0
22 *148:8 *236:12 0
23 *160:8 *236:18 0
24 *235:12 *236:12 0
25 *235:12 *236:18 0
*RES
1 *3926:X *236:7 37.9875
2 *236:7 *236:9 50.0268
3 *236:9 *236:11 9
4 *236:11 *236:12 57.0446
5 *236:12 *236:18 20.7411
6 *236:18 *398:DIODE 9.3
7 *236:18 *4060:A 12.6214
*END
*D_NET *237 0.0140962
*CONN
*I *4061:A I *D sky130_fd_sc_hd__buf_2
*I *399:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3927:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4061:A 0
2 *399:DIODE 0.000104386
3 *3927:X 0
4 *237:15 0.000166419
5 *237:12 0.00315541
6 *237:11 0.00360205
7 *237:5 0.00378828
8 *237:4 0.00327961
9 *237:5 *4069:A 0
10 *237:5 *238:7 0
11 *237:5 *238:9 0
12 *237:11 *4069:A 0
13 *237:11 *238:9 0
14 *237:12 *238:12 0
15 *237:12 *239:12 0
16 addr0[2] *237:12 0
17 addr0[3] *237:12 0
18 addr0[5] *237:12 0
19 addr0[6] *237:12 0
20 din0[13] *237:12 0
21 din0[14] *237:12 0
22 din0[15] *237:12 0
23 din0[18] *237:12 0
24 din0[19] *237:12 0
25 din0[21] *237:12 0
26 din0[22] *237:12 0
27 din0[23] *237:12 0
28 din0[26] *237:12 0
29 din0[27] *237:12 0
30 din0[29] *237:12 0
31 din0[30] *237:12 0
32 din0[31] *237:12 0
33 *278:DIODE *237:5 0
34 *232:12 *237:12 0
*RES
1 *3927:X *237:4 9.3
2 *237:4 *237:5 68.5089
3 *237:5 *237:11 19.6161
4 *237:11 *237:12 80.5714
5 *237:12 *237:15 10.3571
6 *237:15 *399:DIODE 11.4786
7 *237:15 *4061:A 9.3
*END
*D_NET *238 0.0143743
*CONN
*I *4062:A I *D sky130_fd_sc_hd__buf_2
*I *400:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3928:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4062:A 2.26741e-05
2 *400:DIODE 8.4707e-05
3 *3928:X 0.00139125
4 *238:14 0.000107381
5 *238:12 0.00321276
6 *238:11 0.00321276
7 *238:9 0.00247575
8 *238:7 0.003867
9 *238:12 *239:12 0
10 addr0[2] *238:12 0
11 addr0[3] *238:12 0
12 addr0[4] *238:12 0
13 addr0[5] *238:12 0
14 addr0[6] *238:12 0
15 addr0[7] *238:12 0
16 din0[13] *238:12 0
17 din0[14] *238:12 0
18 din0[15] *238:12 0
19 din0[16] *238:12 0
20 *278:DIODE *238:7 0
21 *4059:A *238:12 0
22 *160:8 *238:12 0
23 *185:13 *238:7 0
24 *231:12 *238:12 0
25 *232:12 *238:12 0
26 *234:12 *238:12 0
27 *235:12 *238:12 0
28 *236:12 *238:12 0
29 *237:5 *238:7 0
30 *237:5 *238:9 0
31 *237:11 *238:9 0
32 *237:12 *238:12 0
*RES
1 *3928:X *238:7 38.3982
2 *238:7 *238:9 51.6696
3 *238:9 *238:11 9
4 *238:11 *238:12 83.6071
5 *238:12 *238:14 9
6 *238:14 *400:DIODE 11.0679
7 *238:14 *4062:A 9.83571
*END
*D_NET *239 0.0146718
*CONN
*I *4063:A I *D sky130_fd_sc_hd__buf_2
*I *401:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3929:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4063:A 0
2 *401:DIODE 0.000104386
3 *3929:X 0.00138911
4 *239:15 0.000186099
5 *239:12 0.00326828
6 *239:11 0.00318656
7 *239:9 0.00257415
8 *239:7 0.00396326
9 *239:7 *246:9 0
10 *239:7 *246:14 0
11 *239:9 *4070:A 0
12 *239:9 *246:14 0
13 *239:9 *247:11 0
14 addr0[7] *239:12 0
15 *292:DIODE *239:7 0
16 *160:8 *239:12 0
17 *192:11 *239:7 0
18 *233:12 *239:12 0
19 *237:12 *239:12 0
20 *238:12 *239:12 0
*RES
1 *3929:X *239:7 38.2911
2 *239:7 *239:9 53.7232
3 *239:9 *239:11 9
4 *239:11 *239:12 83
5 *239:12 *239:15 10.7679
6 *239:15 *401:DIODE 11.4786
7 *239:15 *4063:A 9.3
*END
*D_NET *240 0.015748
*CONN
*I *4064:A I *D sky130_fd_sc_hd__clkbuf_1
*I *402:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3930:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *4064:A 0.000173692
2 *402:DIODE 0
3 *3930:X 0
4 *240:10 0.000271745
5 *240:5 0.00770029
6 *240:4 0.00760224
7 imem_rd_cs1 *240:10 0
*RES
1 *3930:X *240:4 9.3
2 *240:4 *240:5 158.661
3 *240:5 *240:10 20.5536
4 *240:10 *402:DIODE 9.3
5 *240:10 *4064:A 12.925
*END
*D_NET *241 0.000247376
*CONN
*I *3904:B I *D sky130_fd_sc_hd__or4_1
*I *4033:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3904:B 0.000123688
2 *4033:X 0.000123688
3 *3904:D *3904:B 0
4 *92:10 *3904:B 0
5 *93:10 *3904:B 0
6 *136:8 *3904:B 0
*RES
1 *4033:X *3904:B 39.6893
*END
*D_NET *242 0.0206201
*CONN
*I *4065:A I *D sky130_fd_sc_hd__buf_2
*I *403:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3908:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4065:A 0.000170697
2 *403:DIODE 0
3 *3908:X 6.50276e-05
4 *242:11 0.00716287
5 *242:10 0.00699218
6 *242:8 0.00308217
7 *242:7 0.0031472
8 *3908:A *242:7 0
*RES
1 *3908:X *242:7 19.6571
2 *242:7 *242:8 80.2679
3 *242:8 *242:10 9
4 *242:10 *242:11 145.929
5 *242:11 *403:DIODE 9.3
6 *242:11 *4065:A 12.925
*END
*D_NET *243 0.0155212
*CONN
*I *4066:A I *D sky130_fd_sc_hd__buf_2
*I *404:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3931:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4066:A 0.000159146
2 *404:DIODE 0
3 *3931:X 0
4 *243:18 0.000210573
5 *243:13 0.00242579
6 *243:11 0.00402444
7 *243:5 0.00517568
8 *243:4 0.00352561
9 din0[0] *243:18 0
10 *3915:A *243:11 0
11 *3916:A *243:11 0
12 *3917:B *243:11 0
13 *3918:A *243:11 0
14 *224:7 *243:5 0
15 *224:9 *243:5 0
16 *227:13 *243:5 0
17 *227:13 *243:11 0
18 *229:36 *243:11 0
*RES
1 *3931:X *243:4 9.3
2 *243:4 *243:5 73.6429
3 *243:5 *243:11 34.4375
4 *243:11 *243:13 49.6161
5 *243:13 *243:18 19.3393
6 *243:18 *404:DIODE 9.3
7 *243:18 *4066:A 12.6214
*END
*D_NET *244 0.00769718
*CONN
*I *405:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4067:A I *D sky130_fd_sc_hd__buf_2
*I *3941:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *405:DIODE 0
2 *4067:A 0.000159146
3 *3941:X 0
4 *244:11 0.00022159
5 *244:5 0.00368944
6 *244:4 0.003627
7 *244:5 *245:7 0
*RES
1 *3941:X *244:4 9.3
2 *244:4 *244:5 75.6964
3 *244:5 *244:11 19.5714
4 *244:11 *4067:A 12.6214
5 *244:11 *405:DIODE 9.3
*END
*D_NET *245 0.00789315
*CONN
*I *4068:A I *D sky130_fd_sc_hd__buf_2
*I *406:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3942:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4068:A 0.000141606
2 *406:DIODE 0
3 *3942:X 2.0535e-05
4 *245:7 0.00392604
5 *245:5 0.00380497
6 *3941:A *245:7 0
7 *244:5 *245:7 0
*RES
1 *3942:X *245:5 9.72857
2 *245:5 *245:7 78.9821
3 *245:7 *406:DIODE 9.3
4 *245:7 *4068:A 12.3179
*END
*D_NET *246 0.00763771
*CONN
*I *4069:A I *D sky130_fd_sc_hd__buf_2
*I *3943:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4069:A 0.00146226
2 *3943:X 0.000654126
3 *246:14 0.00316473
4 *246:9 0.00235659
5 *292:DIODE *246:9 0
6 *237:5 *4069:A 0
7 *237:11 *4069:A 0
8 *239:7 *246:9 0
9 *239:7 *246:14 0
10 *239:9 *246:14 0
*RES
1 *3943:X *246:9 23.0143
2 *246:9 *246:14 44.8571
3 *246:14 *4069:A 48.8179
*END
*D_NET *247 0.00775359
*CONN
*I *4070:A I *D sky130_fd_sc_hd__buf_2
*I *407:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3944:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4070:A 0.000121071
2 *407:DIODE 0
3 *3944:X 0.00134419
4 *247:11 0.00221308
5 *247:10 0.00241154
6 *247:7 0.00166372
7 *247:7 *249:7 0
8 *239:9 *4070:A 0
9 *239:9 *247:11 0
*RES
1 *3944:X *247:7 46.3536
2 *247:7 *247:10 17.3214
3 *247:10 *247:11 43.6607
4 *247:11 *407:DIODE 9.3
5 *247:11 *4070:A 11.8893
*END
*D_NET *248 0.00858112
*CONN
*I *408:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4071:A I *D sky130_fd_sc_hd__buf_2
*I *3945:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *408:DIODE 0
2 *4071:A 0.000173692
3 *3945:X 3.97699e-05
4 *248:15 0.00154872
5 *248:9 0.0040771
6 *248:8 0.00274184
7 *248:9 *4073:A 0
8 *248:15 *250:10 0
9 *182:12 *248:8 0
10 *193:9 *248:9 0
11 *231:7 *248:9 0
12 *231:9 *248:9 0
13 *236:9 *4071:A 0
14 *236:9 *248:15 0
*RES
1 *3945:X *248:8 28.3357
2 *248:8 *248:9 56.3929
3 *248:9 *248:15 47.75
4 *248:15 *4071:A 12.925
5 *248:15 *408:DIODE 9.3
*END
*D_NET *249 0.00816609
*CONN
*I *409:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4072:A I *D sky130_fd_sc_hd__buf_2
*I *3946:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *409:DIODE 0
2 *4072:A 0.000159146
3 *3946:X 2.0535e-05
4 *249:11 0.000484712
5 *249:7 0.00390337
6 *249:5 0.00359834
7 *3923:A *249:7 0
8 *3925:A *249:7 0
9 *233:7 *249:7 0
10 *233:9 *4072:A 0
11 *233:9 *249:7 0
12 *233:9 *249:11 0
13 *247:7 *249:7 0
*RES
1 *3946:X *249:5 9.72857
2 *249:5 *249:7 74.6696
3 *249:7 *249:11 6.91964
4 *249:11 *4072:A 12.6214
5 *249:11 *409:DIODE 9.3
*END
*D_NET *250 0.0075962
*CONN
*I *4073:A I *D sky130_fd_sc_hd__buf_2
*I *3947:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4073:A 0.00146226
2 *3947:X 0
3 *250:10 0.00154866
4 *250:5 0.00233584
5 *250:4 0.00224944
6 *295:DIODE *250:5 0
7 *231:9 *4073:A 0
8 *248:9 *4073:A 0
9 *248:15 *250:10 0
*RES
1 *3947:X *250:4 9.3
2 *250:4 *250:5 46.9464
3 *250:5 *250:10 20.25
4 *250:10 *4073:A 39.8179
*END
*D_NET *251 0.00780092
*CONN
*I *410:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4074:A I *D sky130_fd_sc_hd__buf_2
*I *3948:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *410:DIODE 0
2 *4074:A 0.000159146
3 *3948:X 2.0535e-05
4 *251:13 0.00109914
5 *251:7 0.00372078
6 *251:5 0.00280132
7 *296:DIODE *251:7 0
*RES
1 *3948:X *251:5 9.72857
2 *251:5 *251:7 58.0357
3 *251:7 *251:13 37.9464
4 *251:13 *4074:A 12.6214
5 *251:13 *410:DIODE 9.3
*END
*D_NET *252 0.000357652
*CONN
*I *3904:A I *D sky130_fd_sc_hd__or4_1
*I *4034:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3904:A 0.000178826
2 *4034:X 0.000178826
3 *3907:C *3904:A 0
*RES
1 *4034:X *3904:A 22.3321
*END
*D_NET *253 0.00764502
*CONN
*I *4075:A I *D sky130_fd_sc_hd__buf_2
*I *3949:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4075:A 0
2 *3949:X 0.00133007
3 *253:9 0.00249244
4 *253:7 0.00382251
5 *297:DIODE *253:7 0
*RES
1 *3949:X *253:7 37.0589
2 *253:7 *253:9 52.0804
3 *253:9 *4075:A 9.3
*END
*D_NET *254 0.00771642
*CONN
*I *4076:A I *D sky130_fd_sc_hd__buf_2
*I *411:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3950:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4076:A 0.000144601
2 *411:DIODE 0
3 *3950:X 0.00127317
4 *254:14 0.000207684
5 *254:9 0.00244044
6 *254:7 0.00365053
7 *254:9 *4078:A 0
8 *298:DIODE *254:7 0
9 *236:12 *254:14 0
*RES
1 *3950:X *254:7 35.9339
2 *254:7 *254:9 49.6161
3 *254:9 *254:14 19.6429
4 *254:14 *411:DIODE 9.3
5 *254:14 *4076:A 12.3179
*END
*D_NET *255 0.00776309
*CONN
*I *4077:A I *D sky130_fd_sc_hd__buf_2
*I *3932:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4077:A 0
2 *3932:X 0.00138911
3 *255:9 0.00249244
4 *255:7 0.00388155
5 *281:DIODE *255:7 0
*RES
1 *3932:X *255:7 38.2911
2 *255:7 *255:9 52.0804
3 *255:9 *4077:A 9.3
*END
*D_NET *256 0.00769268
*CONN
*I *4078:A I *D sky130_fd_sc_hd__buf_2
*I *3951:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4078:A 0.00146226
2 *3951:X 2.0535e-05
3 *256:12 0.001537
4 *256:7 0.00236354
5 *256:5 0.00230933
6 *299:DIODE *256:7 0
7 *254:9 *4078:A 0
*RES
1 *3951:X *256:5 9.72857
2 *256:5 *256:7 47.7679
3 *256:7 *256:12 19.9464
4 *256:12 *4078:A 39.8179
*END
*D_NET *257 0.00778698
*CONN
*I *412:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4079:A I *D sky130_fd_sc_hd__buf_2
*I *3952:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *412:DIODE 0
2 *4079:A 0.000163836
3 *3952:X 0.00131253
4 *257:12 0.000203606
5 *257:9 0.00241713
6 *257:7 0.00368989
7 *300:DIODE *257:7 0
8 *236:12 *4079:A 0
9 *236:12 *257:12 0
*RES
1 *3952:X *257:7 36.7554
2 *257:7 *257:9 49.6161
3 *257:9 *257:12 10.0357
4 *257:12 *4079:A 21.925
5 *257:12 *412:DIODE 18.3
*END
*D_NET *258 0.00773571
*CONN
*I *4080:A I *D sky130_fd_sc_hd__buf_2
*I *3953:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4080:A 0
2 *3953:X 0.00137542
3 *258:11 0.00249244
4 *258:9 0.00386786
5 *301:DIODE *258:9 0
*RES
1 *3953:X *258:9 38.0054
2 *258:9 *258:11 52.0804
3 *258:11 *4080:A 9.3
*END
*D_NET *259 0.00793482
*CONN
*I *4081:A I *D sky130_fd_sc_hd__buf_2
*I *413:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3954:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4081:A 0.000121071
2 *413:DIODE 0
3 *3954:X 2.0535e-05
4 *259:13 0.00108737
5 *259:7 0.0038258
6 *259:5 0.00288004
7 *302:DIODE *259:7 0
*RES
1 *3954:X *259:5 9.72857
2 *259:5 *259:7 59.6786
3 *259:7 *259:13 38.5536
4 *259:13 *413:DIODE 9.3
5 *259:13 *4081:A 11.8893
*END
*D_NET *260 0.00794638
*CONN
*I *414:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4082:A I *D sky130_fd_sc_hd__buf_2
*I *3955:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *414:DIODE 0
2 *4082:A 0.000173692
3 *3955:X 0
4 *260:11 0.000247793
5 *260:5 0.0037995
6 *260:4 0.0037254
7 *303:DIODE *260:5 0
8 *236:12 *260:11 0
*RES
1 *3955:X *260:4 9.3
2 *260:4 *260:5 77.75
3 *260:5 *260:11 19.875
4 *260:11 *4082:A 12.925
5 *260:11 *414:DIODE 9.3
*END
*D_NET *261 0.00795579
*CONN
*I *415:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4083:A I *D sky130_fd_sc_hd__buf_2
*I *3956:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *415:DIODE 0
2 *4083:A 0.000159146
3 *3956:X 0.00138697
4 *261:17 0.000233247
5 *261:11 0.00243178
6 *261:9 0.00374465
7 *304:DIODE *261:9 0
8 *236:12 *261:17 0
*RES
1 *3956:X *261:9 38.3089
2 *261:9 *261:11 49.2054
3 *261:11 *261:17 19.875
4 *261:17 *4083:A 12.6214
5 *261:17 *415:DIODE 9.3
*END
*D_NET *262 0.00768438
*CONN
*I *4084:A I *D sky130_fd_sc_hd__buf_2
*I *3957:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4084:A 0
2 *3957:X 0.00134975
3 *262:9 0.00249244
4 *262:7 0.00384219
5 *305:DIODE *262:7 0
*RES
1 *3957:X *262:7 37.4696
2 *262:7 *262:9 52.0804
3 *262:9 *4084:A 9.3
*END