blob: 2cfa5e6df4623e61b007fd00ccddb76b43a04a7d [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN wb_interface ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 200000 200000 ) ;
ROW ROW_0 unithd 5520 10880 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_1 unithd 5520 13600 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_2 unithd 5520 16320 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_3 unithd 5520 19040 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_4 unithd 5520 21760 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_5 unithd 5520 24480 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_6 unithd 5520 27200 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_7 unithd 5520 29920 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_8 unithd 5520 32640 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_9 unithd 5520 35360 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_10 unithd 5520 38080 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_11 unithd 5520 40800 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_12 unithd 5520 43520 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_13 unithd 5520 46240 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_14 unithd 5520 48960 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_15 unithd 5520 51680 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_16 unithd 5520 54400 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_17 unithd 5520 57120 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_18 unithd 5520 59840 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_19 unithd 5520 62560 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_20 unithd 5520 65280 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_21 unithd 5520 68000 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_22 unithd 5520 70720 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_23 unithd 5520 73440 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_24 unithd 5520 76160 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_25 unithd 5520 78880 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_26 unithd 5520 81600 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_27 unithd 5520 84320 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_28 unithd 5520 87040 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_29 unithd 5520 89760 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_30 unithd 5520 92480 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_31 unithd 5520 95200 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_32 unithd 5520 97920 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_33 unithd 5520 100640 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_34 unithd 5520 103360 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_35 unithd 5520 106080 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_36 unithd 5520 108800 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_37 unithd 5520 111520 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_38 unithd 5520 114240 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_39 unithd 5520 116960 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_40 unithd 5520 119680 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_41 unithd 5520 122400 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_42 unithd 5520 125120 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_43 unithd 5520 127840 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_44 unithd 5520 130560 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_45 unithd 5520 133280 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_46 unithd 5520 136000 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_47 unithd 5520 138720 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_48 unithd 5520 141440 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_49 unithd 5520 144160 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_50 unithd 5520 146880 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_51 unithd 5520 149600 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_52 unithd 5520 152320 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_53 unithd 5520 155040 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_54 unithd 5520 157760 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_55 unithd 5520 160480 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_56 unithd 5520 163200 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_57 unithd 5520 165920 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_58 unithd 5520 168640 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_59 unithd 5520 171360 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_60 unithd 5520 174080 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_61 unithd 5520 176800 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_62 unithd 5520 179520 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_63 unithd 5520 182240 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_64 unithd 5520 184960 N DO 410 BY 1 STEP 460 0 ;
TRACKS X 230 DO 435 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 588 STEP 340 LAYER li1 ;
TRACKS X 170 DO 588 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 588 STEP 340 LAYER met1 ;
TRACKS X 230 DO 435 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 435 STEP 460 LAYER met2 ;
TRACKS X 340 DO 294 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 294 STEP 680 LAYER met3 ;
TRACKS X 460 DO 217 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 217 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 59 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 59 STEP 3400 LAYER met5 ;
GCELLGRID X 0 DO 28 STEP 6900 ;
GCELLGRID Y 0 DO 28 STEP 6900 ;
VIAS 3 ;
- via2_3_1600_480_1_5_320_320 + VIARULE M1M2_PR + CUTSIZE 150 150 + LAYERS met1 via met2 + CUTSPACING 170 170 + ENCLOSURE 85 165 55 85 + ROWCOL 1 5 ;
- via3_4_1600_480_1_4_400_400 + VIARULE M2M3_PR + CUTSIZE 200 200 + LAYERS met2 via2 met3 + CUTSPACING 200 200 + ENCLOSURE 40 85 65 65 + ROWCOL 1 4 ;
- via4_5_1600_480_1_4_400_400 + VIARULE M3M4_PR + CUTSIZE 200 200 + LAYERS met3 via3 met4 + CUTSPACING 200 200 + ENCLOSURE 90 60 100 65 + ROWCOL 1 4 ;
END VIAS
COMPONENTS 3823 ;
- ANTENNA__25__A sky130_fd_sc_hd__diode_2 + PLACED ( 92920 35360 ) S ;
- ANTENNA__25__B sky130_fd_sc_hd__diode_2 + PLACED ( 90160 35360 ) FS ;
- ANTENNA__27__A sky130_fd_sc_hd__diode_2 + PLACED ( 99820 35360 ) FS ;
- ANTENNA__27__B sky130_fd_sc_hd__diode_2 + PLACED ( 92920 38080 ) N ;
- ANTENNA__29__A sky130_fd_sc_hd__diode_2 + PLACED ( 103960 43520 ) FN ;
- ANTENNA__29__B sky130_fd_sc_hd__diode_2 + PLACED ( 101200 43520 ) N ;
- ANTENNA__31__A sky130_fd_sc_hd__diode_2 + PLACED ( 96140 43520 ) FN ;
- ANTENNA__31__B sky130_fd_sc_hd__diode_2 + PLACED ( 95220 40800 ) S ;
- ANTENNA__33__A sky130_fd_sc_hd__diode_2 + PLACED ( 104880 29920 ) S ;
- ANTENNA__36__A sky130_fd_sc_hd__diode_2 + PLACED ( 92920 97920 ) FN ;
- ANTENNA__37__A sky130_fd_sc_hd__diode_2 + PLACED ( 105340 97920 ) FN ;
- ANTENNA__38__A sky130_fd_sc_hd__diode_2 + PLACED ( 92000 95200 ) FS ;
- ANTENNA__39__A sky130_fd_sc_hd__diode_2 + PLACED ( 97060 103360 ) FN ;
- ANTENNA__40__A sky130_fd_sc_hd__diode_2 + PLACED ( 101660 92480 ) N ;
- ANTENNA__41__A sky130_fd_sc_hd__diode_2 + PLACED ( 97060 89760 ) FS ;
- ANTENNA__42__A sky130_fd_sc_hd__diode_2 + PLACED ( 104880 84320 ) S ;
- ANTENNA__43__A sky130_fd_sc_hd__diode_2 + PLACED ( 94760 84320 ) FS ;
- ANTENNA__44__A sky130_fd_sc_hd__diode_2 + PLACED ( 92920 87040 ) FN ;
- ANTENNA__47__A sky130_fd_sc_hd__diode_2 + PLACED ( 101660 46240 ) S ;
- ANTENNA__48__A sky130_fd_sc_hd__diode_2 + PLACED ( 101660 48960 ) FN ;
- ANTENNA__49__A sky130_fd_sc_hd__diode_2 + PLACED ( 102120 54400 ) FN ;
- ANTENNA__50__A sky130_fd_sc_hd__diode_2 + PLACED ( 102120 59840 ) FN ;
- ANTENNA__51__A sky130_fd_sc_hd__diode_2 + PLACED ( 102120 62560 ) S ;
- ANTENNA__52__A sky130_fd_sc_hd__diode_2 + PLACED ( 103040 68000 ) S ;
- ANTENNA__53__A sky130_fd_sc_hd__diode_2 + PLACED ( 103040 70720 ) FN ;
- ANTENNA__54__A sky130_fd_sc_hd__diode_2 + PLACED ( 103040 73440 ) S ;
- ANTENNA__55__A sky130_fd_sc_hd__diode_2 + PLACED ( 102120 78880 ) S ;
- ANTENNA__56__A sky130_fd_sc_hd__diode_2 + PLACED ( 103500 81600 ) FN ;
- ANTENNA__57__A sky130_fd_sc_hd__diode_2 + PLACED ( 96140 78880 ) S ;
- ANTENNA__58__A sky130_fd_sc_hd__diode_2 + PLACED ( 104880 87040 ) FN ;
- ANTENNA__59__A sky130_fd_sc_hd__diode_2 + PLACED ( 104420 92480 ) FN ;
- ANTENNA__61__A sky130_fd_sc_hd__diode_2 + PLACED ( 89240 95200 ) S ;
- ANTENNA__62__A sky130_fd_sc_hd__diode_2 + PLACED ( 104880 100640 ) S ;
- ANTENNA__63__A sky130_fd_sc_hd__diode_2 + PLACED ( 103040 103360 ) FN ;
- ANTENNA__64__A sky130_fd_sc_hd__diode_2 + PLACED ( 103040 106080 ) S ;
- ANTENNA__65__A sky130_fd_sc_hd__diode_2 + PLACED ( 103960 111520 ) S ;
- ANTENNA__66__A sky130_fd_sc_hd__diode_2 + PLACED ( 103960 114240 ) FN ;
- ANTENNA__67__A sky130_fd_sc_hd__diode_2 + PLACED ( 103040 116960 ) S ;
- ANTENNA__68__A sky130_fd_sc_hd__diode_2 + PLACED ( 102120 119680 ) FN ;
- ANTENNA__69__A sky130_fd_sc_hd__diode_2 + PLACED ( 101200 125120 ) FN ;
- ANTENNA__70__A sky130_fd_sc_hd__diode_2 + PLACED ( 101200 127840 ) S ;
- ANTENNA__71__A sky130_fd_sc_hd__diode_2 + PLACED ( 102120 130560 ) FN ;
- ANTENNA__72__A sky130_fd_sc_hd__diode_2 + PLACED ( 102580 133280 ) S ;
- ANTENNA__73__A sky130_fd_sc_hd__diode_2 + PLACED ( 102580 138720 ) S ;
- ANTENNA__74__A sky130_fd_sc_hd__diode_2 + PLACED ( 103040 141440 ) FN ;
- ANTENNA__75__A sky130_fd_sc_hd__diode_2 + PLACED ( 103500 144160 ) S ;
- ANTENNA__76__A sky130_fd_sc_hd__diode_2 + PLACED ( 103040 146880 ) FN ;
- ANTENNA__77__A sky130_fd_sc_hd__diode_2 + PLACED ( 102580 152320 ) FN ;
- ANTENNA_clkbuf_0_wb_clk_i_A sky130_fd_sc_hd__diode_2 + PLACED ( 18860 21760 ) FN ;
- ANTENNA_input10_A sky130_fd_sc_hd__diode_2 + PLACED ( 10120 125120 ) FN ;
- ANTENNA_input11_A sky130_fd_sc_hd__diode_2 + PLACED ( 10120 136000 ) FN ;
- ANTENNA_input12_A sky130_fd_sc_hd__diode_2 + PLACED ( 12880 127840 ) S ;
- ANTENNA_input13_A sky130_fd_sc_hd__diode_2 + PLACED ( 10120 141440 ) FN ;
- ANTENNA_input14_A sky130_fd_sc_hd__diode_2 + PLACED ( 13340 138720 ) S ;
- ANTENNA_input15_A sky130_fd_sc_hd__diode_2 + PLACED ( 18860 146880 ) FN ;
- ANTENNA_input16_A sky130_fd_sc_hd__diode_2 + PLACED ( 13340 146880 ) FN ;
- ANTENNA_input17_A sky130_fd_sc_hd__diode_2 + PLACED ( 10120 157760 ) FN ;
- ANTENNA_input18_A sky130_fd_sc_hd__diode_2 + PLACED ( 13340 155040 ) S ;
- ANTENNA_input19_A sky130_fd_sc_hd__diode_2 + PLACED ( 17480 160480 ) S ;
- ANTENNA_input1_A sky130_fd_sc_hd__diode_2 + PLACED ( 12880 84320 ) S ;
- ANTENNA_input20_A sky130_fd_sc_hd__diode_2 + PLACED ( 12420 165920 ) S ;
- ANTENNA_input21_A sky130_fd_sc_hd__diode_2 + PLACED ( 13340 48960 ) FN ;
- ANTENNA_input22_A sky130_fd_sc_hd__diode_2 + PLACED ( 10120 174080 ) FN ;
- ANTENNA_input23_A sky130_fd_sc_hd__diode_2 + PLACED ( 13340 171360 ) S ;
- ANTENNA_input24_A sky130_fd_sc_hd__diode_2 + PLACED ( 13340 57120 ) S ;
- ANTENNA_input25_A sky130_fd_sc_hd__diode_2 + PLACED ( 12880 59840 ) FN ;
- ANTENNA_input26_A sky130_fd_sc_hd__diode_2 + PLACED ( 10120 59840 ) FN ;
- ANTENNA_input27_A sky130_fd_sc_hd__diode_2 + PLACED ( 16100 68000 ) S ;
- ANTENNA_input28_A sky130_fd_sc_hd__diode_2 + PLACED ( 12880 70720 ) FN ;
- ANTENNA_input29_A sky130_fd_sc_hd__diode_2 + PLACED ( 12880 76160 ) FN ;
- ANTENNA_input2_A sky130_fd_sc_hd__diode_2 + PLACED ( 10120 84320 ) S ;
- ANTENNA_input30_A sky130_fd_sc_hd__diode_2 + PLACED ( 10120 76160 ) FN ;
- ANTENNA_input31_A sky130_fd_sc_hd__diode_2 + PLACED ( 13340 24480 ) S ;
- ANTENNA_input32_A sky130_fd_sc_hd__diode_2 + PLACED ( 12880 38080 ) FN ;
- ANTENNA_input33_A sky130_fd_sc_hd__diode_2 + PLACED ( 13340 89760 ) S ;
- ANTENNA_input34_A sky130_fd_sc_hd__diode_2 + PLACED ( 10120 87040 ) FN ;
- ANTENNA_input35_A sky130_fd_sc_hd__diode_2 + PLACED ( 13340 95200 ) S ;
- ANTENNA_input36_A sky130_fd_sc_hd__diode_2 + PLACED ( 16100 100640 ) S ;
- ANTENNA_input37_A sky130_fd_sc_hd__diode_2 + PLACED ( 12880 106080 ) S ;
- ANTENNA_input38_A sky130_fd_sc_hd__diode_2 + PLACED ( 10120 108800 ) FN ;
- ANTENNA_input39_A sky130_fd_sc_hd__diode_2 + PLACED ( 10120 114240 ) FN ;
- ANTENNA_input3_A sky130_fd_sc_hd__diode_2 + PLACED ( 11960 92480 ) FN ;
- ANTENNA_input40_A sky130_fd_sc_hd__diode_2 + PLACED ( 10120 127840 ) S ;
- ANTENNA_input41_A sky130_fd_sc_hd__diode_2 + PLACED ( 12880 125120 ) FN ;
- ANTENNA_input42_A sky130_fd_sc_hd__diode_2 + PLACED ( 15640 127840 ) S ;
- ANTENNA_input43_A sky130_fd_sc_hd__diode_2 + PLACED ( 12880 43520 ) FN ;
- ANTENNA_input44_A sky130_fd_sc_hd__diode_2 + PLACED ( 12880 133280 ) S ;
- ANTENNA_input45_A sky130_fd_sc_hd__diode_2 + PLACED ( 12880 136000 ) FN ;
- ANTENNA_input46_A sky130_fd_sc_hd__diode_2 + PLACED ( 10120 144160 ) S ;
- ANTENNA_input47_A sky130_fd_sc_hd__diode_2 + PLACED ( 12880 144160 ) S ;
- ANTENNA_input48_A sky130_fd_sc_hd__diode_2 + PLACED ( 12880 152320 ) FN ;
- ANTENNA_input49_A sky130_fd_sc_hd__diode_2 + PLACED ( 13340 149600 ) S ;
- ANTENNA_input4_A sky130_fd_sc_hd__diode_2 + PLACED ( 16100 95200 ) S ;
- ANTENNA_input50_A sky130_fd_sc_hd__diode_2 + PLACED ( 12880 157760 ) FN ;
- ANTENNA_input51_A sky130_fd_sc_hd__diode_2 + PLACED ( 10120 168640 ) FN ;
- ANTENNA_input52_A sky130_fd_sc_hd__diode_2 + PLACED ( 15180 165920 ) S ;
- ANTENNA_input53_A sky130_fd_sc_hd__diode_2 + PLACED ( 12880 168640 ) FN ;
- ANTENNA_input54_A sky130_fd_sc_hd__diode_2 + PLACED ( 13340 51680 ) S ;
- ANTENNA_input55_A sky130_fd_sc_hd__diode_2 + PLACED ( 10120 176800 ) S ;
- ANTENNA_input56_A sky130_fd_sc_hd__diode_2 + PLACED ( 6900 179520 ) FN ;
- ANTENNA_input57_A sky130_fd_sc_hd__diode_2 + PLACED ( 12880 54400 ) FN ;
- ANTENNA_input58_A sky130_fd_sc_hd__diode_2 + PLACED ( 13340 62560 ) S ;
- ANTENNA_input59_A sky130_fd_sc_hd__diode_2 + PLACED ( 13340 68000 ) S ;
- ANTENNA_input5_A sky130_fd_sc_hd__diode_2 + PLACED ( 13340 103360 ) FN ;
- ANTENNA_input60_A sky130_fd_sc_hd__diode_2 + PLACED ( 13340 73440 ) S ;
- ANTENNA_input61_A sky130_fd_sc_hd__diode_2 + PLACED ( 10120 70720 ) FN ;
- ANTENNA_input62_A sky130_fd_sc_hd__diode_2 + PLACED ( 13340 81600 ) FN ;
- ANTENNA_input63_A sky130_fd_sc_hd__diode_2 + PLACED ( 10120 78880 ) S ;
- ANTENNA_input64_A sky130_fd_sc_hd__diode_2 + PLACED ( 10120 38080 ) FN ;
- ANTENNA_input65_A sky130_fd_sc_hd__diode_2 + PLACED ( 10120 43520 ) FN ;
- ANTENNA_input66_A sky130_fd_sc_hd__diode_2 + PLACED ( 10120 46240 ) S ;
- ANTENNA_input67_A sky130_fd_sc_hd__diode_2 + PLACED ( 10120 54400 ) FN ;
- ANTENNA_input68_A sky130_fd_sc_hd__diode_2 + PLACED ( 15180 32640 ) FN ;
- ANTENNA_input69_A sky130_fd_sc_hd__diode_2 + PLACED ( 12420 35360 ) S ;
- ANTENNA_input6_A sky130_fd_sc_hd__diode_2 + PLACED ( 10120 106080 ) S ;
- ANTENNA_input7_A sky130_fd_sc_hd__diode_2 + PLACED ( 10120 111520 ) S ;
- ANTENNA_input8_A sky130_fd_sc_hd__diode_2 + PLACED ( 12880 114240 ) FN ;
- ANTENNA_input9_A sky130_fd_sc_hd__diode_2 + PLACED ( 13340 122400 ) S ;
- ANTENNA_output100_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 136000 ) N ;
- ANTENNA_output101_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 138720 ) S ;
- ANTENNA_output102_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 141440 ) N ;
- ANTENNA_output103_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 51680 ) FS ;
- ANTENNA_output105_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 149600 ) S ;
- ANTENNA_output107_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 57120 ) S ;
- ANTENNA_output108_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 59840 ) N ;
- ANTENNA_output109_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 65280 ) N ;
- ANTENNA_output110_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 68000 ) S ;
- ANTENNA_output111_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 70720 ) N ;
- ANTENNA_output112_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 73440 ) S ;
- ANTENNA_output113_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 182240 ) FS ;
- ANTENNA_output70_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 152320 ) FN ;
- ANTENNA_output71_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 155040 ) FS ;
- ANTENNA_output72_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 160480 ) FS ;
- ANTENNA_output73_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 163200 ) FN ;
- ANTENNA_output74_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 165920 ) FS ;
- ANTENNA_output75_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 168640 ) FN ;
- ANTENNA_output76_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 174080 ) FN ;
- ANTENNA_output77_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 176800 ) FS ;
- ANTENNA_output78_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 179520 ) FN ;
- ANTENNA_output79_A sky130_fd_sc_hd__diode_2 + PLACED ( 186760 19040 ) FS ;
- ANTENNA_output80_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 24480 ) S ;
- ANTENNA_output81_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 43520 ) FN ;
- ANTENNA_output82_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 78880 ) S ;
- ANTENNA_output83_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 81600 ) FN ;
- ANTENNA_output85_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 87040 ) N ;
- ANTENNA_output86_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 92480 ) N ;
- ANTENNA_output87_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 95200 ) S ;
- ANTENNA_output89_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 100640 ) S ;
- ANTENNA_output91_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 108800 ) N ;
- ANTENNA_output94_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 114240 ) N ;
- ANTENNA_output96_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 122400 ) S ;
- ANTENNA_output97_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 125120 ) N ;
- ANTENNA_output98_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 127840 ) S ;
- FILLER_0_109 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 55660 10880 ) N ;
- FILLER_0_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 10880 ) N ;
- FILLER_0_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 10880 ) N ;
- FILLER_0_137 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 68540 10880 ) N ;
- FILLER_0_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 10880 ) N ;
- FILLER_0_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 10880 ) N ;
- FILLER_0_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 10880 ) N ;
- FILLER_0_165 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 81420 10880 ) N ;
- FILLER_0_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 10880 ) N ;
- FILLER_0_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 10880 ) N ;
- FILLER_0_193 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 94300 10880 ) N ;
- FILLER_0_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 10880 ) N ;
- FILLER_0_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 10880 ) N ;
- FILLER_0_221 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 107180 10880 ) N ;
- FILLER_0_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 10880 ) N ;
- FILLER_0_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 10880 ) N ;
- FILLER_0_249 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 120060 10880 ) N ;
- FILLER_0_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 10880 ) N ;
- FILLER_0_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 10880 ) N ;
- FILLER_0_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 10880 ) N ;
- FILLER_0_277 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 132940 10880 ) N ;
- FILLER_0_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 10880 ) N ;
- FILLER_0_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 10880 ) N ;
- FILLER_0_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 10880 ) N ;
- FILLER_0_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 10880 ) N ;
- FILLER_0_305 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 145820 10880 ) N ;
- FILLER_0_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 10880 ) N ;
- FILLER_0_321 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 10880 ) N ;
- FILLER_0_333 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 158700 10880 ) N ;
- FILLER_0_337 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 10880 ) N ;
- FILLER_0_349 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 10880 ) N ;
- FILLER_0_361 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 171580 10880 ) N ;
- FILLER_0_365 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 10880 ) N ;
- FILLER_0_377 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 10880 ) N ;
- FILLER_0_389 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 184460 10880 ) N ;
- FILLER_0_393 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 10880 ) N ;
- FILLER_0_405 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 191820 10880 ) N ;
- FILLER_0_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 10880 ) N ;
- FILLER_0_53 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 29900 10880 ) N ;
- FILLER_0_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 10880 ) N ;
- FILLER_0_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 10880 ) N ;
- FILLER_0_81 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 42780 10880 ) N ;
- FILLER_0_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 10880 ) N ;
- FILLER_0_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 10880 ) N ;
- FILLER_10_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 38080 ) N ;
- FILLER_10_12 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11040 38080 ) N ;
- FILLER_10_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 38080 ) N ;
- FILLER_10_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 38080 ) N ;
- FILLER_10_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 38080 ) N ;
- FILLER_10_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 38080 ) N ;
- FILLER_10_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 38080 ) N ;
- FILLER_10_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 38080 ) N ;
- FILLER_10_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 38080 ) N ;
- FILLER_10_18 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 13800 38080 ) N ;
- FILLER_10_189 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 92460 38080 ) N ;
- FILLER_10_192 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93840 38080 ) N ;
- FILLER_10_197 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 96140 38080 ) N ;
- FILLER_10_206 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 100280 38080 ) N ;
- FILLER_10_215 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 104420 38080 ) N ;
- FILLER_10_222 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107640 38080 ) N ;
- FILLER_10_234 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 113160 38080 ) N ;
- FILLER_10_246 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118680 38080 ) N ;
- FILLER_10_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 38080 ) N ;
- FILLER_10_26 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 17480 38080 ) N ;
- FILLER_10_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 38080 ) N ;
- FILLER_10_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 38080 ) N ;
- FILLER_10_289 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 38080 ) N ;
- FILLER_10_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 38080 ) N ;
- FILLER_10_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 38080 ) N ;
- FILLER_10_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 38080 ) N ;
- FILLER_10_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 38080 ) N ;
- FILLER_10_321 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 38080 ) N ;
- FILLER_10_333 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 38080 ) N ;
- FILLER_10_345 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 38080 ) N ;
- FILLER_10_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 38080 ) N ;
- FILLER_10_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 38080 ) N ;
- FILLER_10_365 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 38080 ) N ;
- FILLER_10_377 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 38080 ) N ;
- FILLER_10_389 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 184460 38080 ) N ;
- FILLER_10_397 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 188140 38080 ) N ;
- FILLER_10_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 38080 ) N ;
- FILLER_10_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 38080 ) N ;
- FILLER_10_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 38080 ) N ;
- FILLER_10_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 38080 ) N ;
- FILLER_10_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 38080 ) N ;
- FILLER_10_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 38080 ) N ;
- FILLER_10_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 38080 ) N ;
- FILLER_10_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 38080 ) N ;
- FILLER_10_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 38080 ) N ;
- FILLER_11_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 40800 ) FS ;
- FILLER_11_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 40800 ) FS ;
- FILLER_11_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 40800 ) FS ;
- FILLER_11_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 40800 ) FS ;
- FILLER_11_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 40800 ) FS ;
- FILLER_11_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 40800 ) FS ;
- FILLER_11_16 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12880 40800 ) FS ;
- FILLER_11_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 40800 ) FS ;
- FILLER_11_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 40800 ) FS ;
- FILLER_11_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 40800 ) FS ;
- FILLER_11_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 40800 ) FS ;
- FILLER_11_193 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 94300 40800 ) FS ;
- FILLER_11_197 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 96140 40800 ) FS ;
- FILLER_11_206 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 100280 40800 ) FS ;
- FILLER_11_215 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 104420 40800 ) FS ;
- FILLER_11_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 40800 ) FS ;
- FILLER_11_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 40800 ) FS ;
- FILLER_11_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 40800 ) FS ;
- FILLER_11_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 40800 ) FS ;
- FILLER_11_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 40800 ) FS ;
- FILLER_11_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 40800 ) FS ;
- FILLER_11_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 40800 ) FS ;
- FILLER_11_28 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18400 40800 ) FS ;
- FILLER_11_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 40800 ) FS ;
- FILLER_11_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 40800 ) FS ;
- FILLER_11_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 40800 ) FS ;
- FILLER_11_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 40800 ) FS ;
- FILLER_11_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 40800 ) FS ;
- FILLER_11_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 40800 ) FS ;
- FILLER_11_337 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 40800 ) FS ;
- FILLER_11_349 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 40800 ) FS ;
- FILLER_11_361 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 40800 ) FS ;
- FILLER_11_373 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 40800 ) FS ;
- FILLER_11_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 40800 ) FS ;
- FILLER_11_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 40800 ) FS ;
- FILLER_11_393 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 186300 40800 ) FS ;
- FILLER_11_40 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23920 40800 ) FS ;
- FILLER_11_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 40800 ) FS ;
- FILLER_11_52 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 29440 40800 ) FS ;
- FILLER_11_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 40800 ) FS ;
- FILLER_11_6 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 8280 40800 ) FS ;
- FILLER_11_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 40800 ) FS ;
- FILLER_11_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 40800 ) FS ;
- FILLER_11_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 40800 ) FS ;
- FILLER_12_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 43520 ) N ;
- FILLER_12_12 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11040 43520 ) N ;
- FILLER_12_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 43520 ) N ;
- FILLER_12_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 43520 ) N ;
- FILLER_12_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 43520 ) N ;
- FILLER_12_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 43520 ) N ;
- FILLER_12_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 43520 ) N ;
- FILLER_12_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 43520 ) N ;
- FILLER_12_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 43520 ) N ;
- FILLER_12_18 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 13800 43520 ) N ;
- FILLER_12_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 43520 ) N ;
- FILLER_12_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 43520 ) N ;
- FILLER_12_199 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 97060 43520 ) N ;
- FILLER_12_207 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 100740 43520 ) N ;
- FILLER_12_210 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 102120 43520 ) N ;
- FILLER_12_216 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 104880 43520 ) N ;
- FILLER_12_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 43520 ) N ;
- FILLER_12_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 43520 ) N ;
- FILLER_12_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 43520 ) N ;
- FILLER_12_26 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 17480 43520 ) N ;
- FILLER_12_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 43520 ) N ;
- FILLER_12_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 43520 ) N ;
- FILLER_12_289 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 43520 ) N ;
- FILLER_12_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 43520 ) N ;
- FILLER_12_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 43520 ) N ;
- FILLER_12_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 43520 ) N ;
- FILLER_12_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 43520 ) N ;
- FILLER_12_321 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 43520 ) N ;
- FILLER_12_333 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 43520 ) N ;
- FILLER_12_345 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 43520 ) N ;
- FILLER_12_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 43520 ) N ;
- FILLER_12_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 43520 ) N ;
- FILLER_12_365 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 43520 ) N ;
- FILLER_12_377 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 43520 ) N ;
- FILLER_12_389 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 184460 43520 ) N ;
- FILLER_12_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 43520 ) N ;
- FILLER_12_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 43520 ) N ;
- FILLER_12_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 43520 ) N ;
- FILLER_12_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 43520 ) N ;
- FILLER_12_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 43520 ) N ;
- FILLER_12_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 43520 ) N ;
- FILLER_12_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 43520 ) N ;
- FILLER_12_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 43520 ) N ;
- FILLER_12_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 43520 ) N ;
- FILLER_12_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 43520 ) N ;
- FILLER_13_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 46240 ) FS ;
- FILLER_13_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 46240 ) FS ;
- FILLER_13_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 46240 ) FS ;
- FILLER_13_12 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 11040 46240 ) FS ;
- FILLER_13_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 46240 ) FS ;
- FILLER_13_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 46240 ) FS ;
- FILLER_13_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 46240 ) FS ;
- FILLER_13_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 46240 ) FS ;
- FILLER_13_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 46240 ) FS ;
- FILLER_13_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 46240 ) FS ;
- FILLER_13_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 46240 ) FS ;
- FILLER_13_193 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 94300 46240 ) FS ;
- FILLER_13_201 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 97980 46240 ) FS ;
- FILLER_13_205 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 99820 46240 ) FS ;
- FILLER_13_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 46240 ) FS ;
- FILLER_13_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 46240 ) FS ;
- FILLER_13_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 46240 ) FS ;
- FILLER_13_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 46240 ) FS ;
- FILLER_13_24 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 16560 46240 ) FS ;
- FILLER_13_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 46240 ) FS ;
- FILLER_13_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 46240 ) FS ;
- FILLER_13_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 46240 ) FS ;
- FILLER_13_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 46240 ) FS ;
- FILLER_13_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 46240 ) FS ;
- FILLER_13_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 46240 ) FS ;
- FILLER_13_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 46240 ) FS ;
- FILLER_13_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 46240 ) FS ;
- FILLER_13_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 46240 ) FS ;
- FILLER_13_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 46240 ) FS ;
- FILLER_13_337 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 46240 ) FS ;
- FILLER_13_349 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 46240 ) FS ;
- FILLER_13_36 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 22080 46240 ) FS ;
- FILLER_13_361 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 46240 ) FS ;
- FILLER_13_373 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 46240 ) FS ;
- FILLER_13_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 46240 ) FS ;
- FILLER_13_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 46240 ) FS ;
- FILLER_13_393 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 186300 46240 ) FS ;
- FILLER_13_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 46240 ) FS ;
- FILLER_13_48 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 27600 46240 ) FS ;
- FILLER_13_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 46240 ) FS ;
- FILLER_13_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 46240 ) FS ;
- FILLER_13_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 46240 ) FS ;
- FILLER_13_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 46240 ) FS ;
- FILLER_13_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 46240 ) FS ;
- FILLER_14_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 48960 ) N ;
- FILLER_14_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 48960 ) N ;
- FILLER_14_13 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11500 48960 ) N ;
- FILLER_14_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 48960 ) N ;
- FILLER_14_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 48960 ) N ;
- FILLER_14_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 48960 ) N ;
- FILLER_14_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 48960 ) N ;
- FILLER_14_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 48960 ) N ;
- FILLER_14_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 48960 ) N ;
- FILLER_14_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 48960 ) N ;
- FILLER_14_19 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14260 48960 ) N ;
- FILLER_14_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 48960 ) N ;
- FILLER_14_197 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 96140 48960 ) N ;
- FILLER_14_201 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 97980 48960 ) N ;
- FILLER_14_205 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 99820 48960 ) N ;
- FILLER_14_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 48960 ) N ;
- FILLER_14_223 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 108100 48960 ) N ;
- FILLER_14_235 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 113620 48960 ) N ;
- FILLER_14_247 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 119140 48960 ) N ;
- FILLER_14_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 48960 ) N ;
- FILLER_14_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 48960 ) N ;
- FILLER_14_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 48960 ) N ;
- FILLER_14_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 48960 ) N ;
- FILLER_14_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 48960 ) N ;
- FILLER_14_289 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 48960 ) N ;
- FILLER_14_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 48960 ) N ;
- FILLER_14_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 48960 ) N ;
- FILLER_14_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 48960 ) N ;
- FILLER_14_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 48960 ) N ;
- FILLER_14_321 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 48960 ) N ;
- FILLER_14_333 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 48960 ) N ;
- FILLER_14_345 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 48960 ) N ;
- FILLER_14_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 48960 ) N ;
- FILLER_14_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 48960 ) N ;
- FILLER_14_365 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 48960 ) N ;
- FILLER_14_377 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 48960 ) N ;
- FILLER_14_389 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 48960 ) N ;
- FILLER_14_401 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 189980 48960 ) N ;
- FILLER_14_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 48960 ) N ;
- FILLER_14_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 48960 ) N ;
- FILLER_14_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 48960 ) N ;
- FILLER_14_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 48960 ) N ;
- FILLER_14_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 48960 ) N ;
- FILLER_14_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 48960 ) N ;
- FILLER_14_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 48960 ) N ;
- FILLER_15_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 51680 ) FS ;
- FILLER_15_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 51680 ) FS ;
- FILLER_15_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 51680 ) FS ;
- FILLER_15_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 51680 ) FS ;
- FILLER_15_13 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11500 51680 ) FS ;
- FILLER_15_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 51680 ) FS ;
- FILLER_15_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 51680 ) FS ;
- FILLER_15_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 51680 ) FS ;
- FILLER_15_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 51680 ) FS ;
- FILLER_15_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 51680 ) FS ;
- FILLER_15_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 51680 ) FS ;
- FILLER_15_19 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14260 51680 ) FS ;
- FILLER_15_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 51680 ) FS ;
- FILLER_15_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 51680 ) FS ;
- FILLER_15_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 51680 ) FS ;
- FILLER_15_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 51680 ) FS ;
- FILLER_15_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 51680 ) FS ;
- FILLER_15_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 51680 ) FS ;
- FILLER_15_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 51680 ) FS ;
- FILLER_15_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 51680 ) FS ;
- FILLER_15_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 51680 ) FS ;
- FILLER_15_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 51680 ) FS ;
- FILLER_15_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 51680 ) FS ;
- FILLER_15_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 51680 ) FS ;
- FILLER_15_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 51680 ) FS ;
- FILLER_15_31 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 19780 51680 ) FS ;
- FILLER_15_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 51680 ) FS ;
- FILLER_15_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 51680 ) FS ;
- FILLER_15_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 51680 ) FS ;
- FILLER_15_337 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 51680 ) FS ;
- FILLER_15_349 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 51680 ) FS ;
- FILLER_15_361 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 51680 ) FS ;
- FILLER_15_373 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 51680 ) FS ;
- FILLER_15_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 51680 ) FS ;
- FILLER_15_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 51680 ) FS ;
- FILLER_15_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 51680 ) FS ;
- FILLER_15_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 51680 ) FS ;
- FILLER_15_43 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25300 51680 ) FS ;
- FILLER_15_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 51680 ) FS ;
- FILLER_15_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 51680 ) FS ;
- FILLER_15_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 51680 ) FS ;
- FILLER_15_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 51680 ) FS ;
- FILLER_15_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 51680 ) FS ;
- FILLER_15_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 51680 ) FS ;
- FILLER_16_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 54400 ) N ;
- FILLER_16_12 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11040 54400 ) N ;
- FILLER_16_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 54400 ) N ;
- FILLER_16_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 54400 ) N ;
- FILLER_16_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 54400 ) N ;
- FILLER_16_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 54400 ) N ;
- FILLER_16_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 54400 ) N ;
- FILLER_16_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 54400 ) N ;
- FILLER_16_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 54400 ) N ;
- FILLER_16_18 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 13800 54400 ) N ;
- FILLER_16_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 54400 ) N ;
- FILLER_16_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 54400 ) N ;
- FILLER_16_197 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 96140 54400 ) N ;
- FILLER_16_206 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 100280 54400 ) N ;
- FILLER_16_212 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 103040 54400 ) N ;
- FILLER_16_224 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 108560 54400 ) N ;
- FILLER_16_236 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114080 54400 ) N ;
- FILLER_16_248 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 119600 54400 ) N ;
- FILLER_16_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 54400 ) N ;
- FILLER_16_26 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 17480 54400 ) N ;
- FILLER_16_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 54400 ) N ;
- FILLER_16_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 54400 ) N ;
- FILLER_16_289 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 54400 ) N ;
- FILLER_16_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 54400 ) N ;
- FILLER_16_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 54400 ) N ;
- FILLER_16_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 54400 ) N ;
- FILLER_16_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 54400 ) N ;
- FILLER_16_321 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 54400 ) N ;
- FILLER_16_333 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 54400 ) N ;
- FILLER_16_345 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 54400 ) N ;
- FILLER_16_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 54400 ) N ;
- FILLER_16_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 54400 ) N ;
- FILLER_16_365 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 54400 ) N ;
- FILLER_16_377 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 54400 ) N ;
- FILLER_16_389 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 184460 54400 ) N ;
- FILLER_16_397 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 188140 54400 ) N ;
- FILLER_16_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 54400 ) N ;
- FILLER_16_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 54400 ) N ;
- FILLER_16_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 54400 ) N ;
- FILLER_16_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 54400 ) N ;
- FILLER_16_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 54400 ) N ;
- FILLER_16_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 54400 ) N ;
- FILLER_16_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 54400 ) N ;
- FILLER_16_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 54400 ) N ;
- FILLER_16_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 54400 ) N ;
- FILLER_17_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 57120 ) FS ;
- FILLER_17_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 57120 ) FS ;
- FILLER_17_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 57120 ) FS ;
- FILLER_17_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 57120 ) FS ;
- FILLER_17_13 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11500 57120 ) FS ;
- FILLER_17_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 57120 ) FS ;
- FILLER_17_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 57120 ) FS ;
- FILLER_17_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 57120 ) FS ;
- FILLER_17_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 57120 ) FS ;
- FILLER_17_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 57120 ) FS ;
- FILLER_17_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 57120 ) FS ;
- FILLER_17_19 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14260 57120 ) FS ;
- FILLER_17_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 57120 ) FS ;
- FILLER_17_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 57120 ) FS ;
- FILLER_17_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 57120 ) FS ;
- FILLER_17_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 57120 ) FS ;
- FILLER_17_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 57120 ) FS ;
- FILLER_17_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 57120 ) FS ;
- FILLER_17_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 57120 ) FS ;
- FILLER_17_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 57120 ) FS ;
- FILLER_17_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 57120 ) FS ;
- FILLER_17_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 57120 ) FS ;
- FILLER_17_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 57120 ) FS ;
- FILLER_17_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 57120 ) FS ;
- FILLER_17_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 57120 ) FS ;
- FILLER_17_31 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 19780 57120 ) FS ;
- FILLER_17_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 57120 ) FS ;
- FILLER_17_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 57120 ) FS ;
- FILLER_17_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 57120 ) FS ;
- FILLER_17_337 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 57120 ) FS ;
- FILLER_17_349 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 57120 ) FS ;
- FILLER_17_361 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 57120 ) FS ;
- FILLER_17_373 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 57120 ) FS ;
- FILLER_17_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 57120 ) FS ;
- FILLER_17_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 57120 ) FS ;
- FILLER_17_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 57120 ) FS ;
- FILLER_17_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 57120 ) FS ;
- FILLER_17_43 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25300 57120 ) FS ;
- FILLER_17_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 57120 ) FS ;
- FILLER_17_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 57120 ) FS ;
- FILLER_17_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 57120 ) FS ;
- FILLER_17_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 57120 ) FS ;
- FILLER_17_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 57120 ) FS ;
- FILLER_18_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 59840 ) N ;
- FILLER_18_12 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11040 59840 ) N ;
- FILLER_18_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 59840 ) N ;
- FILLER_18_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 59840 ) N ;
- FILLER_18_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 59840 ) N ;
- FILLER_18_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 59840 ) N ;
- FILLER_18_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 59840 ) N ;
- FILLER_18_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 59840 ) N ;
- FILLER_18_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 59840 ) N ;
- FILLER_18_18 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 13800 59840 ) N ;
- FILLER_18_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 59840 ) N ;
- FILLER_18_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 59840 ) N ;
- FILLER_18_197 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 96140 59840 ) N ;
- FILLER_18_206 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 100280 59840 ) N ;
- FILLER_18_212 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 103040 59840 ) N ;
- FILLER_18_224 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 108560 59840 ) N ;
- FILLER_18_236 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114080 59840 ) N ;
- FILLER_18_248 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 119600 59840 ) N ;
- FILLER_18_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 59840 ) N ;
- FILLER_18_26 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 17480 59840 ) N ;
- FILLER_18_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 59840 ) N ;
- FILLER_18_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 59840 ) N ;
- FILLER_18_289 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 59840 ) N ;
- FILLER_18_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 59840 ) N ;
- FILLER_18_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 59840 ) N ;
- FILLER_18_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 59840 ) N ;
- FILLER_18_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 59840 ) N ;
- FILLER_18_321 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 59840 ) N ;
- FILLER_18_333 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 59840 ) N ;
- FILLER_18_345 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 59840 ) N ;
- FILLER_18_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 59840 ) N ;
- FILLER_18_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 59840 ) N ;
- FILLER_18_365 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 59840 ) N ;
- FILLER_18_377 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 59840 ) N ;
- FILLER_18_389 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 184460 59840 ) N ;
- FILLER_18_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 59840 ) N ;
- FILLER_18_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 59840 ) N ;
- FILLER_18_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 59840 ) N ;
- FILLER_18_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 59840 ) N ;
- FILLER_18_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 59840 ) N ;
- FILLER_18_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 59840 ) N ;
- FILLER_18_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 59840 ) N ;
- FILLER_18_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 59840 ) N ;
- FILLER_18_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 59840 ) N ;
- FILLER_18_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 59840 ) N ;
- FILLER_19_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 62560 ) FS ;
- FILLER_19_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 62560 ) FS ;
- FILLER_19_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 62560 ) FS ;
- FILLER_19_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 62560 ) FS ;
- FILLER_19_13 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11500 62560 ) FS ;
- FILLER_19_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 62560 ) FS ;
- FILLER_19_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 62560 ) FS ;
- FILLER_19_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 62560 ) FS ;
- FILLER_19_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 62560 ) FS ;
- FILLER_19_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 62560 ) FS ;
- FILLER_19_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 62560 ) FS ;
- FILLER_19_19 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14260 62560 ) FS ;
- FILLER_19_193 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 94300 62560 ) FS ;
- FILLER_19_201 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 97980 62560 ) FS ;
- FILLER_19_206 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 100280 62560 ) FS ;
- FILLER_19_212 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 103040 62560 ) FS ;
- FILLER_19_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 62560 ) FS ;
- FILLER_19_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 62560 ) FS ;
- FILLER_19_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 62560 ) FS ;
- FILLER_19_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 62560 ) FS ;
- FILLER_19_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 62560 ) FS ;
- FILLER_19_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 62560 ) FS ;
- FILLER_19_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 62560 ) FS ;
- FILLER_19_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 62560 ) FS ;
- FILLER_19_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 62560 ) FS ;
- FILLER_19_31 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 19780 62560 ) FS ;
- FILLER_19_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 62560 ) FS ;
- FILLER_19_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 62560 ) FS ;
- FILLER_19_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 62560 ) FS ;
- FILLER_19_337 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 62560 ) FS ;
- FILLER_19_349 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 62560 ) FS ;
- FILLER_19_361 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 62560 ) FS ;
- FILLER_19_373 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 62560 ) FS ;
- FILLER_19_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 62560 ) FS ;
- FILLER_19_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 62560 ) FS ;
- FILLER_19_393 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 62560 ) FS ;
- FILLER_19_405 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 191820 62560 ) FS ;
- FILLER_19_43 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25300 62560 ) FS ;
- FILLER_19_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 62560 ) FS ;
- FILLER_19_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 62560 ) FS ;
- FILLER_19_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 62560 ) FS ;
- FILLER_19_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 62560 ) FS ;
- FILLER_19_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 62560 ) FS ;
- FILLER_1_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 13600 ) FS ;
- FILLER_1_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 13600 ) FS ;
- FILLER_1_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 13600 ) FS ;
- FILLER_1_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 13600 ) FS ;
- FILLER_1_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 13600 ) FS ;
- FILLER_1_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 13600 ) FS ;
- FILLER_1_15 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 12420 13600 ) FS ;
- FILLER_1_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 13600 ) FS ;
- FILLER_1_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 13600 ) FS ;
- FILLER_1_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 13600 ) FS ;
- FILLER_1_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 13600 ) FS ;
- FILLER_1_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 13600 ) FS ;
- FILLER_1_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 13600 ) FS ;
- FILLER_1_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 13600 ) FS ;
- FILLER_1_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 13600 ) FS ;
- FILLER_1_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 13600 ) FS ;
- FILLER_1_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 13600 ) FS ;
- FILLER_1_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 13600 ) FS ;
- FILLER_1_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 13600 ) FS ;
- FILLER_1_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 13600 ) FS ;
- FILLER_1_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 13600 ) FS ;
- FILLER_1_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 13600 ) FS ;
- FILLER_1_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 13600 ) FS ;
- FILLER_1_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 13600 ) FS ;
- FILLER_1_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 13600 ) FS ;
- FILLER_1_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 13600 ) FS ;
- FILLER_1_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 13600 ) FS ;
- FILLER_1_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 13600 ) FS ;
- FILLER_1_337 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 13600 ) FS ;
- FILLER_1_349 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 13600 ) FS ;
- FILLER_1_36 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 22080 13600 ) FS ;
- FILLER_1_361 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 13600 ) FS ;
- FILLER_1_373 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 13600 ) FS ;
- FILLER_1_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 13600 ) FS ;
- FILLER_1_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 13600 ) FS ;
- FILLER_1_393 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 13600 ) FS ;
- FILLER_1_405 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 191820 13600 ) FS ;
- FILLER_1_48 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 27600 13600 ) FS ;
- FILLER_1_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 13600 ) FS ;
- FILLER_1_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 13600 ) FS ;
- FILLER_1_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 13600 ) FS ;
- FILLER_1_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 13600 ) FS ;
- FILLER_20_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 65280 ) N ;
- FILLER_20_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 65280 ) N ;
- FILLER_20_13 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11500 65280 ) N ;
- FILLER_20_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 65280 ) N ;
- FILLER_20_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 65280 ) N ;
- FILLER_20_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 65280 ) N ;
- FILLER_20_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 65280 ) N ;
- FILLER_20_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 65280 ) N ;
- FILLER_20_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 65280 ) N ;
- FILLER_20_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 65280 ) N ;
- FILLER_20_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 65280 ) N ;
- FILLER_20_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 65280 ) N ;
- FILLER_20_20 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14720 65280 ) N ;
- FILLER_20_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 65280 ) N ;
- FILLER_20_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 65280 ) N ;
- FILLER_20_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 65280 ) N ;
- FILLER_20_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 65280 ) N ;
- FILLER_20_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 65280 ) N ;
- FILLER_20_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 65280 ) N ;
- FILLER_20_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 65280 ) N ;
- FILLER_20_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 65280 ) N ;
- FILLER_20_289 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 65280 ) N ;
- FILLER_20_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 65280 ) N ;
- FILLER_20_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 65280 ) N ;
- FILLER_20_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 65280 ) N ;
- FILLER_20_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 65280 ) N ;
- FILLER_20_321 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 65280 ) N ;
- FILLER_20_333 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 65280 ) N ;
- FILLER_20_345 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 65280 ) N ;
- FILLER_20_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 65280 ) N ;
- FILLER_20_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 65280 ) N ;
- FILLER_20_365 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 65280 ) N ;
- FILLER_20_377 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 65280 ) N ;
- FILLER_20_389 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 184460 65280 ) N ;
- FILLER_20_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 65280 ) N ;
- FILLER_20_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 65280 ) N ;
- FILLER_20_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 65280 ) N ;
- FILLER_20_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 65280 ) N ;
- FILLER_20_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 65280 ) N ;
- FILLER_20_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 65280 ) N ;
- FILLER_20_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 65280 ) N ;
- FILLER_20_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 65280 ) N ;
- FILLER_20_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 65280 ) N ;
- FILLER_21_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 68000 ) FS ;
- FILLER_21_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 68000 ) FS ;
- FILLER_21_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 68000 ) FS ;
- FILLER_21_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 68000 ) FS ;
- FILLER_21_13 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11500 68000 ) FS ;
- FILLER_21_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 68000 ) FS ;
- FILLER_21_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 68000 ) FS ;
- FILLER_21_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 68000 ) FS ;
- FILLER_21_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 68000 ) FS ;
- FILLER_21_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 68000 ) FS ;
- FILLER_21_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 68000 ) FS ;
- FILLER_21_19 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 14260 68000 ) FS ;
- FILLER_21_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 68000 ) FS ;
- FILLER_21_208 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 101200 68000 ) FS ;
- FILLER_21_214 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 103960 68000 ) FS ;
- FILLER_21_222 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 107640 68000 ) FS ;
- FILLER_21_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 68000 ) FS ;
- FILLER_21_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 68000 ) FS ;
- FILLER_21_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 68000 ) FS ;
- FILLER_21_25 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17020 68000 ) FS ;
- FILLER_21_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 68000 ) FS ;
- FILLER_21_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 68000 ) FS ;
- FILLER_21_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 68000 ) FS ;
- FILLER_21_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 68000 ) FS ;
- FILLER_21_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 68000 ) FS ;
- FILLER_21_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 68000 ) FS ;
- FILLER_21_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 68000 ) FS ;
- FILLER_21_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 68000 ) FS ;
- FILLER_21_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 68000 ) FS ;
- FILLER_21_337 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 68000 ) FS ;
- FILLER_21_349 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 68000 ) FS ;
- FILLER_21_361 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 68000 ) FS ;
- FILLER_21_37 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 22540 68000 ) FS ;
- FILLER_21_373 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 68000 ) FS ;
- FILLER_21_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 68000 ) FS ;
- FILLER_21_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 68000 ) FS ;
- FILLER_21_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 68000 ) FS ;
- FILLER_21_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 68000 ) FS ;
- FILLER_21_49 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 28060 68000 ) FS ;
- FILLER_21_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 68000 ) FS ;
- FILLER_21_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 68000 ) FS ;
- FILLER_21_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 68000 ) FS ;
- FILLER_21_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 68000 ) FS ;
- FILLER_21_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 68000 ) FS ;
- FILLER_21_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 68000 ) FS ;
- FILLER_22_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 70720 ) N ;
- FILLER_22_12 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11040 70720 ) N ;
- FILLER_22_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 70720 ) N ;
- FILLER_22_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 70720 ) N ;
- FILLER_22_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 70720 ) N ;
- FILLER_22_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 70720 ) N ;
- FILLER_22_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 70720 ) N ;
- FILLER_22_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 70720 ) N ;
- FILLER_22_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 70720 ) N ;
- FILLER_22_18 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 13800 70720 ) N ;
- FILLER_22_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 70720 ) N ;
- FILLER_22_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 70720 ) N ;
- FILLER_22_197 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 96140 70720 ) N ;
- FILLER_22_208 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 101200 70720 ) N ;
- FILLER_22_214 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 103960 70720 ) N ;
- FILLER_22_226 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109480 70720 ) N ;
- FILLER_22_238 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115000 70720 ) N ;
- FILLER_22_250 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 120520 70720 ) N ;
- FILLER_22_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 70720 ) N ;
- FILLER_22_26 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 17480 70720 ) N ;
- FILLER_22_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 70720 ) N ;
- FILLER_22_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 70720 ) N ;
- FILLER_22_289 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 70720 ) N ;
- FILLER_22_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 70720 ) N ;
- FILLER_22_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 70720 ) N ;
- FILLER_22_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 70720 ) N ;
- FILLER_22_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 70720 ) N ;
- FILLER_22_321 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 70720 ) N ;
- FILLER_22_333 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 70720 ) N ;
- FILLER_22_345 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 70720 ) N ;
- FILLER_22_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 70720 ) N ;
- FILLER_22_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 70720 ) N ;
- FILLER_22_365 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 70720 ) N ;
- FILLER_22_377 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 70720 ) N ;
- FILLER_22_389 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 184460 70720 ) N ;
- FILLER_22_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 70720 ) N ;
- FILLER_22_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 70720 ) N ;
- FILLER_22_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 70720 ) N ;
- FILLER_22_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 70720 ) N ;
- FILLER_22_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 70720 ) N ;
- FILLER_22_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 70720 ) N ;
- FILLER_22_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 70720 ) N ;
- FILLER_22_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 70720 ) N ;
- FILLER_22_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 70720 ) N ;
- FILLER_22_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 70720 ) N ;
- FILLER_23_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 73440 ) FS ;
- FILLER_23_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 73440 ) FS ;
- FILLER_23_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 73440 ) FS ;
- FILLER_23_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 73440 ) FS ;
- FILLER_23_13 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11500 73440 ) FS ;
- FILLER_23_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 73440 ) FS ;
- FILLER_23_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 73440 ) FS ;
- FILLER_23_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 73440 ) FS ;
- FILLER_23_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 73440 ) FS ;
- FILLER_23_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 73440 ) FS ;
- FILLER_23_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 73440 ) FS ;
- FILLER_23_19 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14260 73440 ) FS ;
- FILLER_23_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 73440 ) FS ;
- FILLER_23_208 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 101200 73440 ) FS ;
- FILLER_23_214 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 103960 73440 ) FS ;
- FILLER_23_222 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 107640 73440 ) FS ;
- FILLER_23_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 73440 ) FS ;
- FILLER_23_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 73440 ) FS ;
- FILLER_23_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 73440 ) FS ;
- FILLER_23_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 73440 ) FS ;
- FILLER_23_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 73440 ) FS ;
- FILLER_23_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 73440 ) FS ;
- FILLER_23_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 73440 ) FS ;
- FILLER_23_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 73440 ) FS ;
- FILLER_23_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 73440 ) FS ;
- FILLER_23_31 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 19780 73440 ) FS ;
- FILLER_23_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 73440 ) FS ;
- FILLER_23_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 73440 ) FS ;
- FILLER_23_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 73440 ) FS ;
- FILLER_23_337 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 73440 ) FS ;
- FILLER_23_349 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 73440 ) FS ;
- FILLER_23_361 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 73440 ) FS ;
- FILLER_23_373 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 73440 ) FS ;
- FILLER_23_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 73440 ) FS ;
- FILLER_23_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 73440 ) FS ;
- FILLER_23_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 73440 ) FS ;
- FILLER_23_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 73440 ) FS ;
- FILLER_23_43 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25300 73440 ) FS ;
- FILLER_23_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 73440 ) FS ;
- FILLER_23_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 73440 ) FS ;
- FILLER_23_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 73440 ) FS ;
- FILLER_23_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 73440 ) FS ;
- FILLER_23_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 73440 ) FS ;
- FILLER_23_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 73440 ) FS ;
- FILLER_24_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 76160 ) N ;
- FILLER_24_12 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11040 76160 ) N ;
- FILLER_24_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 76160 ) N ;
- FILLER_24_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 76160 ) N ;
- FILLER_24_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 76160 ) N ;
- FILLER_24_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 76160 ) N ;
- FILLER_24_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 76160 ) N ;
- FILLER_24_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 76160 ) N ;
- FILLER_24_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 76160 ) N ;
- FILLER_24_18 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 13800 76160 ) N ;
- FILLER_24_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 76160 ) N ;
- FILLER_24_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 76160 ) N ;
- FILLER_24_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 76160 ) N ;
- FILLER_24_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 76160 ) N ;
- FILLER_24_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 76160 ) N ;
- FILLER_24_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 76160 ) N ;
- FILLER_24_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 76160 ) N ;
- FILLER_24_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 76160 ) N ;
- FILLER_24_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 76160 ) N ;
- FILLER_24_26 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 17480 76160 ) N ;
- FILLER_24_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 76160 ) N ;
- FILLER_24_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 76160 ) N ;
- FILLER_24_289 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 76160 ) N ;
- FILLER_24_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 76160 ) N ;
- FILLER_24_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 76160 ) N ;
- FILLER_24_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 76160 ) N ;
- FILLER_24_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 76160 ) N ;
- FILLER_24_321 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 76160 ) N ;
- FILLER_24_333 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 76160 ) N ;
- FILLER_24_345 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 76160 ) N ;
- FILLER_24_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 76160 ) N ;
- FILLER_24_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 76160 ) N ;
- FILLER_24_365 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 76160 ) N ;
- FILLER_24_377 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 76160 ) N ;
- FILLER_24_389 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 76160 ) N ;
- FILLER_24_401 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 189980 76160 ) N ;
- FILLER_24_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 76160 ) N ;
- FILLER_24_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 76160 ) N ;
- FILLER_24_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 76160 ) N ;
- FILLER_24_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 76160 ) N ;
- FILLER_24_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 76160 ) N ;
- FILLER_24_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 76160 ) N ;
- FILLER_24_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 76160 ) N ;
- FILLER_24_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 76160 ) N ;
- FILLER_25_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 78880 ) FS ;
- FILLER_25_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 78880 ) FS ;
- FILLER_25_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 78880 ) FS ;
- FILLER_25_12 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 11040 78880 ) FS ;
- FILLER_25_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 78880 ) FS ;
- FILLER_25_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 78880 ) FS ;
- FILLER_25_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 78880 ) FS ;
- FILLER_25_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 78880 ) FS ;
- FILLER_25_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 78880 ) FS ;
- FILLER_25_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 78880 ) FS ;
- FILLER_25_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 78880 ) FS ;
- FILLER_25_193 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 94300 78880 ) FS ;
- FILLER_25_199 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 97060 78880 ) FS ;
- FILLER_25_206 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 100280 78880 ) FS ;
- FILLER_25_212 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 103040 78880 ) FS ;
- FILLER_25_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 78880 ) FS ;
- FILLER_25_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 78880 ) FS ;
- FILLER_25_24 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 16560 78880 ) FS ;
- FILLER_25_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 78880 ) FS ;
- FILLER_25_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 78880 ) FS ;
- FILLER_25_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 78880 ) FS ;
- FILLER_25_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 78880 ) FS ;
- FILLER_25_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 78880 ) FS ;
- FILLER_25_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 78880 ) FS ;
- FILLER_25_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 78880 ) FS ;
- FILLER_25_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 78880 ) FS ;
- FILLER_25_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 78880 ) FS ;
- FILLER_25_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 78880 ) FS ;
- FILLER_25_337 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 78880 ) FS ;
- FILLER_25_349 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 78880 ) FS ;
- FILLER_25_36 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 22080 78880 ) FS ;
- FILLER_25_361 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 78880 ) FS ;
- FILLER_25_373 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 78880 ) FS ;
- FILLER_25_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 78880 ) FS ;
- FILLER_25_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 78880 ) FS ;
- FILLER_25_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 78880 ) FS ;
- FILLER_25_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 78880 ) FS ;
- FILLER_25_48 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 27600 78880 ) FS ;
- FILLER_25_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 78880 ) FS ;
- FILLER_25_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 78880 ) FS ;
- FILLER_25_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 78880 ) FS ;
- FILLER_25_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 78880 ) FS ;
- FILLER_25_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 78880 ) FS ;
- FILLER_26_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 81600 ) N ;
- FILLER_26_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 81600 ) N ;
- FILLER_26_13 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11500 81600 ) N ;
- FILLER_26_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 81600 ) N ;
- FILLER_26_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 81600 ) N ;
- FILLER_26_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 81600 ) N ;
- FILLER_26_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 81600 ) N ;
- FILLER_26_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 81600 ) N ;
- FILLER_26_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 81600 ) N ;
- FILLER_26_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 81600 ) N ;
- FILLER_26_19 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14260 81600 ) N ;
- FILLER_26_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 81600 ) N ;
- FILLER_26_197 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 96140 81600 ) N ;
- FILLER_26_202 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 98440 81600 ) N ;
- FILLER_26_209 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 101660 81600 ) N ;
- FILLER_26_215 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 104420 81600 ) N ;
- FILLER_26_227 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109940 81600 ) N ;
- FILLER_26_239 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115460 81600 ) N ;
- FILLER_26_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 81600 ) N ;
- FILLER_26_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 81600 ) N ;
- FILLER_26_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 81600 ) N ;
- FILLER_26_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 81600 ) N ;
- FILLER_26_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 81600 ) N ;
- FILLER_26_289 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 81600 ) N ;
- FILLER_26_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 81600 ) N ;
- FILLER_26_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 81600 ) N ;
- FILLER_26_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 81600 ) N ;
- FILLER_26_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 81600 ) N ;
- FILLER_26_321 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 81600 ) N ;
- FILLER_26_333 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 81600 ) N ;
- FILLER_26_345 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 81600 ) N ;
- FILLER_26_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 81600 ) N ;
- FILLER_26_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 81600 ) N ;
- FILLER_26_365 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 81600 ) N ;
- FILLER_26_377 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 81600 ) N ;
- FILLER_26_389 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 184460 81600 ) N ;
- FILLER_26_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 81600 ) N ;
- FILLER_26_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 81600 ) N ;
- FILLER_26_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 81600 ) N ;
- FILLER_26_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 81600 ) N ;
- FILLER_26_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 81600 ) N ;
- FILLER_26_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 81600 ) N ;
- FILLER_26_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 81600 ) N ;
- FILLER_26_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 81600 ) N ;
- FILLER_26_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 81600 ) N ;
- FILLER_26_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 81600 ) N ;
- FILLER_27_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 84320 ) FS ;
- FILLER_27_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 84320 ) FS ;
- FILLER_27_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 84320 ) FS ;
- FILLER_27_12 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11040 84320 ) FS ;
- FILLER_27_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 84320 ) FS ;
- FILLER_27_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 84320 ) FS ;
- FILLER_27_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 84320 ) FS ;
- FILLER_27_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 84320 ) FS ;
- FILLER_27_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 84320 ) FS ;
- FILLER_27_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 84320 ) FS ;
- FILLER_27_18 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 13800 84320 ) FS ;
- FILLER_27_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 84320 ) FS ;
- FILLER_27_193 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 94300 84320 ) FS ;
- FILLER_27_196 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 95680 84320 ) FS ;
- FILLER_27_204 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 99360 84320 ) FS ;
- FILLER_27_212 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 103040 84320 ) FS ;
- FILLER_27_218 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105800 84320 ) FS ;
- FILLER_27_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 84320 ) FS ;
- FILLER_27_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 84320 ) FS ;
- FILLER_27_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 84320 ) FS ;
- FILLER_27_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 84320 ) FS ;
- FILLER_27_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 84320 ) FS ;
- FILLER_27_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 84320 ) FS ;
- FILLER_27_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 84320 ) FS ;
- FILLER_27_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 84320 ) FS ;
- FILLER_27_30 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 19320 84320 ) FS ;
- FILLER_27_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 84320 ) FS ;
- FILLER_27_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 84320 ) FS ;
- FILLER_27_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 84320 ) FS ;
- FILLER_27_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 84320 ) FS ;
- FILLER_27_337 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 84320 ) FS ;
- FILLER_27_349 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 84320 ) FS ;
- FILLER_27_361 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 84320 ) FS ;
- FILLER_27_373 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 84320 ) FS ;
- FILLER_27_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 84320 ) FS ;
- FILLER_27_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 84320 ) FS ;
- FILLER_27_393 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 186300 84320 ) FS ;
- FILLER_27_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 84320 ) FS ;
- FILLER_27_42 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24840 84320 ) FS ;
- FILLER_27_54 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 30360 84320 ) FS ;
- FILLER_27_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 84320 ) FS ;
- FILLER_27_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 84320 ) FS ;
- FILLER_27_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 84320 ) FS ;
- FILLER_27_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 84320 ) FS ;
- FILLER_27_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 84320 ) FS ;
- FILLER_28_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 87040 ) N ;
- FILLER_28_12 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 11040 87040 ) N ;
- FILLER_28_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 87040 ) N ;
- FILLER_28_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 87040 ) N ;
- FILLER_28_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 87040 ) N ;
- FILLER_28_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 87040 ) N ;
- FILLER_28_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 87040 ) N ;
- FILLER_28_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 87040 ) N ;
- FILLER_28_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 87040 ) N ;
- FILLER_28_189 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 92460 87040 ) N ;
- FILLER_28_192 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93840 87040 ) N ;
- FILLER_28_197 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 96140 87040 ) N ;
- FILLER_28_205 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 99820 87040 ) N ;
- FILLER_28_212 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 103040 87040 ) N ;
- FILLER_28_218 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 105800 87040 ) N ;
- FILLER_28_230 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 111320 87040 ) N ;
- FILLER_28_24 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 16560 87040 ) N ;
- FILLER_28_242 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 116840 87040 ) N ;
- FILLER_28_250 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 120520 87040 ) N ;
- FILLER_28_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 87040 ) N ;
- FILLER_28_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 87040 ) N ;
- FILLER_28_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 87040 ) N ;
- FILLER_28_289 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 87040 ) N ;
- FILLER_28_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 87040 ) N ;
- FILLER_28_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 87040 ) N ;
- FILLER_28_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 87040 ) N ;
- FILLER_28_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 87040 ) N ;
- FILLER_28_321 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 87040 ) N ;
- FILLER_28_333 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 87040 ) N ;
- FILLER_28_345 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 87040 ) N ;
- FILLER_28_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 87040 ) N ;
- FILLER_28_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 87040 ) N ;
- FILLER_28_365 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 87040 ) N ;
- FILLER_28_377 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 87040 ) N ;
- FILLER_28_389 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 184460 87040 ) N ;
- FILLER_28_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 87040 ) N ;
- FILLER_28_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 87040 ) N ;
- FILLER_28_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 87040 ) N ;
- FILLER_28_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 87040 ) N ;
- FILLER_28_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 87040 ) N ;
- FILLER_28_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 87040 ) N ;
- FILLER_28_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 87040 ) N ;
- FILLER_28_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 87040 ) N ;
- FILLER_28_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 87040 ) N ;
- FILLER_28_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 87040 ) N ;
- FILLER_29_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 89760 ) FS ;
- FILLER_29_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 89760 ) FS ;
- FILLER_29_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 89760 ) FS ;
- FILLER_29_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 89760 ) FS ;
- FILLER_29_13 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11500 89760 ) FS ;
- FILLER_29_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 89760 ) FS ;
- FILLER_29_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 89760 ) FS ;
- FILLER_29_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 89760 ) FS ;
- FILLER_29_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 89760 ) FS ;
- FILLER_29_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 89760 ) FS ;
- FILLER_29_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 89760 ) FS ;
- FILLER_29_19 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14260 89760 ) FS ;
- FILLER_29_193 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 94300 89760 ) FS ;
- FILLER_29_201 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97980 89760 ) FS ;
- FILLER_29_213 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 103500 89760 ) FS ;
- FILLER_29_221 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 107180 89760 ) FS ;
- FILLER_29_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 89760 ) FS ;
- FILLER_29_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 89760 ) FS ;
- FILLER_29_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 89760 ) FS ;
- FILLER_29_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 89760 ) FS ;
- FILLER_29_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 89760 ) FS ;
- FILLER_29_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 89760 ) FS ;
- FILLER_29_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 89760 ) FS ;
- FILLER_29_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 89760 ) FS ;
- FILLER_29_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 89760 ) FS ;
- FILLER_29_31 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 19780 89760 ) FS ;
- FILLER_29_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 89760 ) FS ;
- FILLER_29_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 89760 ) FS ;
- FILLER_29_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 89760 ) FS ;
- FILLER_29_337 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 89760 ) FS ;
- FILLER_29_349 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 89760 ) FS ;
- FILLER_29_361 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 89760 ) FS ;
- FILLER_29_373 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 89760 ) FS ;
- FILLER_29_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 89760 ) FS ;
- FILLER_29_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 89760 ) FS ;
- FILLER_29_393 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 89760 ) FS ;
- FILLER_29_405 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 191820 89760 ) FS ;
- FILLER_29_43 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25300 89760 ) FS ;
- FILLER_29_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 89760 ) FS ;
- FILLER_29_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 89760 ) FS ;
- FILLER_29_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 89760 ) FS ;
- FILLER_29_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 89760 ) FS ;
- FILLER_29_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 89760 ) FS ;
- FILLER_29_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 89760 ) FS ;
- FILLER_2_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 16320 ) N ;
- FILLER_2_11 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 10580 16320 ) N ;
- FILLER_2_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 16320 ) N ;
- FILLER_2_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 16320 ) N ;
- FILLER_2_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 16320 ) N ;
- FILLER_2_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 16320 ) N ;
- FILLER_2_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 16320 ) N ;
- FILLER_2_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 16320 ) N ;
- FILLER_2_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 16320 ) N ;
- FILLER_2_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 16320 ) N ;
- FILLER_2_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 16320 ) N ;
- FILLER_2_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 16320 ) N ;
- FILLER_2_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 16320 ) N ;
- FILLER_2_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 16320 ) N ;
- FILLER_2_23 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 16100 16320 ) N ;
- FILLER_2_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 16320 ) N ;
- FILLER_2_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 16320 ) N ;
- FILLER_2_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 16320 ) N ;
- FILLER_2_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 16320 ) N ;
- FILLER_2_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 16320 ) N ;
- FILLER_2_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 16320 ) N ;
- FILLER_2_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 16320 ) N ;
- FILLER_2_289 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 16320 ) N ;
- FILLER_2_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 16320 ) N ;
- FILLER_2_3 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 6900 16320 ) N ;
- FILLER_2_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 16320 ) N ;
- FILLER_2_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 16320 ) N ;
- FILLER_2_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 16320 ) N ;
- FILLER_2_321 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 16320 ) N ;
- FILLER_2_333 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 16320 ) N ;
- FILLER_2_345 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 16320 ) N ;
- FILLER_2_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 16320 ) N ;
- FILLER_2_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 16320 ) N ;
- FILLER_2_365 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 16320 ) N ;
- FILLER_2_377 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 16320 ) N ;
- FILLER_2_389 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 184460 16320 ) N ;
- FILLER_2_397 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 188140 16320 ) N ;
- FILLER_2_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 16320 ) N ;
- FILLER_2_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 16320 ) N ;
- FILLER_2_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 16320 ) N ;
- FILLER_2_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 16320 ) N ;
- FILLER_2_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 16320 ) N ;
- FILLER_2_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 16320 ) N ;
- FILLER_2_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 16320 ) N ;
- FILLER_2_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 16320 ) N ;
- FILLER_30_10 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 10120 92480 ) N ;
- FILLER_30_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 92480 ) N ;
- FILLER_30_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 92480 ) N ;
- FILLER_30_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 92480 ) N ;
- FILLER_30_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 92480 ) N ;
- FILLER_30_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 92480 ) N ;
- FILLER_30_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 92480 ) N ;
- FILLER_30_16 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12880 92480 ) N ;
- FILLER_30_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 92480 ) N ;
- FILLER_30_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 92480 ) N ;
- FILLER_30_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 92480 ) N ;
- FILLER_30_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 92480 ) N ;
- FILLER_30_197 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 96140 92480 ) N ;
- FILLER_30_205 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 99820 92480 ) N ;
- FILLER_30_211 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 102580 92480 ) N ;
- FILLER_30_217 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 105340 92480 ) N ;
- FILLER_30_229 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110860 92480 ) N ;
- FILLER_30_241 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 116380 92480 ) N ;
- FILLER_30_249 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 120060 92480 ) N ;
- FILLER_30_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 92480 ) N ;
- FILLER_30_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 92480 ) N ;
- FILLER_30_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 92480 ) N ;
- FILLER_30_289 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 92480 ) N ;
- FILLER_30_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 92480 ) N ;
- FILLER_30_3 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 6900 92480 ) N ;
- FILLER_30_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 92480 ) N ;
- FILLER_30_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 92480 ) N ;
- FILLER_30_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 92480 ) N ;
- FILLER_30_321 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 92480 ) N ;
- FILLER_30_333 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 92480 ) N ;
- FILLER_30_345 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 92480 ) N ;
- FILLER_30_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 92480 ) N ;
- FILLER_30_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 92480 ) N ;
- FILLER_30_365 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 92480 ) N ;
- FILLER_30_377 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 92480 ) N ;
- FILLER_30_389 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 184460 92480 ) N ;
- FILLER_30_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 92480 ) N ;
- FILLER_30_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 92480 ) N ;
- FILLER_30_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 92480 ) N ;
- FILLER_30_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 92480 ) N ;
- FILLER_30_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 92480 ) N ;
- FILLER_30_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 92480 ) N ;
- FILLER_30_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 92480 ) N ;
- FILLER_30_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 92480 ) N ;
- FILLER_30_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 92480 ) N ;
- FILLER_31_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 95200 ) FS ;
- FILLER_31_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 95200 ) FS ;
- FILLER_31_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 95200 ) FS ;
- FILLER_31_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 95200 ) FS ;
- FILLER_31_13 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11500 95200 ) FS ;
- FILLER_31_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 95200 ) FS ;
- FILLER_31_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 95200 ) FS ;
- FILLER_31_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 95200 ) FS ;
- FILLER_31_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 95200 ) FS ;
- FILLER_31_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 95200 ) FS ;
- FILLER_31_181 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 88780 95200 ) FS ;
- FILLER_31_184 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 90160 95200 ) FS ;
- FILLER_31_19 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 14260 95200 ) FS ;
- FILLER_31_190 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 92920 95200 ) FS ;
- FILLER_31_197 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 96140 95200 ) FS ;
- FILLER_31_205 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 99820 95200 ) FS ;
- FILLER_31_213 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 103500 95200 ) FS ;
- FILLER_31_220 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 106720 95200 ) FS ;
- FILLER_31_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 95200 ) FS ;
- FILLER_31_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 95200 ) FS ;
- FILLER_31_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 95200 ) FS ;
- FILLER_31_25 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17020 95200 ) FS ;
- FILLER_31_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 95200 ) FS ;
- FILLER_31_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 95200 ) FS ;
- FILLER_31_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 95200 ) FS ;
- FILLER_31_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 95200 ) FS ;
- FILLER_31_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 95200 ) FS ;
- FILLER_31_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 95200 ) FS ;
- FILLER_31_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 95200 ) FS ;
- FILLER_31_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 95200 ) FS ;
- FILLER_31_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 95200 ) FS ;
- FILLER_31_337 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 95200 ) FS ;
- FILLER_31_349 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 95200 ) FS ;
- FILLER_31_361 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 95200 ) FS ;
- FILLER_31_37 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 22540 95200 ) FS ;
- FILLER_31_373 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 95200 ) FS ;
- FILLER_31_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 95200 ) FS ;
- FILLER_31_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 95200 ) FS ;
- FILLER_31_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 95200 ) FS ;
- FILLER_31_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 95200 ) FS ;
- FILLER_31_49 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 28060 95200 ) FS ;
- FILLER_31_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 95200 ) FS ;
- FILLER_31_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 95200 ) FS ;
- FILLER_31_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 95200 ) FS ;
- FILLER_31_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 95200 ) FS ;
- FILLER_31_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 95200 ) FS ;
- FILLER_31_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 95200 ) FS ;
- FILLER_32_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 97920 ) N ;
- FILLER_32_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 97920 ) N ;
- FILLER_32_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 97920 ) N ;
- FILLER_32_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 97920 ) N ;
- FILLER_32_14 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11960 97920 ) N ;
- FILLER_32_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 97920 ) N ;
- FILLER_32_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 97920 ) N ;
- FILLER_32_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 97920 ) N ;
- FILLER_32_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 97920 ) N ;
- FILLER_32_189 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 92460 97920 ) N ;
- FILLER_32_192 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93840 97920 ) N ;
- FILLER_32_197 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 96140 97920 ) N ;
- FILLER_32_205 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 99820 97920 ) N ;
- FILLER_32_21 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 15180 97920 ) N ;
- FILLER_32_213 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 103500 97920 ) N ;
- FILLER_32_219 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106260 97920 ) N ;
- FILLER_32_231 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 111780 97920 ) N ;
- FILLER_32_243 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 117300 97920 ) N ;
- FILLER_32_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 97920 ) N ;
- FILLER_32_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 97920 ) N ;
- FILLER_32_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 97920 ) N ;
- FILLER_32_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 97920 ) N ;
- FILLER_32_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 97920 ) N ;
- FILLER_32_289 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 97920 ) N ;
- FILLER_32_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 97920 ) N ;
- FILLER_32_3 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 6900 97920 ) N ;
- FILLER_32_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 97920 ) N ;
- FILLER_32_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 97920 ) N ;
- FILLER_32_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 97920 ) N ;
- FILLER_32_321 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 97920 ) N ;
- FILLER_32_333 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 97920 ) N ;
- FILLER_32_345 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 97920 ) N ;
- FILLER_32_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 97920 ) N ;
- FILLER_32_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 97920 ) N ;
- FILLER_32_365 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 97920 ) N ;
- FILLER_32_377 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 97920 ) N ;
- FILLER_32_389 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 184460 97920 ) N ;
- FILLER_32_397 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 188140 97920 ) N ;
- FILLER_32_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 97920 ) N ;
- FILLER_32_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 97920 ) N ;
- FILLER_32_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 97920 ) N ;
- FILLER_32_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 97920 ) N ;
- FILLER_32_7 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 8740 97920 ) N ;
- FILLER_32_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 97920 ) N ;
- FILLER_32_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 97920 ) N ;
- FILLER_32_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 97920 ) N ;
- FILLER_32_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 97920 ) N ;
- FILLER_33_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 100640 ) FS ;
- FILLER_33_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 100640 ) FS ;
- FILLER_33_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 100640 ) FS ;
- FILLER_33_12 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11040 100640 ) FS ;
- FILLER_33_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 100640 ) FS ;
- FILLER_33_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 100640 ) FS ;
- FILLER_33_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 100640 ) FS ;
- FILLER_33_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 100640 ) FS ;
- FILLER_33_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 100640 ) FS ;
- FILLER_33_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 100640 ) FS ;
- FILLER_33_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 100640 ) FS ;
- FILLER_33_19 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 14260 100640 ) FS ;
- FILLER_33_193 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 94300 100640 ) FS ;
- FILLER_33_197 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 96140 100640 ) FS ;
- FILLER_33_205 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 99820 100640 ) FS ;
- FILLER_33_212 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 103040 100640 ) FS ;
- FILLER_33_218 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105800 100640 ) FS ;
- FILLER_33_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 100640 ) FS ;
- FILLER_33_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 100640 ) FS ;
- FILLER_33_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 100640 ) FS ;
- FILLER_33_25 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17020 100640 ) FS ;
- FILLER_33_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 100640 ) FS ;
- FILLER_33_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 100640 ) FS ;
- FILLER_33_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 100640 ) FS ;
- FILLER_33_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 100640 ) FS ;
- FILLER_33_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 100640 ) FS ;
- FILLER_33_3 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 6900 100640 ) FS ;
- FILLER_33_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 100640 ) FS ;
- FILLER_33_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 100640 ) FS ;
- FILLER_33_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 100640 ) FS ;
- FILLER_33_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 100640 ) FS ;
- FILLER_33_337 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 100640 ) FS ;
- FILLER_33_349 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 100640 ) FS ;
- FILLER_33_361 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 100640 ) FS ;
- FILLER_33_37 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 22540 100640 ) FS ;
- FILLER_33_373 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 100640 ) FS ;
- FILLER_33_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 100640 ) FS ;
- FILLER_33_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 100640 ) FS ;
- FILLER_33_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 100640 ) FS ;
- FILLER_33_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 100640 ) FS ;
- FILLER_33_49 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 28060 100640 ) FS ;
- FILLER_33_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 100640 ) FS ;
- FILLER_33_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 100640 ) FS ;
- FILLER_33_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 100640 ) FS ;
- FILLER_33_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 100640 ) FS ;
- FILLER_33_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 100640 ) FS ;
- FILLER_34_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 103360 ) N ;
- FILLER_34_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 103360 ) N ;
- FILLER_34_13 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11500 103360 ) N ;
- FILLER_34_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 103360 ) N ;
- FILLER_34_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 103360 ) N ;
- FILLER_34_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 103360 ) N ;
- FILLER_34_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 103360 ) N ;
- FILLER_34_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 103360 ) N ;
- FILLER_34_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 103360 ) N ;
- FILLER_34_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 103360 ) N ;
- FILLER_34_19 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14260 103360 ) N ;
- FILLER_34_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 103360 ) N ;
- FILLER_34_197 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 96140 103360 ) N ;
- FILLER_34_201 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 97980 103360 ) N ;
- FILLER_34_208 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 101200 103360 ) N ;
- FILLER_34_214 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 103960 103360 ) N ;
- FILLER_34_226 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109480 103360 ) N ;
- FILLER_34_238 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115000 103360 ) N ;
- FILLER_34_250 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 120520 103360 ) N ;
- FILLER_34_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 103360 ) N ;
- FILLER_34_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 103360 ) N ;
- FILLER_34_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 103360 ) N ;
- FILLER_34_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 103360 ) N ;
- FILLER_34_289 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 103360 ) N ;
- FILLER_34_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 103360 ) N ;
- FILLER_34_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 103360 ) N ;
- FILLER_34_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 103360 ) N ;
- FILLER_34_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 103360 ) N ;
- FILLER_34_321 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 103360 ) N ;
- FILLER_34_333 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 103360 ) N ;
- FILLER_34_345 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 103360 ) N ;
- FILLER_34_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 103360 ) N ;
- FILLER_34_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 103360 ) N ;
- FILLER_34_365 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 103360 ) N ;
- FILLER_34_377 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 103360 ) N ;
- FILLER_34_389 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 103360 ) N ;
- FILLER_34_401 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 189980 103360 ) N ;
- FILLER_34_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 103360 ) N ;
- FILLER_34_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 103360 ) N ;
- FILLER_34_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 103360 ) N ;
- FILLER_34_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 103360 ) N ;
- FILLER_34_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 103360 ) N ;
- FILLER_34_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 103360 ) N ;
- FILLER_34_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 103360 ) N ;
- FILLER_34_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 103360 ) N ;
- FILLER_35_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 106080 ) FS ;
- FILLER_35_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 106080 ) FS ;
- FILLER_35_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 106080 ) FS ;
- FILLER_35_12 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11040 106080 ) FS ;
- FILLER_35_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 106080 ) FS ;
- FILLER_35_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 106080 ) FS ;
- FILLER_35_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 106080 ) FS ;
- FILLER_35_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 106080 ) FS ;
- FILLER_35_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 106080 ) FS ;
- FILLER_35_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 106080 ) FS ;
- FILLER_35_18 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 13800 106080 ) FS ;
- FILLER_35_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 106080 ) FS ;
- FILLER_35_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 106080 ) FS ;
- FILLER_35_208 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 101200 106080 ) FS ;
- FILLER_35_214 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 103960 106080 ) FS ;
- FILLER_35_222 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 107640 106080 ) FS ;
- FILLER_35_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 106080 ) FS ;
- FILLER_35_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 106080 ) FS ;
- FILLER_35_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 106080 ) FS ;
- FILLER_35_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 106080 ) FS ;
- FILLER_35_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 106080 ) FS ;
- FILLER_35_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 106080 ) FS ;
- FILLER_35_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 106080 ) FS ;
- FILLER_35_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 106080 ) FS ;
- FILLER_35_30 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 19320 106080 ) FS ;
- FILLER_35_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 106080 ) FS ;
- FILLER_35_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 106080 ) FS ;
- FILLER_35_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 106080 ) FS ;
- FILLER_35_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 106080 ) FS ;
- FILLER_35_337 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 106080 ) FS ;
- FILLER_35_349 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 106080 ) FS ;
- FILLER_35_361 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 106080 ) FS ;
- FILLER_35_373 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 106080 ) FS ;
- FILLER_35_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 106080 ) FS ;
- FILLER_35_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 106080 ) FS ;
- FILLER_35_393 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 186300 106080 ) FS ;
- FILLER_35_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 106080 ) FS ;
- FILLER_35_42 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24840 106080 ) FS ;
- FILLER_35_54 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 30360 106080 ) FS ;
- FILLER_35_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 106080 ) FS ;
- FILLER_35_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 106080 ) FS ;
- FILLER_35_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 106080 ) FS ;
- FILLER_35_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 106080 ) FS ;
- FILLER_35_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 106080 ) FS ;
- FILLER_36_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 108800 ) N ;
- FILLER_36_12 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 11040 108800 ) N ;
- FILLER_36_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 108800 ) N ;
- FILLER_36_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 108800 ) N ;
- FILLER_36_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 108800 ) N ;
- FILLER_36_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 108800 ) N ;
- FILLER_36_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 108800 ) N ;
- FILLER_36_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 108800 ) N ;
- FILLER_36_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 108800 ) N ;
- FILLER_36_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 108800 ) N ;
- FILLER_36_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 108800 ) N ;
- FILLER_36_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 108800 ) N ;
- FILLER_36_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 108800 ) N ;
- FILLER_36_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 108800 ) N ;
- FILLER_36_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 108800 ) N ;
- FILLER_36_24 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 16560 108800 ) N ;
- FILLER_36_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 108800 ) N ;
- FILLER_36_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 108800 ) N ;
- FILLER_36_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 108800 ) N ;
- FILLER_36_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 108800 ) N ;
- FILLER_36_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 108800 ) N ;
- FILLER_36_289 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 108800 ) N ;
- FILLER_36_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 108800 ) N ;
- FILLER_36_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 108800 ) N ;
- FILLER_36_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 108800 ) N ;
- FILLER_36_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 108800 ) N ;
- FILLER_36_321 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 108800 ) N ;
- FILLER_36_333 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 108800 ) N ;
- FILLER_36_345 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 108800 ) N ;
- FILLER_36_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 108800 ) N ;
- FILLER_36_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 108800 ) N ;
- FILLER_36_365 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 108800 ) N ;
- FILLER_36_377 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 108800 ) N ;
- FILLER_36_389 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 184460 108800 ) N ;
- FILLER_36_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 108800 ) N ;
- FILLER_36_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 108800 ) N ;
- FILLER_36_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 108800 ) N ;
- FILLER_36_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 108800 ) N ;
- FILLER_36_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 108800 ) N ;
- FILLER_36_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 108800 ) N ;
- FILLER_36_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 108800 ) N ;
- FILLER_36_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 108800 ) N ;
- FILLER_36_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 108800 ) N ;
- FILLER_36_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 108800 ) N ;
- FILLER_37_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 111520 ) FS ;
- FILLER_37_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 111520 ) FS ;
- FILLER_37_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 111520 ) FS ;
- FILLER_37_12 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 11040 111520 ) FS ;
- FILLER_37_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 111520 ) FS ;
- FILLER_37_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 111520 ) FS ;
- FILLER_37_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 111520 ) FS ;
- FILLER_37_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 111520 ) FS ;
- FILLER_37_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 111520 ) FS ;
- FILLER_37_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 111520 ) FS ;
- FILLER_37_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 111520 ) FS ;
- FILLER_37_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 111520 ) FS ;
- FILLER_37_205 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 99820 111520 ) FS ;
- FILLER_37_210 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 102120 111520 ) FS ;
- FILLER_37_216 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 104880 111520 ) FS ;
- FILLER_37_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 111520 ) FS ;
- FILLER_37_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 111520 ) FS ;
- FILLER_37_24 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 16560 111520 ) FS ;
- FILLER_37_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 111520 ) FS ;
- FILLER_37_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 111520 ) FS ;
- FILLER_37_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 111520 ) FS ;
- FILLER_37_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 111520 ) FS ;
- FILLER_37_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 111520 ) FS ;
- FILLER_37_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 111520 ) FS ;
- FILLER_37_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 111520 ) FS ;
- FILLER_37_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 111520 ) FS ;
- FILLER_37_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 111520 ) FS ;
- FILLER_37_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 111520 ) FS ;
- FILLER_37_337 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 111520 ) FS ;
- FILLER_37_349 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 111520 ) FS ;
- FILLER_37_36 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 22080 111520 ) FS ;
- FILLER_37_361 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 111520 ) FS ;
- FILLER_37_373 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 111520 ) FS ;
- FILLER_37_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 111520 ) FS ;
- FILLER_37_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 111520 ) FS ;
- FILLER_37_393 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 186300 111520 ) FS ;
- FILLER_37_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 111520 ) FS ;
- FILLER_37_48 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 27600 111520 ) FS ;
- FILLER_37_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 111520 ) FS ;
- FILLER_37_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 111520 ) FS ;
- FILLER_37_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 111520 ) FS ;
- FILLER_37_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 111520 ) FS ;
- FILLER_37_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 111520 ) FS ;
- FILLER_38_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 114240 ) N ;
- FILLER_38_12 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11040 114240 ) N ;
- FILLER_38_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 114240 ) N ;
- FILLER_38_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 114240 ) N ;
- FILLER_38_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 114240 ) N ;
- FILLER_38_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 114240 ) N ;
- FILLER_38_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 114240 ) N ;
- FILLER_38_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 114240 ) N ;
- FILLER_38_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 114240 ) N ;
- FILLER_38_18 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 13800 114240 ) N ;
- FILLER_38_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 114240 ) N ;
- FILLER_38_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 114240 ) N ;
- FILLER_38_197 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 96140 114240 ) N ;
- FILLER_38_205 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 99820 114240 ) N ;
- FILLER_38_210 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 102120 114240 ) N ;
- FILLER_38_216 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 104880 114240 ) N ;
- FILLER_38_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 114240 ) N ;
- FILLER_38_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 114240 ) N ;
- FILLER_38_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 114240 ) N ;
- FILLER_38_26 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 17480 114240 ) N ;
- FILLER_38_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 114240 ) N ;
- FILLER_38_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 114240 ) N ;
- FILLER_38_289 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 114240 ) N ;
- FILLER_38_29 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 18860 114240 ) N ;
- FILLER_38_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 114240 ) N ;
- FILLER_38_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 114240 ) N ;
- FILLER_38_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 114240 ) N ;
- FILLER_38_321 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 114240 ) N ;
- FILLER_38_333 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 114240 ) N ;
- FILLER_38_345 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 114240 ) N ;
- FILLER_38_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 114240 ) N ;
- FILLER_38_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 114240 ) N ;
- FILLER_38_365 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 114240 ) N ;
- FILLER_38_37 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 22540 114240 ) N ;
- FILLER_38_377 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 114240 ) N ;
- FILLER_38_389 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 184460 114240 ) N ;
- FILLER_38_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 114240 ) N ;
- FILLER_38_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 114240 ) N ;
- FILLER_38_49 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28060 114240 ) N ;
- FILLER_38_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 114240 ) N ;
- FILLER_38_61 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 33580 114240 ) N ;
- FILLER_38_73 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 39100 114240 ) N ;
- FILLER_38_81 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 42780 114240 ) N ;
- FILLER_38_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 114240 ) N ;
- FILLER_38_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 114240 ) N ;
- FILLER_39_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 116960 ) FS ;
- FILLER_39_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 116960 ) FS ;
- FILLER_39_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 116960 ) FS ;
- FILLER_39_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 116960 ) FS ;
- FILLER_39_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 116960 ) FS ;
- FILLER_39_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 116960 ) FS ;
- FILLER_39_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 116960 ) FS ;
- FILLER_39_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 116960 ) FS ;
- FILLER_39_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 116960 ) FS ;
- FILLER_39_18 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 13800 116960 ) FS ;
- FILLER_39_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 116960 ) FS ;
- FILLER_39_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 116960 ) FS ;
- FILLER_39_208 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 101200 116960 ) FS ;
- FILLER_39_214 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 103960 116960 ) FS ;
- FILLER_39_222 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 107640 116960 ) FS ;
- FILLER_39_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 116960 ) FS ;
- FILLER_39_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 116960 ) FS ;
- FILLER_39_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 116960 ) FS ;
- FILLER_39_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 116960 ) FS ;
- FILLER_39_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 116960 ) FS ;
- FILLER_39_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 116960 ) FS ;
- FILLER_39_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 116960 ) FS ;
- FILLER_39_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 116960 ) FS ;
- FILLER_39_30 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 19320 116960 ) FS ;
- FILLER_39_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 116960 ) FS ;
- FILLER_39_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 116960 ) FS ;
- FILLER_39_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 116960 ) FS ;
- FILLER_39_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 116960 ) FS ;
- FILLER_39_337 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 116960 ) FS ;
- FILLER_39_349 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 116960 ) FS ;
- FILLER_39_361 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 116960 ) FS ;
- FILLER_39_373 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 116960 ) FS ;
- FILLER_39_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 116960 ) FS ;
- FILLER_39_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 116960 ) FS ;
- FILLER_39_393 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 116960 ) FS ;
- FILLER_39_405 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 191820 116960 ) FS ;
- FILLER_39_42 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24840 116960 ) FS ;
- FILLER_39_54 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 30360 116960 ) FS ;
- FILLER_39_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 116960 ) FS ;
- FILLER_39_6 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 8280 116960 ) FS ;
- FILLER_39_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 116960 ) FS ;
- FILLER_39_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 116960 ) FS ;
- FILLER_39_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 116960 ) FS ;
- FILLER_3_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 19040 ) FS ;
- FILLER_3_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 19040 ) FS ;
- FILLER_3_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 19040 ) FS ;
- FILLER_3_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 19040 ) FS ;
- FILLER_3_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 19040 ) FS ;
- FILLER_3_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 19040 ) FS ;
- FILLER_3_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 19040 ) FS ;
- FILLER_3_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 19040 ) FS ;
- FILLER_3_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 19040 ) FS ;
- FILLER_3_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 19040 ) FS ;
- FILLER_3_19 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14260 19040 ) FS ;
- FILLER_3_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 19040 ) FS ;
- FILLER_3_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 19040 ) FS ;
- FILLER_3_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 19040 ) FS ;
- FILLER_3_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 19040 ) FS ;
- FILLER_3_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 19040 ) FS ;
- FILLER_3_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 19040 ) FS ;
- FILLER_3_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 19040 ) FS ;
- FILLER_3_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 19040 ) FS ;
- FILLER_3_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 19040 ) FS ;
- FILLER_3_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 19040 ) FS ;
- FILLER_3_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 19040 ) FS ;
- FILLER_3_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 19040 ) FS ;
- FILLER_3_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 19040 ) FS ;
- FILLER_3_31 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 19780 19040 ) FS ;
- FILLER_3_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 19040 ) FS ;
- FILLER_3_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 19040 ) FS ;
- FILLER_3_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 19040 ) FS ;
- FILLER_3_337 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 19040 ) FS ;
- FILLER_3_349 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 19040 ) FS ;
- FILLER_3_361 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 19040 ) FS ;
- FILLER_3_373 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 19040 ) FS ;
- FILLER_3_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 19040 ) FS ;
- FILLER_3_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 19040 ) FS ;
- FILLER_3_393 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 186300 19040 ) FS ;
- FILLER_3_396 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187680 19040 ) FS ;
- FILLER_3_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 19040 ) FS ;
- FILLER_3_43 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25300 19040 ) FS ;
- FILLER_3_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 19040 ) FS ;
- FILLER_3_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 19040 ) FS ;
- FILLER_3_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 19040 ) FS ;
- FILLER_3_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 19040 ) FS ;
- FILLER_3_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 19040 ) FS ;
- FILLER_40_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 119680 ) N ;
- FILLER_40_12 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11040 119680 ) N ;
- FILLER_40_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 119680 ) N ;
- FILLER_40_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 119680 ) N ;
- FILLER_40_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 119680 ) N ;
- FILLER_40_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 119680 ) N ;
- FILLER_40_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 119680 ) N ;
- FILLER_40_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 119680 ) N ;
- FILLER_40_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 119680 ) N ;
- FILLER_40_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 119680 ) N ;
- FILLER_40_19 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14260 119680 ) N ;
- FILLER_40_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 119680 ) N ;
- FILLER_40_197 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 96140 119680 ) N ;
- FILLER_40_206 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 100280 119680 ) N ;
- FILLER_40_212 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 103040 119680 ) N ;
- FILLER_40_224 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 108560 119680 ) N ;
- FILLER_40_236 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114080 119680 ) N ;
- FILLER_40_248 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 119600 119680 ) N ;
- FILLER_40_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 119680 ) N ;
- FILLER_40_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 119680 ) N ;
- FILLER_40_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 119680 ) N ;
- FILLER_40_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 119680 ) N ;
- FILLER_40_289 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 119680 ) N ;
- FILLER_40_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 119680 ) N ;
- FILLER_40_3 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 6900 119680 ) N ;
- FILLER_40_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 119680 ) N ;
- FILLER_40_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 119680 ) N ;
- FILLER_40_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 119680 ) N ;
- FILLER_40_321 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 119680 ) N ;
- FILLER_40_333 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 119680 ) N ;
- FILLER_40_345 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 119680 ) N ;
- FILLER_40_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 119680 ) N ;
- FILLER_40_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 119680 ) N ;
- FILLER_40_365 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 119680 ) N ;
- FILLER_40_377 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 119680 ) N ;
- FILLER_40_389 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 184460 119680 ) N ;
- FILLER_40_397 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 188140 119680 ) N ;
- FILLER_40_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 119680 ) N ;
- FILLER_40_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 119680 ) N ;
- FILLER_40_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 119680 ) N ;
- FILLER_40_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 119680 ) N ;
- FILLER_40_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 119680 ) N ;
- FILLER_40_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 119680 ) N ;
- FILLER_40_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 119680 ) N ;
- FILLER_40_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 119680 ) N ;
- FILLER_41_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 122400 ) FS ;
- FILLER_41_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 122400 ) FS ;
- FILLER_41_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 122400 ) FS ;
- FILLER_41_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 122400 ) FS ;
- FILLER_41_13 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11500 122400 ) FS ;
- FILLER_41_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 122400 ) FS ;
- FILLER_41_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 122400 ) FS ;
- FILLER_41_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 122400 ) FS ;
- FILLER_41_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 122400 ) FS ;
- FILLER_41_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 122400 ) FS ;
- FILLER_41_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 122400 ) FS ;
- FILLER_41_19 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14260 122400 ) FS ;
- FILLER_41_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 122400 ) FS ;
- FILLER_41_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 122400 ) FS ;
- FILLER_41_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 122400 ) FS ;
- FILLER_41_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 122400 ) FS ;
- FILLER_41_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 122400 ) FS ;
- FILLER_41_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 122400 ) FS ;
- FILLER_41_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 122400 ) FS ;
- FILLER_41_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 122400 ) FS ;
- FILLER_41_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 122400 ) FS ;
- FILLER_41_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 122400 ) FS ;
- FILLER_41_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 122400 ) FS ;
- FILLER_41_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 122400 ) FS ;
- FILLER_41_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 122400 ) FS ;
- FILLER_41_31 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 19780 122400 ) FS ;
- FILLER_41_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 122400 ) FS ;
- FILLER_41_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 122400 ) FS ;
- FILLER_41_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 122400 ) FS ;
- FILLER_41_337 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 122400 ) FS ;
- FILLER_41_349 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 122400 ) FS ;
- FILLER_41_361 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 122400 ) FS ;
- FILLER_41_373 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 122400 ) FS ;
- FILLER_41_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 122400 ) FS ;
- FILLER_41_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 122400 ) FS ;
- FILLER_41_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 122400 ) FS ;
- FILLER_41_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 122400 ) FS ;
- FILLER_41_43 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25300 122400 ) FS ;
- FILLER_41_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 122400 ) FS ;
- FILLER_41_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 122400 ) FS ;
- FILLER_41_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 122400 ) FS ;
- FILLER_41_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 122400 ) FS ;
- FILLER_41_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 122400 ) FS ;
- FILLER_41_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 122400 ) FS ;
- FILLER_42_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 125120 ) N ;
- FILLER_42_12 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11040 125120 ) N ;
- FILLER_42_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 125120 ) N ;
- FILLER_42_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 125120 ) N ;
- FILLER_42_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 125120 ) N ;
- FILLER_42_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 125120 ) N ;
- FILLER_42_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 125120 ) N ;
- FILLER_42_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 125120 ) N ;
- FILLER_42_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 125120 ) N ;
- FILLER_42_18 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 13800 125120 ) N ;
- FILLER_42_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 125120 ) N ;
- FILLER_42_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 125120 ) N ;
- FILLER_42_197 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 96140 125120 ) N ;
- FILLER_42_204 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 99360 125120 ) N ;
- FILLER_42_210 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102120 125120 ) N ;
- FILLER_42_222 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107640 125120 ) N ;
- FILLER_42_234 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 113160 125120 ) N ;
- FILLER_42_246 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118680 125120 ) N ;
- FILLER_42_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 125120 ) N ;
- FILLER_42_26 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 17480 125120 ) N ;
- FILLER_42_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 125120 ) N ;
- FILLER_42_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 125120 ) N ;
- FILLER_42_289 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 125120 ) N ;
- FILLER_42_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 125120 ) N ;
- FILLER_42_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 125120 ) N ;
- FILLER_42_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 125120 ) N ;
- FILLER_42_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 125120 ) N ;
- FILLER_42_321 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 125120 ) N ;
- FILLER_42_333 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 125120 ) N ;
- FILLER_42_345 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 125120 ) N ;
- FILLER_42_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 125120 ) N ;
- FILLER_42_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 125120 ) N ;
- FILLER_42_365 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 125120 ) N ;
- FILLER_42_377 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 125120 ) N ;
- FILLER_42_389 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 184460 125120 ) N ;
- FILLER_42_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 125120 ) N ;
- FILLER_42_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 125120 ) N ;
- FILLER_42_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 125120 ) N ;
- FILLER_42_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 125120 ) N ;
- FILLER_42_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 125120 ) N ;
- FILLER_42_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 125120 ) N ;
- FILLER_42_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 125120 ) N ;
- FILLER_42_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 125120 ) N ;
- FILLER_42_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 125120 ) N ;
- FILLER_42_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 125120 ) N ;
- FILLER_43_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 127840 ) FS ;
- FILLER_43_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 127840 ) FS ;
- FILLER_43_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 127840 ) FS ;
- FILLER_43_12 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11040 127840 ) FS ;
- FILLER_43_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 127840 ) FS ;
- FILLER_43_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 127840 ) FS ;
- FILLER_43_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 127840 ) FS ;
- FILLER_43_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 127840 ) FS ;
- FILLER_43_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 127840 ) FS ;
- FILLER_43_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 127840 ) FS ;
- FILLER_43_18 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 13800 127840 ) FS ;
- FILLER_43_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 127840 ) FS ;
- FILLER_43_193 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 94300 127840 ) FS ;
- FILLER_43_204 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 99360 127840 ) FS ;
- FILLER_43_210 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102120 127840 ) FS ;
- FILLER_43_222 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 107640 127840 ) FS ;
- FILLER_43_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 127840 ) FS ;
- FILLER_43_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 127840 ) FS ;
- FILLER_43_24 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 16560 127840 ) FS ;
- FILLER_43_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 127840 ) FS ;
- FILLER_43_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 127840 ) FS ;
- FILLER_43_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 127840 ) FS ;
- FILLER_43_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 127840 ) FS ;
- FILLER_43_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 127840 ) FS ;
- FILLER_43_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 127840 ) FS ;
- FILLER_43_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 127840 ) FS ;
- FILLER_43_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 127840 ) FS ;
- FILLER_43_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 127840 ) FS ;
- FILLER_43_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 127840 ) FS ;
- FILLER_43_337 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 127840 ) FS ;
- FILLER_43_349 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 127840 ) FS ;
- FILLER_43_36 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 22080 127840 ) FS ;
- FILLER_43_361 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 127840 ) FS ;
- FILLER_43_373 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 127840 ) FS ;
- FILLER_43_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 127840 ) FS ;
- FILLER_43_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 127840 ) FS ;
- FILLER_43_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 127840 ) FS ;
- FILLER_43_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 127840 ) FS ;
- FILLER_43_48 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 27600 127840 ) FS ;
- FILLER_43_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 127840 ) FS ;
- FILLER_43_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 127840 ) FS ;
- FILLER_43_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 127840 ) FS ;
- FILLER_43_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 127840 ) FS ;
- FILLER_43_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 127840 ) FS ;
- FILLER_44_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 130560 ) N ;
- FILLER_44_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 130560 ) N ;
- FILLER_44_13 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11500 130560 ) N ;
- FILLER_44_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 130560 ) N ;
- FILLER_44_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 130560 ) N ;
- FILLER_44_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 130560 ) N ;
- FILLER_44_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 130560 ) N ;
- FILLER_44_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 130560 ) N ;
- FILLER_44_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 130560 ) N ;
- FILLER_44_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 130560 ) N ;
- FILLER_44_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 130560 ) N ;
- FILLER_44_197 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 96140 130560 ) N ;
- FILLER_44_20 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14720 130560 ) N ;
- FILLER_44_206 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 100280 130560 ) N ;
- FILLER_44_212 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 103040 130560 ) N ;
- FILLER_44_224 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 108560 130560 ) N ;
- FILLER_44_236 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114080 130560 ) N ;
- FILLER_44_248 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 119600 130560 ) N ;
- FILLER_44_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 130560 ) N ;
- FILLER_44_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 130560 ) N ;
- FILLER_44_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 130560 ) N ;
- FILLER_44_289 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 130560 ) N ;
- FILLER_44_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 130560 ) N ;
- FILLER_44_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 130560 ) N ;
- FILLER_44_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 130560 ) N ;
- FILLER_44_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 130560 ) N ;
- FILLER_44_321 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 130560 ) N ;
- FILLER_44_333 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 130560 ) N ;
- FILLER_44_345 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 130560 ) N ;
- FILLER_44_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 130560 ) N ;
- FILLER_44_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 130560 ) N ;
- FILLER_44_365 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 130560 ) N ;
- FILLER_44_377 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 130560 ) N ;
- FILLER_44_389 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 130560 ) N ;
- FILLER_44_401 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 189980 130560 ) N ;
- FILLER_44_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 130560 ) N ;
- FILLER_44_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 130560 ) N ;
- FILLER_44_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 130560 ) N ;
- FILLER_44_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 130560 ) N ;
- FILLER_44_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 130560 ) N ;
- FILLER_44_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 130560 ) N ;
- FILLER_44_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 130560 ) N ;
- FILLER_44_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 130560 ) N ;
- FILLER_45_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 133280 ) FS ;
- FILLER_45_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 133280 ) FS ;
- FILLER_45_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 133280 ) FS ;
- FILLER_45_12 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11040 133280 ) FS ;
- FILLER_45_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 133280 ) FS ;
- FILLER_45_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 133280 ) FS ;
- FILLER_45_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 133280 ) FS ;
- FILLER_45_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 133280 ) FS ;
- FILLER_45_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 133280 ) FS ;
- FILLER_45_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 133280 ) FS ;
- FILLER_45_18 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 13800 133280 ) FS ;
- FILLER_45_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 133280 ) FS ;
- FILLER_45_193 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 94300 133280 ) FS ;
- FILLER_45_201 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 97980 133280 ) FS ;
- FILLER_45_207 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 100740 133280 ) FS ;
- FILLER_45_213 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 103500 133280 ) FS ;
- FILLER_45_221 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 107180 133280 ) FS ;
- FILLER_45_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 133280 ) FS ;
- FILLER_45_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 133280 ) FS ;
- FILLER_45_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 133280 ) FS ;
- FILLER_45_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 133280 ) FS ;
- FILLER_45_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 133280 ) FS ;
- FILLER_45_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 133280 ) FS ;
- FILLER_45_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 133280 ) FS ;
- FILLER_45_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 133280 ) FS ;
- FILLER_45_3 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 6900 133280 ) FS ;
- FILLER_45_30 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 19320 133280 ) FS ;
- FILLER_45_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 133280 ) FS ;
- FILLER_45_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 133280 ) FS ;
- FILLER_45_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 133280 ) FS ;
- FILLER_45_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 133280 ) FS ;
- FILLER_45_337 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 133280 ) FS ;
- FILLER_45_349 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 133280 ) FS ;
- FILLER_45_361 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 133280 ) FS ;
- FILLER_45_373 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 133280 ) FS ;
- FILLER_45_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 133280 ) FS ;
- FILLER_45_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 133280 ) FS ;
- FILLER_45_393 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 186300 133280 ) FS ;
- FILLER_45_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 133280 ) FS ;
- FILLER_45_42 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24840 133280 ) FS ;
- FILLER_45_54 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 30360 133280 ) FS ;
- FILLER_45_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 133280 ) FS ;
- FILLER_45_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 133280 ) FS ;
- FILLER_45_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 133280 ) FS ;
- FILLER_45_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 133280 ) FS ;
- FILLER_46_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 136000 ) N ;
- FILLER_46_12 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11040 136000 ) N ;
- FILLER_46_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 136000 ) N ;
- FILLER_46_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 136000 ) N ;
- FILLER_46_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 136000 ) N ;
- FILLER_46_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 136000 ) N ;
- FILLER_46_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 136000 ) N ;
- FILLER_46_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 136000 ) N ;
- FILLER_46_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 136000 ) N ;
- FILLER_46_18 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 13800 136000 ) N ;
- FILLER_46_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 136000 ) N ;
- FILLER_46_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 136000 ) N ;
- FILLER_46_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 136000 ) N ;
- FILLER_46_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 136000 ) N ;
- FILLER_46_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 136000 ) N ;
- FILLER_46_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 136000 ) N ;
- FILLER_46_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 136000 ) N ;
- FILLER_46_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 136000 ) N ;
- FILLER_46_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 136000 ) N ;
- FILLER_46_26 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 17480 136000 ) N ;
- FILLER_46_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 136000 ) N ;
- FILLER_46_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 136000 ) N ;
- FILLER_46_289 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 136000 ) N ;
- FILLER_46_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 136000 ) N ;
- FILLER_46_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 136000 ) N ;
- FILLER_46_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 136000 ) N ;
- FILLER_46_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 136000 ) N ;
- FILLER_46_321 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 136000 ) N ;
- FILLER_46_333 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 136000 ) N ;
- FILLER_46_345 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 136000 ) N ;
- FILLER_46_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 136000 ) N ;
- FILLER_46_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 136000 ) N ;
- FILLER_46_365 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 136000 ) N ;
- FILLER_46_377 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 136000 ) N ;
- FILLER_46_389 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 184460 136000 ) N ;
- FILLER_46_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 136000 ) N ;
- FILLER_46_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 136000 ) N ;
- FILLER_46_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 136000 ) N ;
- FILLER_46_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 136000 ) N ;
- FILLER_46_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 136000 ) N ;
- FILLER_46_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 136000 ) N ;
- FILLER_46_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 136000 ) N ;
- FILLER_46_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 136000 ) N ;
- FILLER_46_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 136000 ) N ;
- FILLER_46_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 136000 ) N ;
- FILLER_47_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 138720 ) FS ;
- FILLER_47_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 138720 ) FS ;
- FILLER_47_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 138720 ) FS ;
- FILLER_47_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 138720 ) FS ;
- FILLER_47_13 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11500 138720 ) FS ;
- FILLER_47_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 138720 ) FS ;
- FILLER_47_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 138720 ) FS ;
- FILLER_47_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 138720 ) FS ;
- FILLER_47_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 138720 ) FS ;
- FILLER_47_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 138720 ) FS ;
- FILLER_47_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 138720 ) FS ;
- FILLER_47_19 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14260 138720 ) FS ;
- FILLER_47_193 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 94300 138720 ) FS ;
- FILLER_47_201 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 97980 138720 ) FS ;
- FILLER_47_207 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 100740 138720 ) FS ;
- FILLER_47_213 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 103500 138720 ) FS ;
- FILLER_47_221 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 107180 138720 ) FS ;
- FILLER_47_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 138720 ) FS ;
- FILLER_47_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 138720 ) FS ;
- FILLER_47_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 138720 ) FS ;
- FILLER_47_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 138720 ) FS ;
- FILLER_47_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 138720 ) FS ;
- FILLER_47_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 138720 ) FS ;
- FILLER_47_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 138720 ) FS ;
- FILLER_47_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 138720 ) FS ;
- FILLER_47_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 138720 ) FS ;
- FILLER_47_31 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 19780 138720 ) FS ;
- FILLER_47_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 138720 ) FS ;
- FILLER_47_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 138720 ) FS ;
- FILLER_47_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 138720 ) FS ;
- FILLER_47_337 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 138720 ) FS ;
- FILLER_47_349 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 138720 ) FS ;
- FILLER_47_361 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 138720 ) FS ;
- FILLER_47_373 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 138720 ) FS ;
- FILLER_47_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 138720 ) FS ;
- FILLER_47_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 138720 ) FS ;
- FILLER_47_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 138720 ) FS ;
- FILLER_47_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 138720 ) FS ;
- FILLER_47_43 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25300 138720 ) FS ;
- FILLER_47_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 138720 ) FS ;
- FILLER_47_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 138720 ) FS ;
- FILLER_47_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 138720 ) FS ;
- FILLER_47_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 138720 ) FS ;
- FILLER_47_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 138720 ) FS ;
- FILLER_47_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 138720 ) FS ;
- FILLER_48_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 141440 ) N ;
- FILLER_48_12 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 11040 141440 ) N ;
- FILLER_48_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 141440 ) N ;
- FILLER_48_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 141440 ) N ;
- FILLER_48_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 141440 ) N ;
- FILLER_48_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 141440 ) N ;
- FILLER_48_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 141440 ) N ;
- FILLER_48_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 141440 ) N ;
- FILLER_48_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 141440 ) N ;
- FILLER_48_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 141440 ) N ;
- FILLER_48_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 141440 ) N ;
- FILLER_48_197 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 96140 141440 ) N ;
- FILLER_48_208 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 101200 141440 ) N ;
- FILLER_48_214 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 103960 141440 ) N ;
- FILLER_48_226 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109480 141440 ) N ;
- FILLER_48_238 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115000 141440 ) N ;
- FILLER_48_24 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 16560 141440 ) N ;
- FILLER_48_250 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 120520 141440 ) N ;
- FILLER_48_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 141440 ) N ;
- FILLER_48_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 141440 ) N ;
- FILLER_48_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 141440 ) N ;
- FILLER_48_289 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 141440 ) N ;
- FILLER_48_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 141440 ) N ;
- FILLER_48_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 141440 ) N ;
- FILLER_48_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 141440 ) N ;
- FILLER_48_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 141440 ) N ;
- FILLER_48_321 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 141440 ) N ;
- FILLER_48_333 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 141440 ) N ;
- FILLER_48_345 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 141440 ) N ;
- FILLER_48_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 141440 ) N ;
- FILLER_48_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 141440 ) N ;
- FILLER_48_365 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 141440 ) N ;
- FILLER_48_377 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 141440 ) N ;
- FILLER_48_389 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 184460 141440 ) N ;
- FILLER_48_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 141440 ) N ;
- FILLER_48_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 141440 ) N ;
- FILLER_48_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 141440 ) N ;
- FILLER_48_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 141440 ) N ;
- FILLER_48_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 141440 ) N ;
- FILLER_48_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 141440 ) N ;
- FILLER_48_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 141440 ) N ;
- FILLER_48_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 141440 ) N ;
- FILLER_48_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 141440 ) N ;
- FILLER_48_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 141440 ) N ;
- FILLER_49_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 144160 ) FS ;
- FILLER_49_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 144160 ) FS ;
- FILLER_49_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 144160 ) FS ;
- FILLER_49_12 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11040 144160 ) FS ;
- FILLER_49_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 144160 ) FS ;
- FILLER_49_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 144160 ) FS ;
- FILLER_49_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 144160 ) FS ;
- FILLER_49_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 144160 ) FS ;
- FILLER_49_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 144160 ) FS ;
- FILLER_49_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 144160 ) FS ;
- FILLER_49_18 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 13800 144160 ) FS ;
- FILLER_49_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 144160 ) FS ;
- FILLER_49_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 144160 ) FS ;
- FILLER_49_205 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 99820 144160 ) FS ;
- FILLER_49_209 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 101660 144160 ) FS ;
- FILLER_49_215 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 104420 144160 ) FS ;
- FILLER_49_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 144160 ) FS ;
- FILLER_49_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 144160 ) FS ;
- FILLER_49_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 144160 ) FS ;
- FILLER_49_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 144160 ) FS ;
- FILLER_49_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 144160 ) FS ;
- FILLER_49_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 144160 ) FS ;
- FILLER_49_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 144160 ) FS ;
- FILLER_49_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 144160 ) FS ;
- FILLER_49_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 144160 ) FS ;
- FILLER_49_30 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 19320 144160 ) FS ;
- FILLER_49_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 144160 ) FS ;
- FILLER_49_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 144160 ) FS ;
- FILLER_49_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 144160 ) FS ;
- FILLER_49_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 144160 ) FS ;
- FILLER_49_337 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 144160 ) FS ;
- FILLER_49_349 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 144160 ) FS ;
- FILLER_49_361 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 144160 ) FS ;
- FILLER_49_373 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 144160 ) FS ;
- FILLER_49_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 144160 ) FS ;
- FILLER_49_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 144160 ) FS ;
- FILLER_49_393 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 144160 ) FS ;
- FILLER_49_405 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 191820 144160 ) FS ;
- FILLER_49_42 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24840 144160 ) FS ;
- FILLER_49_54 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 30360 144160 ) FS ;
- FILLER_49_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 144160 ) FS ;
- FILLER_49_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 144160 ) FS ;
- FILLER_49_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 144160 ) FS ;
- FILLER_49_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 144160 ) FS ;
- FILLER_49_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 144160 ) FS ;
- FILLER_4_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 21760 ) N ;
- FILLER_4_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 21760 ) N ;
- FILLER_4_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 21760 ) N ;
- FILLER_4_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 21760 ) N ;
- FILLER_4_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 21760 ) N ;
- FILLER_4_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 21760 ) N ;
- FILLER_4_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 21760 ) N ;
- FILLER_4_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 21760 ) N ;
- FILLER_4_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 21760 ) N ;
- FILLER_4_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 21760 ) N ;
- FILLER_4_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 21760 ) N ;
- FILLER_4_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 21760 ) N ;
- FILLER_4_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 21760 ) N ;
- FILLER_4_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 21760 ) N ;
- FILLER_4_24 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 16560 21760 ) N ;
- FILLER_4_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 21760 ) N ;
- FILLER_4_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 21760 ) N ;
- FILLER_4_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 21760 ) N ;
- FILLER_4_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 21760 ) N ;
- FILLER_4_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 21760 ) N ;
- FILLER_4_289 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 21760 ) N ;
- FILLER_4_3 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 6900 21760 ) N ;
- FILLER_4_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 21760 ) N ;
- FILLER_4_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 21760 ) N ;
- FILLER_4_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 21760 ) N ;
- FILLER_4_31 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 19780 21760 ) N ;
- FILLER_4_321 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 21760 ) N ;
- FILLER_4_333 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 21760 ) N ;
- FILLER_4_345 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 21760 ) N ;
- FILLER_4_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 21760 ) N ;
- FILLER_4_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 21760 ) N ;
- FILLER_4_365 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 21760 ) N ;
- FILLER_4_377 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 21760 ) N ;
- FILLER_4_389 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 21760 ) N ;
- FILLER_4_401 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 189980 21760 ) N ;
- FILLER_4_43 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25300 21760 ) N ;
- FILLER_4_55 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 30820 21760 ) N ;
- FILLER_4_67 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 36340 21760 ) N ;
- FILLER_4_79 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 41860 21760 ) N ;
- FILLER_4_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 21760 ) N ;
- FILLER_4_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 21760 ) N ;
- FILLER_4_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 21760 ) N ;
- FILLER_50_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 146880 ) N ;
- FILLER_50_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 146880 ) N ;
- FILLER_50_13 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11500 146880 ) N ;
- FILLER_50_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 146880 ) N ;
- FILLER_50_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 146880 ) N ;
- FILLER_50_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 146880 ) N ;
- FILLER_50_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 146880 ) N ;
- FILLER_50_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 146880 ) N ;
- FILLER_50_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 146880 ) N ;
- FILLER_50_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 146880 ) N ;
- FILLER_50_19 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14260 146880 ) N ;
- FILLER_50_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 146880 ) N ;
- FILLER_50_197 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 96140 146880 ) N ;
- FILLER_50_208 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 101200 146880 ) N ;
- FILLER_50_214 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 103960 146880 ) N ;
- FILLER_50_226 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109480 146880 ) N ;
- FILLER_50_238 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115000 146880 ) N ;
- FILLER_50_250 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 120520 146880 ) N ;
- FILLER_50_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 146880 ) N ;
- FILLER_50_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 146880 ) N ;
- FILLER_50_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 146880 ) N ;
- FILLER_50_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 146880 ) N ;
- FILLER_50_289 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 146880 ) N ;
- FILLER_50_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 146880 ) N ;
- FILLER_50_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 146880 ) N ;
- FILLER_50_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 146880 ) N ;
- FILLER_50_31 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 19780 146880 ) N ;
- FILLER_50_321 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 146880 ) N ;
- FILLER_50_333 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 146880 ) N ;
- FILLER_50_345 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 146880 ) N ;
- FILLER_50_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 146880 ) N ;
- FILLER_50_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 146880 ) N ;
- FILLER_50_365 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 146880 ) N ;
- FILLER_50_377 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 146880 ) N ;
- FILLER_50_389 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 184460 146880 ) N ;
- FILLER_50_397 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 188140 146880 ) N ;
- FILLER_50_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 146880 ) N ;
- FILLER_50_43 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25300 146880 ) N ;
- FILLER_50_55 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 30820 146880 ) N ;
- FILLER_50_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 146880 ) N ;
- FILLER_50_67 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 36340 146880 ) N ;
- FILLER_50_79 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 41860 146880 ) N ;
- FILLER_50_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 146880 ) N ;
- FILLER_50_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 146880 ) N ;
- FILLER_50_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 146880 ) N ;
- FILLER_51_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 149600 ) FS ;
- FILLER_51_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 149600 ) FS ;
- FILLER_51_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 149600 ) FS ;
- FILLER_51_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 149600 ) FS ;
- FILLER_51_13 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11500 149600 ) FS ;
- FILLER_51_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 149600 ) FS ;
- FILLER_51_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 149600 ) FS ;
- FILLER_51_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 149600 ) FS ;
- FILLER_51_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 149600 ) FS ;
- FILLER_51_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 149600 ) FS ;
- FILLER_51_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 149600 ) FS ;
- FILLER_51_19 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14260 149600 ) FS ;
- FILLER_51_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 149600 ) FS ;
- FILLER_51_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 149600 ) FS ;
- FILLER_51_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 149600 ) FS ;
- FILLER_51_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 149600 ) FS ;
- FILLER_51_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 149600 ) FS ;
- FILLER_51_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 149600 ) FS ;
- FILLER_51_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 149600 ) FS ;
- FILLER_51_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 149600 ) FS ;
- FILLER_51_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 149600 ) FS ;
- FILLER_51_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 149600 ) FS ;
- FILLER_51_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 149600 ) FS ;
- FILLER_51_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 149600 ) FS ;
- FILLER_51_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 149600 ) FS ;
- FILLER_51_31 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 19780 149600 ) FS ;
- FILLER_51_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 149600 ) FS ;
- FILLER_51_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 149600 ) FS ;
- FILLER_51_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 149600 ) FS ;
- FILLER_51_337 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 149600 ) FS ;
- FILLER_51_349 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 149600 ) FS ;
- FILLER_51_361 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 149600 ) FS ;
- FILLER_51_373 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 149600 ) FS ;
- FILLER_51_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 149600 ) FS ;
- FILLER_51_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 149600 ) FS ;
- FILLER_51_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 149600 ) FS ;
- FILLER_51_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 149600 ) FS ;
- FILLER_51_43 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25300 149600 ) FS ;
- FILLER_51_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 149600 ) FS ;
- FILLER_51_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 149600 ) FS ;
- FILLER_51_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 149600 ) FS ;
- FILLER_51_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 149600 ) FS ;
- FILLER_51_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 149600 ) FS ;
- FILLER_51_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 149600 ) FS ;
- FILLER_52_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 152320 ) N ;
- FILLER_52_12 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11040 152320 ) N ;
- FILLER_52_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 152320 ) N ;
- FILLER_52_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 152320 ) N ;
- FILLER_52_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 152320 ) N ;
- FILLER_52_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 152320 ) N ;
- FILLER_52_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 152320 ) N ;
- FILLER_52_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 152320 ) N ;
- FILLER_52_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 152320 ) N ;
- FILLER_52_18 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 13800 152320 ) N ;
- FILLER_52_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 152320 ) N ;
- FILLER_52_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 152320 ) N ;
- FILLER_52_197 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 96140 152320 ) N ;
- FILLER_52_203 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 98900 152320 ) N ;
- FILLER_52_207 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 100740 152320 ) N ;
- FILLER_52_213 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 103500 152320 ) N ;
- FILLER_52_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 152320 ) N ;
- FILLER_52_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 152320 ) N ;
- FILLER_52_249 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 120060 152320 ) N ;
- FILLER_52_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 152320 ) N ;
- FILLER_52_26 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 17480 152320 ) N ;
- FILLER_52_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 152320 ) N ;
- FILLER_52_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 152320 ) N ;
- FILLER_52_289 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 152320 ) N ;
- FILLER_52_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 152320 ) N ;
- FILLER_52_3 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 6900 152320 ) N ;
- FILLER_52_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 152320 ) N ;
- FILLER_52_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 152320 ) N ;
- FILLER_52_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 152320 ) N ;
- FILLER_52_321 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 152320 ) N ;
- FILLER_52_333 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 152320 ) N ;
- FILLER_52_345 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 152320 ) N ;
- FILLER_52_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 152320 ) N ;
- FILLER_52_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 152320 ) N ;
- FILLER_52_365 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 152320 ) N ;
- FILLER_52_377 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 152320 ) N ;
- FILLER_52_389 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 184460 152320 ) N ;
- FILLER_52_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 152320 ) N ;
- FILLER_52_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 152320 ) N ;
- FILLER_52_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 152320 ) N ;
- FILLER_52_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 152320 ) N ;
- FILLER_52_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 152320 ) N ;
- FILLER_52_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 152320 ) N ;
- FILLER_52_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 152320 ) N ;
- FILLER_52_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 152320 ) N ;
- FILLER_52_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 152320 ) N ;
- FILLER_53_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 155040 ) FS ;
- FILLER_53_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 155040 ) FS ;
- FILLER_53_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 155040 ) FS ;
- FILLER_53_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 155040 ) FS ;
- FILLER_53_13 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11500 155040 ) FS ;
- FILLER_53_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 155040 ) FS ;
- FILLER_53_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 155040 ) FS ;
- FILLER_53_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 155040 ) FS ;
- FILLER_53_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 155040 ) FS ;
- FILLER_53_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 155040 ) FS ;
- FILLER_53_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 155040 ) FS ;
- FILLER_53_19 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14260 155040 ) FS ;
- FILLER_53_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 155040 ) FS ;
- FILLER_53_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 155040 ) FS ;
- FILLER_53_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 155040 ) FS ;
- FILLER_53_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 155040 ) FS ;
- FILLER_53_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 155040 ) FS ;
- FILLER_53_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 155040 ) FS ;
- FILLER_53_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 155040 ) FS ;
- FILLER_53_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 155040 ) FS ;
- FILLER_53_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 155040 ) FS ;
- FILLER_53_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 155040 ) FS ;
- FILLER_53_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 155040 ) FS ;
- FILLER_53_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 155040 ) FS ;
- FILLER_53_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 155040 ) FS ;
- FILLER_53_31 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 19780 155040 ) FS ;
- FILLER_53_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 155040 ) FS ;
- FILLER_53_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 155040 ) FS ;
- FILLER_53_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 155040 ) FS ;
- FILLER_53_337 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 155040 ) FS ;
- FILLER_53_349 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 155040 ) FS ;
- FILLER_53_361 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 155040 ) FS ;
- FILLER_53_373 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 155040 ) FS ;
- FILLER_53_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 155040 ) FS ;
- FILLER_53_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 155040 ) FS ;
- FILLER_53_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 155040 ) FS ;
- FILLER_53_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 155040 ) FS ;
- FILLER_53_43 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25300 155040 ) FS ;
- FILLER_53_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 155040 ) FS ;
- FILLER_53_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 155040 ) FS ;
- FILLER_53_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 155040 ) FS ;
- FILLER_53_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 155040 ) FS ;
- FILLER_53_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 155040 ) FS ;
- FILLER_53_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 155040 ) FS ;
- FILLER_54_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 157760 ) N ;
- FILLER_54_12 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11040 157760 ) N ;
- FILLER_54_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 157760 ) N ;
- FILLER_54_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 157760 ) N ;
- FILLER_54_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 157760 ) N ;
- FILLER_54_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 157760 ) N ;
- FILLER_54_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 157760 ) N ;
- FILLER_54_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 157760 ) N ;
- FILLER_54_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 157760 ) N ;
- FILLER_54_18 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 13800 157760 ) N ;
- FILLER_54_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 157760 ) N ;
- FILLER_54_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 157760 ) N ;
- FILLER_54_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 157760 ) N ;
- FILLER_54_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 157760 ) N ;
- FILLER_54_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 157760 ) N ;
- FILLER_54_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 157760 ) N ;
- FILLER_54_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 157760 ) N ;
- FILLER_54_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 157760 ) N ;
- FILLER_54_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 157760 ) N ;
- FILLER_54_26 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 17480 157760 ) N ;
- FILLER_54_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 157760 ) N ;
- FILLER_54_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 157760 ) N ;
- FILLER_54_289 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 157760 ) N ;
- FILLER_54_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 157760 ) N ;
- FILLER_54_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 157760 ) N ;
- FILLER_54_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 157760 ) N ;
- FILLER_54_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 157760 ) N ;
- FILLER_54_321 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 157760 ) N ;
- FILLER_54_333 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 157760 ) N ;
- FILLER_54_345 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 157760 ) N ;
- FILLER_54_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 157760 ) N ;
- FILLER_54_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 157760 ) N ;
- FILLER_54_365 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 157760 ) N ;
- FILLER_54_377 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 157760 ) N ;
- FILLER_54_389 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 157760 ) N ;
- FILLER_54_401 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 189980 157760 ) N ;
- FILLER_54_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 157760 ) N ;
- FILLER_54_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 157760 ) N ;
- FILLER_54_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 157760 ) N ;
- FILLER_54_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 157760 ) N ;
- FILLER_54_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 157760 ) N ;
- FILLER_54_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 157760 ) N ;
- FILLER_54_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 157760 ) N ;
- FILLER_54_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 157760 ) N ;
- FILLER_55_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 160480 ) FS ;
- FILLER_55_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 160480 ) FS ;
- FILLER_55_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 160480 ) FS ;
- FILLER_55_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 160480 ) FS ;
- FILLER_55_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 160480 ) FS ;
- FILLER_55_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 160480 ) FS ;
- FILLER_55_15 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 12420 160480 ) FS ;
- FILLER_55_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 160480 ) FS ;
- FILLER_55_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 160480 ) FS ;
- FILLER_55_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 160480 ) FS ;
- FILLER_55_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 160480 ) FS ;
- FILLER_55_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 160480 ) FS ;
- FILLER_55_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 160480 ) FS ;
- FILLER_55_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 160480 ) FS ;
- FILLER_55_22 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 15640 160480 ) FS ;
- FILLER_55_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 160480 ) FS ;
- FILLER_55_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 160480 ) FS ;
- FILLER_55_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 160480 ) FS ;
- FILLER_55_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 160480 ) FS ;
- FILLER_55_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 160480 ) FS ;
- FILLER_55_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 160480 ) FS ;
- FILLER_55_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 160480 ) FS ;
- FILLER_55_28 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18400 160480 ) FS ;
- FILLER_55_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 160480 ) FS ;
- FILLER_55_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 160480 ) FS ;
- FILLER_55_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 160480 ) FS ;
- FILLER_55_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 160480 ) FS ;
- FILLER_55_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 160480 ) FS ;
- FILLER_55_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 160480 ) FS ;
- FILLER_55_337 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 160480 ) FS ;
- FILLER_55_349 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 160480 ) FS ;
- FILLER_55_361 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 160480 ) FS ;
- FILLER_55_373 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 160480 ) FS ;
- FILLER_55_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 160480 ) FS ;
- FILLER_55_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 160480 ) FS ;
- FILLER_55_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 160480 ) FS ;
- FILLER_55_40 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23920 160480 ) FS ;
- FILLER_55_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 160480 ) FS ;
- FILLER_55_52 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 29440 160480 ) FS ;
- FILLER_55_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 160480 ) FS ;
- FILLER_55_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 160480 ) FS ;
- FILLER_55_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 160480 ) FS ;
- FILLER_55_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 160480 ) FS ;
- FILLER_55_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 160480 ) FS ;
- FILLER_56_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 163200 ) N ;
- FILLER_56_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 163200 ) N ;
- FILLER_56_13 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11500 163200 ) N ;
- FILLER_56_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 163200 ) N ;
- FILLER_56_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 163200 ) N ;
- FILLER_56_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 163200 ) N ;
- FILLER_56_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 163200 ) N ;
- FILLER_56_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 163200 ) N ;
- FILLER_56_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 163200 ) N ;
- FILLER_56_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 163200 ) N ;
- FILLER_56_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 163200 ) N ;
- FILLER_56_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 163200 ) N ;
- FILLER_56_20 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14720 163200 ) N ;
- FILLER_56_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 163200 ) N ;
- FILLER_56_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 163200 ) N ;
- FILLER_56_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 163200 ) N ;
- FILLER_56_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 163200 ) N ;
- FILLER_56_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 163200 ) N ;
- FILLER_56_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 163200 ) N ;
- FILLER_56_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 163200 ) N ;
- FILLER_56_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 163200 ) N ;
- FILLER_56_289 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 163200 ) N ;
- FILLER_56_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 163200 ) N ;
- FILLER_56_3 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 6900 163200 ) N ;
- FILLER_56_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 163200 ) N ;
- FILLER_56_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 163200 ) N ;
- FILLER_56_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 163200 ) N ;
- FILLER_56_321 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 163200 ) N ;
- FILLER_56_333 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 163200 ) N ;
- FILLER_56_345 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 163200 ) N ;
- FILLER_56_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 163200 ) N ;
- FILLER_56_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 163200 ) N ;
- FILLER_56_365 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 163200 ) N ;
- FILLER_56_377 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 163200 ) N ;
- FILLER_56_389 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 184460 163200 ) N ;
- FILLER_56_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 163200 ) N ;
- FILLER_56_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 163200 ) N ;
- FILLER_56_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 163200 ) N ;
- FILLER_56_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 163200 ) N ;
- FILLER_56_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 163200 ) N ;
- FILLER_56_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 163200 ) N ;
- FILLER_56_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 163200 ) N ;
- FILLER_56_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 163200 ) N ;
- FILLER_56_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 163200 ) N ;
- FILLER_57_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 165920 ) FS ;
- FILLER_57_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 165920 ) FS ;
- FILLER_57_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 165920 ) FS ;
- FILLER_57_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 165920 ) FS ;
- FILLER_57_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 165920 ) FS ;
- FILLER_57_14 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 11960 165920 ) FS ;
- FILLER_57_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 165920 ) FS ;
- FILLER_57_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 165920 ) FS ;
- FILLER_57_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 165920 ) FS ;
- FILLER_57_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 165920 ) FS ;
- FILLER_57_17 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 13340 165920 ) FS ;
- FILLER_57_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 165920 ) FS ;
- FILLER_57_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 165920 ) FS ;
- FILLER_57_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 165920 ) FS ;
- FILLER_57_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 165920 ) FS ;
- FILLER_57_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 165920 ) FS ;
- FILLER_57_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 165920 ) FS ;
- FILLER_57_23 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 16100 165920 ) FS ;
- FILLER_57_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 165920 ) FS ;
- FILLER_57_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 165920 ) FS ;
- FILLER_57_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 165920 ) FS ;
- FILLER_57_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 165920 ) FS ;
- FILLER_57_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 165920 ) FS ;
- FILLER_57_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 165920 ) FS ;
- FILLER_57_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 165920 ) FS ;
- FILLER_57_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 165920 ) FS ;
- FILLER_57_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 165920 ) FS ;
- FILLER_57_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 165920 ) FS ;
- FILLER_57_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 165920 ) FS ;
- FILLER_57_337 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 165920 ) FS ;
- FILLER_57_349 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 165920 ) FS ;
- FILLER_57_35 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 21620 165920 ) FS ;
- FILLER_57_361 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 165920 ) FS ;
- FILLER_57_373 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 165920 ) FS ;
- FILLER_57_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 165920 ) FS ;
- FILLER_57_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 165920 ) FS ;
- FILLER_57_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 165920 ) FS ;
- FILLER_57_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 165920 ) FS ;
- FILLER_57_47 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 27140 165920 ) FS ;
- FILLER_57_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 165920 ) FS ;
- FILLER_57_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 165920 ) FS ;
- FILLER_57_6 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 8280 165920 ) FS ;
- FILLER_57_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 165920 ) FS ;
- FILLER_57_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 165920 ) FS ;
- FILLER_57_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 165920 ) FS ;
- FILLER_58_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 168640 ) N ;
- FILLER_58_12 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11040 168640 ) N ;
- FILLER_58_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 168640 ) N ;
- FILLER_58_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 168640 ) N ;
- FILLER_58_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 168640 ) N ;
- FILLER_58_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 168640 ) N ;
- FILLER_58_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 168640 ) N ;
- FILLER_58_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 168640 ) N ;
- FILLER_58_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 168640 ) N ;
- FILLER_58_18 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 13800 168640 ) N ;
- FILLER_58_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 168640 ) N ;
- FILLER_58_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 168640 ) N ;
- FILLER_58_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 168640 ) N ;
- FILLER_58_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 168640 ) N ;
- FILLER_58_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 168640 ) N ;
- FILLER_58_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 168640 ) N ;
- FILLER_58_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 168640 ) N ;
- FILLER_58_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 168640 ) N ;
- FILLER_58_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 168640 ) N ;
- FILLER_58_26 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 17480 168640 ) N ;
- FILLER_58_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 168640 ) N ;
- FILLER_58_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 168640 ) N ;
- FILLER_58_289 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 168640 ) N ;
- FILLER_58_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 168640 ) N ;
- FILLER_58_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 168640 ) N ;
- FILLER_58_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 168640 ) N ;
- FILLER_58_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 168640 ) N ;
- FILLER_58_321 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 168640 ) N ;
- FILLER_58_333 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 168640 ) N ;
- FILLER_58_345 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 168640 ) N ;
- FILLER_58_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 168640 ) N ;
- FILLER_58_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 168640 ) N ;
- FILLER_58_365 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 168640 ) N ;
- FILLER_58_377 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 168640 ) N ;
- FILLER_58_389 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 184460 168640 ) N ;
- FILLER_58_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 168640 ) N ;
- FILLER_58_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 168640 ) N ;
- FILLER_58_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 168640 ) N ;
- FILLER_58_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 168640 ) N ;
- FILLER_58_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 168640 ) N ;
- FILLER_58_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 168640 ) N ;
- FILLER_58_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 168640 ) N ;
- FILLER_58_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 168640 ) N ;
- FILLER_58_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 168640 ) N ;
- FILLER_58_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 168640 ) N ;
- FILLER_59_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 171360 ) FS ;
- FILLER_59_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 171360 ) FS ;
- FILLER_59_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 171360 ) FS ;
- FILLER_59_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 171360 ) FS ;
- FILLER_59_13 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11500 171360 ) FS ;
- FILLER_59_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 171360 ) FS ;
- FILLER_59_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 171360 ) FS ;
- FILLER_59_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 171360 ) FS ;
- FILLER_59_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 171360 ) FS ;
- FILLER_59_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 171360 ) FS ;
- FILLER_59_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 171360 ) FS ;
- FILLER_59_19 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14260 171360 ) FS ;
- FILLER_59_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 171360 ) FS ;
- FILLER_59_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 171360 ) FS ;
- FILLER_59_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 171360 ) FS ;
- FILLER_59_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 171360 ) FS ;
- FILLER_59_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 171360 ) FS ;
- FILLER_59_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 171360 ) FS ;
- FILLER_59_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 171360 ) FS ;
- FILLER_59_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 171360 ) FS ;
- FILLER_59_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 171360 ) FS ;
- FILLER_59_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 171360 ) FS ;
- FILLER_59_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 171360 ) FS ;
- FILLER_59_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 171360 ) FS ;
- FILLER_59_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 171360 ) FS ;
- FILLER_59_31 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 19780 171360 ) FS ;
- FILLER_59_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 171360 ) FS ;
- FILLER_59_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 171360 ) FS ;
- FILLER_59_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 171360 ) FS ;
- FILLER_59_337 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 171360 ) FS ;
- FILLER_59_349 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 171360 ) FS ;
- FILLER_59_361 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 171360 ) FS ;
- FILLER_59_373 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 171360 ) FS ;
- FILLER_59_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 171360 ) FS ;
- FILLER_59_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 171360 ) FS ;
- FILLER_59_393 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 171360 ) FS ;
- FILLER_59_405 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 191820 171360 ) FS ;
- FILLER_59_43 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25300 171360 ) FS ;
- FILLER_59_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 171360 ) FS ;
- FILLER_59_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 171360 ) FS ;
- FILLER_59_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 171360 ) FS ;
- FILLER_59_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 171360 ) FS ;
- FILLER_59_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 171360 ) FS ;
- FILLER_59_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 171360 ) FS ;
- FILLER_5_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 24480 ) FS ;
- FILLER_5_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 24480 ) FS ;
- FILLER_5_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 24480 ) FS ;
- FILLER_5_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 24480 ) FS ;
- FILLER_5_13 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11500 24480 ) FS ;
- FILLER_5_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 24480 ) FS ;
- FILLER_5_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 24480 ) FS ;
- FILLER_5_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 24480 ) FS ;
- FILLER_5_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 24480 ) FS ;
- FILLER_5_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 24480 ) FS ;
- FILLER_5_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 24480 ) FS ;
- FILLER_5_19 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14260 24480 ) FS ;
- FILLER_5_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 24480 ) FS ;
- FILLER_5_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 24480 ) FS ;
- FILLER_5_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 24480 ) FS ;
- FILLER_5_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 24480 ) FS ;
- FILLER_5_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 24480 ) FS ;
- FILLER_5_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 24480 ) FS ;
- FILLER_5_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 24480 ) FS ;
- FILLER_5_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 24480 ) FS ;
- FILLER_5_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 24480 ) FS ;
- FILLER_5_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 24480 ) FS ;
- FILLER_5_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 24480 ) FS ;
- FILLER_5_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 24480 ) FS ;
- FILLER_5_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 24480 ) FS ;
- FILLER_5_31 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 19780 24480 ) FS ;
- FILLER_5_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 24480 ) FS ;
- FILLER_5_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 24480 ) FS ;
- FILLER_5_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 24480 ) FS ;
- FILLER_5_337 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 24480 ) FS ;
- FILLER_5_349 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 24480 ) FS ;
- FILLER_5_361 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 24480 ) FS ;
- FILLER_5_373 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 24480 ) FS ;
- FILLER_5_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 24480 ) FS ;
- FILLER_5_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 24480 ) FS ;
- FILLER_5_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 24480 ) FS ;
- FILLER_5_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 24480 ) FS ;
- FILLER_5_43 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25300 24480 ) FS ;
- FILLER_5_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 24480 ) FS ;
- FILLER_5_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 24480 ) FS ;
- FILLER_5_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 24480 ) FS ;
- FILLER_5_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 24480 ) FS ;
- FILLER_5_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 24480 ) FS ;
- FILLER_5_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 24480 ) FS ;
- FILLER_60_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 174080 ) N ;
- FILLER_60_12 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 11040 174080 ) N ;
- FILLER_60_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 174080 ) N ;
- FILLER_60_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 174080 ) N ;
- FILLER_60_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 174080 ) N ;
- FILLER_60_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 174080 ) N ;
- FILLER_60_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 174080 ) N ;
- FILLER_60_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 174080 ) N ;
- FILLER_60_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 174080 ) N ;
- FILLER_60_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 174080 ) N ;
- FILLER_60_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 174080 ) N ;
- FILLER_60_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 174080 ) N ;
- FILLER_60_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 174080 ) N ;
- FILLER_60_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 174080 ) N ;
- FILLER_60_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 174080 ) N ;
- FILLER_60_24 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 16560 174080 ) N ;
- FILLER_60_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 174080 ) N ;
- FILLER_60_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 174080 ) N ;
- FILLER_60_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 174080 ) N ;
- FILLER_60_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 174080 ) N ;
- FILLER_60_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 174080 ) N ;
- FILLER_60_289 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 174080 ) N ;
- FILLER_60_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 174080 ) N ;
- FILLER_60_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 174080 ) N ;
- FILLER_60_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 174080 ) N ;
- FILLER_60_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 174080 ) N ;
- FILLER_60_321 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 174080 ) N ;
- FILLER_60_333 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 174080 ) N ;
- FILLER_60_345 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 174080 ) N ;
- FILLER_60_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 174080 ) N ;
- FILLER_60_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 174080 ) N ;
- FILLER_60_365 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 174080 ) N ;
- FILLER_60_377 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 174080 ) N ;
- FILLER_60_389 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 184460 174080 ) N ;
- FILLER_60_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 174080 ) N ;
- FILLER_60_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 174080 ) N ;
- FILLER_60_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 174080 ) N ;
- FILLER_60_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 174080 ) N ;
- FILLER_60_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 174080 ) N ;
- FILLER_60_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 174080 ) N ;
- FILLER_60_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 174080 ) N ;
- FILLER_60_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 174080 ) N ;
- FILLER_60_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 174080 ) N ;
- FILLER_60_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 174080 ) N ;
- FILLER_61_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 176800 ) FS ;
- FILLER_61_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 176800 ) FS ;
- FILLER_61_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 176800 ) FS ;
- FILLER_61_12 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 11040 176800 ) FS ;
- FILLER_61_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 176800 ) FS ;
- FILLER_61_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 176800 ) FS ;
- FILLER_61_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 176800 ) FS ;
- FILLER_61_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 176800 ) FS ;
- FILLER_61_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 176800 ) FS ;
- FILLER_61_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 176800 ) FS ;
- FILLER_61_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 176800 ) FS ;
- FILLER_61_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 176800 ) FS ;
- FILLER_61_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 176800 ) FS ;
- FILLER_61_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 176800 ) FS ;
- FILLER_61_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 176800 ) FS ;
- FILLER_61_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 176800 ) FS ;
- FILLER_61_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 176800 ) FS ;
- FILLER_61_24 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 16560 176800 ) FS ;
- FILLER_61_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 176800 ) FS ;
- FILLER_61_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 176800 ) FS ;
- FILLER_61_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 176800 ) FS ;
- FILLER_61_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 176800 ) FS ;
- FILLER_61_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 176800 ) FS ;
- FILLER_61_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 176800 ) FS ;
- FILLER_61_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 176800 ) FS ;
- FILLER_61_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 176800 ) FS ;
- FILLER_61_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 176800 ) FS ;
- FILLER_61_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 176800 ) FS ;
- FILLER_61_337 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 176800 ) FS ;
- FILLER_61_349 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 176800 ) FS ;
- FILLER_61_36 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 22080 176800 ) FS ;
- FILLER_61_361 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 176800 ) FS ;
- FILLER_61_373 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 176800 ) FS ;
- FILLER_61_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 176800 ) FS ;
- FILLER_61_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 176800 ) FS ;
- FILLER_61_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 176800 ) FS ;
- FILLER_61_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 176800 ) FS ;
- FILLER_61_48 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 27600 176800 ) FS ;
- FILLER_61_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 176800 ) FS ;
- FILLER_61_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 176800 ) FS ;
- FILLER_61_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 176800 ) FS ;
- FILLER_61_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 176800 ) FS ;
- FILLER_61_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 176800 ) FS ;
- FILLER_62_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 179520 ) N ;
- FILLER_62_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 179520 ) N ;
- FILLER_62_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 179520 ) N ;
- FILLER_62_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 179520 ) N ;
- FILLER_62_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 179520 ) N ;
- FILLER_62_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 179520 ) N ;
- FILLER_62_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 179520 ) N ;
- FILLER_62_17 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 13340 179520 ) N ;
- FILLER_62_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 179520 ) N ;
- FILLER_62_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 179520 ) N ;
- FILLER_62_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 179520 ) N ;
- FILLER_62_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 179520 ) N ;
- FILLER_62_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 179520 ) N ;
- FILLER_62_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 179520 ) N ;
- FILLER_62_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 179520 ) N ;
- FILLER_62_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 179520 ) N ;
- FILLER_62_25 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 17020 179520 ) N ;
- FILLER_62_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 179520 ) N ;
- FILLER_62_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 179520 ) N ;
- FILLER_62_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 179520 ) N ;
- FILLER_62_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 179520 ) N ;
- FILLER_62_289 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 179520 ) N ;
- FILLER_62_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 179520 ) N ;
- FILLER_62_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 179520 ) N ;
- FILLER_62_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 179520 ) N ;
- FILLER_62_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 179520 ) N ;
- FILLER_62_321 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 179520 ) N ;
- FILLER_62_333 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 179520 ) N ;
- FILLER_62_345 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 179520 ) N ;
- FILLER_62_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 179520 ) N ;
- FILLER_62_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 179520 ) N ;
- FILLER_62_365 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 179520 ) N ;
- FILLER_62_377 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 179520 ) N ;
- FILLER_62_389 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 184460 179520 ) N ;
- FILLER_62_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 179520 ) N ;
- FILLER_62_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 179520 ) N ;
- FILLER_62_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 179520 ) N ;
- FILLER_62_5 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 7820 179520 ) N ;
- FILLER_62_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 179520 ) N ;
- FILLER_62_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 179520 ) N ;
- FILLER_62_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 179520 ) N ;
- FILLER_62_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 179520 ) N ;
- FILLER_62_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 179520 ) N ;
- FILLER_62_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 179520 ) N ;
- FILLER_63_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 182240 ) FS ;
- FILLER_63_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 182240 ) FS ;
- FILLER_63_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 182240 ) FS ;
- FILLER_63_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 182240 ) FS ;
- FILLER_63_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 182240 ) FS ;
- FILLER_63_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 182240 ) FS ;
- FILLER_63_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 182240 ) FS ;
- FILLER_63_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 182240 ) FS ;
- FILLER_63_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 182240 ) FS ;
- FILLER_63_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 182240 ) FS ;
- FILLER_63_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 182240 ) FS ;
- FILLER_63_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 182240 ) FS ;
- FILLER_63_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 182240 ) FS ;
- FILLER_63_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 182240 ) FS ;
- FILLER_63_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 182240 ) FS ;
- FILLER_63_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 182240 ) FS ;
- FILLER_63_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 182240 ) FS ;
- FILLER_63_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 182240 ) FS ;
- FILLER_63_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 182240 ) FS ;
- FILLER_63_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 182240 ) FS ;
- FILLER_63_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 182240 ) FS ;
- FILLER_63_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 182240 ) FS ;
- FILLER_63_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 182240 ) FS ;
- FILLER_63_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 182240 ) FS ;
- FILLER_63_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 182240 ) FS ;
- FILLER_63_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 182240 ) FS ;
- FILLER_63_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 182240 ) FS ;
- FILLER_63_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 182240 ) FS ;
- FILLER_63_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 182240 ) FS ;
- FILLER_63_337 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 182240 ) FS ;
- FILLER_63_349 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 182240 ) FS ;
- FILLER_63_361 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 182240 ) FS ;
- FILLER_63_373 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 182240 ) FS ;
- FILLER_63_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 182240 ) FS ;
- FILLER_63_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 182240 ) FS ;
- FILLER_63_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 182240 ) FS ;
- FILLER_63_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 182240 ) FS ;
- FILLER_63_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 182240 ) FS ;
- FILLER_63_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 182240 ) FS ;
- FILLER_63_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 182240 ) FS ;
- FILLER_63_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 182240 ) FS ;
- FILLER_63_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 182240 ) FS ;
- FILLER_63_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 182240 ) FS ;
- FILLER_63_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 182240 ) FS ;
- FILLER_64_109 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 55660 184960 ) N ;
- FILLER_64_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 184960 ) N ;
- FILLER_64_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 184960 ) N ;
- FILLER_64_137 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 68540 184960 ) N ;
- FILLER_64_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 184960 ) N ;
- FILLER_64_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 184960 ) N ;
- FILLER_64_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 184960 ) N ;
- FILLER_64_165 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 81420 184960 ) N ;
- FILLER_64_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 184960 ) N ;
- FILLER_64_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 184960 ) N ;
- FILLER_64_193 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 94300 184960 ) N ;
- FILLER_64_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 184960 ) N ;
- FILLER_64_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 184960 ) N ;
- FILLER_64_221 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 107180 184960 ) N ;
- FILLER_64_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 184960 ) N ;
- FILLER_64_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 184960 ) N ;
- FILLER_64_249 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 120060 184960 ) N ;
- FILLER_64_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 184960 ) N ;
- FILLER_64_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 184960 ) N ;
- FILLER_64_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 184960 ) N ;
- FILLER_64_277 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 132940 184960 ) N ;
- FILLER_64_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 184960 ) N ;
- FILLER_64_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 184960 ) N ;
- FILLER_64_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 184960 ) N ;
- FILLER_64_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 184960 ) N ;
- FILLER_64_305 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 145820 184960 ) N ;
- FILLER_64_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 184960 ) N ;
- FILLER_64_321 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 184960 ) N ;
- FILLER_64_333 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 158700 184960 ) N ;
- FILLER_64_337 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 184960 ) N ;
- FILLER_64_349 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 184960 ) N ;
- FILLER_64_361 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 171580 184960 ) N ;
- FILLER_64_365 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 184960 ) N ;
- FILLER_64_377 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 184960 ) N ;
- FILLER_64_389 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 184460 184960 ) N ;
- FILLER_64_393 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 184960 ) N ;
- FILLER_64_405 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 191820 184960 ) N ;
- FILLER_64_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 184960 ) N ;
- FILLER_64_53 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 29900 184960 ) N ;
- FILLER_64_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 184960 ) N ;
- FILLER_64_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 184960 ) N ;
- FILLER_64_81 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 42780 184960 ) N ;
- FILLER_64_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 184960 ) N ;
- FILLER_64_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 184960 ) N ;
- FILLER_6_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 27200 ) N ;
- FILLER_6_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 27200 ) N ;
- FILLER_6_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 27200 ) N ;
- FILLER_6_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 27200 ) N ;
- FILLER_6_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 27200 ) N ;
- FILLER_6_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 27200 ) N ;
- FILLER_6_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 27200 ) N ;
- FILLER_6_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 27200 ) N ;
- FILLER_6_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 27200 ) N ;
- FILLER_6_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 27200 ) N ;
- FILLER_6_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 27200 ) N ;
- FILLER_6_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 27200 ) N ;
- FILLER_6_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 27200 ) N ;
- FILLER_6_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 27200 ) N ;
- FILLER_6_24 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 16560 27200 ) N ;
- FILLER_6_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 27200 ) N ;
- FILLER_6_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 27200 ) N ;
- FILLER_6_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 27200 ) N ;
- FILLER_6_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 27200 ) N ;
- FILLER_6_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 27200 ) N ;
- FILLER_6_289 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 27200 ) N ;
- FILLER_6_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 27200 ) N ;
- FILLER_6_3 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 6900 27200 ) N ;
- FILLER_6_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 27200 ) N ;
- FILLER_6_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 27200 ) N ;
- FILLER_6_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 27200 ) N ;
- FILLER_6_321 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 27200 ) N ;
- FILLER_6_333 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 27200 ) N ;
- FILLER_6_345 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 27200 ) N ;
- FILLER_6_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 27200 ) N ;
- FILLER_6_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 27200 ) N ;
- FILLER_6_365 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 27200 ) N ;
- FILLER_6_377 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 27200 ) N ;
- FILLER_6_389 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 184460 27200 ) N ;
- FILLER_6_397 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 188140 27200 ) N ;
- FILLER_6_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 27200 ) N ;
- FILLER_6_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 27200 ) N ;
- FILLER_6_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 27200 ) N ;
- FILLER_6_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 27200 ) N ;
- FILLER_6_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 27200 ) N ;
- FILLER_6_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 27200 ) N ;
- FILLER_6_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 27200 ) N ;
- FILLER_6_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 27200 ) N ;
- FILLER_7_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 29920 ) FS ;
- FILLER_7_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 29920 ) FS ;
- FILLER_7_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 29920 ) FS ;
- FILLER_7_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 29920 ) FS ;
- FILLER_7_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 29920 ) FS ;
- FILLER_7_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 29920 ) FS ;
- FILLER_7_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 29920 ) FS ;
- FILLER_7_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 29920 ) FS ;
- FILLER_7_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 29920 ) FS ;
- FILLER_7_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 29920 ) FS ;
- FILLER_7_19 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14260 29920 ) FS ;
- FILLER_7_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 29920 ) FS ;
- FILLER_7_205 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 99820 29920 ) FS ;
- FILLER_7_212 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 103040 29920 ) FS ;
- FILLER_7_218 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105800 29920 ) FS ;
- FILLER_7_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 29920 ) FS ;
- FILLER_7_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 29920 ) FS ;
- FILLER_7_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 29920 ) FS ;
- FILLER_7_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 29920 ) FS ;
- FILLER_7_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 29920 ) FS ;
- FILLER_7_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 29920 ) FS ;
- FILLER_7_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 29920 ) FS ;
- FILLER_7_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 29920 ) FS ;
- FILLER_7_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 29920 ) FS ;
- FILLER_7_31 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 19780 29920 ) FS ;
- FILLER_7_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 29920 ) FS ;
- FILLER_7_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 29920 ) FS ;
- FILLER_7_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 29920 ) FS ;
- FILLER_7_337 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 29920 ) FS ;
- FILLER_7_349 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 29920 ) FS ;
- FILLER_7_361 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 29920 ) FS ;
- FILLER_7_373 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 29920 ) FS ;
- FILLER_7_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 29920 ) FS ;
- FILLER_7_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 29920 ) FS ;
- FILLER_7_393 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 186300 29920 ) FS ;
- FILLER_7_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 29920 ) FS ;
- FILLER_7_43 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25300 29920 ) FS ;
- FILLER_7_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 29920 ) FS ;
- FILLER_7_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 29920 ) FS ;
- FILLER_7_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 29920 ) FS ;
- FILLER_7_7 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 8740 29920 ) FS ;
- FILLER_7_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 29920 ) FS ;
- FILLER_7_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 29920 ) FS ;
- FILLER_8_10 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 10120 32640 ) N ;
- FILLER_8_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 32640 ) N ;
- FILLER_8_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 32640 ) N ;
- FILLER_8_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 32640 ) N ;
- FILLER_8_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 32640 ) N ;
- FILLER_8_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 32640 ) N ;
- FILLER_8_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 32640 ) N ;
- FILLER_8_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 32640 ) N ;
- FILLER_8_17 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 13340 32640 ) N ;
- FILLER_8_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 32640 ) N ;
- FILLER_8_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 32640 ) N ;
- FILLER_8_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 32640 ) N ;
- FILLER_8_197 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 96140 32640 ) N ;
- FILLER_8_205 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 99820 32640 ) N ;
- FILLER_8_210 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102120 32640 ) N ;
- FILLER_8_222 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107640 32640 ) N ;
- FILLER_8_23 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 16100 32640 ) N ;
- FILLER_8_234 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 113160 32640 ) N ;
- FILLER_8_246 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118680 32640 ) N ;
- FILLER_8_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 32640 ) N ;
- FILLER_8_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 32640 ) N ;
- FILLER_8_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 32640 ) N ;
- FILLER_8_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 32640 ) N ;
- FILLER_8_289 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 32640 ) N ;
- FILLER_8_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 32640 ) N ;
- FILLER_8_3 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 6900 32640 ) N ;
- FILLER_8_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 32640 ) N ;
- FILLER_8_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 32640 ) N ;
- FILLER_8_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 32640 ) N ;
- FILLER_8_321 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 32640 ) N ;
- FILLER_8_333 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 32640 ) N ;
- FILLER_8_345 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 32640 ) N ;
- FILLER_8_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 32640 ) N ;
- FILLER_8_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 32640 ) N ;
- FILLER_8_365 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 32640 ) N ;
- FILLER_8_377 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 32640 ) N ;
- FILLER_8_389 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 184460 32640 ) N ;
- FILLER_8_397 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 188140 32640 ) N ;
- FILLER_8_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 32640 ) N ;
- FILLER_8_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 32640 ) N ;
- FILLER_8_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 32640 ) N ;
- FILLER_8_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 32640 ) N ;
- FILLER_8_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 32640 ) N ;
- FILLER_8_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 32640 ) N ;
- FILLER_8_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 32640 ) N ;
- FILLER_8_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 32640 ) N ;
- FILLER_9_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 35360 ) FS ;
- FILLER_9_11 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 10580 35360 ) FS ;
- FILLER_9_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 35360 ) FS ;
- FILLER_9_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 35360 ) FS ;
- FILLER_9_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 35360 ) FS ;
- FILLER_9_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 35360 ) FS ;
- FILLER_9_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 35360 ) FS ;
- FILLER_9_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 35360 ) FS ;
- FILLER_9_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 35360 ) FS ;
- FILLER_9_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 35360 ) FS ;
- FILLER_9_17 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 13340 35360 ) FS ;
- FILLER_9_181 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 88780 35360 ) FS ;
- FILLER_9_186 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 91080 35360 ) FS ;
- FILLER_9_192 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93840 35360 ) FS ;
- FILLER_9_201 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 97980 35360 ) FS ;
- FILLER_9_207 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 100740 35360 ) FS ;
- FILLER_9_211 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 102580 35360 ) FS ;
- FILLER_9_215 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 104420 35360 ) FS ;
- FILLER_9_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 35360 ) FS ;
- FILLER_9_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 35360 ) FS ;
- FILLER_9_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 35360 ) FS ;
- FILLER_9_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 35360 ) FS ;
- FILLER_9_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 35360 ) FS ;
- FILLER_9_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 35360 ) FS ;
- FILLER_9_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 35360 ) FS ;
- FILLER_9_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 35360 ) FS ;
- FILLER_9_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 35360 ) FS ;
- FILLER_9_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 35360 ) FS ;
- FILLER_9_3 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 6900 35360 ) FS ;
- FILLER_9_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 35360 ) FS ;
- FILLER_9_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 35360 ) FS ;
- FILLER_9_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 35360 ) FS ;
- FILLER_9_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 35360 ) FS ;
- FILLER_9_337 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 35360 ) FS ;
- FILLER_9_349 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 35360 ) FS ;
- FILLER_9_361 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 35360 ) FS ;
- FILLER_9_373 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 35360 ) FS ;
- FILLER_9_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 35360 ) FS ;
- FILLER_9_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 35360 ) FS ;
- FILLER_9_393 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 35360 ) FS ;
- FILLER_9_405 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 191820 35360 ) FS ;
- FILLER_9_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 35360 ) FS ;
- FILLER_9_53 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 29900 35360 ) FS ;
- FILLER_9_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 35360 ) FS ;
- FILLER_9_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 35360 ) FS ;
- FILLER_9_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 35360 ) FS ;
- FILLER_9_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 35360 ) FS ;
- PHY_0 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 10880 ) N ;
- PHY_1 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 10880 ) FN ;
- PHY_10 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 24480 ) FS ;
- PHY_100 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 146880 ) N ;
- PHY_101 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 146880 ) FN ;
- PHY_102 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 149600 ) FS ;
- PHY_103 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 149600 ) S ;
- PHY_104 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 152320 ) N ;
- PHY_105 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 152320 ) FN ;
- PHY_106 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 155040 ) FS ;
- PHY_107 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 155040 ) S ;
- PHY_108 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 157760 ) N ;
- PHY_109 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 157760 ) FN ;
- PHY_11 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 24480 ) S ;
- PHY_110 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 160480 ) FS ;
- PHY_111 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 160480 ) S ;
- PHY_112 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 163200 ) N ;
- PHY_113 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 163200 ) FN ;
- PHY_114 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 165920 ) FS ;
- PHY_115 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 165920 ) S ;
- PHY_116 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 168640 ) N ;
- PHY_117 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 168640 ) FN ;
- PHY_118 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 171360 ) FS ;
- PHY_119 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 171360 ) S ;
- PHY_12 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 27200 ) N ;
- PHY_120 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 174080 ) N ;
- PHY_121 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 174080 ) FN ;
- PHY_122 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 176800 ) FS ;
- PHY_123 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 176800 ) S ;
- PHY_124 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 179520 ) N ;
- PHY_125 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 179520 ) FN ;
- PHY_126 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 182240 ) FS ;
- PHY_127 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 182240 ) S ;
- PHY_128 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 184960 ) N ;
- PHY_129 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 184960 ) FN ;
- PHY_13 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 27200 ) FN ;
- PHY_14 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 29920 ) FS ;
- PHY_15 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 29920 ) S ;
- PHY_16 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 32640 ) N ;
- PHY_17 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 32640 ) FN ;
- PHY_18 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 35360 ) FS ;
- PHY_19 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 35360 ) S ;
- PHY_2 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 13600 ) FS ;
- PHY_20 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 38080 ) N ;
- PHY_21 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 38080 ) FN ;
- PHY_22 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 40800 ) FS ;
- PHY_23 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 40800 ) S ;
- PHY_24 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 43520 ) N ;
- PHY_25 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 43520 ) FN ;
- PHY_26 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 46240 ) FS ;
- PHY_27 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 46240 ) S ;
- PHY_28 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 48960 ) N ;
- PHY_29 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 48960 ) FN ;
- PHY_3 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 13600 ) S ;
- PHY_30 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 51680 ) FS ;
- PHY_31 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 51680 ) S ;
- PHY_32 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 54400 ) N ;
- PHY_33 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 54400 ) FN ;
- PHY_34 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 57120 ) FS ;
- PHY_35 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 57120 ) S ;
- PHY_36 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 59840 ) N ;
- PHY_37 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 59840 ) FN ;
- PHY_38 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 62560 ) FS ;
- PHY_39 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 62560 ) S ;
- PHY_4 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 16320 ) N ;
- PHY_40 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 65280 ) N ;
- PHY_41 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 65280 ) FN ;
- PHY_42 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 68000 ) FS ;
- PHY_43 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 68000 ) S ;
- PHY_44 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 70720 ) N ;
- PHY_45 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 70720 ) FN ;
- PHY_46 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 73440 ) FS ;
- PHY_47 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 73440 ) S ;
- PHY_48 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 76160 ) N ;
- PHY_49 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 76160 ) FN ;
- PHY_5 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 16320 ) FN ;
- PHY_50 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 78880 ) FS ;
- PHY_51 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 78880 ) S ;
- PHY_52 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 81600 ) N ;
- PHY_53 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 81600 ) FN ;
- PHY_54 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 84320 ) FS ;
- PHY_55 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 84320 ) S ;
- PHY_56 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 87040 ) N ;
- PHY_57 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 87040 ) FN ;
- PHY_58 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 89760 ) FS ;
- PHY_59 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 89760 ) S ;
- PHY_6 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 19040 ) FS ;
- PHY_60 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 92480 ) N ;
- PHY_61 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 92480 ) FN ;
- PHY_62 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 95200 ) FS ;
- PHY_63 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 95200 ) S ;
- PHY_64 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 97920 ) N ;
- PHY_65 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 97920 ) FN ;
- PHY_66 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 100640 ) FS ;
- PHY_67 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 100640 ) S ;
- PHY_68 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 103360 ) N ;
- PHY_69 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 103360 ) FN ;
- PHY_7 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 19040 ) S ;
- PHY_70 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 106080 ) FS ;
- PHY_71 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 106080 ) S ;
- PHY_72 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 108800 ) N ;
- PHY_73 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 108800 ) FN ;
- PHY_74 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 111520 ) FS ;
- PHY_75 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 111520 ) S ;
- PHY_76 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 114240 ) N ;
- PHY_77 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 114240 ) FN ;
- PHY_78 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 116960 ) FS ;
- PHY_79 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 116960 ) S ;
- PHY_8 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 21760 ) N ;
- PHY_80 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 119680 ) N ;
- PHY_81 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 119680 ) FN ;
- PHY_82 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 122400 ) FS ;
- PHY_83 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 122400 ) S ;
- PHY_84 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 125120 ) N ;
- PHY_85 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 125120 ) FN ;
- PHY_86 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 127840 ) FS ;
- PHY_87 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 127840 ) S ;
- PHY_88 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 130560 ) N ;
- PHY_89 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 130560 ) FN ;
- PHY_9 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 21760 ) FN ;
- PHY_90 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 133280 ) FS ;
- PHY_91 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 133280 ) S ;
- PHY_92 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 136000 ) N ;
- PHY_93 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 136000 ) FN ;
- PHY_94 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 138720 ) FS ;
- PHY_95 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 138720 ) S ;
- PHY_96 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 141440 ) N ;
- PHY_97 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 141440 ) FN ;
- PHY_98 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 144160 ) FS ;
- PHY_99 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 144160 ) S ;
- TAP_130 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 10880 ) N ;
- TAP_131 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 10880 ) N ;
- TAP_132 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 10880 ) N ;
- TAP_133 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 10880 ) N ;
- TAP_134 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 10880 ) N ;
- TAP_135 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 10880 ) N ;
- TAP_136 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 10880 ) N ;
- TAP_137 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 10880 ) N ;
- TAP_138 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 10880 ) N ;
- TAP_139 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 10880 ) N ;
- TAP_140 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 10880 ) N ;
- TAP_141 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 10880 ) N ;
- TAP_142 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 10880 ) N ;
- TAP_143 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 10880 ) N ;
- TAP_144 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 13600 ) FS ;
- TAP_145 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 13600 ) FS ;
- TAP_146 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 13600 ) FS ;
- TAP_147 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 13600 ) FS ;
- TAP_148 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 13600 ) FS ;
- TAP_149 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 13600 ) FS ;
- TAP_150 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 13600 ) FS ;
- TAP_151 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 16320 ) N ;
- TAP_152 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 16320 ) N ;
- TAP_153 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 16320 ) N ;
- TAP_154 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 16320 ) N ;
- TAP_155 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 16320 ) N ;
- TAP_156 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 16320 ) N ;
- TAP_157 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 16320 ) N ;
- TAP_158 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 19040 ) FS ;
- TAP_159 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 19040 ) FS ;
- TAP_160 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 19040 ) FS ;
- TAP_161 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 19040 ) FS ;
- TAP_162 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 19040 ) FS ;
- TAP_163 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 19040 ) FS ;
- TAP_164 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 19040 ) FS ;
- TAP_165 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 21760 ) N ;
- TAP_166 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 21760 ) N ;
- TAP_167 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 21760 ) N ;
- TAP_168 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 21760 ) N ;
- TAP_169 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 21760 ) N ;
- TAP_170 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 21760 ) N ;
- TAP_171 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 21760 ) N ;
- TAP_172 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 24480 ) FS ;
- TAP_173 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 24480 ) FS ;
- TAP_174 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 24480 ) FS ;
- TAP_175 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 24480 ) FS ;
- TAP_176 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 24480 ) FS ;
- TAP_177 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 24480 ) FS ;
- TAP_178 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 24480 ) FS ;
- TAP_179 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 27200 ) N ;
- TAP_180 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 27200 ) N ;
- TAP_181 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 27200 ) N ;
- TAP_182 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 27200 ) N ;
- TAP_183 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 27200 ) N ;
- TAP_184 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 27200 ) N ;
- TAP_185 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 27200 ) N ;
- TAP_186 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 29920 ) FS ;
- TAP_187 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 29920 ) FS ;
- TAP_188 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 29920 ) FS ;
- TAP_189 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 29920 ) FS ;
- TAP_190 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 29920 ) FS ;
- TAP_191 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 29920 ) FS ;
- TAP_192 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 29920 ) FS ;
- TAP_193 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 32640 ) N ;
- TAP_194 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 32640 ) N ;
- TAP_195 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 32640 ) N ;
- TAP_196 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 32640 ) N ;
- TAP_197 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 32640 ) N ;
- TAP_198 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 32640 ) N ;
- TAP_199 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 32640 ) N ;
- TAP_200 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 35360 ) FS ;
- TAP_201 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 35360 ) FS ;
- TAP_202 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 35360 ) FS ;
- TAP_203 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 35360 ) FS ;
- TAP_204 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 35360 ) FS ;
- TAP_205 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 35360 ) FS ;
- TAP_206 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 35360 ) FS ;
- TAP_207 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 38080 ) N ;
- TAP_208 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 38080 ) N ;
- TAP_209 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 38080 ) N ;
- TAP_210 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 38080 ) N ;
- TAP_211 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 38080 ) N ;
- TAP_212 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 38080 ) N ;
- TAP_213 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 38080 ) N ;
- TAP_214 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 40800 ) FS ;
- TAP_215 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 40800 ) FS ;
- TAP_216 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 40800 ) FS ;
- TAP_217 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 40800 ) FS ;
- TAP_218 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 40800 ) FS ;
- TAP_219 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 40800 ) FS ;
- TAP_220 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 40800 ) FS ;
- TAP_221 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 43520 ) N ;
- TAP_222 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 43520 ) N ;
- TAP_223 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 43520 ) N ;
- TAP_224 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 43520 ) N ;
- TAP_225 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 43520 ) N ;
- TAP_226 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 43520 ) N ;
- TAP_227 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 43520 ) N ;
- TAP_228 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 46240 ) FS ;
- TAP_229 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 46240 ) FS ;
- TAP_230 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 46240 ) FS ;
- TAP_231 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 46240 ) FS ;
- TAP_232 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 46240 ) FS ;
- TAP_233 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 46240 ) FS ;
- TAP_234 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 46240 ) FS ;
- TAP_235 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 48960 ) N ;
- TAP_236 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 48960 ) N ;
- TAP_237 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 48960 ) N ;
- TAP_238 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 48960 ) N ;
- TAP_239 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 48960 ) N ;
- TAP_240 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 48960 ) N ;
- TAP_241 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 48960 ) N ;
- TAP_242 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 51680 ) FS ;
- TAP_243 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 51680 ) FS ;
- TAP_244 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 51680 ) FS ;
- TAP_245 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 51680 ) FS ;
- TAP_246 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 51680 ) FS ;
- TAP_247 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 51680 ) FS ;
- TAP_248 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 51680 ) FS ;
- TAP_249 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 54400 ) N ;
- TAP_250 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 54400 ) N ;
- TAP_251 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 54400 ) N ;
- TAP_252 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 54400 ) N ;
- TAP_253 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 54400 ) N ;
- TAP_254 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 54400 ) N ;
- TAP_255 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 54400 ) N ;
- TAP_256 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 57120 ) FS ;
- TAP_257 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 57120 ) FS ;
- TAP_258 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 57120 ) FS ;
- TAP_259 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 57120 ) FS ;
- TAP_260 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 57120 ) FS ;
- TAP_261 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 57120 ) FS ;
- TAP_262 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 57120 ) FS ;
- TAP_263 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 59840 ) N ;
- TAP_264 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 59840 ) N ;
- TAP_265 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 59840 ) N ;
- TAP_266 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 59840 ) N ;
- TAP_267 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 59840 ) N ;
- TAP_268 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 59840 ) N ;
- TAP_269 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 59840 ) N ;
- TAP_270 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 62560 ) FS ;
- TAP_271 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 62560 ) FS ;
- TAP_272 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 62560 ) FS ;
- TAP_273 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 62560 ) FS ;
- TAP_274 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 62560 ) FS ;
- TAP_275 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 62560 ) FS ;
- TAP_276 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 62560 ) FS ;
- TAP_277 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 65280 ) N ;
- TAP_278 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 65280 ) N ;
- TAP_279 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 65280 ) N ;
- TAP_280 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 65280 ) N ;
- TAP_281 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 65280 ) N ;
- TAP_282 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 65280 ) N ;
- TAP_283 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 65280 ) N ;
- TAP_284 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 68000 ) FS ;
- TAP_285 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 68000 ) FS ;
- TAP_286 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 68000 ) FS ;
- TAP_287 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 68000 ) FS ;
- TAP_288 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 68000 ) FS ;
- TAP_289 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 68000 ) FS ;
- TAP_290 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 68000 ) FS ;
- TAP_291 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 70720 ) N ;
- TAP_292 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 70720 ) N ;
- TAP_293 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 70720 ) N ;
- TAP_294 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 70720 ) N ;
- TAP_295 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 70720 ) N ;
- TAP_296 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 70720 ) N ;
- TAP_297 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 70720 ) N ;
- TAP_298 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 73440 ) FS ;
- TAP_299 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 73440 ) FS ;
- TAP_300 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 73440 ) FS ;
- TAP_301 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 73440 ) FS ;
- TAP_302 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 73440 ) FS ;
- TAP_303 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 73440 ) FS ;
- TAP_304 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 73440 ) FS ;
- TAP_305 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 76160 ) N ;
- TAP_306 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 76160 ) N ;
- TAP_307 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 76160 ) N ;
- TAP_308 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 76160 ) N ;
- TAP_309 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 76160 ) N ;
- TAP_310 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 76160 ) N ;
- TAP_311 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 76160 ) N ;
- TAP_312 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 78880 ) FS ;
- TAP_313 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 78880 ) FS ;
- TAP_314 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 78880 ) FS ;
- TAP_315 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 78880 ) FS ;
- TAP_316 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 78880 ) FS ;
- TAP_317 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 78880 ) FS ;
- TAP_318 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 78880 ) FS ;
- TAP_319 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 81600 ) N ;
- TAP_320 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 81600 ) N ;
- TAP_321 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 81600 ) N ;
- TAP_322 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 81600 ) N ;
- TAP_323 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 81600 ) N ;
- TAP_324 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 81600 ) N ;
- TAP_325 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 81600 ) N ;
- TAP_326 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 84320 ) FS ;
- TAP_327 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 84320 ) FS ;
- TAP_328 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 84320 ) FS ;
- TAP_329 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 84320 ) FS ;
- TAP_330 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 84320 ) FS ;
- TAP_331 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 84320 ) FS ;
- TAP_332 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 84320 ) FS ;
- TAP_333 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 87040 ) N ;
- TAP_334 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 87040 ) N ;
- TAP_335 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 87040 ) N ;
- TAP_336 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 87040 ) N ;
- TAP_337 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 87040 ) N ;
- TAP_338 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 87040 ) N ;
- TAP_339 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 87040 ) N ;
- TAP_340 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 89760 ) FS ;
- TAP_341 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 89760 ) FS ;
- TAP_342 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 89760 ) FS ;
- TAP_343 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 89760 ) FS ;
- TAP_344 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 89760 ) FS ;
- TAP_345 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 89760 ) FS ;
- TAP_346 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 89760 ) FS ;
- TAP_347 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 92480 ) N ;
- TAP_348 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 92480 ) N ;
- TAP_349 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 92480 ) N ;
- TAP_350 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 92480 ) N ;
- TAP_351 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 92480 ) N ;
- TAP_352 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 92480 ) N ;
- TAP_353 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 92480 ) N ;
- TAP_354 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 95200 ) FS ;
- TAP_355 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 95200 ) FS ;
- TAP_356 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 95200 ) FS ;
- TAP_357 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 95200 ) FS ;
- TAP_358 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 95200 ) FS ;
- TAP_359 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 95200 ) FS ;
- TAP_360 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 95200 ) FS ;
- TAP_361 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 97920 ) N ;
- TAP_362 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 97920 ) N ;
- TAP_363 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 97920 ) N ;
- TAP_364 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 97920 ) N ;
- TAP_365 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 97920 ) N ;
- TAP_366 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 97920 ) N ;
- TAP_367 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 97920 ) N ;
- TAP_368 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 100640 ) FS ;
- TAP_369 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 100640 ) FS ;
- TAP_370 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 100640 ) FS ;
- TAP_371 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 100640 ) FS ;
- TAP_372 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 100640 ) FS ;
- TAP_373 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 100640 ) FS ;
- TAP_374 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 100640 ) FS ;
- TAP_375 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 103360 ) N ;
- TAP_376 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 103360 ) N ;
- TAP_377 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 103360 ) N ;
- TAP_378 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 103360 ) N ;
- TAP_379 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 103360 ) N ;
- TAP_380 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 103360 ) N ;
- TAP_381 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 103360 ) N ;
- TAP_382 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 106080 ) FS ;
- TAP_383 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 106080 ) FS ;
- TAP_384 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 106080 ) FS ;
- TAP_385 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 106080 ) FS ;
- TAP_386 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 106080 ) FS ;
- TAP_387 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 106080 ) FS ;
- TAP_388 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 106080 ) FS ;
- TAP_389 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 108800 ) N ;
- TAP_390 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 108800 ) N ;
- TAP_391 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 108800 ) N ;
- TAP_392 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 108800 ) N ;
- TAP_393 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 108800 ) N ;
- TAP_394 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 108800 ) N ;
- TAP_395 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 108800 ) N ;
- TAP_396 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 111520 ) FS ;
- TAP_397 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 111520 ) FS ;
- TAP_398 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 111520 ) FS ;
- TAP_399 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 111520 ) FS ;
- TAP_400 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 111520 ) FS ;
- TAP_401 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 111520 ) FS ;
- TAP_402 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 111520 ) FS ;
- TAP_403 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 114240 ) N ;
- TAP_404 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 114240 ) N ;
- TAP_405 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 114240 ) N ;
- TAP_406 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 114240 ) N ;
- TAP_407 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 114240 ) N ;
- TAP_408 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 114240 ) N ;
- TAP_409 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 114240 ) N ;
- TAP_410 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 116960 ) FS ;
- TAP_411 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 116960 ) FS ;
- TAP_412 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 116960 ) FS ;
- TAP_413 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 116960 ) FS ;
- TAP_414 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 116960 ) FS ;
- TAP_415 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 116960 ) FS ;
- TAP_416 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 116960 ) FS ;
- TAP_417 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 119680 ) N ;
- TAP_418 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 119680 ) N ;
- TAP_419 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 119680 ) N ;
- TAP_420 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 119680 ) N ;
- TAP_421 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 119680 ) N ;
- TAP_422 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 119680 ) N ;
- TAP_423 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 119680 ) N ;
- TAP_424 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 122400 ) FS ;
- TAP_425 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 122400 ) FS ;
- TAP_426 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 122400 ) FS ;
- TAP_427 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 122400 ) FS ;
- TAP_428 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 122400 ) FS ;
- TAP_429 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 122400 ) FS ;
- TAP_430 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 122400 ) FS ;
- TAP_431 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 125120 ) N ;
- TAP_432 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 125120 ) N ;
- TAP_433 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 125120 ) N ;
- TAP_434 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 125120 ) N ;
- TAP_435 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 125120 ) N ;
- TAP_436 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 125120 ) N ;
- TAP_437 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 125120 ) N ;
- TAP_438 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 127840 ) FS ;
- TAP_439 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 127840 ) FS ;
- TAP_440 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 127840 ) FS ;
- TAP_441 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 127840 ) FS ;
- TAP_442 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 127840 ) FS ;
- TAP_443 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 127840 ) FS ;
- TAP_444 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 127840 ) FS ;
- TAP_445 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 130560 ) N ;
- TAP_446 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 130560 ) N ;
- TAP_447 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 130560 ) N ;
- TAP_448 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 130560 ) N ;
- TAP_449 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 130560 ) N ;
- TAP_450 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 130560 ) N ;
- TAP_451 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 130560 ) N ;
- TAP_452 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 133280 ) FS ;
- TAP_453 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 133280 ) FS ;
- TAP_454 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 133280 ) FS ;
- TAP_455 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 133280 ) FS ;
- TAP_456 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 133280 ) FS ;
- TAP_457 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 133280 ) FS ;
- TAP_458 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 133280 ) FS ;
- TAP_459 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 136000 ) N ;
- TAP_460 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 136000 ) N ;
- TAP_461 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 136000 ) N ;
- TAP_462 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 136000 ) N ;
- TAP_463 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 136000 ) N ;
- TAP_464 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 136000 ) N ;
- TAP_465 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 136000 ) N ;
- TAP_466 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 138720 ) FS ;
- TAP_467 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 138720 ) FS ;
- TAP_468 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 138720 ) FS ;
- TAP_469 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 138720 ) FS ;
- TAP_470 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 138720 ) FS ;
- TAP_471 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 138720 ) FS ;
- TAP_472 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 138720 ) FS ;
- TAP_473 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 141440 ) N ;
- TAP_474 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 141440 ) N ;
- TAP_475 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 141440 ) N ;
- TAP_476 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 141440 ) N ;
- TAP_477 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 141440 ) N ;
- TAP_478 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 141440 ) N ;
- TAP_479 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 141440 ) N ;
- TAP_480 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 144160 ) FS ;
- TAP_481 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 144160 ) FS ;
- TAP_482 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 144160 ) FS ;
- TAP_483 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 144160 ) FS ;
- TAP_484 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 144160 ) FS ;
- TAP_485 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 144160 ) FS ;
- TAP_486 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 144160 ) FS ;
- TAP_487 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 146880 ) N ;
- TAP_488 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 146880 ) N ;
- TAP_489 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 146880 ) N ;
- TAP_490 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 146880 ) N ;
- TAP_491 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 146880 ) N ;
- TAP_492 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 146880 ) N ;
- TAP_493 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 146880 ) N ;
- TAP_494 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 149600 ) FS ;
- TAP_495 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 149600 ) FS ;
- TAP_496 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 149600 ) FS ;
- TAP_497 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 149600 ) FS ;
- TAP_498 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 149600 ) FS ;
- TAP_499 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 149600 ) FS ;
- TAP_500 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 149600 ) FS ;
- TAP_501 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 152320 ) N ;
- TAP_502 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 152320 ) N ;
- TAP_503 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 152320 ) N ;
- TAP_504 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 152320 ) N ;
- TAP_505 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 152320 ) N ;
- TAP_506 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 152320 ) N ;
- TAP_507 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 152320 ) N ;
- TAP_508 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 155040 ) FS ;
- TAP_509 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 155040 ) FS ;
- TAP_510 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 155040 ) FS ;
- TAP_511 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 155040 ) FS ;
- TAP_512 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 155040 ) FS ;
- TAP_513 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 155040 ) FS ;
- TAP_514 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 155040 ) FS ;
- TAP_515 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 157760 ) N ;
- TAP_516 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 157760 ) N ;
- TAP_517 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 157760 ) N ;
- TAP_518 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 157760 ) N ;
- TAP_519 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 157760 ) N ;
- TAP_520 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 157760 ) N ;
- TAP_521 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 157760 ) N ;
- TAP_522 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 160480 ) FS ;
- TAP_523 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 160480 ) FS ;
- TAP_524 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 160480 ) FS ;
- TAP_525 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 160480 ) FS ;
- TAP_526 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 160480 ) FS ;
- TAP_527 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 160480 ) FS ;
- TAP_528 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 160480 ) FS ;
- TAP_529 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 163200 ) N ;
- TAP_530 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 163200 ) N ;
- TAP_531 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 163200 ) N ;
- TAP_532 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 163200 ) N ;
- TAP_533 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 163200 ) N ;
- TAP_534 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 163200 ) N ;
- TAP_535 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 163200 ) N ;
- TAP_536 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 165920 ) FS ;
- TAP_537 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 165920 ) FS ;
- TAP_538 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 165920 ) FS ;
- TAP_539 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 165920 ) FS ;
- TAP_540 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 165920 ) FS ;
- TAP_541 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 165920 ) FS ;
- TAP_542 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 165920 ) FS ;
- TAP_543 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 168640 ) N ;
- TAP_544 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 168640 ) N ;
- TAP_545 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 168640 ) N ;
- TAP_546 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 168640 ) N ;
- TAP_547 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 168640 ) N ;
- TAP_548 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 168640 ) N ;
- TAP_549 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 168640 ) N ;
- TAP_550 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 171360 ) FS ;
- TAP_551 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 171360 ) FS ;
- TAP_552 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 171360 ) FS ;
- TAP_553 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 171360 ) FS ;
- TAP_554 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 171360 ) FS ;
- TAP_555 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 171360 ) FS ;
- TAP_556 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 171360 ) FS ;
- TAP_557 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 174080 ) N ;
- TAP_558 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 174080 ) N ;
- TAP_559 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 174080 ) N ;
- TAP_560 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 174080 ) N ;
- TAP_561 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 174080 ) N ;
- TAP_562 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 174080 ) N ;
- TAP_563 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 174080 ) N ;
- TAP_564 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 176800 ) FS ;
- TAP_565 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 176800 ) FS ;
- TAP_566 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 176800 ) FS ;
- TAP_567 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 176800 ) FS ;
- TAP_568 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 176800 ) FS ;
- TAP_569 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 176800 ) FS ;
- TAP_570 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 176800 ) FS ;
- TAP_571 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 179520 ) N ;
- TAP_572 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 179520 ) N ;
- TAP_573 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 179520 ) N ;
- TAP_574 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 179520 ) N ;
- TAP_575 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 179520 ) N ;
- TAP_576 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 179520 ) N ;
- TAP_577 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 179520 ) N ;
- TAP_578 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 182240 ) FS ;
- TAP_579 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 182240 ) FS ;
- TAP_580 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 182240 ) FS ;
- TAP_581 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 182240 ) FS ;
- TAP_582 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 182240 ) FS ;
- TAP_583 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 182240 ) FS ;
- TAP_584 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 182240 ) FS ;
- TAP_585 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 184960 ) N ;
- TAP_586 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 184960 ) N ;
- TAP_587 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 184960 ) N ;
- TAP_588 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 184960 ) N ;
- TAP_589 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 184960 ) N ;
- TAP_590 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 184960 ) N ;
- TAP_591 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 184960 ) N ;
- TAP_592 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 184960 ) N ;
- TAP_593 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 184960 ) N ;
- TAP_594 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 184960 ) N ;
- TAP_595 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 184960 ) N ;
- TAP_596 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 184960 ) N ;
- TAP_597 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 184960 ) N ;
- TAP_598 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 184960 ) N ;
- _13_ sky130_fd_sc_hd__nand2_1 + PLACED ( 8740 32640 ) N ;
- _14_ sky130_fd_sc_hd__nor2_1 + PLACED ( 10120 24480 ) FS ;
- _15_ sky130_fd_sc_hd__or4_1 + PLACED ( 8280 100640 ) FS ;
- _16_ sky130_fd_sc_hd__or4_1 + PLACED ( 9200 97920 ) N ;
- _17_ sky130_fd_sc_hd__or4_1 + PLACED ( 8280 133280 ) FS ;
- _18_ sky130_fd_sc_hd__or4_1 + PLACED ( 8280 119680 ) N ;
- _19_ sky130_fd_sc_hd__or4bb_1 + PLACED ( 7360 163200 ) FN ;
- _20_ sky130_fd_sc_hd__or4_1 + PLACED ( 8280 152320 ) FN ;
- _21_ sky130_fd_sc_hd__or4_1 + PLACED ( 11040 116960 ) FS ;
- _22_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 20700 114240 ) N ;
- _23_ sky130_fd_sc_hd__nand2_1 + PLACED ( 8740 92480 ) N ;
- _24_ sky130_fd_sc_hd__nor2_2 + PLACED ( 10120 160480 ) FS ;
- _25_ sky130_fd_sc_hd__and2_1 + PLACED ( 95680 35360 ) FS ;
- _26_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 100740 32640 ) FN ;
- _27_ sky130_fd_sc_hd__and2_1 + PLACED ( 97980 38080 ) N ;
- _28_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 103040 35360 ) S ;
- _29_ sky130_fd_sc_hd__and2_1 + PLACED ( 102120 40800 ) FS ;
- _30_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 106260 38080 ) FN ;
- _31_ sky130_fd_sc_hd__and2_1 + PLACED ( 97980 40800 ) FS ;
- _32_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 103040 38080 ) FN ;
- _33_ sky130_fd_sc_hd__inv_2 + PLACED ( 101660 29920 ) FS ;
- _34_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 6900 19040 ) FS ;
- _36_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 97980 97920 ) N ;
- _37_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 101660 97920 ) N ;
- _38_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 97980 95200 ) FS ;
- _39_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 97980 100640 ) FS ;
- _40_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 101660 95200 ) FS ;
- _41_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 97980 92480 ) N ;
- _42_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 101200 84320 ) FS ;
- _43_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 97520 84320 ) FS ;
- _44_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 97980 87040 ) N ;
- _45_ sky130_fd_sc_hd__buf_2 + PLACED ( 8740 16320 ) FN ;
- _46_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 11040 40800 ) FS ;
- _47_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 98440 46240 ) S ;
- _48_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 98440 48960 ) FN ;
- _49_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 98900 54400 ) FN ;
- _50_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 98900 59840 ) FN ;
- _51_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 98900 62560 ) S ;
- _52_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 99820 68000 ) S ;
- _53_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 99820 70720 ) FN ;
- _54_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 99820 73440 ) S ;
- _55_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 98900 78880 ) S ;
- _56_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 100280 81600 ) FN ;
- _57_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 97060 81600 ) FN ;
- _58_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 101660 87040 ) FN ;
- _59_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 105340 95200 ) S ;
- _60_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 94760 100640 ) S ;
- _61_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 94760 95200 ) S ;
- _62_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 101660 100640 ) S ;
- _63_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 99820 103360 ) FN ;
- _64_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 99820 106080 ) S ;
- _65_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 100740 111520 ) S ;
- _66_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 100740 114240 ) FN ;
- _67_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 99820 116960 ) S ;
- _68_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 98900 119680 ) FN ;
- _69_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 97980 125120 ) FN ;
- _70_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 97980 127840 ) S ;
- _71_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 98900 130560 ) FN ;
- _72_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 99360 133280 ) S ;
- _73_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 99360 138720 ) S ;
- _74_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 99820 141440 ) FN ;
- _75_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 100280 144160 ) S ;
- _76_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 99820 146880 ) FN ;
- _77_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 99360 152320 ) FN ;
- clkbuf_0_wb_clk_i sky130_fd_sc_hd__clkbuf_16 + SOURCE TIMING + PLACED ( 7360 21760 ) FN ;
- clkbuf_1_0__f_wb_clk_i sky130_fd_sc_hd__clkbuf_16 + SOURCE TIMING + PLACED ( 12880 13600 ) FS ;
- clkbuf_1_1__f_wb_clk_i sky130_fd_sc_hd__clkbuf_16 + SOURCE TIMING + PLACED ( 7360 27200 ) FN ;
- input1 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 84320 ) S ;
- input10 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 10120 122400 ) FS ;
- input11 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 130560 ) FN ;
- input12 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 13340 130560 ) N ;
- input13 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 138720 ) S ;
- input14 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 10120 138720 ) FS ;
- input15 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 146880 ) FN ;
- input16 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 10120 146880 ) N ;
- input17 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 155040 ) S ;
- input18 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 10120 155040 ) FS ;
- input19 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 14260 160480 ) FS ;
- input2 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 87040 ) FN ;
- input20 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 13340 163200 ) N ;
- input21 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 6900 48960 ) FN ;
- input22 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 171360 ) S ;
- input23 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 10120 171360 ) FS ;
- input24 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 6900 57120 ) S ;
- input25 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 6900 62560 ) S ;
- input26 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 6900 65280 ) FN ;
- input27 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 68000 ) S ;
- input28 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 70720 ) FN ;
- input29 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 76160 ) FN ;
- input3 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 10120 95200 ) S ;
- input30 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 78880 ) S ;
- input31 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 24480 ) S ;
- input32 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 38080 ) FN ;
- input33 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 10120 89760 ) S ;
- input34 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 89760 ) S ;
- input35 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 13800 97920 ) FN ;
- input36 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 12880 100640 ) S ;
- input37 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 103360 ) FN ;
- input38 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 108800 ) FN ;
- input39 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 114240 ) FN ;
- input4 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 95200 ) S ;
- input40 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 122400 ) S ;
- input41 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 125120 ) FN ;
- input42 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 127840 ) S ;
- input43 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 43520 ) FN ;
- input44 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 10120 130560 ) FN ;
- input45 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 136000 ) FN ;
- input46 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 141440 ) FN ;
- input47 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 144160 ) S ;
- input48 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 149600 ) S ;
- input49 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 10120 149600 ) S ;
- input5 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 10120 103360 ) N ;
- input50 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 157760 ) FN ;
- input51 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 160480 ) S ;
- input52 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 165920 ) S ;
- input53 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 168640 ) FN ;
- input54 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 10120 51680 ) S ;
- input55 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 174080 ) FN ;
- input56 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 176800 ) S ;
- input57 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 54400 ) FN ;
- input58 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 13340 65280 ) FN ;
- input59 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 10120 68000 ) S ;
- input6 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 106080 ) S ;
- input60 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 10120 73440 ) S ;
- input61 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 73440 ) S ;
- input62 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 10120 81600 ) FN ;
- input63 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 81600 ) FN ;
- input64 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 40800 ) S ;
- input65 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 46240 ) S ;
- input66 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 51680 ) S ;
- input67 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 59840 ) FN ;
- input68 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 11960 32640 ) N ;
- input69 sky130_fd_sc_hd__clkbuf_2 + SOURCE TIMING + PLACED ( 8740 35360 ) FS ;
- input7 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 111520 ) S ;
- input8 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 116960 ) S ;
- input9 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 12880 119680 ) N ;
- output100 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 136000 ) N ;
- output101 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 138720 ) FS ;
- output102 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 141440 ) N ;
- output103 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 51680 ) FS ;
- output104 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 146880 ) N ;
- output105 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 149600 ) FS ;
- output106 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 54400 ) N ;
- output107 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 57120 ) FS ;
- output108 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 59840 ) N ;
- output109 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 65280 ) N ;
- output110 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 68000 ) FS ;
- output111 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 70720 ) N ;
- output112 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 73440 ) FS ;
- output113 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 182240 ) FS ;
- output114 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 29920 ) S ;
- output115 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 27200 ) N ;
- output116 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 29920 ) FS ;
- output117 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 32640 ) N ;
- output118 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 38080 ) N ;
- output119 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 40800 ) FS ;
- output70 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 152320 ) N ;
- output71 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 155040 ) FS ;
- output72 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 160480 ) FS ;
- output73 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 163200 ) N ;
- output74 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 165920 ) FS ;
- output75 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 168640 ) N ;
- output76 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 174080 ) N ;
- output77 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 176800 ) FS ;
- output78 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 179520 ) N ;
- output79 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 189520 19040 ) S ;
- output80 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 24480 ) FS ;
- output81 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 43520 ) N ;
- output82 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 78880 ) FS ;
- output83 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 81600 ) N ;
- output84 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 84320 ) FS ;
- output85 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 87040 ) N ;
- output86 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 92480 ) N ;
- output87 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 95200 ) FS ;
- output88 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 97920 ) N ;
- output89 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 100640 ) FS ;
- output90 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 106080 ) FS ;
- output91 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 108800 ) N ;
- output92 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 46240 ) FS ;
- output93 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 111520 ) FS ;
- output94 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 114240 ) N ;
- output95 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 119680 ) N ;
- output96 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 122400 ) FS ;
- output97 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 125120 ) N ;
- output98 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 127840 ) FS ;
- output99 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 133280 ) FS ;
- wb_interface_120 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 189520 16320 ) N ;
END COMPONENTS
PINS 126 ;
- addr0[0] + NET addr0[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 152660 ) N ;
- addr0[1] + NET addr0[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 156060 ) N ;
- addr0[2] + NET addr0[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 159460 ) N ;
- addr0[3] + NET addr0[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 162860 ) N ;
- addr0[4] + NET addr0[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 166260 ) N ;
- addr0[5] + NET addr0[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 169660 ) N ;
- addr0[6] + NET addr0[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 173060 ) N ;
- addr0[7] + NET addr0[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 176460 ) N ;
- addr0[8] + NET addr0[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 179860 ) N ;
- clk0 + NET clk0 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 20060 ) N ;
- csb0 + NET csb0 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 23460 ) N ;
- din0[0] + NET din0[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 43860 ) N ;
- din0[10] + NET din0[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 77860 ) N ;
- din0[11] + NET din0[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 81260 ) N ;
- din0[12] + NET din0[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 84660 ) N ;
- din0[13] + NET din0[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 88060 ) N ;
- din0[14] + NET din0[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 91460 ) N ;
- din0[15] + NET din0[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 94860 ) N ;
- din0[16] + NET din0[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 98260 ) N ;
- din0[17] + NET din0[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 101660 ) N ;
- din0[18] + NET din0[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 105060 ) N ;
- din0[19] + NET din0[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 108460 ) N ;
- din0[1] + NET din0[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 47260 ) N ;
- din0[20] + NET din0[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 111860 ) N ;
- din0[21] + NET din0[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 115260 ) N ;
- din0[22] + NET din0[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 118660 ) N ;
- din0[23] + NET din0[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 122060 ) N ;
- din0[24] + NET din0[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 125460 ) N ;
- din0[25] + NET din0[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 128860 ) N ;
- din0[26] + NET din0[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 132260 ) N ;
- din0[27] + NET din0[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 135660 ) N ;
- din0[28] + NET din0[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 139060 ) N ;
- din0[29] + NET din0[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 142460 ) N ;
- din0[2] + NET din0[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 50660 ) N ;
- din0[30] + NET din0[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 145860 ) N ;
- din0[31] + NET din0[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 149260 ) N ;
- din0[3] + NET din0[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 54060 ) N ;
- din0[4] + NET din0[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 57460 ) N ;
- din0[5] + NET din0[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 60860 ) N ;
- din0[6] + NET din0[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 64260 ) N ;
- din0[7] + NET din0[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 67660 ) N ;
- din0[8] + NET din0[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 71060 ) N ;
- din0[9] + NET din0[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 74460 ) N ;
- imem_rd_cs1 + NET net120 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 16660 ) N ;
- processor_reset + NET processor_reset + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 183260 ) N ;
- vccd1 + NET vccd1 + SPECIAL + DIRECTION INOUT + USE POWER
+ PORT
+ LAYER met4 ( -800 -88640 ) ( 800 88640 )
+ LAYER met4 ( -154400 -88640 ) ( -152800 88640 )
+ FIXED ( 175440 99280 ) N ;
- vssd1 + NET vssd1 + SPECIAL + DIRECTION INOUT + USE GROUND
+ PORT
+ LAYER met4 ( -800 -88640 ) ( 800 88640 )
+ FIXED ( 98640 99280 ) N ;
- wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 25500 ) N ;
- wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 27540 ) N ;
- wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 29580 ) N ;
- wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 37740 ) N ;
- wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 86700 ) N ;
- wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 90780 ) N ;
- wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 94860 ) N ;
- wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 98940 ) N ;
- wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 103020 ) N ;
- wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 107100 ) N ;
- wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 111180 ) N ;
- wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 115260 ) N ;
- wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 119340 ) N ;
- wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 123420 ) N ;
- wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 43860 ) N ;
- wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 127500 ) N ;
- wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 131580 ) N ;
- wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 135660 ) N ;
- wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 139740 ) N ;
- wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 143820 ) N ;
- wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 147900 ) N ;
- wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 151980 ) N ;
- wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 156060 ) N ;
- wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 160140 ) N ;
- wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 164220 ) N ;
- wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 49980 ) N ;
- wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 168300 ) N ;
- wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 172380 ) N ;
- wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 56100 ) N ;
- wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 62220 ) N ;
- wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 66300 ) N ;
- wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 70380 ) N ;
- wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 74460 ) N ;
- wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 78540 ) N ;
- wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 82620 ) N ;
- wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 31620 ) N ;
- wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 39780 ) N ;
- wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 88740 ) N ;
- wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 92820 ) N ;
- wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 96900 ) N ;
- wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 100980 ) N ;
- wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 105060 ) N ;
- wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 109140 ) N ;
- wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 113220 ) N ;
- wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 117300 ) N ;
- wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 121380 ) N ;
- wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 125460 ) N ;
- wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 45900 ) N ;
- wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 129540 ) N ;
- wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 133620 ) N ;
- wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 137700 ) N ;
- wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 141780 ) N ;
- wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 145860 ) N ;
- wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 149940 ) N ;
- wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 154020 ) N ;
- wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 158100 ) N ;
- wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 162180 ) N ;
- wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 166260 ) N ;
- wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 52020 ) N ;
- wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 170340 ) N ;
- wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 174420 ) N ;
- wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 58140 ) N ;
- wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 64260 ) N ;
- wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 68340 ) N ;
- wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 72420 ) N ;
- wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 76500 ) N ;
- wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 80580 ) N ;
- wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 84660 ) N ;
- wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 41820 ) N ;
- wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 47940 ) N ;
- wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 54060 ) N ;
- wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 60180 ) N ;
- wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 33660 ) N ;
- wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 35700 ) N ;
- web0 + NET web0 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 26860 ) N ;
- wmask0[0] + NET wmask0[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 30260 ) N ;
- wmask0[1] + NET wmask0[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 33660 ) N ;
- wmask0[2] + NET wmask0[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 37060 ) N ;
- wmask0[3] + NET wmask0[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 40460 ) N ;
END PINS
SPECIALNETS 2 ;
- vccd1 ( PIN vccd1 ) ( * VPB ) ( * VPWR ) + USE POWER
+ ROUTED met1 480 + SHAPE FOLLOWPIN ( 5520 187680 ) ( 194120 187680 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 182240 ) ( 194120 182240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 176800 ) ( 194120 176800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 171360 ) ( 194120 171360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 165920 ) ( 194120 165920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 160480 ) ( 194120 160480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 155040 ) ( 194120 155040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 149600 ) ( 194120 149600 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 144160 ) ( 194120 144160 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 138720 ) ( 194120 138720 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 133280 ) ( 194120 133280 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 127840 ) ( 194120 127840 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 122400 ) ( 194120 122400 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 116960 ) ( 194120 116960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 111520 ) ( 194120 111520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 106080 ) ( 194120 106080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 100640 ) ( 194120 100640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 95200 ) ( 194120 95200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 89760 ) ( 194120 89760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 84320 ) ( 194120 84320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 78880 ) ( 194120 78880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 73440 ) ( 194120 73440 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 68000 ) ( 194120 68000 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 62560 ) ( 194120 62560 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 57120 ) ( 194120 57120 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 51680 ) ( 194120 51680 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 46240 ) ( 194120 46240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 40800 ) ( 194120 40800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 35360 ) ( 194120 35360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 29920 ) ( 194120 29920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 24480 ) ( 194120 24480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 19040 ) ( 194120 19040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 13600 ) ( 194120 13600 )
NEW met4 1600 + SHAPE STRIPE ( 175440 10640 ) ( 175440 187920 )
NEW met4 1600 + SHAPE STRIPE ( 21840 10640 ) ( 21840 187920 )
NEW met3 330 + SHAPE STRIPE ( 174650 187680 ) ( 176230 187680 )
NEW met3 0 + SHAPE STRIPE ( 175440 187680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 187680 ) ( 176210 187680 )
NEW met2 0 + SHAPE STRIPE ( 175440 187680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 187680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 182240 ) ( 176230 182240 )
NEW met3 0 + SHAPE STRIPE ( 175440 182240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 182240 ) ( 176210 182240 )
NEW met2 0 + SHAPE STRIPE ( 175440 182240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 182240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 176800 ) ( 176230 176800 )
NEW met3 0 + SHAPE STRIPE ( 175440 176800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 176800 ) ( 176210 176800 )
NEW met2 0 + SHAPE STRIPE ( 175440 176800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 176800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 171360 ) ( 176230 171360 )
NEW met3 0 + SHAPE STRIPE ( 175440 171360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 171360 ) ( 176210 171360 )
NEW met2 0 + SHAPE STRIPE ( 175440 171360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 171360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 165920 ) ( 176230 165920 )
NEW met3 0 + SHAPE STRIPE ( 175440 165920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 165920 ) ( 176210 165920 )
NEW met2 0 + SHAPE STRIPE ( 175440 165920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 165920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 160480 ) ( 176230 160480 )
NEW met3 0 + SHAPE STRIPE ( 175440 160480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 160480 ) ( 176210 160480 )
NEW met2 0 + SHAPE STRIPE ( 175440 160480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 160480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 155040 ) ( 176230 155040 )
NEW met3 0 + SHAPE STRIPE ( 175440 155040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 155040 ) ( 176210 155040 )
NEW met2 0 + SHAPE STRIPE ( 175440 155040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 155040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 149600 ) ( 176230 149600 )
NEW met3 0 + SHAPE STRIPE ( 175440 149600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 149600 ) ( 176210 149600 )
NEW met2 0 + SHAPE STRIPE ( 175440 149600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 149600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 144160 ) ( 176230 144160 )
NEW met3 0 + SHAPE STRIPE ( 175440 144160 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 144160 ) ( 176210 144160 )
NEW met2 0 + SHAPE STRIPE ( 175440 144160 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 144160 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 138720 ) ( 176230 138720 )
NEW met3 0 + SHAPE STRIPE ( 175440 138720 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 138720 ) ( 176210 138720 )
NEW met2 0 + SHAPE STRIPE ( 175440 138720 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 138720 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 133280 ) ( 176230 133280 )
NEW met3 0 + SHAPE STRIPE ( 175440 133280 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 133280 ) ( 176210 133280 )
NEW met2 0 + SHAPE STRIPE ( 175440 133280 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 133280 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 127840 ) ( 176230 127840 )
NEW met3 0 + SHAPE STRIPE ( 175440 127840 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 127840 ) ( 176210 127840 )
NEW met2 0 + SHAPE STRIPE ( 175440 127840 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 127840 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 122400 ) ( 176230 122400 )
NEW met3 0 + SHAPE STRIPE ( 175440 122400 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 122400 ) ( 176210 122400 )
NEW met2 0 + SHAPE STRIPE ( 175440 122400 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 122400 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 116960 ) ( 176230 116960 )
NEW met3 0 + SHAPE STRIPE ( 175440 116960 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 116960 ) ( 176210 116960 )
NEW met2 0 + SHAPE STRIPE ( 175440 116960 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 116960 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 111520 ) ( 176230 111520 )
NEW met3 0 + SHAPE STRIPE ( 175440 111520 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 111520 ) ( 176210 111520 )
NEW met2 0 + SHAPE STRIPE ( 175440 111520 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 111520 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 106080 ) ( 176230 106080 )
NEW met3 0 + SHAPE STRIPE ( 175440 106080 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 106080 ) ( 176210 106080 )
NEW met2 0 + SHAPE STRIPE ( 175440 106080 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 106080 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 100640 ) ( 176230 100640 )
NEW met3 0 + SHAPE STRIPE ( 175440 100640 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 100640 ) ( 176210 100640 )
NEW met2 0 + SHAPE STRIPE ( 175440 100640 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 100640 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 95200 ) ( 176230 95200 )
NEW met3 0 + SHAPE STRIPE ( 175440 95200 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 95200 ) ( 176210 95200 )
NEW met2 0 + SHAPE STRIPE ( 175440 95200 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 95200 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 89760 ) ( 176230 89760 )
NEW met3 0 + SHAPE STRIPE ( 175440 89760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 89760 ) ( 176210 89760 )
NEW met2 0 + SHAPE STRIPE ( 175440 89760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 89760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 84320 ) ( 176230 84320 )
NEW met3 0 + SHAPE STRIPE ( 175440 84320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 84320 ) ( 176210 84320 )
NEW met2 0 + SHAPE STRIPE ( 175440 84320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 84320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 78880 ) ( 176230 78880 )
NEW met3 0 + SHAPE STRIPE ( 175440 78880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 78880 ) ( 176210 78880 )
NEW met2 0 + SHAPE STRIPE ( 175440 78880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 78880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 73440 ) ( 176230 73440 )
NEW met3 0 + SHAPE STRIPE ( 175440 73440 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 73440 ) ( 176210 73440 )
NEW met2 0 + SHAPE STRIPE ( 175440 73440 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 73440 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 68000 ) ( 176230 68000 )
NEW met3 0 + SHAPE STRIPE ( 175440 68000 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 68000 ) ( 176210 68000 )
NEW met2 0 + SHAPE STRIPE ( 175440 68000 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 68000 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 62560 ) ( 176230 62560 )
NEW met3 0 + SHAPE STRIPE ( 175440 62560 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 62560 ) ( 176210 62560 )
NEW met2 0 + SHAPE STRIPE ( 175440 62560 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 62560 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 57120 ) ( 176230 57120 )
NEW met3 0 + SHAPE STRIPE ( 175440 57120 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 57120 ) ( 176210 57120 )
NEW met2 0 + SHAPE STRIPE ( 175440 57120 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 57120 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 51680 ) ( 176230 51680 )
NEW met3 0 + SHAPE STRIPE ( 175440 51680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 51680 ) ( 176210 51680 )
NEW met2 0 + SHAPE STRIPE ( 175440 51680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 51680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 46240 ) ( 176230 46240 )
NEW met3 0 + SHAPE STRIPE ( 175440 46240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 46240 ) ( 176210 46240 )
NEW met2 0 + SHAPE STRIPE ( 175440 46240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 46240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 40800 ) ( 176230 40800 )
NEW met3 0 + SHAPE STRIPE ( 175440 40800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 40800 ) ( 176210 40800 )
NEW met2 0 + SHAPE STRIPE ( 175440 40800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 40800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 35360 ) ( 176230 35360 )
NEW met3 0 + SHAPE STRIPE ( 175440 35360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 35360 ) ( 176210 35360 )
NEW met2 0 + SHAPE STRIPE ( 175440 35360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 35360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 29920 ) ( 176230 29920 )
NEW met3 0 + SHAPE STRIPE ( 175440 29920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 29920 ) ( 176210 29920 )
NEW met2 0 + SHAPE STRIPE ( 175440 29920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 29920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 24480 ) ( 176230 24480 )
NEW met3 0 + SHAPE STRIPE ( 175440 24480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 24480 ) ( 176210 24480 )
NEW met2 0 + SHAPE STRIPE ( 175440 24480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 24480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 19040 ) ( 176230 19040 )
NEW met3 0 + SHAPE STRIPE ( 175440 19040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 19040 ) ( 176210 19040 )
NEW met2 0 + SHAPE STRIPE ( 175440 19040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 19040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 13600 ) ( 176230 13600 )
NEW met3 0 + SHAPE STRIPE ( 175440 13600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 13600 ) ( 176210 13600 )
NEW met2 0 + SHAPE STRIPE ( 175440 13600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 13600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 187680 ) ( 22630 187680 )
NEW met3 0 + SHAPE STRIPE ( 21840 187680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 187680 ) ( 22610 187680 )
NEW met2 0 + SHAPE STRIPE ( 21840 187680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 187680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 182240 ) ( 22630 182240 )
NEW met3 0 + SHAPE STRIPE ( 21840 182240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 182240 ) ( 22610 182240 )
NEW met2 0 + SHAPE STRIPE ( 21840 182240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 182240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 176800 ) ( 22630 176800 )
NEW met3 0 + SHAPE STRIPE ( 21840 176800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 176800 ) ( 22610 176800 )
NEW met2 0 + SHAPE STRIPE ( 21840 176800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 176800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 171360 ) ( 22630 171360 )
NEW met3 0 + SHAPE STRIPE ( 21840 171360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 171360 ) ( 22610 171360 )
NEW met2 0 + SHAPE STRIPE ( 21840 171360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 171360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 165920 ) ( 22630 165920 )
NEW met3 0 + SHAPE STRIPE ( 21840 165920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 165920 ) ( 22610 165920 )
NEW met2 0 + SHAPE STRIPE ( 21840 165920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 165920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 160480 ) ( 22630 160480 )
NEW met3 0 + SHAPE STRIPE ( 21840 160480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 160480 ) ( 22610 160480 )
NEW met2 0 + SHAPE STRIPE ( 21840 160480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 160480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 155040 ) ( 22630 155040 )
NEW met3 0 + SHAPE STRIPE ( 21840 155040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 155040 ) ( 22610 155040 )
NEW met2 0 + SHAPE STRIPE ( 21840 155040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 155040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 149600 ) ( 22630 149600 )
NEW met3 0 + SHAPE STRIPE ( 21840 149600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 149600 ) ( 22610 149600 )
NEW met2 0 + SHAPE STRIPE ( 21840 149600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 149600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 144160 ) ( 22630 144160 )
NEW met3 0 + SHAPE STRIPE ( 21840 144160 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 144160 ) ( 22610 144160 )
NEW met2 0 + SHAPE STRIPE ( 21840 144160 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 144160 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 138720 ) ( 22630 138720 )
NEW met3 0 + SHAPE STRIPE ( 21840 138720 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 138720 ) ( 22610 138720 )
NEW met2 0 + SHAPE STRIPE ( 21840 138720 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 138720 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 133280 ) ( 22630 133280 )
NEW met3 0 + SHAPE STRIPE ( 21840 133280 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 133280 ) ( 22610 133280 )
NEW met2 0 + SHAPE STRIPE ( 21840 133280 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 133280 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 127840 ) ( 22630 127840 )
NEW met3 0 + SHAPE STRIPE ( 21840 127840 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 127840 ) ( 22610 127840 )
NEW met2 0 + SHAPE STRIPE ( 21840 127840 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 127840 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 122400 ) ( 22630 122400 )
NEW met3 0 + SHAPE STRIPE ( 21840 122400 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 122400 ) ( 22610 122400 )
NEW met2 0 + SHAPE STRIPE ( 21840 122400 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 122400 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 116960 ) ( 22630 116960 )
NEW met3 0 + SHAPE STRIPE ( 21840 116960 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 116960 ) ( 22610 116960 )
NEW met2 0 + SHAPE STRIPE ( 21840 116960 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 116960 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 111520 ) ( 22630 111520 )
NEW met3 0 + SHAPE STRIPE ( 21840 111520 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 111520 ) ( 22610 111520 )
NEW met2 0 + SHAPE STRIPE ( 21840 111520 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 111520 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 106080 ) ( 22630 106080 )
NEW met3 0 + SHAPE STRIPE ( 21840 106080 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 106080 ) ( 22610 106080 )
NEW met2 0 + SHAPE STRIPE ( 21840 106080 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 106080 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 100640 ) ( 22630 100640 )
NEW met3 0 + SHAPE STRIPE ( 21840 100640 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 100640 ) ( 22610 100640 )
NEW met2 0 + SHAPE STRIPE ( 21840 100640 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 100640 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 95200 ) ( 22630 95200 )
NEW met3 0 + SHAPE STRIPE ( 21840 95200 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 95200 ) ( 22610 95200 )
NEW met2 0 + SHAPE STRIPE ( 21840 95200 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 95200 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 89760 ) ( 22630 89760 )
NEW met3 0 + SHAPE STRIPE ( 21840 89760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 89760 ) ( 22610 89760 )
NEW met2 0 + SHAPE STRIPE ( 21840 89760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 89760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 84320 ) ( 22630 84320 )
NEW met3 0 + SHAPE STRIPE ( 21840 84320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 84320 ) ( 22610 84320 )
NEW met2 0 + SHAPE STRIPE ( 21840 84320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 84320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 78880 ) ( 22630 78880 )
NEW met3 0 + SHAPE STRIPE ( 21840 78880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 78880 ) ( 22610 78880 )
NEW met2 0 + SHAPE STRIPE ( 21840 78880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 78880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 73440 ) ( 22630 73440 )
NEW met3 0 + SHAPE STRIPE ( 21840 73440 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 73440 ) ( 22610 73440 )
NEW met2 0 + SHAPE STRIPE ( 21840 73440 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 73440 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 68000 ) ( 22630 68000 )
NEW met3 0 + SHAPE STRIPE ( 21840 68000 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 68000 ) ( 22610 68000 )
NEW met2 0 + SHAPE STRIPE ( 21840 68000 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 68000 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 62560 ) ( 22630 62560 )
NEW met3 0 + SHAPE STRIPE ( 21840 62560 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 62560 ) ( 22610 62560 )
NEW met2 0 + SHAPE STRIPE ( 21840 62560 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 62560 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 57120 ) ( 22630 57120 )
NEW met3 0 + SHAPE STRIPE ( 21840 57120 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 57120 ) ( 22610 57120 )
NEW met2 0 + SHAPE STRIPE ( 21840 57120 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 57120 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 51680 ) ( 22630 51680 )
NEW met3 0 + SHAPE STRIPE ( 21840 51680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 51680 ) ( 22610 51680 )
NEW met2 0 + SHAPE STRIPE ( 21840 51680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 51680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 46240 ) ( 22630 46240 )
NEW met3 0 + SHAPE STRIPE ( 21840 46240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 46240 ) ( 22610 46240 )
NEW met2 0 + SHAPE STRIPE ( 21840 46240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 46240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 40800 ) ( 22630 40800 )
NEW met3 0 + SHAPE STRIPE ( 21840 40800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 40800 ) ( 22610 40800 )
NEW met2 0 + SHAPE STRIPE ( 21840 40800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 40800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 35360 ) ( 22630 35360 )
NEW met3 0 + SHAPE STRIPE ( 21840 35360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 35360 ) ( 22610 35360 )
NEW met2 0 + SHAPE STRIPE ( 21840 35360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 35360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 29920 ) ( 22630 29920 )
NEW met3 0 + SHAPE STRIPE ( 21840 29920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 29920 ) ( 22610 29920 )
NEW met2 0 + SHAPE STRIPE ( 21840 29920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 29920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 24480 ) ( 22630 24480 )
NEW met3 0 + SHAPE STRIPE ( 21840 24480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 24480 ) ( 22610 24480 )
NEW met2 0 + SHAPE STRIPE ( 21840 24480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 24480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 19040 ) ( 22630 19040 )
NEW met3 0 + SHAPE STRIPE ( 21840 19040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 19040 ) ( 22610 19040 )
NEW met2 0 + SHAPE STRIPE ( 21840 19040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 19040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 13600 ) ( 22630 13600 )
NEW met3 0 + SHAPE STRIPE ( 21840 13600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 13600 ) ( 22610 13600 )
NEW met2 0 + SHAPE STRIPE ( 21840 13600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 13600 ) via2_3_1600_480_1_5_320_320 ;
- vssd1 ( PIN vssd1 ) ( * VNB ) ( * VGND ) + USE GROUND
+ ROUTED met1 480 + SHAPE FOLLOWPIN ( 5520 184960 ) ( 194120 184960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 179520 ) ( 194120 179520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 174080 ) ( 194120 174080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 168640 ) ( 194120 168640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 163200 ) ( 194120 163200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 157760 ) ( 194120 157760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 152320 ) ( 194120 152320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 146880 ) ( 194120 146880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 141440 ) ( 194120 141440 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 136000 ) ( 194120 136000 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 130560 ) ( 194120 130560 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 125120 ) ( 194120 125120 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 119680 ) ( 194120 119680 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 114240 ) ( 194120 114240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 108800 ) ( 194120 108800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 103360 ) ( 194120 103360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 97920 ) ( 194120 97920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 92480 ) ( 194120 92480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 87040 ) ( 194120 87040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 81600 ) ( 194120 81600 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 76160 ) ( 194120 76160 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 70720 ) ( 194120 70720 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 65280 ) ( 194120 65280 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 59840 ) ( 194120 59840 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 54400 ) ( 194120 54400 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 48960 ) ( 194120 48960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 43520 ) ( 194120 43520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 38080 ) ( 194120 38080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 32640 ) ( 194120 32640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 27200 ) ( 194120 27200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 21760 ) ( 194120 21760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 16320 ) ( 194120 16320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 10880 ) ( 194120 10880 )
NEW met4 1600 + SHAPE STRIPE ( 98640 10640 ) ( 98640 187920 )
NEW met3 330 + SHAPE STRIPE ( 97850 184960 ) ( 99430 184960 )
NEW met3 0 + SHAPE STRIPE ( 98640 184960 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 184960 ) ( 99410 184960 )
NEW met2 0 + SHAPE STRIPE ( 98640 184960 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 184960 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 179520 ) ( 99430 179520 )
NEW met3 0 + SHAPE STRIPE ( 98640 179520 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 179520 ) ( 99410 179520 )
NEW met2 0 + SHAPE STRIPE ( 98640 179520 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 179520 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 174080 ) ( 99430 174080 )
NEW met3 0 + SHAPE STRIPE ( 98640 174080 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 174080 ) ( 99410 174080 )
NEW met2 0 + SHAPE STRIPE ( 98640 174080 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 174080 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 168640 ) ( 99430 168640 )
NEW met3 0 + SHAPE STRIPE ( 98640 168640 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 168640 ) ( 99410 168640 )
NEW met2 0 + SHAPE STRIPE ( 98640 168640 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 168640 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 163200 ) ( 99430 163200 )
NEW met3 0 + SHAPE STRIPE ( 98640 163200 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 163200 ) ( 99410 163200 )
NEW met2 0 + SHAPE STRIPE ( 98640 163200 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 163200 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 157760 ) ( 99430 157760 )
NEW met3 0 + SHAPE STRIPE ( 98640 157760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 157760 ) ( 99410 157760 )
NEW met2 0 + SHAPE STRIPE ( 98640 157760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 157760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 152320 ) ( 99430 152320 )
NEW met3 0 + SHAPE STRIPE ( 98640 152320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 152320 ) ( 99410 152320 )
NEW met2 0 + SHAPE STRIPE ( 98640 152320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 152320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 146880 ) ( 99430 146880 )
NEW met3 0 + SHAPE STRIPE ( 98640 146880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 146880 ) ( 99410 146880 )
NEW met2 0 + SHAPE STRIPE ( 98640 146880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 146880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 141440 ) ( 99430 141440 )
NEW met3 0 + SHAPE STRIPE ( 98640 141440 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 141440 ) ( 99410 141440 )
NEW met2 0 + SHAPE STRIPE ( 98640 141440 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 141440 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 136000 ) ( 99430 136000 )
NEW met3 0 + SHAPE STRIPE ( 98640 136000 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 136000 ) ( 99410 136000 )
NEW met2 0 + SHAPE STRIPE ( 98640 136000 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 136000 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 130560 ) ( 99430 130560 )
NEW met3 0 + SHAPE STRIPE ( 98640 130560 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 130560 ) ( 99410 130560 )
NEW met2 0 + SHAPE STRIPE ( 98640 130560 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 130560 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 125120 ) ( 99430 125120 )
NEW met3 0 + SHAPE STRIPE ( 98640 125120 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 125120 ) ( 99410 125120 )
NEW met2 0 + SHAPE STRIPE ( 98640 125120 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 125120 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 119680 ) ( 99430 119680 )
NEW met3 0 + SHAPE STRIPE ( 98640 119680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 119680 ) ( 99410 119680 )
NEW met2 0 + SHAPE STRIPE ( 98640 119680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 119680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 114240 ) ( 99430 114240 )
NEW met3 0 + SHAPE STRIPE ( 98640 114240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 114240 ) ( 99410 114240 )
NEW met2 0 + SHAPE STRIPE ( 98640 114240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 114240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 108800 ) ( 99430 108800 )
NEW met3 0 + SHAPE STRIPE ( 98640 108800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 108800 ) ( 99410 108800 )
NEW met2 0 + SHAPE STRIPE ( 98640 108800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 108800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 103360 ) ( 99430 103360 )
NEW met3 0 + SHAPE STRIPE ( 98640 103360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 103360 ) ( 99410 103360 )
NEW met2 0 + SHAPE STRIPE ( 98640 103360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 103360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 97920 ) ( 99430 97920 )
NEW met3 0 + SHAPE STRIPE ( 98640 97920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 97920 ) ( 99410 97920 )
NEW met2 0 + SHAPE STRIPE ( 98640 97920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 97920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 92480 ) ( 99430 92480 )
NEW met3 0 + SHAPE STRIPE ( 98640 92480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 92480 ) ( 99410 92480 )
NEW met2 0 + SHAPE STRIPE ( 98640 92480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 92480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 87040 ) ( 99430 87040 )
NEW met3 0 + SHAPE STRIPE ( 98640 87040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 87040 ) ( 99410 87040 )
NEW met2 0 + SHAPE STRIPE ( 98640 87040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 87040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 81600 ) ( 99430 81600 )
NEW met3 0 + SHAPE STRIPE ( 98640 81600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 81600 ) ( 99410 81600 )
NEW met2 0 + SHAPE STRIPE ( 98640 81600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 81600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 76160 ) ( 99430 76160 )
NEW met3 0 + SHAPE STRIPE ( 98640 76160 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 76160 ) ( 99410 76160 )
NEW met2 0 + SHAPE STRIPE ( 98640 76160 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 76160 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 70720 ) ( 99430 70720 )
NEW met3 0 + SHAPE STRIPE ( 98640 70720 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 70720 ) ( 99410 70720 )
NEW met2 0 + SHAPE STRIPE ( 98640 70720 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 70720 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 65280 ) ( 99430 65280 )
NEW met3 0 + SHAPE STRIPE ( 98640 65280 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 65280 ) ( 99410 65280 )
NEW met2 0 + SHAPE STRIPE ( 98640 65280 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 65280 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 59840 ) ( 99430 59840 )
NEW met3 0 + SHAPE STRIPE ( 98640 59840 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 59840 ) ( 99410 59840 )
NEW met2 0 + SHAPE STRIPE ( 98640 59840 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 59840 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 54400 ) ( 99430 54400 )
NEW met3 0 + SHAPE STRIPE ( 98640 54400 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 54400 ) ( 99410 54400 )
NEW met2 0 + SHAPE STRIPE ( 98640 54400 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 54400 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 48960 ) ( 99430 48960 )
NEW met3 0 + SHAPE STRIPE ( 98640 48960 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 48960 ) ( 99410 48960 )
NEW met2 0 + SHAPE STRIPE ( 98640 48960 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 48960 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 43520 ) ( 99430 43520 )
NEW met3 0 + SHAPE STRIPE ( 98640 43520 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 43520 ) ( 99410 43520 )
NEW met2 0 + SHAPE STRIPE ( 98640 43520 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 43520 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 38080 ) ( 99430 38080 )
NEW met3 0 + SHAPE STRIPE ( 98640 38080 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 38080 ) ( 99410 38080 )
NEW met2 0 + SHAPE STRIPE ( 98640 38080 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 38080 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 32640 ) ( 99430 32640 )
NEW met3 0 + SHAPE STRIPE ( 98640 32640 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 32640 ) ( 99410 32640 )
NEW met2 0 + SHAPE STRIPE ( 98640 32640 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 32640 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 27200 ) ( 99430 27200 )
NEW met3 0 + SHAPE STRIPE ( 98640 27200 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 27200 ) ( 99410 27200 )
NEW met2 0 + SHAPE STRIPE ( 98640 27200 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 27200 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 21760 ) ( 99430 21760 )
NEW met3 0 + SHAPE STRIPE ( 98640 21760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 21760 ) ( 99410 21760 )
NEW met2 0 + SHAPE STRIPE ( 98640 21760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 21760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 16320 ) ( 99430 16320 )
NEW met3 0 + SHAPE STRIPE ( 98640 16320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 16320 ) ( 99410 16320 )
NEW met2 0 + SHAPE STRIPE ( 98640 16320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 16320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 10880 ) ( 99430 10880 )
NEW met3 0 + SHAPE STRIPE ( 98640 10880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 10880 ) ( 99410 10880 )
NEW met2 0 + SHAPE STRIPE ( 98640 10880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 10880 ) via2_3_1600_480_1_5_320_320 ;
END SPECIALNETS
NETS 260 ;
- L0_ready_a1 ( _34_ Q ) ( _14_ A ) + USE SIGNAL
+ ROUTED met2 ( 14030 21250 ) ( * 26010 )
NEW met1 ( 11270 26010 ) ( 14030 * )
NEW li1 ( 14030 21250 ) L1M1_PR_MR
NEW met1 ( 14030 21250 ) M1M2_PR
NEW met1 ( 14030 26010 ) M1M2_PR
NEW li1 ( 11270 26010 ) L1M1_PR_MR
NEW met1 ( 14030 21250 ) RECT ( -355 -70 0 70 ) ;
- _00_ ( _16_ C ) ( _14_ B ) ( _13_ Y ) + USE SIGNAL
+ ROUTED met2 ( 9430 26010 ) ( * 33150 )
NEW met1 ( 9430 26010 ) ( 10350 * )
NEW met1 ( 8970 99450 ) ( 9890 * )
NEW met2 ( 8970 82800 ) ( * 99450 )
NEW met2 ( 8970 82800 ) ( 9430 * )
NEW met2 ( 9430 33150 ) ( * 82800 )
NEW li1 ( 9430 33150 ) L1M1_PR_MR
NEW met1 ( 9430 33150 ) M1M2_PR
NEW met1 ( 9430 26010 ) M1M2_PR
NEW li1 ( 10350 26010 ) L1M1_PR_MR
NEW met1 ( 8970 99450 ) M1M2_PR
NEW li1 ( 9890 99450 ) L1M1_PR_MR
NEW met1 ( 9430 33150 ) RECT ( -355 -70 0 70 ) ;
- _01_ ( _16_ D ) ( _15_ X ) + USE SIGNAL
+ ROUTED met1 ( 9430 99110 ) ( 10350 * )
NEW met2 ( 10350 99110 ) ( * 101150 )
NEW met1 ( 10350 101150 ) ( 10810 * )
NEW li1 ( 9430 99110 ) L1M1_PR_MR
NEW met1 ( 10350 99110 ) M1M2_PR
NEW met1 ( 10350 101150 ) M1M2_PR
NEW li1 ( 10810 101150 ) L1M1_PR_MR ;
- _02_ ( _21_ A ) ( _16_ X ) + USE SIGNAL
+ ROUTED met1 ( 11730 100130 ) ( 12650 * )
NEW met2 ( 12650 100130 ) ( * 118490 )
NEW li1 ( 11730 100130 ) L1M1_PR_MR
NEW met1 ( 12650 100130 ) M1M2_PR
NEW li1 ( 12650 118490 ) L1M1_PR_MR
NEW met1 ( 12650 118490 ) M1M2_PR
NEW met1 ( 12650 118490 ) RECT ( 0 -70 355 70 ) ;
- _03_ ( _21_ B ) ( _17_ X ) + USE SIGNAL
+ ROUTED met2 ( 10350 126140 ) ( 10810 * )
NEW met2 ( 10350 117470 ) ( * 126140 )
NEW met1 ( 10350 117470 ) ( 11270 * )
NEW met2 ( 10810 126140 ) ( * 133790 )
NEW met1 ( 10350 117470 ) M1M2_PR
NEW li1 ( 11270 117470 ) L1M1_PR_MR
NEW li1 ( 10810 133790 ) L1M1_PR_MR
NEW met1 ( 10810 133790 ) M1M2_PR
NEW met1 ( 10810 133790 ) RECT ( -355 -70 0 70 ) ;
- _04_ ( _21_ C ) ( _18_ X ) + USE SIGNAL
+ ROUTED met2 ( 12190 118490 ) ( * 120190 )
NEW met1 ( 10810 120190 ) ( 12190 * )
NEW li1 ( 12190 118490 ) L1M1_PR_MR
NEW met1 ( 12190 118490 ) M1M2_PR
NEW met1 ( 12190 120190 ) M1M2_PR
NEW li1 ( 10810 120190 ) L1M1_PR_MR
NEW met1 ( 12190 118490 ) RECT ( -355 -70 0 70 ) ;
- _05_ ( _24_ A ) ( _20_ D ) ( _19_ X ) + USE SIGNAL
+ ROUTED met1 ( 7590 162010 ) ( 10350 * )
NEW met2 ( 7590 162010 ) ( * 163710 )
NEW met2 ( 10810 153510 ) ( * 162010 )
NEW met1 ( 10350 162010 ) ( 10810 * )
NEW li1 ( 10350 162010 ) L1M1_PR_MR
NEW met1 ( 7590 162010 ) M1M2_PR
NEW li1 ( 7590 163710 ) L1M1_PR_MR
NEW met1 ( 7590 163710 ) M1M2_PR
NEW li1 ( 10810 153510 ) L1M1_PR_MR
NEW met1 ( 10810 153510 ) M1M2_PR
NEW met1 ( 10810 162010 ) M1M2_PR
NEW met1 ( 7590 163710 ) RECT ( -355 -70 0 70 )
NEW met1 ( 10810 153510 ) RECT ( -355 -70 0 70 ) ;
- _06_ ( _21_ D ) ( _20_ X ) + USE SIGNAL
+ ROUTED met2 ( 10810 139060 ) ( 11270 * )
NEW met2 ( 10810 139060 ) ( * 152830 )
NEW met1 ( 8510 152830 ) ( 10810 * )
NEW met2 ( 11270 118830 ) ( * 139060 )
NEW li1 ( 11270 118830 ) L1M1_PR_MR
NEW met1 ( 11270 118830 ) M1M2_PR
NEW met1 ( 10810 152830 ) M1M2_PR
NEW li1 ( 8510 152830 ) L1M1_PR_MR
NEW met1 ( 11270 118830 ) RECT ( -355 -70 0 70 ) ;
- _07_ ( _22_ A ) ( _21_ X ) + USE SIGNAL
+ ROUTED met1 ( 21390 115430 ) ( 23230 * )
NEW met2 ( 23230 115430 ) ( * 117470 )
NEW met1 ( 13570 117470 ) ( 23230 * )
NEW li1 ( 21390 115430 ) L1M1_PR_MR
NEW met1 ( 23230 115430 ) M1M2_PR
NEW met1 ( 23230 117470 ) M1M2_PR
NEW li1 ( 13570 117470 ) L1M1_PR_MR ;
- _08_ ( _24_ B ) ( _23_ Y ) + USE SIGNAL
+ ROUTED met2 ( 8970 147900 ) ( 9430 * )
NEW met2 ( 8970 147900 ) ( * 156060 )
NEW met2 ( 8970 156060 ) ( 9430 * )
NEW met2 ( 9430 156060 ) ( * 161670 )
NEW met1 ( 9430 161670 ) ( 11270 * )
NEW met1 ( 11270 161670 ) ( * 162010 )
NEW met2 ( 9430 94690 ) ( * 147900 )
NEW li1 ( 9430 94690 ) L1M1_PR_MR
NEW met1 ( 9430 94690 ) M1M2_PR
NEW met1 ( 9430 161670 ) M1M2_PR
NEW li1 ( 11270 162010 ) L1M1_PR_MR
NEW met1 ( 9430 94690 ) RECT ( -355 -70 0 70 ) ;
- _09_ ( _26_ A ) ( _25_ X ) + USE SIGNAL
+ ROUTED met1 ( 97750 33830 ) ( 100970 * )
NEW met2 ( 97750 33830 ) ( * 35870 )
NEW met1 ( 97750 33830 ) M1M2_PR
NEW li1 ( 100970 33830 ) L1M1_PR_MR
NEW li1 ( 97750 35870 ) L1M1_PR_MR
NEW met1 ( 97750 35870 ) M1M2_PR
NEW met1 ( 97750 35870 ) RECT ( -355 -70 0 70 ) ;
- _10_ ( _28_ A ) ( _27_ X ) + USE SIGNAL
+ ROUTED met2 ( 103270 36890 ) ( * 38590 )
NEW met1 ( 100050 38590 ) ( 103270 * )
NEW li1 ( 103270 36890 ) L1M1_PR_MR
NEW met1 ( 103270 36890 ) M1M2_PR
NEW met1 ( 103270 38590 ) M1M2_PR
NEW li1 ( 100050 38590 ) L1M1_PR_MR
NEW met1 ( 103270 36890 ) RECT ( -355 -70 0 70 ) ;
- _11_ ( _30_ A ) ( _29_ X ) + USE SIGNAL
+ ROUTED met2 ( 106490 39270 ) ( * 41310 )
NEW met1 ( 104190 41310 ) ( 106490 * )
NEW li1 ( 106490 39270 ) L1M1_PR_MR
NEW met1 ( 106490 39270 ) M1M2_PR
NEW met1 ( 106490 41310 ) M1M2_PR
NEW li1 ( 104190 41310 ) L1M1_PR_MR
NEW met1 ( 106490 39270 ) RECT ( -355 -70 0 70 ) ;
- _12_ ( _32_ A ) ( _31_ X ) + USE SIGNAL
+ ROUTED met2 ( 103270 39270 ) ( * 41310 )
NEW met1 ( 100050 41310 ) ( 103270 * )
NEW li1 ( 103270 39270 ) L1M1_PR_MR
NEW met1 ( 103270 39270 ) M1M2_PR
NEW met1 ( 103270 41310 ) M1M2_PR
NEW li1 ( 100050 41310 ) L1M1_PR_MR
NEW met1 ( 103270 39270 ) RECT ( -355 -70 0 70 ) ;
- addr0[0] ( PIN addr0[0] ) ( output70 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 152660 ) ( * 152830 )
NEW met3 ( 190210 152660 ) ( 196420 * 0 )
NEW li1 ( 190210 152830 ) L1M1_PR_MR
NEW met1 ( 190210 152830 ) M1M2_PR
NEW met2 ( 190210 152660 ) M2M3_PR
NEW met1 ( 190210 152830 ) RECT ( -355 -70 0 70 ) ;
- addr0[1] ( PIN addr0[1] ) ( output71 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 155890 ) ( * 156060 )
NEW met3 ( 190210 156060 ) ( 196420 * 0 )
NEW li1 ( 190210 155890 ) L1M1_PR_MR
NEW met1 ( 190210 155890 ) M1M2_PR
NEW met2 ( 190210 156060 ) M2M3_PR
NEW met1 ( 190210 155890 ) RECT ( -355 -70 0 70 ) ;
- addr0[2] ( PIN addr0[2] ) ( output72 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 159460 ) ( * 160990 )
NEW met3 ( 190210 159460 ) ( 196420 * 0 )
NEW li1 ( 190210 160990 ) L1M1_PR_MR
NEW met1 ( 190210 160990 ) M1M2_PR
NEW met2 ( 190210 159460 ) M2M3_PR
NEW met1 ( 190210 160990 ) RECT ( -355 -70 0 70 ) ;
- addr0[3] ( PIN addr0[3] ) ( output73 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 162860 ) ( * 163710 )
NEW met3 ( 190210 162860 ) ( 196420 * 0 )
NEW li1 ( 190210 163710 ) L1M1_PR_MR
NEW met1 ( 190210 163710 ) M1M2_PR
NEW met2 ( 190210 162860 ) M2M3_PR
NEW met1 ( 190210 163710 ) RECT ( -355 -70 0 70 ) ;
- addr0[4] ( PIN addr0[4] ) ( output74 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 166260 ) ( * 166430 )
NEW met3 ( 190210 166260 ) ( 196420 * 0 )
NEW li1 ( 190210 166430 ) L1M1_PR_MR
NEW met1 ( 190210 166430 ) M1M2_PR
NEW met2 ( 190210 166260 ) M2M3_PR
NEW met1 ( 190210 166430 ) RECT ( -355 -70 0 70 ) ;
- addr0[5] ( PIN addr0[5] ) ( output75 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 169150 ) ( * 169660 )
NEW met3 ( 190210 169660 ) ( 196420 * 0 )
NEW li1 ( 190210 169150 ) L1M1_PR_MR
NEW met1 ( 190210 169150 ) M1M2_PR
NEW met2 ( 190210 169660 ) M2M3_PR
NEW met1 ( 190210 169150 ) RECT ( -355 -70 0 70 ) ;
- addr0[6] ( PIN addr0[6] ) ( output76 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 173060 ) ( * 174590 )
NEW met3 ( 190210 173060 ) ( 196420 * 0 )
NEW li1 ( 190210 174590 ) L1M1_PR_MR
NEW met1 ( 190210 174590 ) M1M2_PR
NEW met2 ( 190210 173060 ) M2M3_PR
NEW met1 ( 190210 174590 ) RECT ( -355 -70 0 70 ) ;
- addr0[7] ( PIN addr0[7] ) ( output77 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 176460 ) ( * 177310 )
NEW met3 ( 190210 176460 ) ( 196420 * 0 )
NEW li1 ( 190210 177310 ) L1M1_PR_MR
NEW met1 ( 190210 177310 ) M1M2_PR
NEW met2 ( 190210 176460 ) M2M3_PR
NEW met1 ( 190210 177310 ) RECT ( -355 -70 0 70 ) ;
- addr0[8] ( PIN addr0[8] ) ( output78 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 179860 ) ( * 180030 )
NEW met3 ( 190210 179860 ) ( 196420 * 0 )
NEW li1 ( 190210 180030 ) L1M1_PR_MR
NEW met1 ( 190210 180030 ) M1M2_PR
NEW met2 ( 190210 179860 ) M2M3_PR
NEW met1 ( 190210 180030 ) RECT ( -355 -70 0 70 ) ;
- clk0 ( PIN clk0 ) ( output79 X ) + USE CLOCK
+ ROUTED met2 ( 190670 19890 ) ( * 20060 )
NEW met3 ( 190670 20060 ) ( 196420 * 0 )
NEW li1 ( 190670 19890 ) L1M1_PR_MR
NEW met1 ( 190670 19890 ) M1M2_PR
NEW met2 ( 190670 20060 ) M2M3_PR
NEW met1 ( 190670 19890 ) RECT ( -355 -70 0 70 ) ;
- clknet_0_wb_clk_i ( clkbuf_1_1__f_wb_clk_i A ) ( clkbuf_1_0__f_wb_clk_i A ) ( clkbuf_0_wb_clk_i X ) + USE CLOCK
+ ROUTED met2 ( 9890 23970 ) ( * 28050 )
NEW met1 ( 9890 28050 ) ( 16330 * )
NEW met1 ( 9890 15470 ) ( 13110 * )
NEW met2 ( 9890 15470 ) ( * 23970 )
NEW li1 ( 9890 23970 ) L1M1_PR_MR
NEW met1 ( 9890 23970 ) M1M2_PR
NEW met1 ( 9890 28050 ) M1M2_PR
NEW li1 ( 16330 28050 ) L1M1_PR_MR
NEW li1 ( 13110 15470 ) L1M1_PR_MR
NEW met1 ( 9890 15470 ) M1M2_PR
NEW met1 ( 9890 23970 ) RECT ( -355 -70 0 70 ) ;
- clknet_1_0__leaf_wb_clk_i ( _45_ A ) ( clkbuf_1_0__f_wb_clk_i X ) + USE CLOCK
+ ROUTED met2 ( 10350 15810 ) ( * 17510 )
NEW met1 ( 10350 15810 ) ( 19550 * )
NEW li1 ( 10350 17510 ) L1M1_PR_MR
NEW met1 ( 10350 17510 ) M1M2_PR
NEW met1 ( 10350 15810 ) M1M2_PR
NEW li1 ( 19550 15810 ) L1M1_PR_MR
NEW met1 ( 10350 17510 ) RECT ( -355 -70 0 70 ) ;
- clknet_1_1__leaf_wb_clk_i ( _34_ CLK ) ( clkbuf_1_1__f_wb_clk_i X ) + USE CLOCK
+ ROUTED met2 ( 7130 20570 ) ( * 28050 )
NEW met1 ( 7130 28050 ) ( 7590 * )
NEW li1 ( 7130 20570 ) L1M1_PR_MR
NEW met1 ( 7130 20570 ) M1M2_PR
NEW met1 ( 7130 28050 ) M1M2_PR
NEW li1 ( 7590 28050 ) L1M1_PR_MR
NEW met1 ( 7130 20570 ) RECT ( -355 -70 0 70 ) ;
- csb0 ( PIN csb0 ) ( output80 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 23460 ) ( * 24990 )
NEW met3 ( 190210 23460 ) ( 196420 * 0 )
NEW li1 ( 190210 24990 ) L1M1_PR_MR
NEW met1 ( 190210 24990 ) M1M2_PR
NEW met2 ( 190210 23460 ) M2M3_PR
NEW met1 ( 190210 24990 ) RECT ( -355 -70 0 70 ) ;
- din0[0] ( PIN din0[0] ) ( output81 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 43860 ) ( * 44030 )
NEW met3 ( 190210 43860 ) ( 196420 * 0 )
NEW li1 ( 190210 44030 ) L1M1_PR_MR
NEW met1 ( 190210 44030 ) M1M2_PR
NEW met2 ( 190210 43860 ) M2M3_PR
NEW met1 ( 190210 44030 ) RECT ( -355 -70 0 70 ) ;
- din0[10] ( PIN din0[10] ) ( output82 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 77860 ) ( * 79390 )
NEW met3 ( 190210 77860 ) ( 196420 * 0 )
NEW li1 ( 190210 79390 ) L1M1_PR_MR
NEW met1 ( 190210 79390 ) M1M2_PR
NEW met2 ( 190210 77860 ) M2M3_PR
NEW met1 ( 190210 79390 ) RECT ( -355 -70 0 70 ) ;
- din0[11] ( PIN din0[11] ) ( output83 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 81260 ) ( * 82110 )
NEW met3 ( 190210 81260 ) ( 196420 * 0 )
NEW li1 ( 190210 82110 ) L1M1_PR_MR
NEW met1 ( 190210 82110 ) M1M2_PR
NEW met2 ( 190210 81260 ) M2M3_PR
NEW met1 ( 190210 82110 ) RECT ( -355 -70 0 70 ) ;
- din0[12] ( PIN din0[12] ) ( output84 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 84660 ) ( * 84830 )
NEW met3 ( 190210 84660 ) ( 196420 * 0 )
NEW li1 ( 190210 84830 ) L1M1_PR_MR
NEW met1 ( 190210 84830 ) M1M2_PR
NEW met2 ( 190210 84660 ) M2M3_PR
NEW met1 ( 190210 84830 ) RECT ( -355 -70 0 70 ) ;
- din0[13] ( PIN din0[13] ) ( output85 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 87550 ) ( * 88060 )
NEW met3 ( 190210 88060 ) ( 196420 * 0 )
NEW li1 ( 190210 87550 ) L1M1_PR_MR
NEW met1 ( 190210 87550 ) M1M2_PR
NEW met2 ( 190210 88060 ) M2M3_PR
NEW met1 ( 190210 87550 ) RECT ( -355 -70 0 70 ) ;
- din0[14] ( PIN din0[14] ) ( output86 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 91460 ) ( * 92990 )
NEW met3 ( 190210 91460 ) ( 196420 * 0 )
NEW li1 ( 190210 92990 ) L1M1_PR_MR
NEW met1 ( 190210 92990 ) M1M2_PR
NEW met2 ( 190210 91460 ) M2M3_PR
NEW met1 ( 190210 92990 ) RECT ( -355 -70 0 70 ) ;
- din0[15] ( PIN din0[15] ) ( output87 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 94860 ) ( * 95710 )
NEW met3 ( 190210 94860 ) ( 196420 * 0 )
NEW li1 ( 190210 95710 ) L1M1_PR_MR
NEW met1 ( 190210 95710 ) M1M2_PR
NEW met2 ( 190210 94860 ) M2M3_PR
NEW met1 ( 190210 95710 ) RECT ( -355 -70 0 70 ) ;
- din0[16] ( PIN din0[16] ) ( output88 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 98260 ) ( * 98430 )
NEW met3 ( 190210 98260 ) ( 196420 * 0 )
NEW li1 ( 190210 98430 ) L1M1_PR_MR
NEW met1 ( 190210 98430 ) M1M2_PR
NEW met2 ( 190210 98260 ) M2M3_PR
NEW met1 ( 190210 98430 ) RECT ( -355 -70 0 70 ) ;
- din0[17] ( PIN din0[17] ) ( output89 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 101490 ) ( * 101660 )
NEW met3 ( 190210 101660 ) ( 196420 * 0 )
NEW li1 ( 190210 101490 ) L1M1_PR_MR
NEW met1 ( 190210 101490 ) M1M2_PR
NEW met2 ( 190210 101660 ) M2M3_PR
NEW met1 ( 190210 101490 ) RECT ( -355 -70 0 70 ) ;
- din0[18] ( PIN din0[18] ) ( output90 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 105060 ) ( * 106590 )
NEW met3 ( 190210 105060 ) ( 196420 * 0 )
NEW li1 ( 190210 106590 ) L1M1_PR_MR
NEW met1 ( 190210 106590 ) M1M2_PR
NEW met2 ( 190210 105060 ) M2M3_PR
NEW met1 ( 190210 106590 ) RECT ( -355 -70 0 70 ) ;
- din0[19] ( PIN din0[19] ) ( output91 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 108460 ) ( * 109310 )
NEW met3 ( 190210 108460 ) ( 196420 * 0 )
NEW li1 ( 190210 109310 ) L1M1_PR_MR
NEW met1 ( 190210 109310 ) M1M2_PR
NEW met2 ( 190210 108460 ) M2M3_PR
NEW met1 ( 190210 109310 ) RECT ( -355 -70 0 70 ) ;
- din0[1] ( PIN din0[1] ) ( output92 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 47090 ) ( * 47260 )
NEW met3 ( 190210 47260 ) ( 196420 * 0 )
NEW li1 ( 190210 47090 ) L1M1_PR_MR
NEW met1 ( 190210 47090 ) M1M2_PR
NEW met2 ( 190210 47260 ) M2M3_PR
NEW met1 ( 190210 47090 ) RECT ( -355 -70 0 70 ) ;
- din0[20] ( PIN din0[20] ) ( output93 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 111860 ) ( * 112030 )
NEW met3 ( 190210 111860 ) ( 196420 * 0 )
NEW li1 ( 190210 112030 ) L1M1_PR_MR
NEW met1 ( 190210 112030 ) M1M2_PR
NEW met2 ( 190210 111860 ) M2M3_PR
NEW met1 ( 190210 112030 ) RECT ( -355 -70 0 70 ) ;
- din0[21] ( PIN din0[21] ) ( output94 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 114750 ) ( * 115260 )
NEW met3 ( 190210 115260 ) ( 196420 * 0 )
NEW li1 ( 190210 114750 ) L1M1_PR_MR
NEW met1 ( 190210 114750 ) M1M2_PR
NEW met2 ( 190210 115260 ) M2M3_PR
NEW met1 ( 190210 114750 ) RECT ( -355 -70 0 70 ) ;
- din0[22] ( PIN din0[22] ) ( output95 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 118660 ) ( * 120190 )
NEW met3 ( 190210 118660 ) ( 196420 * 0 )
NEW li1 ( 190210 120190 ) L1M1_PR_MR
NEW met1 ( 190210 120190 ) M1M2_PR
NEW met2 ( 190210 118660 ) M2M3_PR
NEW met1 ( 190210 120190 ) RECT ( -355 -70 0 70 ) ;
- din0[23] ( PIN din0[23] ) ( output96 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 122060 ) ( * 122910 )
NEW met3 ( 190210 122060 ) ( 196420 * 0 )
NEW li1 ( 190210 122910 ) L1M1_PR_MR
NEW met1 ( 190210 122910 ) M1M2_PR
NEW met2 ( 190210 122060 ) M2M3_PR
NEW met1 ( 190210 122910 ) RECT ( -355 -70 0 70 ) ;
- din0[24] ( PIN din0[24] ) ( output97 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 125460 ) ( * 125630 )
NEW met3 ( 190210 125460 ) ( 196420 * 0 )
NEW li1 ( 190210 125630 ) L1M1_PR_MR
NEW met1 ( 190210 125630 ) M1M2_PR
NEW met2 ( 190210 125460 ) M2M3_PR
NEW met1 ( 190210 125630 ) RECT ( -355 -70 0 70 ) ;
- din0[25] ( PIN din0[25] ) ( output98 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 128690 ) ( * 128860 )
NEW met3 ( 190210 128860 ) ( 196420 * 0 )
NEW li1 ( 190210 128690 ) L1M1_PR_MR
NEW met1 ( 190210 128690 ) M1M2_PR
NEW met2 ( 190210 128860 ) M2M3_PR
NEW met1 ( 190210 128690 ) RECT ( -355 -70 0 70 ) ;
- din0[26] ( PIN din0[26] ) ( output99 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 132260 ) ( * 133790 )
NEW met3 ( 190210 132260 ) ( 196420 * 0 )
NEW li1 ( 190210 133790 ) L1M1_PR_MR
NEW met1 ( 190210 133790 ) M1M2_PR
NEW met2 ( 190210 132260 ) M2M3_PR
NEW met1 ( 190210 133790 ) RECT ( -355 -70 0 70 ) ;
- din0[27] ( PIN din0[27] ) ( output100 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 135660 ) ( * 136510 )
NEW met3 ( 190210 135660 ) ( 196420 * 0 )
NEW li1 ( 190210 136510 ) L1M1_PR_MR
NEW met1 ( 190210 136510 ) M1M2_PR
NEW met2 ( 190210 135660 ) M2M3_PR
NEW met1 ( 190210 136510 ) RECT ( -355 -70 0 70 ) ;
- din0[28] ( PIN din0[28] ) ( output101 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 139060 ) ( * 139230 )
NEW met3 ( 190210 139060 ) ( 196420 * 0 )
NEW li1 ( 190210 139230 ) L1M1_PR_MR
NEW met1 ( 190210 139230 ) M1M2_PR
NEW met2 ( 190210 139060 ) M2M3_PR
NEW met1 ( 190210 139230 ) RECT ( -355 -70 0 70 ) ;
- din0[29] ( PIN din0[29] ) ( output102 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 141950 ) ( * 142460 )
NEW met3 ( 190210 142460 ) ( 196420 * 0 )
NEW li1 ( 190210 141950 ) L1M1_PR_MR
NEW met1 ( 190210 141950 ) M1M2_PR
NEW met2 ( 190210 142460 ) M2M3_PR
NEW met1 ( 190210 141950 ) RECT ( -355 -70 0 70 ) ;
- din0[2] ( PIN din0[2] ) ( output103 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 50660 ) ( * 52190 )
NEW met3 ( 190210 50660 ) ( 196420 * 0 )
NEW li1 ( 190210 52190 ) L1M1_PR_MR
NEW met1 ( 190210 52190 ) M1M2_PR
NEW met2 ( 190210 50660 ) M2M3_PR
NEW met1 ( 190210 52190 ) RECT ( -355 -70 0 70 ) ;
- din0[30] ( PIN din0[30] ) ( output104 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 145860 ) ( * 147390 )
NEW met3 ( 190210 145860 ) ( 196420 * 0 )
NEW li1 ( 190210 147390 ) L1M1_PR_MR
NEW met1 ( 190210 147390 ) M1M2_PR
NEW met2 ( 190210 145860 ) M2M3_PR
NEW met1 ( 190210 147390 ) RECT ( -355 -70 0 70 ) ;
- din0[31] ( PIN din0[31] ) ( output105 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 149260 ) ( * 150110 )
NEW met3 ( 190210 149260 ) ( 196420 * 0 )
NEW li1 ( 190210 150110 ) L1M1_PR_MR
NEW met1 ( 190210 150110 ) M1M2_PR
NEW met2 ( 190210 149260 ) M2M3_PR
NEW met1 ( 190210 150110 ) RECT ( -355 -70 0 70 ) ;
- din0[3] ( PIN din0[3] ) ( output106 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 54060 ) ( * 56270 )
NEW met3 ( 190210 54060 ) ( 196420 * 0 )
NEW li1 ( 190210 56270 ) L1M1_PR_MR
NEW met1 ( 190210 56270 ) M1M2_PR
NEW met2 ( 190210 54060 ) M2M3_PR
NEW met1 ( 190210 56270 ) RECT ( -355 -70 0 70 ) ;
- din0[4] ( PIN din0[4] ) ( output107 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 57460 ) ( * 57630 )
NEW met3 ( 190210 57460 ) ( 196420 * 0 )
NEW li1 ( 190210 57630 ) L1M1_PR_MR
NEW met1 ( 190210 57630 ) M1M2_PR
NEW met2 ( 190210 57460 ) M2M3_PR
NEW met1 ( 190210 57630 ) RECT ( -355 -70 0 70 ) ;
- din0[5] ( PIN din0[5] ) ( output108 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 60350 ) ( * 60860 )
NEW met3 ( 190210 60860 ) ( 196420 * 0 )
NEW li1 ( 190210 60350 ) L1M1_PR_MR
NEW met1 ( 190210 60350 ) M1M2_PR
NEW met2 ( 190210 60860 ) M2M3_PR
NEW met1 ( 190210 60350 ) RECT ( -355 -70 0 70 ) ;
- din0[6] ( PIN din0[6] ) ( output109 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 64260 ) ( * 65790 )
NEW met3 ( 190210 64260 ) ( 196420 * 0 )
NEW li1 ( 190210 65790 ) L1M1_PR_MR
NEW met1 ( 190210 65790 ) M1M2_PR
NEW met2 ( 190210 64260 ) M2M3_PR
NEW met1 ( 190210 65790 ) RECT ( -355 -70 0 70 ) ;
- din0[7] ( PIN din0[7] ) ( output110 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 67660 ) ( * 68510 )
NEW met3 ( 190210 67660 ) ( 196420 * 0 )
NEW li1 ( 190210 68510 ) L1M1_PR_MR
NEW met1 ( 190210 68510 ) M1M2_PR
NEW met2 ( 190210 67660 ) M2M3_PR
NEW met1 ( 190210 68510 ) RECT ( -355 -70 0 70 ) ;
- din0[8] ( PIN din0[8] ) ( output111 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 71060 ) ( * 71230 )
NEW met3 ( 190210 71060 ) ( 196420 * 0 )
NEW li1 ( 190210 71230 ) L1M1_PR_MR
NEW met1 ( 190210 71230 ) M1M2_PR
NEW met2 ( 190210 71060 ) M2M3_PR
NEW met1 ( 190210 71230 ) RECT ( -355 -70 0 70 ) ;
- din0[9] ( PIN din0[9] ) ( output112 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 74290 ) ( * 74460 )
NEW met3 ( 190210 74460 ) ( 196420 * 0 )
NEW li1 ( 190210 74290 ) L1M1_PR_MR
NEW met1 ( 190210 74290 ) M1M2_PR
NEW met2 ( 190210 74460 ) M2M3_PR
NEW met1 ( 190210 74290 ) RECT ( -355 -70 0 70 ) ;
- net1 ( ANTENNA__44__A DIODE ) ( input1 X ) ( _44_ A ) + USE SIGNAL
+ ROUTED met2 ( 93150 85170 ) ( * 87550 )
NEW met1 ( 98670 87550 ) ( * 87890 )
NEW met1 ( 93150 87550 ) ( 98670 * )
NEW met1 ( 8050 85170 ) ( 93150 * )
NEW li1 ( 8050 85170 ) L1M1_PR_MR
NEW li1 ( 93150 87550 ) L1M1_PR_MR
NEW met1 ( 93150 87550 ) M1M2_PR
NEW met1 ( 93150 85170 ) M1M2_PR
NEW li1 ( 98670 87890 ) L1M1_PR_MR
NEW met1 ( 93150 87550 ) RECT ( -355 -70 0 70 ) ;
- net10 ( input10 X ) ( _18_ D ) + USE SIGNAL
+ ROUTED met2 ( 8510 120870 ) ( * 122910 )
NEW met1 ( 8510 122910 ) ( 10350 * )
NEW li1 ( 8510 120870 ) L1M1_PR_MR
NEW met1 ( 8510 120870 ) M1M2_PR
NEW met1 ( 8510 122910 ) M1M2_PR
NEW li1 ( 10350 122910 ) L1M1_PR_MR
NEW met1 ( 8510 120870 ) RECT ( -355 -70 0 70 ) ;
- net100 ( ANTENNA_output100_A DIODE ) ( output100 A ) ( _73_ X ) + USE SIGNAL
+ ROUTED met2 ( 186530 137870 ) ( * 139910 )
NEW met1 ( 186530 137190 ) ( 189290 * )
NEW met1 ( 186530 137190 ) ( * 137870 )
NEW met1 ( 100510 139570 ) ( 131100 * )
NEW met1 ( 131100 139570 ) ( * 139910 )
NEW met1 ( 131100 139910 ) ( 186530 * )
NEW li1 ( 100510 139570 ) L1M1_PR_MR
NEW li1 ( 186530 137870 ) L1M1_PR_MR
NEW met1 ( 186530 137870 ) M1M2_PR
NEW met1 ( 186530 139910 ) M1M2_PR
NEW li1 ( 189290 137190 ) L1M1_PR_MR
NEW met1 ( 186530 137870 ) RECT ( -355 -70 0 70 ) ;
- net101 ( ANTENNA_output101_A DIODE ) ( output101 A ) ( _74_ X ) + USE SIGNAL
+ ROUTED met1 ( 100970 141950 ) ( * 142290 )
NEW met1 ( 186070 140930 ) ( 186530 * )
NEW met2 ( 186070 140930 ) ( * 142290 )
NEW met1 ( 186530 140250 ) ( 189290 * )
NEW met1 ( 186530 140250 ) ( * 140930 )
NEW met1 ( 100970 142290 ) ( 186070 * )
NEW li1 ( 100970 141950 ) L1M1_PR_MR
NEW li1 ( 186530 140930 ) L1M1_PR_MR
NEW met1 ( 186070 140930 ) M1M2_PR
NEW met1 ( 186070 142290 ) M1M2_PR
NEW li1 ( 189290 140250 ) L1M1_PR_MR ;
- net102 ( ANTENNA_output102_A DIODE ) ( output102 A ) ( _75_ X ) + USE SIGNAL
+ ROUTED met2 ( 186530 143650 ) ( * 145010 )
NEW met1 ( 186530 142630 ) ( 189290 * )
NEW met2 ( 186530 142630 ) ( * 143650 )
NEW met1 ( 101430 145010 ) ( 186530 * )
NEW li1 ( 101430 145010 ) L1M1_PR_MR
NEW li1 ( 186530 143650 ) L1M1_PR_MR
NEW met1 ( 186530 143650 ) M1M2_PR
NEW met1 ( 186530 145010 ) M1M2_PR
NEW li1 ( 189290 142630 ) L1M1_PR_MR
NEW met1 ( 186530 142630 ) M1M2_PR
NEW met1 ( 186530 143650 ) RECT ( -355 -70 0 70 ) ;
- net103 ( ANTENNA_output103_A DIODE ) ( output103 A ) ( _48_ X ) + USE SIGNAL
+ ROUTED met1 ( 99590 49470 ) ( * 49810 )
NEW met2 ( 186530 49470 ) ( * 52190 )
NEW met1 ( 186530 53210 ) ( 189290 * )
NEW met1 ( 186530 52190 ) ( * 53210 )
NEW met1 ( 99590 49810 ) ( 131100 * )
NEW met1 ( 131100 49470 ) ( * 49810 )
NEW met1 ( 131100 49470 ) ( 186530 * )
NEW li1 ( 99590 49470 ) L1M1_PR_MR
NEW li1 ( 186530 52190 ) L1M1_PR_MR
NEW met1 ( 186530 52190 ) M1M2_PR
NEW met1 ( 186530 49470 ) M1M2_PR
NEW li1 ( 189290 53210 ) L1M1_PR_MR
NEW met1 ( 186530 52190 ) RECT ( -355 -70 0 70 ) ;
- net104 ( output104 A ) ( _76_ X ) + USE SIGNAL
+ ROUTED met1 ( 100970 147390 ) ( * 147730 )
NEW met1 ( 100970 147730 ) ( 131100 * )
NEW met1 ( 131100 147730 ) ( * 148070 )
NEW met1 ( 131100 148070 ) ( 189290 * )
NEW li1 ( 100970 147390 ) L1M1_PR_MR
NEW li1 ( 189290 148070 ) L1M1_PR_MR ;
- net105 ( ANTENNA_output105_A DIODE ) ( output105 A ) ( _77_ X ) + USE SIGNAL
+ ROUTED met1 ( 100510 152830 ) ( * 153170 )
NEW met1 ( 189290 151130 ) ( * 151470 )
NEW met1 ( 186530 151470 ) ( 189290 * )
NEW met2 ( 172730 151470 ) ( * 153170 )
NEW met1 ( 100510 153170 ) ( 172730 * )
NEW met1 ( 172730 151470 ) ( 186530 * )
NEW li1 ( 100510 152830 ) L1M1_PR_MR
NEW li1 ( 186530 151470 ) L1M1_PR_MR
NEW li1 ( 189290 151130 ) L1M1_PR_MR
NEW met1 ( 172730 153170 ) M1M2_PR
NEW met1 ( 172730 151470 ) M1M2_PR ;
- net106 ( output106 A ) ( _49_ X ) + USE SIGNAL
+ ROUTED met1 ( 100050 56270 ) ( 131100 * )
NEW met1 ( 131100 55590 ) ( * 56270 )
NEW met1 ( 131100 55590 ) ( 189290 * )
NEW li1 ( 100050 56270 ) L1M1_PR_MR
NEW li1 ( 189290 55590 ) L1M1_PR_MR ;
- net107 ( ANTENNA_output107_A DIODE ) ( output107 A ) ( _50_ X ) + USE SIGNAL
+ ROUTED met1 ( 100050 60350 ) ( * 60690 )
NEW met1 ( 186070 59330 ) ( 186530 * )
NEW met2 ( 186070 59330 ) ( * 60350 )
NEW met1 ( 186530 58650 ) ( 189290 * )
NEW met1 ( 186530 58650 ) ( * 59330 )
NEW met1 ( 100050 60690 ) ( 131100 * )
NEW met1 ( 131100 60350 ) ( * 60690 )
NEW met1 ( 131100 60350 ) ( 186070 * )
NEW li1 ( 100050 60350 ) L1M1_PR_MR
NEW li1 ( 186530 59330 ) L1M1_PR_MR
NEW met1 ( 186070 59330 ) M1M2_PR
NEW met1 ( 186070 60350 ) M1M2_PR
NEW li1 ( 189290 58650 ) L1M1_PR_MR ;
- net108 ( ANTENNA_output108_A DIODE ) ( output108 A ) ( _51_ X ) + USE SIGNAL
+ ROUTED met1 ( 185150 62050 ) ( 186530 * )
NEW met2 ( 185150 62050 ) ( * 63070 )
NEW met1 ( 186530 61030 ) ( 189290 * )
NEW met1 ( 186530 61030 ) ( * 62050 )
NEW met1 ( 100050 63410 ) ( 131100 * )
NEW met1 ( 131100 63070 ) ( * 63410 )
NEW met1 ( 131100 63070 ) ( 185150 * )
NEW li1 ( 100050 63410 ) L1M1_PR_MR
NEW li1 ( 186530 62050 ) L1M1_PR_MR
NEW met1 ( 185150 62050 ) M1M2_PR
NEW met1 ( 185150 63070 ) M1M2_PR
NEW li1 ( 189290 61030 ) L1M1_PR_MR ;
- net109 ( ANTENNA_output109_A DIODE ) ( output109 A ) ( _52_ X ) + USE SIGNAL
+ ROUTED met1 ( 100970 68850 ) ( * 69190 )
NEW met1 ( 186070 67490 ) ( 186530 * )
NEW met2 ( 186070 67490 ) ( * 69190 )
NEW met1 ( 186530 66470 ) ( 189290 * )
NEW met1 ( 186530 66470 ) ( * 67490 )
NEW met1 ( 100970 69190 ) ( 186070 * )
NEW li1 ( 100970 68850 ) L1M1_PR_MR
NEW li1 ( 186530 67490 ) L1M1_PR_MR
NEW met1 ( 186070 67490 ) M1M2_PR
NEW met1 ( 186070 69190 ) M1M2_PR
NEW li1 ( 189290 66470 ) L1M1_PR_MR ;
- net11 ( input11 X ) ( _18_ C ) + USE SIGNAL
+ ROUTED met1 ( 8050 132430 ) ( 8970 * )
NEW met2 ( 8970 121210 ) ( * 132430 )
NEW li1 ( 8970 121210 ) L1M1_PR_MR
NEW met1 ( 8970 121210 ) M1M2_PR
NEW met1 ( 8970 132430 ) M1M2_PR
NEW li1 ( 8050 132430 ) L1M1_PR_MR
NEW met1 ( 8970 121210 ) RECT ( -355 -70 0 70 ) ;
- net110 ( ANTENNA_output110_A DIODE ) ( output110 A ) ( _53_ X ) + USE SIGNAL
+ ROUTED met1 ( 100970 71230 ) ( * 71570 )
NEW met1 ( 186070 70210 ) ( 186530 * )
NEW met2 ( 186070 70210 ) ( * 71230 )
NEW met1 ( 186530 69530 ) ( 189290 * )
NEW met1 ( 186530 69530 ) ( * 70210 )
NEW met1 ( 100970 71570 ) ( 131100 * )
NEW met1 ( 131100 71230 ) ( * 71570 )
NEW met1 ( 131100 71230 ) ( 186070 * )
NEW li1 ( 100970 71230 ) L1M1_PR_MR
NEW li1 ( 186530 70210 ) L1M1_PR_MR
NEW met1 ( 186070 70210 ) M1M2_PR
NEW met1 ( 186070 71230 ) M1M2_PR
NEW li1 ( 189290 69530 ) L1M1_PR_MR ;
- net111 ( ANTENNA_output111_A DIODE ) ( output111 A ) ( _54_ X ) + USE SIGNAL
+ ROUTED met2 ( 186530 72930 ) ( * 74630 )
NEW met1 ( 186530 71910 ) ( 189290 * )
NEW met1 ( 186530 71910 ) ( * 72930 )
NEW met1 ( 100970 74290 ) ( 131100 * )
NEW met1 ( 131100 74290 ) ( * 74630 )
NEW met1 ( 131100 74630 ) ( 186530 * )
NEW li1 ( 100970 74290 ) L1M1_PR_MR
NEW li1 ( 186530 72930 ) L1M1_PR_MR
NEW met1 ( 186530 72930 ) M1M2_PR
NEW met1 ( 186530 74630 ) M1M2_PR
NEW li1 ( 189290 71910 ) L1M1_PR_MR
NEW met1 ( 186530 72930 ) RECT ( -355 -70 0 70 ) ;
- net112 ( ANTENNA_output112_A DIODE ) ( output112 A ) ( _55_ X ) + USE SIGNAL
+ ROUTED met1 ( 186530 74970 ) ( 189290 * )
NEW met1 ( 186530 74970 ) ( * 75650 )
NEW met2 ( 165830 75650 ) ( * 79730 )
NEW met1 ( 100050 79730 ) ( 165830 * )
NEW met1 ( 165830 75650 ) ( 186530 * )
NEW li1 ( 100050 79730 ) L1M1_PR_MR
NEW li1 ( 186530 75650 ) L1M1_PR_MR
NEW li1 ( 189290 74970 ) L1M1_PR_MR
NEW met1 ( 165830 79730 ) M1M2_PR
NEW met1 ( 165830 75650 ) M1M2_PR ;
- net113 ( ANTENNA_output113_A DIODE ) ( output113 A ) ( _24_ Y ) + USE SIGNAL
+ ROUTED met1 ( 186990 183770 ) ( 189290 * )
NEW met2 ( 186990 182750 ) ( * 183770 )
NEW met2 ( 186990 161330 ) ( * 182750 )
NEW met1 ( 11730 161330 ) ( 186990 * )
NEW li1 ( 186990 182750 ) L1M1_PR_MR
NEW met1 ( 186990 182750 ) M1M2_PR
NEW li1 ( 189290 183770 ) L1M1_PR_MR
NEW met1 ( 186990 183770 ) M1M2_PR
NEW li1 ( 11730 161330 ) L1M1_PR_MR
NEW met1 ( 186990 161330 ) M1M2_PR
NEW met1 ( 186990 182750 ) RECT ( -355 -70 0 70 ) ;
- net114 ( output114 A ) ( _14_ Y ) ( _34_ D ) + USE SIGNAL
+ ROUTED met2 ( 10810 26690 ) ( * 31450 )
NEW met1 ( 8510 31450 ) ( 10810 * )
NEW met1 ( 8465 20910 ) ( 10810 * )
NEW met2 ( 10810 20910 ) ( * 26690 )
NEW li1 ( 10810 26690 ) L1M1_PR_MR
NEW met1 ( 10810 26690 ) M1M2_PR
NEW met1 ( 10810 31450 ) M1M2_PR
NEW li1 ( 8510 31450 ) L1M1_PR_MR
NEW li1 ( 8465 20910 ) L1M1_PR_MR
NEW met1 ( 10810 20910 ) M1M2_PR
NEW met1 ( 10810 26690 ) RECT ( -355 -70 0 70 ) ;
- net115 ( output115 A ) ( _33_ Y ) + USE SIGNAL
+ ROUTED met2 ( 189290 28390 ) ( * 30430 )
NEW met1 ( 102350 30770 ) ( 131100 * )
NEW met1 ( 131100 30430 ) ( * 30770 )
NEW met1 ( 131100 30430 ) ( 189290 * )
NEW li1 ( 102350 30770 ) L1M1_PR_MR
NEW met1 ( 189290 30430 ) M1M2_PR
NEW li1 ( 189290 28390 ) L1M1_PR_MR
NEW met1 ( 189290 28390 ) M1M2_PR
NEW met1 ( 189290 28390 ) RECT ( -355 -70 0 70 ) ;
- net116 ( output116 A ) ( _26_ X ) + USE SIGNAL
+ ROUTED met2 ( 189290 31450 ) ( * 33150 )
NEW met1 ( 101890 33150 ) ( 189290 * )
NEW li1 ( 101890 33150 ) L1M1_PR_MR
NEW met1 ( 189290 33150 ) M1M2_PR
NEW li1 ( 189290 31450 ) L1M1_PR_MR
NEW met1 ( 189290 31450 ) M1M2_PR
NEW met1 ( 189290 31450 ) RECT ( -355 -70 0 70 ) ;
- net117 ( output117 A ) ( _28_ X ) + USE SIGNAL
+ ROUTED met2 ( 189290 33830 ) ( * 35870 )
NEW met1 ( 104190 35870 ) ( 189290 * )
NEW li1 ( 189290 33830 ) L1M1_PR_MR
NEW met1 ( 189290 33830 ) M1M2_PR
NEW li1 ( 104190 35870 ) L1M1_PR_MR
NEW met1 ( 189290 35870 ) M1M2_PR
NEW met1 ( 189290 33830 ) RECT ( -355 -70 0 70 ) ;
- net118 ( output118 A ) ( _30_ X ) + USE SIGNAL
+ ROUTED met1 ( 189290 38590 ) ( * 39270 )
NEW met1 ( 107410 38590 ) ( 189290 * )
NEW li1 ( 107410 38590 ) L1M1_PR_MR
NEW li1 ( 189290 39270 ) L1M1_PR_MR ;
- net119 ( output119 A ) ( _32_ X ) + USE SIGNAL
+ ROUTED met1 ( 104190 38590 ) ( * 38930 )
NEW met2 ( 186990 38930 ) ( * 42330 )
NEW met1 ( 186990 42330 ) ( 189290 * )
NEW met1 ( 104190 38930 ) ( 186990 * )
NEW li1 ( 104190 38590 ) L1M1_PR_MR
NEW met1 ( 186990 38930 ) M1M2_PR
NEW met1 ( 186990 42330 ) M1M2_PR
NEW li1 ( 189290 42330 ) L1M1_PR_MR ;
- net12 ( input12 X ) ( _17_ B ) + USE SIGNAL
+ ROUTED met2 ( 9890 132770 ) ( * 133790 )
NEW met1 ( 9890 132770 ) ( 13570 * )
NEW li1 ( 9890 133790 ) L1M1_PR_MR
NEW met1 ( 9890 133790 ) M1M2_PR
NEW met1 ( 9890 132770 ) M1M2_PR
NEW li1 ( 13570 132770 ) L1M1_PR_MR
NEW met1 ( 9890 133790 ) RECT ( -355 -70 0 70 ) ;
- net120 ( PIN imem_rd_cs1 ) ( wb_interface_120 LO ) + USE SIGNAL
+ ROUTED met2 ( 190670 16660 ) ( * 17510 )
NEW met3 ( 190670 16660 ) ( 196420 * 0 )
NEW li1 ( 190670 17510 ) L1M1_PR_MR
NEW met1 ( 190670 17510 ) M1M2_PR
NEW met2 ( 190670 16660 ) M2M3_PR
NEW met1 ( 190670 17510 ) RECT ( -355 -70 0 70 ) ;
- net13 ( input13 X ) ( _17_ A ) + USE SIGNAL
+ ROUTED met2 ( 9890 134810 ) ( * 139230 )
NEW met1 ( 8050 139230 ) ( 9890 * )
NEW li1 ( 9890 134810 ) L1M1_PR_MR
NEW met1 ( 9890 134810 ) M1M2_PR
NEW met1 ( 9890 139230 ) M1M2_PR
NEW li1 ( 8050 139230 ) L1M1_PR_MR
NEW met1 ( 9890 134810 ) RECT ( -355 -70 0 70 ) ;
- net14 ( input14 X ) ( _17_ D ) + USE SIGNAL
+ ROUTED met1 ( 8510 135150 ) ( 10350 * )
NEW met2 ( 10350 135150 ) ( * 139230 )
NEW li1 ( 8510 135150 ) L1M1_PR_MR
NEW met1 ( 10350 135150 ) M1M2_PR
NEW li1 ( 10350 139230 ) L1M1_PR_MR
NEW met1 ( 10350 139230 ) M1M2_PR
NEW met1 ( 10350 139230 ) RECT ( 0 -70 355 70 ) ;
- net15 ( input15 X ) ( _17_ C ) + USE SIGNAL
+ ROUTED met2 ( 8970 134470 ) ( * 147390 )
NEW met1 ( 8050 147390 ) ( 8970 * )
NEW li1 ( 8970 134470 ) L1M1_PR_MR
NEW met1 ( 8970 134470 ) M1M2_PR
NEW met1 ( 8970 147390 ) M1M2_PR
NEW li1 ( 8050 147390 ) L1M1_PR_MR
NEW met1 ( 8970 134470 ) RECT ( -355 -70 0 70 ) ;
- net16 ( input16 X ) ( _20_ B ) + USE SIGNAL
+ ROUTED met1 ( 9890 149090 ) ( 10350 * )
NEW met2 ( 9890 149090 ) ( * 154530 )
NEW li1 ( 10350 149090 ) L1M1_PR_MR
NEW met1 ( 9890 149090 ) M1M2_PR
NEW li1 ( 9890 154530 ) L1M1_PR_MR
NEW met1 ( 9890 154530 ) M1M2_PR
NEW met1 ( 9890 154530 ) RECT ( -355 -70 0 70 ) ;
- net17 ( input17 X ) ( _20_ A ) + USE SIGNAL
+ ROUTED met2 ( 9430 153510 ) ( * 155550 )
NEW met1 ( 8050 155550 ) ( 9430 * )
NEW li1 ( 9430 153510 ) L1M1_PR_MR
NEW met1 ( 9430 153510 ) M1M2_PR
NEW met1 ( 9430 155550 ) M1M2_PR
NEW li1 ( 8050 155550 ) L1M1_PR_MR
NEW met1 ( 9430 153510 ) RECT ( -355 -70 0 70 ) ;
- net18 ( input18 X ) ( _20_ C ) + USE SIGNAL
+ ROUTED met2 ( 10350 153850 ) ( * 155550 )
NEW li1 ( 10350 153850 ) L1M1_PR_MR
NEW met1 ( 10350 153850 ) M1M2_PR
NEW li1 ( 10350 155550 ) L1M1_PR_MR
NEW met1 ( 10350 155550 ) M1M2_PR
NEW met1 ( 10350 153850 ) RECT ( -355 -70 0 70 )
NEW met1 ( 10350 155550 ) RECT ( -355 -70 0 70 ) ;
- net19 ( input19 X ) ( _19_ D_N ) + USE SIGNAL
+ ROUTED met1 ( 10350 162690 ) ( 14490 * )
NEW met2 ( 10350 162690 ) ( * 164390 )
NEW li1 ( 14490 162690 ) L1M1_PR_MR
NEW met1 ( 10350 162690 ) M1M2_PR
NEW li1 ( 10350 164390 ) L1M1_PR_MR
NEW met1 ( 10350 164390 ) M1M2_PR
NEW met1 ( 10350 164390 ) RECT ( -355 -70 0 70 ) ;
- net2 ( input2 X ) ( _16_ B ) ( _23_ A ) + USE SIGNAL
+ ROUTED met2 ( 9890 93670 ) ( * 100130 )
NEW met1 ( 8050 89250 ) ( 9890 * )
NEW met2 ( 9890 89250 ) ( * 93670 )
NEW li1 ( 9890 93670 ) L1M1_PR_MR
NEW met1 ( 9890 93670 ) M1M2_PR
NEW li1 ( 9890 100130 ) L1M1_PR_MR
NEW met1 ( 9890 100130 ) M1M2_PR
NEW li1 ( 8050 89250 ) L1M1_PR_MR
NEW met1 ( 9890 89250 ) M1M2_PR
NEW met1 ( 9890 93670 ) RECT ( -355 -70 0 70 )
NEW met1 ( 9890 100130 ) RECT ( -355 -70 0 70 ) ;
- net20 ( input20 X ) ( _19_ C_N ) + USE SIGNAL
+ ROUTED met1 ( 10810 164730 ) ( * 165070 )
NEW met1 ( 10810 165070 ) ( 13570 * )
NEW li1 ( 10810 164730 ) L1M1_PR_MR
NEW li1 ( 13570 165070 ) L1M1_PR_MR ;
- net21 ( ANTENNA__36__A DIODE ) ( input21 X ) ( _36_ A ) + USE SIGNAL
+ ROUTED met1 ( 92690 98430 ) ( 93150 * )
NEW met1 ( 92690 99110 ) ( 98670 * )
NEW met2 ( 92690 98430 ) ( * 99110 )
NEW met2 ( 92690 50150 ) ( * 98430 )
NEW met1 ( 9890 50490 ) ( 34500 * )
NEW met1 ( 34500 50150 ) ( * 50490 )
NEW met1 ( 34500 50150 ) ( 92690 * )
NEW li1 ( 93150 98430 ) L1M1_PR_MR
NEW met1 ( 92690 98430 ) M1M2_PR
NEW li1 ( 98670 99110 ) L1M1_PR_MR
NEW met1 ( 92690 99110 ) M1M2_PR
NEW li1 ( 9890 50490 ) L1M1_PR_MR
NEW met1 ( 92690 50150 ) M1M2_PR ;
- net22 ( input22 X ) ( _19_ A ) + USE SIGNAL
+ ROUTED met2 ( 8510 164390 ) ( * 171870 )
NEW met1 ( 8050 171870 ) ( 8510 * )
NEW li1 ( 8510 164390 ) L1M1_PR_MR
NEW met1 ( 8510 164390 ) M1M2_PR
NEW met1 ( 8510 171870 ) M1M2_PR
NEW li1 ( 8050 171870 ) L1M1_PR_MR
NEW met1 ( 8510 164390 ) RECT ( -355 -70 0 70 ) ;
- net23 ( input23 X ) ( _19_ B ) + USE SIGNAL
+ ROUTED met1 ( 8970 165410 ) ( 10350 * )
NEW met2 ( 10350 165410 ) ( * 171870 )
NEW li1 ( 8970 165410 ) L1M1_PR_MR
NEW met1 ( 10350 165410 ) M1M2_PR
NEW li1 ( 10350 171870 ) L1M1_PR_MR
NEW met1 ( 10350 171870 ) M1M2_PR
NEW met1 ( 10350 171870 ) RECT ( -355 -70 0 70 ) ;
- net24 ( ANTENNA__37__A DIODE ) ( input24 X ) ( _37_ A ) + USE SIGNAL
+ ROUTED met1 ( 102350 98430 ) ( * 98770 )
NEW met1 ( 98210 98430 ) ( 102350 * )
NEW met1 ( 98210 98430 ) ( * 98770 )
NEW met1 ( 102350 98430 ) ( 105570 * )
NEW met1 ( 72450 98770 ) ( 98210 * )
NEW met1 ( 9890 58650 ) ( 72450 * )
NEW met2 ( 72450 58650 ) ( * 98770 )
NEW li1 ( 102350 98770 ) L1M1_PR_MR
NEW li1 ( 105570 98430 ) L1M1_PR_MR
NEW li1 ( 9890 58650 ) L1M1_PR_MR
NEW met1 ( 72450 98770 ) M1M2_PR
NEW met1 ( 72450 58650 ) M1M2_PR ;
- net25 ( ANTENNA__38__A DIODE ) ( input25 X ) ( _38_ A ) + USE SIGNAL
+ ROUTED met1 ( 95450 96730 ) ( 98670 * )
NEW met1 ( 95450 96390 ) ( * 96730 )
NEW met1 ( 92230 96390 ) ( 95450 * )
NEW met1 ( 92230 95710 ) ( * 96390 )
NEW met2 ( 92230 63750 ) ( * 95710 )
NEW met1 ( 9890 64090 ) ( 34500 * )
NEW met1 ( 34500 63750 ) ( * 64090 )
NEW met1 ( 34500 63750 ) ( 92230 * )
NEW li1 ( 92230 95710 ) L1M1_PR_MR
NEW met1 ( 92230 95710 ) M1M2_PR
NEW li1 ( 98670 96730 ) L1M1_PR_MR
NEW li1 ( 9890 64090 ) L1M1_PR_MR
NEW met1 ( 92230 63750 ) M1M2_PR
NEW met1 ( 92230 95710 ) RECT ( -355 -70 0 70 ) ;
- net26 ( ANTENNA__39__A DIODE ) ( input26 X ) ( _39_ A ) + USE SIGNAL
+ ROUTED met1 ( 97290 102170 ) ( 98670 * )
NEW met2 ( 97290 102170 ) ( * 103870 )
NEW met2 ( 97290 82800 ) ( * 102170 )
NEW met2 ( 96830 66810 ) ( * 82800 )
NEW met2 ( 96830 82800 ) ( 97290 * )
NEW met1 ( 9890 66810 ) ( 96830 * )
NEW li1 ( 98670 102170 ) L1M1_PR_MR
NEW met1 ( 97290 102170 ) M1M2_PR
NEW li1 ( 97290 103870 ) L1M1_PR_MR
NEW met1 ( 97290 103870 ) M1M2_PR
NEW li1 ( 9890 66810 ) L1M1_PR_MR
NEW met1 ( 96830 66810 ) M1M2_PR
NEW met1 ( 97290 103870 ) RECT ( -355 -70 0 70 ) ;
- net27 ( ANTENNA__40__A DIODE ) ( input27 X ) ( _40_ A ) + USE SIGNAL
+ ROUTED met1 ( 101890 96730 ) ( 102350 * )
NEW met2 ( 101890 92990 ) ( * 96730 )
NEW met2 ( 101890 69870 ) ( * 92990 )
NEW met1 ( 8050 70210 ) ( 34500 * )
NEW met1 ( 34500 69870 ) ( * 70210 )
NEW met1 ( 34500 69870 ) ( 101890 * )
NEW li1 ( 101890 92990 ) L1M1_PR_MR
NEW met1 ( 101890 92990 ) M1M2_PR
NEW li1 ( 102350 96730 ) L1M1_PR_MR
NEW met1 ( 101890 96730 ) M1M2_PR
NEW li1 ( 8050 70210 ) L1M1_PR_MR
NEW met1 ( 101890 69870 ) M1M2_PR
NEW met1 ( 101890 92990 ) RECT ( -355 -70 0 70 ) ;
- net28 ( ANTENNA__41__A DIODE ) ( input28 X ) ( _41_ A ) + USE SIGNAL
+ ROUTED met1 ( 97750 90270 ) ( 100510 * )
NEW met1 ( 98670 93670 ) ( 100510 * )
NEW met2 ( 100510 90270 ) ( * 93670 )
NEW met2 ( 8050 71230 ) ( * 71740 )
NEW met2 ( 100510 71740 ) ( * 90270 )
NEW met3 ( 8050 71740 ) ( 100510 * )
NEW li1 ( 97750 90270 ) L1M1_PR_MR
NEW met1 ( 100510 90270 ) M1M2_PR
NEW li1 ( 98670 93670 ) L1M1_PR_MR
NEW met1 ( 100510 93670 ) M1M2_PR
NEW met2 ( 8050 71740 ) M2M3_PR
NEW li1 ( 8050 71230 ) L1M1_PR_MR
NEW met1 ( 8050 71230 ) M1M2_PR
NEW met2 ( 100510 71740 ) M2M3_PR
NEW met1 ( 8050 71230 ) RECT ( -355 -70 0 70 ) ;
- net29 ( ANTENNA__42__A DIODE ) ( input29 X ) ( _42_ A ) + USE SIGNAL
+ ROUTED met1 ( 101890 86190 ) ( 105110 * )
NEW met1 ( 8050 76670 ) ( * 77010 )
NEW met1 ( 69690 86190 ) ( 101890 * )
NEW met1 ( 8050 77010 ) ( 69690 * )
NEW met2 ( 69690 77010 ) ( * 86190 )
NEW li1 ( 101890 86190 ) L1M1_PR_MR
NEW li1 ( 105110 86190 ) L1M1_PR_MR
NEW li1 ( 8050 76670 ) L1M1_PR_MR
NEW met1 ( 69690 86190 ) M1M2_PR
NEW met1 ( 69690 77010 ) M1M2_PR ;
- net3 ( input3 X ) ( _16_ A ) + USE SIGNAL
+ ROUTED met2 ( 11270 97410 ) ( * 99110 )
NEW met1 ( 10810 99110 ) ( 11270 * )
NEW li1 ( 11270 97410 ) L1M1_PR_MR
NEW met1 ( 11270 97410 ) M1M2_PR
NEW met1 ( 11270 99110 ) M1M2_PR
NEW li1 ( 10810 99110 ) L1M1_PR_MR
NEW met1 ( 11270 97410 ) RECT ( -355 -70 0 70 ) ;
- net30 ( ANTENNA__43__A DIODE ) ( input30 X ) ( _43_ A ) + USE SIGNAL
+ ROUTED met1 ( 94990 85850 ) ( 98210 * )
NEW met1 ( 94990 84830 ) ( * 85850 )
NEW met1 ( 75670 84830 ) ( 94990 * )
NEW met1 ( 8050 79730 ) ( 75670 * )
NEW met2 ( 75670 79730 ) ( * 84830 )
NEW li1 ( 94990 84830 ) L1M1_PR_MR
NEW li1 ( 98210 85850 ) L1M1_PR_MR
NEW li1 ( 8050 79730 ) L1M1_PR_MR
NEW met1 ( 75670 84830 ) M1M2_PR
NEW met1 ( 75670 79730 ) M1M2_PR ;
- net31 ( input31 X ) ( _13_ B ) + USE SIGNAL
+ ROUTED met1 ( 8050 26690 ) ( 8970 * )
NEW met2 ( 8970 26690 ) ( * 33830 )
NEW li1 ( 8050 26690 ) L1M1_PR_MR
NEW met1 ( 8970 26690 ) M1M2_PR
NEW li1 ( 8970 33830 ) L1M1_PR_MR
NEW met1 ( 8970 33830 ) M1M2_PR
NEW met1 ( 8970 33830 ) RECT ( -355 -70 0 70 ) ;
- net32 ( input32 X ) ( _23_ B ) ( _46_ A ) + USE SIGNAL
+ ROUTED met1 ( 8970 93330 ) ( 11730 * )
NEW met1 ( 8970 93330 ) ( * 93670 )
NEW met1 ( 8050 39950 ) ( 11730 * )
NEW met2 ( 11730 39950 ) ( * 42670 )
NEW met2 ( 11730 42670 ) ( * 93330 )
NEW met1 ( 11730 93330 ) M1M2_PR
NEW li1 ( 8970 93670 ) L1M1_PR_MR
NEW li1 ( 11730 42670 ) L1M1_PR_MR
NEW met1 ( 11730 42670 ) M1M2_PR
NEW li1 ( 8050 39950 ) L1M1_PR_MR
NEW met1 ( 11730 39950 ) M1M2_PR
NEW met1 ( 11730 42670 ) RECT ( -355 -70 0 70 ) ;
- net33 ( ANTENNA__56__A DIODE ) ( input33 X ) ( _56_ A ) + USE SIGNAL
+ ROUTED met2 ( 103730 83810 ) ( * 90610 )
NEW met1 ( 95910 90610 ) ( 103730 * )
NEW met1 ( 95910 90270 ) ( * 90610 )
NEW met1 ( 100510 83130 ) ( 103730 * )
NEW met1 ( 103730 83130 ) ( * 83810 )
NEW met1 ( 100510 82800 ) ( * 83130 )
NEW met1 ( 100510 82790 ) ( * 82800 )
NEW met1 ( 11270 90610 ) ( 34500 * )
NEW met1 ( 34500 90270 ) ( * 90610 )
NEW met1 ( 34500 90270 ) ( 95910 * )
NEW li1 ( 11270 90610 ) L1M1_PR_MR
NEW li1 ( 103730 83810 ) L1M1_PR_MR
NEW met1 ( 103730 83810 ) M1M2_PR
NEW met1 ( 103730 90610 ) M1M2_PR
NEW li1 ( 100510 82790 ) L1M1_PR_MR
NEW met1 ( 103730 83810 ) RECT ( -355 -70 0 70 )
NEW met1 ( 100510 82800 ) RECT ( 0 -70 255 70 ) ;
- net34 ( ANTENNA__57__A DIODE ) ( input34 X ) ( _57_ A ) + USE SIGNAL
+ ROUTED met1 ( 8050 90610 ) ( * 90950 )
NEW met1 ( 89930 82790 ) ( 97290 * )
NEW met1 ( 96370 81090 ) ( 96830 * )
NEW met2 ( 96370 81090 ) ( * 82790 )
NEW met2 ( 89930 82790 ) ( * 90610 )
NEW met1 ( 82800 90610 ) ( 89930 * )
NEW met1 ( 82800 90610 ) ( * 90950 )
NEW met1 ( 8050 90950 ) ( 82800 * )
NEW li1 ( 8050 90610 ) L1M1_PR_MR
NEW met1 ( 89930 90610 ) M1M2_PR
NEW li1 ( 97290 82790 ) L1M1_PR_MR
NEW met1 ( 89930 82790 ) M1M2_PR
NEW li1 ( 96830 81090 ) L1M1_PR_MR
NEW met1 ( 96370 81090 ) M1M2_PR
NEW met1 ( 96370 82790 ) M1M2_PR
NEW met1 ( 96370 82790 ) RECT ( -595 -70 0 70 ) ;
- net35 ( ANTENNA__58__A DIODE ) ( input35 X ) ( _58_ A ) + USE SIGNAL
+ ROUTED met1 ( 89470 88230 ) ( 101890 * )
NEW met2 ( 89470 88230 ) ( * 98430 )
NEW met1 ( 101890 88230 ) ( 105110 * )
NEW met1 ( 14950 98430 ) ( 89470 * )
NEW li1 ( 14950 98430 ) L1M1_PR_MR
NEW li1 ( 101890 88230 ) L1M1_PR_MR
NEW met1 ( 89470 88230 ) M1M2_PR
NEW met1 ( 89470 98430 ) M1M2_PR
NEW li1 ( 105110 88230 ) L1M1_PR_MR ;
- net36 ( ANTENNA__59__A DIODE ) ( input36 X ) ( _59_ A ) + USE SIGNAL
+ ROUTED met2 ( 14030 99790 ) ( * 101150 )
NEW met2 ( 105570 96730 ) ( * 99790 )
NEW met1 ( 105110 94690 ) ( 105570 * )
NEW met2 ( 105570 94690 ) ( * 96730 )
NEW met1 ( 14030 99790 ) ( 105570 * )
NEW met1 ( 14030 99790 ) M1M2_PR
NEW li1 ( 14030 101150 ) L1M1_PR_MR
NEW met1 ( 14030 101150 ) M1M2_PR
NEW li1 ( 105570 96730 ) L1M1_PR_MR
NEW met1 ( 105570 96730 ) M1M2_PR
NEW met1 ( 105570 99790 ) M1M2_PR
NEW li1 ( 105110 94690 ) L1M1_PR_MR
NEW met1 ( 105570 94690 ) M1M2_PR
NEW met1 ( 14030 101150 ) RECT ( -355 -70 0 70 )
NEW met1 ( 105570 96730 ) RECT ( -355 -70 0 70 ) ;
- net37 ( input37 X ) ( _60_ A ) + USE SIGNAL
+ ROUTED met1 ( 8050 103870 ) ( * 104210 )
NEW met2 ( 94990 102170 ) ( * 104210 )
NEW met1 ( 8050 104210 ) ( 94990 * )
NEW li1 ( 8050 103870 ) L1M1_PR_MR
NEW met1 ( 94990 104210 ) M1M2_PR
NEW li1 ( 94990 102170 ) L1M1_PR_MR
NEW met1 ( 94990 102170 ) M1M2_PR
NEW met1 ( 94990 102170 ) RECT ( -355 -70 0 70 ) ;
- net38 ( ANTENNA__61__A DIODE ) ( input38 X ) ( _61_ A ) + USE SIGNAL
+ ROUTED met1 ( 8050 109310 ) ( * 109650 )
NEW met2 ( 89930 97410 ) ( * 109310 )
NEW met1 ( 89930 96730 ) ( 94990 * )
NEW met1 ( 89930 96730 ) ( * 97410 )
NEW met1 ( 8050 109650 ) ( 34500 * )
NEW met1 ( 34500 109310 ) ( * 109650 )
NEW met1 ( 34500 109310 ) ( 89930 * )
NEW li1 ( 8050 109310 ) L1M1_PR_MR
NEW li1 ( 89930 97410 ) L1M1_PR_MR
NEW met1 ( 89930 97410 ) M1M2_PR
NEW met1 ( 89930 109310 ) M1M2_PR
NEW li1 ( 94990 96730 ) L1M1_PR_MR
NEW met1 ( 89930 97410 ) RECT ( -355 -70 0 70 ) ;
- net39 ( ANTENNA__62__A DIODE ) ( input39 X ) ( _62_ A ) + USE SIGNAL
+ ROUTED met2 ( 8050 113730 ) ( * 114750 )
NEW met1 ( 101890 102170 ) ( * 102510 )
NEW met1 ( 89470 102510 ) ( 101890 * )
NEW met2 ( 89470 102510 ) ( * 113730 )
NEW met1 ( 101890 102510 ) ( 105110 * )
NEW met1 ( 8050 113730 ) ( 89470 * )
NEW met1 ( 8050 113730 ) M1M2_PR
NEW li1 ( 8050 114750 ) L1M1_PR_MR
NEW met1 ( 8050 114750 ) M1M2_PR
NEW li1 ( 101890 102170 ) L1M1_PR_MR
NEW met1 ( 89470 102510 ) M1M2_PR
NEW met1 ( 89470 113730 ) M1M2_PR
NEW li1 ( 105110 102510 ) L1M1_PR_MR
NEW met1 ( 8050 114750 ) RECT ( -355 -70 0 70 ) ;
- net4 ( input4 X ) ( _15_ B ) + USE SIGNAL
+ ROUTED met2 ( 8050 97410 ) ( * 101150 )
NEW met1 ( 8050 101150 ) ( 8510 * )
NEW li1 ( 8050 97410 ) L1M1_PR_MR
NEW met1 ( 8050 97410 ) M1M2_PR
NEW met1 ( 8050 101150 ) M1M2_PR
NEW li1 ( 8510 101150 ) L1M1_PR_MR
NEW met1 ( 8050 97410 ) RECT ( -355 -70 0 70 ) ;
- net40 ( ANTENNA__63__A DIODE ) ( input40 X ) ( _63_ A ) + USE SIGNAL
+ ROUTED met1 ( 89010 104550 ) ( 100050 * )
NEW met2 ( 89010 104550 ) ( * 122910 )
NEW met1 ( 100050 104550 ) ( 103270 * )
NEW met1 ( 8050 123250 ) ( 34500 * )
NEW met1 ( 34500 122910 ) ( * 123250 )
NEW met1 ( 34500 122910 ) ( 89010 * )
NEW li1 ( 8050 123250 ) L1M1_PR_MR
NEW li1 ( 100050 104550 ) L1M1_PR_MR
NEW met1 ( 89010 104550 ) M1M2_PR
NEW met1 ( 89010 122910 ) M1M2_PR
NEW li1 ( 103270 104550 ) L1M1_PR_MR ;
- net41 ( ANTENNA__64__A DIODE ) ( input41 X ) ( _64_ A ) + USE SIGNAL
+ ROUTED met2 ( 8050 124610 ) ( * 125630 )
NEW met2 ( 100050 107610 ) ( * 124610 )
NEW met1 ( 100050 107610 ) ( 103270 * )
NEW met1 ( 8050 124610 ) ( 100050 * )
NEW met1 ( 8050 124610 ) M1M2_PR
NEW li1 ( 8050 125630 ) L1M1_PR_MR
NEW met1 ( 8050 125630 ) M1M2_PR
NEW li1 ( 100050 107610 ) L1M1_PR_MR
NEW met1 ( 100050 107610 ) M1M2_PR
NEW met1 ( 100050 124610 ) M1M2_PR
NEW li1 ( 103270 107610 ) L1M1_PR_MR
NEW met1 ( 8050 125630 ) RECT ( -355 -70 0 70 )
NEW met1 ( 100050 107610 ) RECT ( -355 -70 0 70 ) ;
- net42 ( ANTENNA__65__A DIODE ) ( input42 X ) ( _65_ A ) + USE SIGNAL
+ ROUTED met2 ( 8050 127330 ) ( * 128350 )
NEW met1 ( 100970 113050 ) ( 104190 * )
NEW met2 ( 69230 113050 ) ( * 127330 )
NEW met1 ( 8050 127330 ) ( 69230 * )
NEW met1 ( 69230 113050 ) ( 100970 * )
NEW met1 ( 8050 127330 ) M1M2_PR
NEW li1 ( 8050 128350 ) L1M1_PR_MR
NEW met1 ( 8050 128350 ) M1M2_PR
NEW li1 ( 100970 113050 ) L1M1_PR_MR
NEW li1 ( 104190 113050 ) L1M1_PR_MR
NEW met1 ( 69230 127330 ) M1M2_PR
NEW met1 ( 69230 113050 ) M1M2_PR
NEW met1 ( 8050 128350 ) RECT ( -355 -70 0 70 ) ;
- net43 ( ANTENNA__47__A DIODE ) ( input43 X ) ( _47_ A ) + USE SIGNAL
+ ROUTED met2 ( 98670 44710 ) ( * 47770 )
NEW met1 ( 98670 47770 ) ( 101890 * )
NEW met1 ( 8050 45390 ) ( 34500 * )
NEW met1 ( 34500 44710 ) ( * 45390 )
NEW met1 ( 34500 44710 ) ( 98670 * )
NEW li1 ( 8050 45390 ) L1M1_PR_MR
NEW li1 ( 98670 47770 ) L1M1_PR_MR
NEW met1 ( 98670 47770 ) M1M2_PR
NEW met1 ( 98670 44710 ) M1M2_PR
NEW li1 ( 101890 47770 ) L1M1_PR_MR
NEW met1 ( 98670 47770 ) RECT ( -355 -70 0 70 ) ;
- net44 ( ANTENNA__66__A DIODE ) ( input44 X ) ( _66_ A ) + USE SIGNAL
+ ROUTED met1 ( 83030 115430 ) ( 100970 * )
NEW met1 ( 100970 115430 ) ( 104190 * )
NEW met1 ( 11270 131070 ) ( * 131410 )
NEW met2 ( 83030 115430 ) ( * 131410 )
NEW met1 ( 11270 131410 ) ( 83030 * )
NEW li1 ( 11270 131070 ) L1M1_PR_MR
NEW li1 ( 100970 115430 ) L1M1_PR_MR
NEW met1 ( 83030 115430 ) M1M2_PR
NEW li1 ( 104190 115430 ) L1M1_PR_MR
NEW met1 ( 83030 131410 ) M1M2_PR
NEW met1 ( 11270 131070 ) RECT ( 0 -70 255 70 ) ;
- net45 ( ANTENNA__67__A DIODE ) ( input45 X ) ( _67_ A ) + USE SIGNAL
+ ROUTED met1 ( 97290 118490 ) ( 100050 * )
NEW met1 ( 100050 118490 ) ( 103270 * )
NEW met1 ( 8050 136510 ) ( * 136850 )
NEW met2 ( 97290 118490 ) ( * 136510 )
NEW met1 ( 8050 136850 ) ( 34500 * )
NEW met1 ( 34500 136510 ) ( * 136850 )
NEW met1 ( 34500 136510 ) ( 97290 * )
NEW li1 ( 100050 118490 ) L1M1_PR_MR
NEW met1 ( 97290 118490 ) M1M2_PR
NEW li1 ( 103270 118490 ) L1M1_PR_MR
NEW li1 ( 8050 136510 ) L1M1_PR_MR
NEW met1 ( 97290 136510 ) M1M2_PR ;
- net46 ( ANTENNA__68__A DIODE ) ( input46 X ) ( _68_ A ) + USE SIGNAL
+ ROUTED met2 ( 99130 120700 ) ( * 120870 )
NEW met1 ( 99130 120870 ) ( 102350 * )
NEW met3 ( 13110 138380 ) ( 13340 * )
NEW met2 ( 13110 138380 ) ( * 141950 )
NEW met1 ( 8050 141950 ) ( 13110 * )
NEW met4 ( 13340 120700 ) ( * 138380 )
NEW met3 ( 13340 120700 ) ( 99130 * )
NEW met3 ( 13340 120700 ) M3M4_PR
NEW li1 ( 99130 120870 ) L1M1_PR_MR
NEW met1 ( 99130 120870 ) M1M2_PR
NEW met2 ( 99130 120700 ) M2M3_PR
NEW li1 ( 102350 120870 ) L1M1_PR_MR
NEW met3 ( 13340 138380 ) M3M4_PR
NEW met2 ( 13110 138380 ) M2M3_PR
NEW met1 ( 13110 141950 ) M1M2_PR
NEW li1 ( 8050 141950 ) L1M1_PR_MR
NEW met1 ( 99130 120870 ) RECT ( -355 -70 0 70 )
NEW met3 ( 13340 138380 ) RECT ( 0 -150 390 150 ) ;
- net47 ( ANTENNA__69__A DIODE ) ( input47 X ) ( _69_ A ) + USE SIGNAL
+ ROUTED met1 ( 98210 125970 ) ( * 126310 )
NEW met1 ( 98210 126310 ) ( 101430 * )
NEW met1 ( 8050 146370 ) ( 12650 * )
NEW met2 ( 12650 125970 ) ( * 146370 )
NEW met1 ( 12650 125970 ) ( 98210 * )
NEW met1 ( 12650 125970 ) M1M2_PR
NEW li1 ( 98210 126310 ) L1M1_PR_MR
NEW li1 ( 101430 126310 ) L1M1_PR_MR
NEW met1 ( 12650 146370 ) M1M2_PR
NEW li1 ( 8050 146370 ) L1M1_PR_MR ;
- net48 ( ANTENNA__70__A DIODE ) ( input48 X ) ( _70_ A ) + USE SIGNAL
+ ROUTED met1 ( 98210 129370 ) ( 101430 * )
NEW met1 ( 8050 150450 ) ( 12190 * )
NEW met2 ( 12190 128690 ) ( * 150450 )
NEW met1 ( 12190 128690 ) ( 34500 * )
NEW met1 ( 34500 128690 ) ( * 129370 )
NEW met1 ( 34500 129370 ) ( 98210 * )
NEW met1 ( 12190 128690 ) M1M2_PR
NEW li1 ( 98210 129370 ) L1M1_PR_MR
NEW li1 ( 101430 129370 ) L1M1_PR_MR
NEW met1 ( 12190 150450 ) M1M2_PR
NEW li1 ( 8050 150450 ) L1M1_PR_MR ;
- net49 ( ANTENNA__71__A DIODE ) ( input49 X ) ( _71_ A ) + USE SIGNAL
+ ROUTED met2 ( 11270 149090 ) ( * 150110 )
NEW met1 ( 99130 132090 ) ( 102350 * )
NEW met1 ( 99130 131750 ) ( * 132090 )
NEW met2 ( 62330 131750 ) ( * 149090 )
NEW met1 ( 11270 149090 ) ( 62330 * )
NEW met1 ( 62330 131750 ) ( 99130 * )
NEW met1 ( 11270 149090 ) M1M2_PR
NEW li1 ( 11270 150110 ) L1M1_PR_MR
NEW met1 ( 11270 150110 ) M1M2_PR
NEW li1 ( 99130 131750 ) L1M1_PR_MR
NEW li1 ( 102350 132090 ) L1M1_PR_MR
NEW met1 ( 62330 149090 ) M1M2_PR
NEW met1 ( 62330 131750 ) M1M2_PR
NEW met1 ( 11270 150110 ) RECT ( -355 -70 0 70 ) ;
- net5 ( input5 X ) ( _15_ A ) + USE SIGNAL
+ ROUTED met2 ( 9890 102170 ) ( * 103870 )
NEW met1 ( 9890 103870 ) ( 10350 * )
NEW li1 ( 9890 102170 ) L1M1_PR_MR
NEW met1 ( 9890 102170 ) M1M2_PR
NEW met1 ( 9890 103870 ) M1M2_PR
NEW li1 ( 10350 103870 ) L1M1_PR_MR
NEW met1 ( 9890 102170 ) RECT ( -355 -70 0 70 ) ;
- net50 ( ANTENNA__72__A DIODE ) ( input50 X ) ( _72_ A ) + USE SIGNAL
+ ROUTED met2 ( 13570 134470 ) ( * 159630 )
NEW met1 ( 8050 159630 ) ( 13570 * )
NEW met1 ( 99590 134810 ) ( 102810 * )
NEW met1 ( 13570 134470 ) ( 34500 * )
NEW met1 ( 34500 134470 ) ( * 134810 )
NEW met1 ( 34500 134810 ) ( 99590 * )
NEW met1 ( 13570 134470 ) M1M2_PR
NEW met1 ( 13570 159630 ) M1M2_PR
NEW li1 ( 8050 159630 ) L1M1_PR_MR
NEW li1 ( 99590 134810 ) L1M1_PR_MR
NEW li1 ( 102810 134810 ) L1M1_PR_MR ;
- net51 ( ANTENNA__73__A DIODE ) ( input51 X ) ( _73_ A ) + USE SIGNAL
+ ROUTED met2 ( 8510 140590 ) ( * 160990 )
NEW met1 ( 8050 160990 ) ( 8510 * )
NEW met1 ( 99590 140250 ) ( * 140590 )
NEW met1 ( 99590 140250 ) ( 102810 * )
NEW met1 ( 8510 140590 ) ( 99590 * )
NEW met1 ( 8510 140590 ) M1M2_PR
NEW met1 ( 8510 160990 ) M1M2_PR
NEW li1 ( 8050 160990 ) L1M1_PR_MR
NEW li1 ( 99590 140250 ) L1M1_PR_MR
NEW li1 ( 102810 140250 ) L1M1_PR_MR ;
- net52 ( ANTENNA__74__A DIODE ) ( input52 X ) ( _74_ A ) + USE SIGNAL
+ ROUTED met2 ( 100050 142630 ) ( * 166770 )
NEW met1 ( 100050 142630 ) ( 103270 * )
NEW met1 ( 8050 166770 ) ( 100050 * )
NEW li1 ( 8050 166770 ) L1M1_PR_MR
NEW li1 ( 100050 142630 ) L1M1_PR_MR
NEW met1 ( 100050 142630 ) M1M2_PR
NEW met1 ( 100050 166770 ) M1M2_PR
NEW li1 ( 103270 142630 ) L1M1_PR_MR
NEW met1 ( 100050 142630 ) RECT ( -355 -70 0 70 ) ;
- net53 ( ANTENNA__75__A DIODE ) ( input53 X ) ( _75_ A ) + USE SIGNAL
+ ROUTED met2 ( 8050 168130 ) ( * 169150 )
NEW met1 ( 95910 145690 ) ( 100510 * )
NEW met2 ( 95910 145690 ) ( * 168130 )
NEW met1 ( 100510 145690 ) ( 103730 * )
NEW met1 ( 8050 168130 ) ( 95910 * )
NEW met1 ( 8050 168130 ) M1M2_PR
NEW li1 ( 8050 169150 ) L1M1_PR_MR
NEW met1 ( 8050 169150 ) M1M2_PR
NEW li1 ( 100510 145690 ) L1M1_PR_MR
NEW met1 ( 95910 145690 ) M1M2_PR
NEW met1 ( 95910 168130 ) M1M2_PR
NEW li1 ( 103730 145690 ) L1M1_PR_MR
NEW met1 ( 8050 169150 ) RECT ( -355 -70 0 70 ) ;
- net54 ( ANTENNA__48__A DIODE ) ( input54 X ) ( _48_ A ) + USE SIGNAL
+ ROUTED met2 ( 98670 50150 ) ( * 52530 )
NEW met1 ( 98670 50150 ) ( 101890 * )
NEW met1 ( 11270 52530 ) ( 98670 * )
NEW li1 ( 11270 52530 ) L1M1_PR_MR
NEW li1 ( 98670 50150 ) L1M1_PR_MR
NEW met1 ( 98670 50150 ) M1M2_PR
NEW met1 ( 98670 52530 ) M1M2_PR
NEW li1 ( 101890 50150 ) L1M1_PR_MR
NEW met1 ( 98670 50150 ) RECT ( -355 -70 0 70 ) ;
- net55 ( ANTENNA__76__A DIODE ) ( input55 X ) ( _76_ A ) + USE SIGNAL
+ ROUTED met2 ( 8050 173570 ) ( * 174590 )
NEW met1 ( 96370 148070 ) ( 100050 * )
NEW met2 ( 96370 148070 ) ( * 173570 )
NEW met1 ( 100050 148070 ) ( 103270 * )
NEW met1 ( 8050 173570 ) ( 96370 * )
NEW met1 ( 8050 173570 ) M1M2_PR
NEW li1 ( 8050 174590 ) L1M1_PR_MR
NEW met1 ( 8050 174590 ) M1M2_PR
NEW li1 ( 100050 148070 ) L1M1_PR_MR
NEW met1 ( 96370 148070 ) M1M2_PR
NEW met1 ( 96370 173570 ) M1M2_PR
NEW li1 ( 103270 148070 ) L1M1_PR_MR
NEW met1 ( 8050 174590 ) RECT ( -355 -70 0 70 ) ;
- net56 ( ANTENNA__77__A DIODE ) ( input56 X ) ( _77_ A ) + USE SIGNAL
+ ROUTED met2 ( 12650 153510 ) ( * 177310 )
NEW met1 ( 8050 177310 ) ( 12650 * )
NEW met1 ( 99590 153510 ) ( 102810 * )
NEW met1 ( 12650 153510 ) ( 99590 * )
NEW met1 ( 12650 153510 ) M1M2_PR
NEW met1 ( 12650 177310 ) M1M2_PR
NEW li1 ( 8050 177310 ) L1M1_PR_MR
NEW li1 ( 99590 153510 ) L1M1_PR_MR
NEW li1 ( 102810 153510 ) L1M1_PR_MR ;
- net57 ( ANTENNA__49__A DIODE ) ( input57 X ) ( _49_ A ) + USE SIGNAL
+ ROUTED met1 ( 99130 55590 ) ( 102350 * )
NEW met1 ( 8050 56270 ) ( 34500 * )
NEW met1 ( 34500 55590 ) ( * 56270 )
NEW met1 ( 34500 55590 ) ( 99130 * )
NEW li1 ( 8050 56270 ) L1M1_PR_MR
NEW li1 ( 99130 55590 ) L1M1_PR_MR
NEW li1 ( 102350 55590 ) L1M1_PR_MR ;
- net58 ( ANTENNA__50__A DIODE ) ( input58 X ) ( _50_ A ) + USE SIGNAL
+ ROUTED met1 ( 99130 61030 ) ( 102350 * )
NEW met2 ( 76130 61030 ) ( * 65790 )
NEW met1 ( 14490 65790 ) ( 76130 * )
NEW met1 ( 76130 61030 ) ( 99130 * )
NEW li1 ( 14490 65790 ) L1M1_PR_MR
NEW li1 ( 99130 61030 ) L1M1_PR_MR
NEW li1 ( 102350 61030 ) L1M1_PR_MR
NEW met1 ( 76130 65790 ) M1M2_PR
NEW met1 ( 76130 61030 ) M1M2_PR ;
- net59 ( ANTENNA__51__A DIODE ) ( input59 X ) ( _51_ A ) + USE SIGNAL
+ ROUTED met2 ( 11270 64770 ) ( * 68510 )
NEW met1 ( 99130 64090 ) ( 102350 * )
NEW met1 ( 82800 64090 ) ( 99130 * )
NEW met1 ( 82800 64090 ) ( * 64770 )
NEW met1 ( 11270 64770 ) ( 82800 * )
NEW met1 ( 11270 64770 ) M1M2_PR
NEW li1 ( 11270 68510 ) L1M1_PR_MR
NEW met1 ( 11270 68510 ) M1M2_PR
NEW li1 ( 99130 64090 ) L1M1_PR_MR
NEW li1 ( 102350 64090 ) L1M1_PR_MR
NEW met1 ( 11270 68510 ) RECT ( -355 -70 0 70 ) ;
- net6 ( input6 X ) ( _15_ D ) + USE SIGNAL
+ ROUTED met1 ( 8050 102510 ) ( 8510 * )
NEW met2 ( 8050 102510 ) ( * 106590 )
NEW li1 ( 8510 102510 ) L1M1_PR_MR
NEW met1 ( 8050 102510 ) M1M2_PR
NEW li1 ( 8050 106590 ) L1M1_PR_MR
NEW met1 ( 8050 106590 ) M1M2_PR
NEW met1 ( 8050 106590 ) RECT ( -355 -70 0 70 ) ;
- net60 ( ANTENNA__52__A DIODE ) ( input60 X ) ( _52_ A ) + USE SIGNAL
+ ROUTED met1 ( 97290 69530 ) ( 100050 * )
NEW met2 ( 97290 69530 ) ( * 73950 )
NEW met1 ( 100050 69530 ) ( 103270 * )
NEW met1 ( 11270 74290 ) ( 34500 * )
NEW met1 ( 34500 73950 ) ( * 74290 )
NEW met1 ( 34500 73950 ) ( 97290 * )
NEW li1 ( 11270 74290 ) L1M1_PR_MR
NEW li1 ( 100050 69530 ) L1M1_PR_MR
NEW met1 ( 97290 69530 ) M1M2_PR
NEW met1 ( 97290 73950 ) M1M2_PR
NEW li1 ( 103270 69530 ) L1M1_PR_MR ;
- net61 ( ANTENNA__53__A DIODE ) ( input61 X ) ( _53_ A ) + USE SIGNAL
+ ROUTED met1 ( 8050 74290 ) ( * 74630 )
NEW met2 ( 100050 71910 ) ( * 74290 )
NEW met1 ( 100050 71910 ) ( 103270 * )
NEW met1 ( 82800 74290 ) ( 100050 * )
NEW met1 ( 82800 74290 ) ( * 74630 )
NEW met1 ( 8050 74630 ) ( 82800 * )
NEW li1 ( 8050 74290 ) L1M1_PR_MR
NEW li1 ( 100050 71910 ) L1M1_PR_MR
NEW met1 ( 100050 71910 ) M1M2_PR
NEW met1 ( 100050 74290 ) M1M2_PR
NEW li1 ( 103270 71910 ) L1M1_PR_MR
NEW met1 ( 100050 71910 ) RECT ( -355 -70 0 70 ) ;
- net62 ( ANTENNA__54__A DIODE ) ( input62 X ) ( _54_ A ) + USE SIGNAL
+ ROUTED met2 ( 11270 75310 ) ( * 82110 )
NEW met1 ( 100050 74970 ) ( 103270 * )
NEW met1 ( 11270 75310 ) ( 34500 * )
NEW met1 ( 34500 74970 ) ( * 75310 )
NEW met1 ( 34500 74970 ) ( 100050 * )
NEW li1 ( 11270 82110 ) L1M1_PR_MR
NEW met1 ( 11270 82110 ) M1M2_PR
NEW met1 ( 11270 75310 ) M1M2_PR
NEW li1 ( 100050 74970 ) L1M1_PR_MR
NEW li1 ( 103270 74970 ) L1M1_PR_MR
NEW met1 ( 11270 82110 ) RECT ( -355 -70 0 70 ) ;
- net63 ( ANTENNA__55__A DIODE ) ( input63 X ) ( _55_ A ) + USE SIGNAL
+ ROUTED met2 ( 8050 81090 ) ( * 82110 )
NEW met1 ( 99130 80410 ) ( 102350 * )
NEW met1 ( 8050 81090 ) ( 34500 * )
NEW met1 ( 34500 80410 ) ( * 81090 )
NEW met1 ( 34500 80410 ) ( 99130 * )
NEW li1 ( 8050 82110 ) L1M1_PR_MR
NEW met1 ( 8050 82110 ) M1M2_PR
NEW met1 ( 8050 81090 ) M1M2_PR
NEW li1 ( 99130 80410 ) L1M1_PR_MR
NEW li1 ( 102350 80410 ) L1M1_PR_MR
NEW met1 ( 8050 82110 ) RECT ( -355 -70 0 70 ) ;
- net64 ( ANTENNA__25__A DIODE ) ( input64 X ) ( _25_ A ) + USE SIGNAL
+ ROUTED met2 ( 93150 37570 ) ( * 41990 )
NEW met1 ( 93150 36890 ) ( 95910 * )
NEW met1 ( 93150 36890 ) ( * 37570 )
NEW met1 ( 8050 41650 ) ( 34500 * )
NEW met1 ( 34500 41650 ) ( * 41990 )
NEW met1 ( 34500 41990 ) ( 93150 * )
NEW li1 ( 8050 41650 ) L1M1_PR_MR
NEW li1 ( 93150 37570 ) L1M1_PR_MR
NEW met1 ( 93150 37570 ) M1M2_PR
NEW met1 ( 93150 41990 ) M1M2_PR
NEW li1 ( 95910 36890 ) L1M1_PR_MR
NEW met1 ( 93150 37570 ) RECT ( -355 -70 0 70 ) ;
- net65 ( ANTENNA__27__B DIODE ) ( input65 X ) ( _27_ B ) + USE SIGNAL
+ ROUTED met1 ( 92230 40290 ) ( 93150 * )
NEW met2 ( 92230 40290 ) ( * 46750 )
NEW met1 ( 99130 39270 ) ( * 39610 )
NEW met1 ( 93150 39610 ) ( 99130 * )
NEW met1 ( 93150 39610 ) ( * 40290 )
NEW met1 ( 8050 47090 ) ( 34500 * )
NEW met1 ( 34500 46750 ) ( * 47090 )
NEW met1 ( 34500 46750 ) ( 92230 * )
NEW li1 ( 8050 47090 ) L1M1_PR_MR
NEW li1 ( 93150 40290 ) L1M1_PR_MR
NEW met1 ( 92230 40290 ) M1M2_PR
NEW met1 ( 92230 46750 ) M1M2_PR
NEW li1 ( 99130 39270 ) L1M1_PR_MR ;
- net66 ( ANTENNA__29__B DIODE ) ( input66 X ) ( _29_ B ) + USE SIGNAL
+ ROUTED met2 ( 8050 51170 ) ( * 52190 )
NEW met2 ( 103270 42330 ) ( * 44370 )
NEW met1 ( 101430 44370 ) ( 103270 * )
NEW met2 ( 69230 44370 ) ( * 51170 )
NEW met1 ( 8050 51170 ) ( 69230 * )
NEW met1 ( 69230 44370 ) ( 101430 * )
NEW met1 ( 8050 51170 ) M1M2_PR
NEW li1 ( 8050 52190 ) L1M1_PR_MR
NEW met1 ( 8050 52190 ) M1M2_PR
NEW li1 ( 101430 44370 ) L1M1_PR_MR
NEW li1 ( 103270 42330 ) L1M1_PR_MR
NEW met1 ( 103270 42330 ) M1M2_PR
NEW met1 ( 103270 44370 ) M1M2_PR
NEW met1 ( 69230 51170 ) M1M2_PR
NEW met1 ( 69230 44370 ) M1M2_PR
NEW met1 ( 8050 52190 ) RECT ( -355 -70 0 70 )
NEW met1 ( 103270 42330 ) RECT ( -355 -70 0 70 ) ;
- net67 ( ANTENNA__31__B DIODE ) ( input67 X ) ( _31_ B ) + USE SIGNAL
+ ROUTED met1 ( 8050 60350 ) ( * 60690 )
NEW met1 ( 95910 42330 ) ( 99130 * )
NEW met1 ( 95910 42330 ) ( * 43010 )
NEW met1 ( 95450 43010 ) ( 95910 * )
NEW met2 ( 76590 43010 ) ( * 60690 )
NEW met1 ( 8050 60690 ) ( 76590 * )
NEW met1 ( 76590 43010 ) ( 95450 * )
NEW li1 ( 8050 60350 ) L1M1_PR_MR
NEW li1 ( 95450 43010 ) L1M1_PR_MR
NEW li1 ( 99130 42330 ) L1M1_PR_MR
NEW met1 ( 76590 60690 ) M1M2_PR
NEW met1 ( 76590 43010 ) M1M2_PR ;
- net68 ( input68 X ) ( _13_ A ) + USE SIGNAL
+ ROUTED met1 ( 9890 33150 ) ( 12190 * )
NEW met1 ( 9890 33150 ) ( * 33830 )
NEW li1 ( 12190 33150 ) L1M1_PR_MR
NEW li1 ( 9890 33830 ) L1M1_PR_MR ;
- net69 ( ANTENNA__33__A DIODE ) ( ANTENNA__31__A DIODE ) ( ANTENNA__29__A DIODE ) ( ANTENNA__27__A DIODE ) ( ANTENNA__25__B DIODE ) ( input69 X ) ( _25_ B )
( _27_ A ) ( _29_ A ) ( _31_ A ) ( _33_ A ) + USE SIGNAL
+ ROUTED met1 ( 100510 31450 ) ( 101890 * )
NEW met1 ( 101890 31450 ) ( 105110 * )
NEW met1 ( 96830 36550 ) ( * 36890 )
NEW met1 ( 90390 36550 ) ( 96830 * )
NEW met1 ( 90390 36210 ) ( * 36550 )
NEW met1 ( 96830 36890 ) ( 100050 * )
NEW met1 ( 97290 39270 ) ( 98210 * )
NEW met2 ( 97290 36890 ) ( * 39270 )
NEW met1 ( 100050 36890 ) ( 100510 * )
NEW met1 ( 97290 41990 ) ( 98210 * )
NEW met2 ( 97290 39270 ) ( * 41990 )
NEW met1 ( 98210 41990 ) ( 102350 * )
NEW met1 ( 96830 44030 ) ( 97290 * )
NEW met2 ( 97290 41990 ) ( * 44030 )
NEW met1 ( 97290 44030 ) ( 104190 * )
NEW met2 ( 100510 31450 ) ( * 36890 )
NEW met1 ( 10350 36210 ) ( 90390 * )
NEW li1 ( 101890 31450 ) L1M1_PR_MR
NEW met1 ( 100510 31450 ) M1M2_PR
NEW li1 ( 105110 31450 ) L1M1_PR_MR
NEW li1 ( 10350 36210 ) L1M1_PR_MR
NEW li1 ( 90390 36210 ) L1M1_PR_MR
NEW li1 ( 96830 36890 ) L1M1_PR_MR
NEW li1 ( 100050 36890 ) L1M1_PR_MR
NEW li1 ( 98210 39270 ) L1M1_PR_MR
NEW met1 ( 97290 39270 ) M1M2_PR
NEW met1 ( 97290 36890 ) M1M2_PR
NEW met1 ( 100510 36890 ) M1M2_PR
NEW li1 ( 98210 41990 ) L1M1_PR_MR
NEW met1 ( 97290 41990 ) M1M2_PR
NEW li1 ( 102350 41990 ) L1M1_PR_MR
NEW li1 ( 96830 44030 ) L1M1_PR_MR
NEW met1 ( 97290 44030 ) M1M2_PR
NEW li1 ( 104190 44030 ) L1M1_PR_MR
NEW met1 ( 97290 36890 ) RECT ( -595 -70 0 70 ) ;
- net7 ( input7 X ) ( _15_ C ) + USE SIGNAL
+ ROUTED met2 ( 8970 101830 ) ( * 112030 )
NEW met1 ( 8050 112030 ) ( 8970 * )
NEW li1 ( 8970 101830 ) L1M1_PR_MR
NEW met1 ( 8970 101830 ) M1M2_PR
NEW met1 ( 8970 112030 ) M1M2_PR
NEW li1 ( 8050 112030 ) L1M1_PR_MR
NEW met1 ( 8970 101830 ) RECT ( -355 -70 0 70 ) ;
- net70 ( ANTENNA_output70_A DIODE ) ( output70 A ) ( _36_ X ) + USE SIGNAL
+ ROUTED met1 ( 186990 152830 ) ( 188370 * )
NEW met1 ( 188370 153510 ) ( 189290 * )
NEW met1 ( 188370 152830 ) ( * 153510 )
NEW met2 ( 188370 98430 ) ( * 152830 )
NEW met1 ( 99590 99110 ) ( 131100 * )
NEW met1 ( 131100 98430 ) ( * 99110 )
NEW met1 ( 131100 98430 ) ( 188370 * )
NEW li1 ( 99590 99110 ) L1M1_PR_MR
NEW met1 ( 188370 98430 ) M1M2_PR
NEW li1 ( 186990 152830 ) L1M1_PR_MR
NEW met1 ( 188370 152830 ) M1M2_PR
NEW li1 ( 189290 153510 ) L1M1_PR_MR ;
- net71 ( ANTENNA_output71_A DIODE ) ( output71 A ) ( _37_ X ) + USE SIGNAL
+ ROUTED met2 ( 103270 98770 ) ( * 98940 )
NEW met1 ( 189290 156570 ) ( 189750 * )
NEW met1 ( 186990 156570 ) ( 189290 * )
NEW met2 ( 189750 98940 ) ( * 156570 )
NEW met3 ( 103270 98940 ) ( 189750 * )
NEW met2 ( 103270 98940 ) M2M3_PR
NEW li1 ( 103270 98770 ) L1M1_PR_MR
NEW met1 ( 103270 98770 ) M1M2_PR
NEW met2 ( 189750 98940 ) M2M3_PR
NEW li1 ( 189290 156570 ) L1M1_PR_MR
NEW met1 ( 189750 156570 ) M1M2_PR
NEW li1 ( 186990 156570 ) L1M1_PR_MR
NEW met1 ( 103270 98770 ) RECT ( -355 -70 0 70 ) ;
- net72 ( ANTENNA_output72_A DIODE ) ( output72 A ) ( _38_ X ) + USE SIGNAL
+ ROUTED met1 ( 189290 162010 ) ( 191590 * )
NEW met1 ( 186990 162010 ) ( 189290 * )
NEW met2 ( 191590 96050 ) ( * 162010 )
NEW met1 ( 99590 96390 ) ( 131100 * )
NEW met1 ( 131100 96050 ) ( * 96390 )
NEW met1 ( 131100 96050 ) ( 191590 * )
NEW li1 ( 99590 96390 ) L1M1_PR_MR
NEW met1 ( 191590 96050 ) M1M2_PR
NEW li1 ( 189290 162010 ) L1M1_PR_MR
NEW met1 ( 191590 162010 ) M1M2_PR
NEW li1 ( 186990 162010 ) L1M1_PR_MR ;
- net73 ( ANTENNA_output73_A DIODE ) ( output73 A ) ( _39_ X ) + USE SIGNAL
+ ROUTED met2 ( 99590 101490 ) ( * 101660 )
NEW met1 ( 186990 163710 ) ( 187910 * )
NEW met1 ( 187910 164390 ) ( 189290 * )
NEW met1 ( 187910 163710 ) ( * 164390 )
NEW met2 ( 187910 101660 ) ( * 163710 )
NEW met3 ( 99590 101660 ) ( 187910 * )
NEW met2 ( 99590 101660 ) M2M3_PR
NEW li1 ( 99590 101490 ) L1M1_PR_MR
NEW met1 ( 99590 101490 ) M1M2_PR
NEW met2 ( 187910 101660 ) M2M3_PR
NEW li1 ( 186990 163710 ) L1M1_PR_MR
NEW met1 ( 187910 163710 ) M1M2_PR
NEW li1 ( 189290 164390 ) L1M1_PR_MR
NEW met1 ( 99590 101490 ) RECT ( -355 -70 0 70 ) ;
- net74 ( ANTENNA_output74_A DIODE ) ( output74 A ) ( _40_ X ) + USE SIGNAL
+ ROUTED met1 ( 117070 95710 ) ( * 96050 )
NEW met1 ( 103270 96050 ) ( 117070 * )
NEW met1 ( 186990 166430 ) ( 187450 * )
NEW met1 ( 187450 167450 ) ( 189290 * )
NEW met2 ( 187450 166430 ) ( * 167450 )
NEW met2 ( 187450 95710 ) ( * 166430 )
NEW met1 ( 117070 95710 ) ( 187450 * )
NEW li1 ( 103270 96050 ) L1M1_PR_MR
NEW met1 ( 187450 95710 ) M1M2_PR
NEW li1 ( 186990 166430 ) L1M1_PR_MR
NEW met1 ( 187450 166430 ) M1M2_PR
NEW li1 ( 189290 167450 ) L1M1_PR_MR
NEW met1 ( 187450 167450 ) M1M2_PR ;
- net75 ( ANTENNA_output75_A DIODE ) ( output75 A ) ( _41_ X ) + USE SIGNAL
+ ROUTED met2 ( 186530 156740 ) ( * 169150 )
NEW met2 ( 186530 156740 ) ( 186990 * )
NEW met1 ( 186530 169830 ) ( 189290 * )
NEW met1 ( 186530 169150 ) ( * 169830 )
NEW met2 ( 186990 92990 ) ( * 156740 )
NEW met1 ( 99590 93330 ) ( 131100 * )
NEW met1 ( 131100 92990 ) ( * 93330 )
NEW met1 ( 131100 92990 ) ( 186990 * )
NEW li1 ( 99590 93330 ) L1M1_PR_MR
NEW met1 ( 186990 92990 ) M1M2_PR
NEW li1 ( 186530 169150 ) L1M1_PR_MR
NEW met1 ( 186530 169150 ) M1M2_PR
NEW li1 ( 189290 169830 ) L1M1_PR_MR
NEW met1 ( 186530 169150 ) RECT ( -355 -70 0 70 ) ;
- net76 ( ANTENNA_output76_A DIODE ) ( output76 A ) ( _42_ X ) + USE SIGNAL
+ ROUTED met1 ( 189290 175270 ) ( 190670 * )
NEW met1 ( 186990 175270 ) ( 189290 * )
NEW met2 ( 190670 85170 ) ( * 175270 )
NEW met1 ( 179400 85170 ) ( 190670 * )
NEW met1 ( 179400 85170 ) ( * 85510 )
NEW met1 ( 102810 85510 ) ( 179400 * )
NEW li1 ( 102810 85510 ) L1M1_PR_MR
NEW met1 ( 190670 85170 ) M1M2_PR
NEW li1 ( 189290 175270 ) L1M1_PR_MR
NEW met1 ( 190670 175270 ) M1M2_PR
NEW li1 ( 186990 175270 ) L1M1_PR_MR ;
- net77 ( ANTENNA_output77_A DIODE ) ( output77 A ) ( _43_ X ) + USE SIGNAL
+ ROUTED met1 ( 188830 178330 ) ( 189290 * )
NEW met1 ( 186990 178330 ) ( 188830 * )
NEW met2 ( 188830 84830 ) ( * 178330 )
NEW met1 ( 99130 85170 ) ( 131100 * )
NEW met1 ( 131100 84830 ) ( * 85170 )
NEW met1 ( 131100 84830 ) ( 188830 * )
NEW li1 ( 99130 85170 ) L1M1_PR_MR
NEW met1 ( 188830 84830 ) M1M2_PR
NEW li1 ( 189290 178330 ) L1M1_PR_MR
NEW met1 ( 188830 178330 ) M1M2_PR
NEW li1 ( 186990 178330 ) L1M1_PR_MR ;
- net78 ( ANTENNA_output78_A DIODE ) ( output78 A ) ( _44_ X ) + USE SIGNAL
+ ROUTED met1 ( 186990 180710 ) ( 189290 * )
NEW met1 ( 189290 180710 ) ( 191130 * )
NEW met2 ( 191130 87890 ) ( * 180710 )
NEW met1 ( 99590 88570 ) ( 131100 * )
NEW met1 ( 131100 87890 ) ( * 88570 )
NEW met1 ( 131100 87890 ) ( 191130 * )
NEW li1 ( 99590 88570 ) L1M1_PR_MR
NEW met1 ( 191130 87890 ) M1M2_PR
NEW li1 ( 189290 180710 ) L1M1_PR_MR
NEW li1 ( 186990 180710 ) L1M1_PR_MR
NEW met1 ( 191130 180710 ) M1M2_PR ;
- net79 ( ANTENNA_output79_A DIODE ) ( output79 A ) ( _45_ X ) + USE CLOCK
+ ROUTED met2 ( 186990 16830 ) ( * 19550 )
NEW met1 ( 186990 20570 ) ( 189750 * )
NEW met1 ( 186990 19550 ) ( * 20570 )
NEW met1 ( 9430 16830 ) ( 186990 * )
NEW li1 ( 9430 16830 ) L1M1_PR_MR
NEW li1 ( 186990 19550 ) L1M1_PR_MR
NEW met1 ( 186990 19550 ) M1M2_PR
NEW met1 ( 186990 16830 ) M1M2_PR
NEW li1 ( 189750 20570 ) L1M1_PR_MR
NEW met1 ( 186990 19550 ) RECT ( -355 -70 0 70 ) ;
- net8 ( input8 X ) ( _18_ B ) + USE SIGNAL
+ ROUTED met2 ( 8050 119170 ) ( * 121890 )
NEW met1 ( 8050 121890 ) ( 8510 * )
NEW li1 ( 8050 119170 ) L1M1_PR_MR
NEW met1 ( 8050 119170 ) M1M2_PR
NEW met1 ( 8050 121890 ) M1M2_PR
NEW li1 ( 8510 121890 ) L1M1_PR_MR
NEW met1 ( 8050 119170 ) RECT ( -355 -70 0 70 ) ;
- net80 ( ANTENNA_output80_A DIODE ) ( output80 A ) ( _22_ X ) + USE SIGNAL
+ ROUTED met1 ( 21850 114750 ) ( 23230 * )
NEW met1 ( 186530 26010 ) ( 189290 * )
NEW met1 ( 186530 24990 ) ( * 26010 )
NEW met2 ( 23230 24990 ) ( * 114750 )
NEW met1 ( 23230 24990 ) ( 186530 * )
NEW met1 ( 23230 24990 ) M1M2_PR
NEW met1 ( 23230 114750 ) M1M2_PR
NEW li1 ( 21850 114750 ) L1M1_PR_MR
NEW li1 ( 186530 24990 ) L1M1_PR_MR
NEW li1 ( 189290 26010 ) L1M1_PR_MR ;
- net81 ( ANTENNA_output81_A DIODE ) ( output81 A ) ( _46_ X ) + USE SIGNAL
+ ROUTED met1 ( 94990 41650 ) ( * 42670 )
NEW met2 ( 186530 42670 ) ( * 44030 )
NEW met1 ( 186530 44710 ) ( 189290 * )
NEW met1 ( 186530 44030 ) ( * 44710 )
NEW met1 ( 12650 42670 ) ( 94990 * )
NEW met1 ( 94990 41650 ) ( 131100 * )
NEW met1 ( 131100 41650 ) ( * 42670 )
NEW met1 ( 131100 42670 ) ( 186530 * )
NEW li1 ( 12650 42670 ) L1M1_PR_MR
NEW li1 ( 186530 44030 ) L1M1_PR_MR
NEW met1 ( 186530 44030 ) M1M2_PR
NEW met1 ( 186530 42670 ) M1M2_PR
NEW li1 ( 189290 44710 ) L1M1_PR_MR
NEW met1 ( 186530 44030 ) RECT ( -355 -70 0 70 ) ;
- net82 ( ANTENNA_output82_A DIODE ) ( output82 A ) ( _56_ X ) + USE SIGNAL
+ ROUTED met1 ( 186070 81090 ) ( 186530 * )
NEW met2 ( 186070 81090 ) ( * 82110 )
NEW met1 ( 186530 80410 ) ( 189290 * )
NEW met1 ( 186530 80410 ) ( * 81090 )
NEW met1 ( 101430 82110 ) ( 186070 * )
NEW li1 ( 101430 82110 ) L1M1_PR_MR
NEW li1 ( 186530 81090 ) L1M1_PR_MR
NEW met1 ( 186070 81090 ) M1M2_PR
NEW met1 ( 186070 82110 ) M1M2_PR
NEW li1 ( 189290 80410 ) L1M1_PR_MR ;
- net83 ( ANTENNA_output83_A DIODE ) ( output83 A ) ( _57_ X ) + USE SIGNAL
+ ROUTED met1 ( 98210 82110 ) ( * 82450 )
NEW met1 ( 189290 82450 ) ( * 82790 )
NEW met1 ( 186530 82450 ) ( 189290 * )
NEW met1 ( 98210 82450 ) ( 186530 * )
NEW li1 ( 98210 82110 ) L1M1_PR_MR
NEW li1 ( 186530 82450 ) L1M1_PR_MR
NEW li1 ( 189290 82790 ) L1M1_PR_MR ;
- net84 ( output84 A ) ( _58_ X ) + USE SIGNAL
+ ROUTED met1 ( 117070 87550 ) ( * 87890 )
NEW met1 ( 102810 87890 ) ( 117070 * )
NEW met1 ( 102810 87550 ) ( * 87890 )
NEW met2 ( 155250 85850 ) ( * 87550 )
NEW met1 ( 117070 87550 ) ( 155250 * )
NEW met1 ( 155250 85850 ) ( 189290 * )
NEW li1 ( 102810 87550 ) L1M1_PR_MR
NEW li1 ( 189290 85850 ) L1M1_PR_MR
NEW met1 ( 155250 87550 ) M1M2_PR
NEW met1 ( 155250 85850 ) M1M2_PR ;
- net85 ( ANTENNA_output85_A DIODE ) ( output85 A ) ( _59_ X ) + USE SIGNAL
+ ROUTED met1 ( 186530 88230 ) ( 189290 * )
NEW met2 ( 137770 88230 ) ( * 97410 )
NEW met1 ( 106490 97410 ) ( 137770 * )
NEW met1 ( 137770 88230 ) ( 186530 * )
NEW li1 ( 106490 97410 ) L1M1_PR_MR
NEW li1 ( 186530 88230 ) L1M1_PR_MR
NEW li1 ( 189290 88230 ) L1M1_PR_MR
NEW met1 ( 137770 97410 ) M1M2_PR
NEW met1 ( 137770 88230 ) M1M2_PR ;
- net86 ( ANTENNA_output86_A DIODE ) ( output86 A ) ( _60_ X ) + USE SIGNAL
+ ROUTED met2 ( 95910 100130 ) ( * 101150 )
NEW met1 ( 186530 93670 ) ( 189290 * )
NEW met1 ( 186530 93670 ) ( * 94690 )
NEW met2 ( 158930 94690 ) ( * 100130 )
NEW met1 ( 95910 100130 ) ( 158930 * )
NEW met1 ( 158930 94690 ) ( 186530 * )
NEW met1 ( 95910 100130 ) M1M2_PR
NEW li1 ( 95910 101150 ) L1M1_PR_MR
NEW met1 ( 95910 101150 ) M1M2_PR
NEW li1 ( 186530 94690 ) L1M1_PR_MR
NEW li1 ( 189290 93670 ) L1M1_PR_MR
NEW met1 ( 158930 100130 ) M1M2_PR
NEW met1 ( 158930 94690 ) M1M2_PR
NEW met1 ( 95910 101150 ) RECT ( -355 -70 0 70 ) ;
- net87 ( ANTENNA_output87_A DIODE ) ( output87 A ) ( _61_ X ) + USE SIGNAL
+ ROUTED met1 ( 95910 97070 ) ( * 97410 )
NEW met1 ( 186530 96730 ) ( 189290 * )
NEW met1 ( 186530 96730 ) ( * 97410 )
NEW met1 ( 179400 97410 ) ( 186530 * )
NEW met1 ( 179400 97070 ) ( * 97410 )
NEW met1 ( 95910 97070 ) ( 179400 * )
NEW li1 ( 95910 97410 ) L1M1_PR_MR
NEW li1 ( 186530 97410 ) L1M1_PR_MR
NEW li1 ( 189290 96730 ) L1M1_PR_MR ;
- net88 ( output88 A ) ( _62_ X ) + USE SIGNAL
+ ROUTED met2 ( 155250 99110 ) ( * 101490 )
NEW met1 ( 102810 101490 ) ( 155250 * )
NEW met1 ( 155250 99110 ) ( 189290 * )
NEW li1 ( 102810 101490 ) L1M1_PR_MR
NEW li1 ( 189290 99110 ) L1M1_PR_MR
NEW met1 ( 155250 101490 ) M1M2_PR
NEW met1 ( 155250 99110 ) M1M2_PR ;
- net89 ( ANTENNA_output89_A DIODE ) ( output89 A ) ( _63_ X ) + USE SIGNAL
+ ROUTED met1 ( 100970 103870 ) ( * 104210 )
NEW met1 ( 186530 102170 ) ( 189290 * )
NEW met1 ( 186530 102170 ) ( * 102850 )
NEW met2 ( 165830 102850 ) ( * 104210 )
NEW met1 ( 100970 104210 ) ( 165830 * )
NEW met1 ( 165830 102850 ) ( 186530 * )
NEW li1 ( 100970 103870 ) L1M1_PR_MR
NEW li1 ( 186530 102850 ) L1M1_PR_MR
NEW li1 ( 189290 102170 ) L1M1_PR_MR
NEW met1 ( 165830 104210 ) M1M2_PR
NEW met1 ( 165830 102850 ) M1M2_PR ;
- net9 ( input9 X ) ( _18_ A ) + USE SIGNAL
+ ROUTED met1 ( 9890 120870 ) ( 13110 * )
NEW met1 ( 13110 120190 ) ( * 120870 )
NEW li1 ( 9890 120870 ) L1M1_PR_MR
NEW li1 ( 13110 120190 ) L1M1_PR_MR ;
- net90 ( output90 A ) ( _64_ X ) + USE SIGNAL
+ ROUTED met1 ( 100970 106930 ) ( 131100 * )
NEW met1 ( 131100 106930 ) ( * 107610 )
NEW met1 ( 131100 107610 ) ( 189290 * )
NEW li1 ( 100970 106930 ) L1M1_PR_MR
NEW li1 ( 189290 107610 ) L1M1_PR_MR ;
- net91 ( ANTENNA_output91_A DIODE ) ( output91 A ) ( _65_ X ) + USE SIGNAL
+ ROUTED met2 ( 186530 110330 ) ( * 112030 )
NEW met1 ( 189290 109990 ) ( * 110330 )
NEW met1 ( 186530 110330 ) ( 189290 * )
NEW met1 ( 101890 112370 ) ( 131100 * )
NEW met1 ( 131100 112030 ) ( * 112370 )
NEW met1 ( 131100 112030 ) ( 186530 * )
NEW li1 ( 101890 112370 ) L1M1_PR_MR
NEW li1 ( 186530 110330 ) L1M1_PR_MR
NEW met1 ( 186530 110330 ) M1M2_PR
NEW met1 ( 186530 112030 ) M1M2_PR
NEW li1 ( 189290 109990 ) L1M1_PR_MR
NEW met1 ( 186530 110330 ) RECT ( -355 -70 0 70 ) ;
- net92 ( output92 A ) ( _47_ X ) + USE SIGNAL
+ ROUTED met1 ( 99590 47090 ) ( 131100 * )
NEW met1 ( 131100 47090 ) ( * 47770 )
NEW met1 ( 131100 47770 ) ( 189290 * )
NEW li1 ( 99590 47090 ) L1M1_PR_MR
NEW li1 ( 189290 47770 ) L1M1_PR_MR ;
- net93 ( output93 A ) ( _66_ X ) + USE SIGNAL
+ ROUTED met1 ( 101890 114750 ) ( * 115090 )
NEW met2 ( 155250 113050 ) ( * 115090 )
NEW met1 ( 101890 115090 ) ( 155250 * )
NEW met1 ( 155250 113050 ) ( 189290 * )
NEW li1 ( 101890 114750 ) L1M1_PR_MR
NEW li1 ( 189290 113050 ) L1M1_PR_MR
NEW met1 ( 155250 115090 ) M1M2_PR
NEW met1 ( 155250 113050 ) M1M2_PR ;
- net94 ( ANTENNA_output94_A DIODE ) ( output94 A ) ( _67_ X ) + USE SIGNAL
+ ROUTED met2 ( 186530 116450 ) ( * 117470 )
NEW met1 ( 186530 115430 ) ( 189290 * )
NEW met2 ( 186530 115430 ) ( * 116450 )
NEW met1 ( 100970 117810 ) ( 131100 * )
NEW met1 ( 131100 117470 ) ( * 117810 )
NEW met1 ( 131100 117470 ) ( 186530 * )
NEW li1 ( 100970 117810 ) L1M1_PR_MR
NEW li1 ( 186530 116450 ) L1M1_PR_MR
NEW met1 ( 186530 116450 ) M1M2_PR
NEW met1 ( 186530 117470 ) M1M2_PR
NEW li1 ( 189290 115430 ) L1M1_PR_MR
NEW met1 ( 186530 115430 ) M1M2_PR
NEW met1 ( 186530 116450 ) RECT ( -355 -70 0 70 ) ;
- net95 ( output95 A ) ( _68_ X ) + USE SIGNAL
+ ROUTED met1 ( 100050 120190 ) ( * 120530 )
NEW met1 ( 100050 120530 ) ( 131100 * )
NEW met1 ( 131100 120530 ) ( * 120870 )
NEW met1 ( 131100 120870 ) ( 189290 * )
NEW li1 ( 100050 120190 ) L1M1_PR_MR
NEW li1 ( 189290 120870 ) L1M1_PR_MR ;
- net96 ( ANTENNA_output96_A DIODE ) ( output96 A ) ( _69_ X ) + USE SIGNAL
+ ROUTED met1 ( 99130 125630 ) ( * 125970 )
NEW met1 ( 186530 123930 ) ( 189290 * )
NEW met2 ( 165830 123930 ) ( * 125970 )
NEW met1 ( 99130 125970 ) ( 165830 * )
NEW met1 ( 165830 123930 ) ( 186530 * )
NEW li1 ( 99130 125630 ) L1M1_PR_MR
NEW li1 ( 186530 123930 ) L1M1_PR_MR
NEW li1 ( 189290 123930 ) L1M1_PR_MR
NEW met1 ( 165830 125970 ) M1M2_PR
NEW met1 ( 165830 123930 ) M1M2_PR ;
- net97 ( ANTENNA_output97_A DIODE ) ( output97 A ) ( _70_ X ) + USE SIGNAL
+ ROUTED met1 ( 186070 127330 ) ( 186530 * )
NEW met2 ( 186070 127330 ) ( * 128690 )
NEW met1 ( 186530 126310 ) ( 189290 * )
NEW met1 ( 186530 126310 ) ( * 127330 )
NEW met1 ( 99130 128690 ) ( 186070 * )
NEW li1 ( 99130 128690 ) L1M1_PR_MR
NEW li1 ( 186530 127330 ) L1M1_PR_MR
NEW met1 ( 186070 127330 ) M1M2_PR
NEW met1 ( 186070 128690 ) M1M2_PR
NEW li1 ( 189290 126310 ) L1M1_PR_MR ;
- net98 ( ANTENNA_output98_A DIODE ) ( output98 A ) ( _71_ X ) + USE SIGNAL
+ ROUTED met1 ( 186070 130050 ) ( 186530 * )
NEW met1 ( 186530 129370 ) ( 189290 * )
NEW met1 ( 186530 129370 ) ( * 130050 )
NEW met1 ( 100050 131070 ) ( * 131750 )
NEW met2 ( 186070 130050 ) ( * 131410 )
NEW met1 ( 100050 131750 ) ( 131100 * )
NEW met1 ( 131100 131410 ) ( * 131750 )
NEW met1 ( 131100 131410 ) ( 186070 * )
NEW li1 ( 100050 131070 ) L1M1_PR_MR
NEW li1 ( 186530 130050 ) L1M1_PR_MR
NEW met1 ( 186070 130050 ) M1M2_PR
NEW li1 ( 189290 129370 ) L1M1_PR_MR
NEW met1 ( 186070 131410 ) M1M2_PR
NEW met1 ( 100050 131070 ) RECT ( 0 -70 255 70 ) ;
- net99 ( output99 A ) ( _72_ X ) + USE SIGNAL
+ ROUTED met1 ( 100510 134130 ) ( 131100 * )
NEW met1 ( 131100 134130 ) ( * 134810 )
NEW met1 ( 131100 134810 ) ( 189290 * )
NEW li1 ( 100510 134130 ) L1M1_PR_MR
NEW li1 ( 189290 134810 ) L1M1_PR_MR ;
- processor_reset ( PIN processor_reset ) ( output113 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 183090 ) ( * 183260 )
NEW met3 ( 190210 183260 ) ( 196420 * 0 )
NEW li1 ( 190210 183090 ) L1M1_PR_MR
NEW met1 ( 190210 183090 ) M1M2_PR
NEW met2 ( 190210 183260 ) M2M3_PR
NEW met1 ( 190210 183090 ) RECT ( -355 -70 0 70 ) ;
- wb_clk_i ( PIN wb_clk_i ) ( ANTENNA_clkbuf_0_wb_clk_i_A DIODE ) ( clkbuf_0_wb_clk_i A ) + USE CLOCK
+ ROUTED met2 ( 16330 22950 ) ( * 25500 )
NEW met3 ( 3220 25500 0 ) ( 16330 * )
NEW met1 ( 16330 22950 ) ( 19090 * )
NEW li1 ( 16330 22950 ) L1M1_PR_MR
NEW met1 ( 16330 22950 ) M1M2_PR
NEW met2 ( 16330 25500 ) M2M3_PR
NEW li1 ( 19090 22950 ) L1M1_PR_MR
NEW met1 ( 16330 22950 ) RECT ( -355 -70 0 70 ) ;
- wb_rst_i ( PIN wb_rst_i ) + USE SIGNAL ;
- wbs_ack_o ( PIN wbs_ack_o ) ( output114 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 29580 0 ) ( 7590 * )
NEW met2 ( 7590 29580 ) ( * 30430 )
NEW met2 ( 7590 29580 ) M2M3_PR
NEW li1 ( 7590 30430 ) L1M1_PR_MR
NEW met1 ( 7590 30430 ) M1M2_PR
NEW met1 ( 7590 30430 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[0] ( PIN wbs_adr_i[0] ) + USE SIGNAL ;
- wbs_adr_i[10] ( PIN wbs_adr_i[10] ) ( ANTENNA_input1_A DIODE ) ( input1 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 85850 ) ( * 86700 )
NEW met3 ( 3220 86700 0 ) ( 7130 * )
NEW met1 ( 7130 85850 ) ( 13110 * )
NEW li1 ( 7130 85850 ) L1M1_PR_MR
NEW met1 ( 7130 85850 ) M1M2_PR
NEW met2 ( 7130 86700 ) M2M3_PR
NEW li1 ( 13110 85850 ) L1M1_PR_MR
NEW met1 ( 7130 85850 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[11] ( PIN wbs_adr_i[11] ) ( ANTENNA_input2_A DIODE ) ( input2 A ) + USE SIGNAL
+ ROUTED met1 ( 7130 88230 ) ( 7590 * )
NEW met2 ( 7590 88230 ) ( * 90780 )
NEW met3 ( 3220 90780 0 ) ( 7590 * )
NEW met1 ( 7590 86530 ) ( 10350 * )
NEW met2 ( 7590 86530 ) ( * 88230 )
NEW li1 ( 7130 88230 ) L1M1_PR_MR
NEW met1 ( 7590 88230 ) M1M2_PR
NEW met2 ( 7590 90780 ) M2M3_PR
NEW li1 ( 10350 86530 ) L1M1_PR_MR
NEW met1 ( 7590 86530 ) M1M2_PR ;
- wbs_adr_i[12] ( PIN wbs_adr_i[12] ) ( ANTENNA_input3_A DIODE ) ( input3 A ) + USE SIGNAL
+ ROUTED met2 ( 10350 94860 ) ( * 96730 )
NEW met3 ( 3220 94860 0 ) ( 10350 * )
NEW met1 ( 10350 94690 ) ( 12190 * )
NEW met2 ( 10350 94690 ) ( * 94860 )
NEW li1 ( 10350 96730 ) L1M1_PR_MR
NEW met1 ( 10350 96730 ) M1M2_PR
NEW met2 ( 10350 94860 ) M2M3_PR
NEW li1 ( 12190 94690 ) L1M1_PR_MR
NEW met1 ( 10350 94690 ) M1M2_PR
NEW met1 ( 10350 96730 ) RECT ( -355 -70 0 70 )
NEW met2 ( 10350 94690 ) RECT ( -70 -315 70 0 ) ;
- wbs_adr_i[13] ( PIN wbs_adr_i[13] ) ( ANTENNA_input4_A DIODE ) ( input4 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 96730 ) ( * 98940 )
NEW met3 ( 3220 98940 0 ) ( 7130 * )
NEW met1 ( 16330 96390 ) ( * 96730 )
NEW met1 ( 11730 96730 ) ( 16330 * )
NEW met1 ( 11730 96730 ) ( * 97070 )
NEW met1 ( 7130 97070 ) ( 11730 * )
NEW met1 ( 7130 96730 ) ( * 97070 )
NEW li1 ( 7130 96730 ) L1M1_PR_MR
NEW met1 ( 7130 96730 ) M1M2_PR
NEW met2 ( 7130 98940 ) M2M3_PR
NEW li1 ( 16330 96390 ) L1M1_PR_MR
NEW met1 ( 7130 96730 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[14] ( PIN wbs_adr_i[14] ) ( ANTENNA_input5_A DIODE ) ( input5 A ) + USE SIGNAL
+ ROUTED met2 ( 11270 103020 ) ( * 104550 )
NEW met3 ( 3220 103020 0 ) ( 11270 * )
NEW met1 ( 11270 104550 ) ( 13570 * )
NEW li1 ( 11270 104550 ) L1M1_PR_MR
NEW met1 ( 11270 104550 ) M1M2_PR
NEW met2 ( 11270 103020 ) M2M3_PR
NEW li1 ( 13570 104550 ) L1M1_PR_MR
NEW met1 ( 11270 104550 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[15] ( PIN wbs_adr_i[15] ) ( ANTENNA_input6_A DIODE ) ( input6 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 107100 ) ( * 107610 )
NEW met3 ( 3220 107100 0 ) ( 7130 * )
NEW met1 ( 7130 107610 ) ( 10350 * )
NEW li1 ( 7130 107610 ) L1M1_PR_MR
NEW met1 ( 7130 107610 ) M1M2_PR
NEW met2 ( 7130 107100 ) M2M3_PR
NEW li1 ( 10350 107610 ) L1M1_PR_MR
NEW met1 ( 7130 107610 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[16] ( PIN wbs_adr_i[16] ) ( ANTENNA_input7_A DIODE ) ( input7 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 111180 ) ( * 113050 )
NEW met3 ( 3220 111180 0 ) ( 7130 * )
NEW met1 ( 7130 113050 ) ( 10350 * )
NEW li1 ( 7130 113050 ) L1M1_PR_MR
NEW met1 ( 7130 113050 ) M1M2_PR
NEW met2 ( 7130 111180 ) M2M3_PR
NEW li1 ( 10350 113050 ) L1M1_PR_MR
NEW met1 ( 7130 113050 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[17] ( PIN wbs_adr_i[17] ) ( ANTENNA_input8_A DIODE ) ( input8 A ) + USE SIGNAL
+ ROUTED met1 ( 7130 118490 ) ( 7590 * )
NEW met2 ( 7590 115260 ) ( * 118490 )
NEW met3 ( 3220 115260 0 ) ( 7590 * )
NEW met1 ( 7590 116110 ) ( 13110 * )
NEW li1 ( 7130 118490 ) L1M1_PR_MR
NEW met1 ( 7590 118490 ) M1M2_PR
NEW met2 ( 7590 115260 ) M2M3_PR
NEW li1 ( 13110 116110 ) L1M1_PR_MR
NEW met1 ( 7590 116110 ) M1M2_PR
NEW met2 ( 7590 116110 ) RECT ( -70 -485 70 0 ) ;
- wbs_adr_i[18] ( PIN wbs_adr_i[18] ) ( ANTENNA_input9_A DIODE ) ( input9 A ) + USE SIGNAL
+ ROUTED met2 ( 14030 119340 ) ( * 120870 )
NEW met3 ( 3220 119340 0 ) ( 14030 * )
NEW met2 ( 14030 120870 ) ( * 122910 )
NEW li1 ( 14030 120870 ) L1M1_PR_MR
NEW met1 ( 14030 120870 ) M1M2_PR
NEW met2 ( 14030 119340 ) M2M3_PR
NEW li1 ( 14030 122910 ) L1M1_PR_MR
NEW met1 ( 14030 122910 ) M1M2_PR
NEW met1 ( 14030 120870 ) RECT ( -355 -70 0 70 )
NEW met1 ( 14030 122910 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[19] ( PIN wbs_adr_i[19] ) ( ANTENNA_input10_A DIODE ) ( input10 A ) + USE SIGNAL
+ ROUTED met1 ( 10810 123930 ) ( 11270 * )
NEW met2 ( 10810 123420 ) ( * 123930 )
NEW met3 ( 3220 123420 0 ) ( 10810 * )
NEW met2 ( 10810 123930 ) ( * 125630 )
NEW li1 ( 11270 123930 ) L1M1_PR_MR
NEW met1 ( 10810 123930 ) M1M2_PR
NEW met2 ( 10810 123420 ) M2M3_PR
NEW li1 ( 10810 125630 ) L1M1_PR_MR
NEW met1 ( 10810 125630 ) M1M2_PR
NEW met1 ( 10810 125630 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[1] ( PIN wbs_adr_i[1] ) + USE SIGNAL ;
- wbs_adr_i[20] ( PIN wbs_adr_i[20] ) ( ANTENNA_input11_A DIODE ) ( input11 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 127500 0 ) ( 7590 * )
NEW met1 ( 7130 131750 ) ( 8510 * )
NEW met2 ( 8510 131750 ) ( * 136510 )
NEW met1 ( 8510 136510 ) ( 10350 * )
NEW met2 ( 7590 127500 ) ( * 131750 )
NEW met2 ( 7590 127500 ) M2M3_PR
NEW li1 ( 7130 131750 ) L1M1_PR_MR
NEW met1 ( 8510 131750 ) M1M2_PR
NEW met1 ( 8510 136510 ) M1M2_PR
NEW li1 ( 10350 136510 ) L1M1_PR_MR
NEW met1 ( 7590 131750 ) M1M2_PR
NEW met1 ( 7590 131750 ) RECT ( -595 -70 0 70 ) ;
- wbs_adr_i[21] ( PIN wbs_adr_i[21] ) ( ANTENNA_input12_A DIODE ) ( input12 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 131580 0 ) ( 13110 * )
NEW met1 ( 14490 131750 ) ( * 132090 )
NEW met1 ( 13110 132090 ) ( 14490 * )
NEW met2 ( 13110 131580 ) ( * 132090 )
NEW met2 ( 13110 130050 ) ( * 131580 )
NEW li1 ( 13110 130050 ) L1M1_PR_MR
NEW met1 ( 13110 130050 ) M1M2_PR
NEW met2 ( 13110 131580 ) M2M3_PR
NEW li1 ( 14490 131750 ) L1M1_PR_MR
NEW met1 ( 13110 132090 ) M1M2_PR
NEW met1 ( 13110 130050 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[22] ( PIN wbs_adr_i[22] ) ( ANTENNA_input13_A DIODE ) ( input13 A ) + USE SIGNAL
+ ROUTED met1 ( 7130 140250 ) ( 7590 * )
NEW met2 ( 7590 135660 ) ( * 140250 )
NEW met3 ( 3220 135660 0 ) ( 7590 * )
NEW met1 ( 7590 142290 ) ( 10350 * )
NEW met2 ( 7590 140250 ) ( * 142290 )
NEW li1 ( 7130 140250 ) L1M1_PR_MR
NEW met1 ( 7590 140250 ) M1M2_PR
NEW met2 ( 7590 135660 ) M2M3_PR
NEW li1 ( 10350 142290 ) L1M1_PR_MR
NEW met1 ( 7590 142290 ) M1M2_PR ;
- wbs_adr_i[23] ( PIN wbs_adr_i[23] ) ( ANTENNA_input14_A DIODE ) ( input14 A ) + USE SIGNAL
+ ROUTED met2 ( 11270 139740 ) ( * 140250 )
NEW met3 ( 3220 139740 0 ) ( 11270 * )
NEW met1 ( 11270 140250 ) ( 13570 * )
NEW li1 ( 11270 140250 ) L1M1_PR_MR
NEW met1 ( 11270 140250 ) M1M2_PR
NEW met2 ( 11270 139740 ) M2M3_PR
NEW li1 ( 13570 140250 ) L1M1_PR_MR
NEW met1 ( 11270 140250 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[24] ( PIN wbs_adr_i[24] ) ( ANTENNA_input15_A DIODE ) ( input15 A ) + USE SIGNAL
+ ROUTED met1 ( 7130 147730 ) ( * 148070 )
NEW met1 ( 7130 147730 ) ( 19090 * )
NEW met3 ( 3220 143820 0 ) ( 6670 * )
NEW met2 ( 6670 143820 ) ( * 147730 )
NEW met1 ( 6670 147730 ) ( 7130 * )
NEW li1 ( 7130 148070 ) L1M1_PR_MR
NEW li1 ( 19090 147730 ) L1M1_PR_MR
NEW met2 ( 6670 143820 ) M2M3_PR
NEW met1 ( 6670 147730 ) M1M2_PR ;
- wbs_adr_i[25] ( PIN wbs_adr_i[25] ) ( ANTENNA_input16_A DIODE ) ( input16 A ) + USE SIGNAL
+ ROUTED met2 ( 11270 147900 ) ( * 148070 )
NEW met3 ( 3220 147900 0 ) ( 11270 * )
NEW met1 ( 11270 148070 ) ( 13570 * )
NEW li1 ( 11270 148070 ) L1M1_PR_MR
NEW met1 ( 11270 148070 ) M1M2_PR
NEW met2 ( 11270 147900 ) M2M3_PR
NEW li1 ( 13570 148070 ) L1M1_PR_MR
NEW met1 ( 11270 148070 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[26] ( PIN wbs_adr_i[26] ) ( ANTENNA_input17_A DIODE ) ( input17 A ) + USE SIGNAL
+ ROUTED met1 ( 7130 156570 ) ( 7590 * )
NEW met2 ( 7590 151980 ) ( * 156570 )
NEW met3 ( 3220 151980 0 ) ( 7590 * )
NEW met1 ( 7590 158610 ) ( 10350 * )
NEW met2 ( 7590 156570 ) ( * 158610 )
NEW li1 ( 7130 156570 ) L1M1_PR_MR
NEW met1 ( 7590 156570 ) M1M2_PR
NEW met2 ( 7590 151980 ) M2M3_PR
NEW li1 ( 10350 158610 ) L1M1_PR_MR
NEW met1 ( 7590 158610 ) M1M2_PR ;
- wbs_adr_i[27] ( PIN wbs_adr_i[27] ) ( ANTENNA_input18_A DIODE ) ( input18 A ) + USE SIGNAL
+ ROUTED met2 ( 11270 156060 ) ( * 156570 )
NEW met3 ( 3220 156060 0 ) ( 11270 * )
NEW met1 ( 11270 156570 ) ( 13570 * )
NEW li1 ( 11270 156570 ) L1M1_PR_MR
NEW met1 ( 11270 156570 ) M1M2_PR
NEW met2 ( 11270 156060 ) M2M3_PR
NEW li1 ( 13570 156570 ) L1M1_PR_MR
NEW met1 ( 11270 156570 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[28] ( PIN wbs_adr_i[28] ) ( ANTENNA_input19_A DIODE ) ( input19 A ) + USE SIGNAL
+ ROUTED met2 ( 15410 160140 ) ( * 162010 )
NEW met3 ( 3220 160140 0 ) ( 15410 * )
NEW met1 ( 15410 162010 ) ( 17710 * )
NEW li1 ( 15410 162010 ) L1M1_PR_MR
NEW met1 ( 15410 162010 ) M1M2_PR
NEW met2 ( 15410 160140 ) M2M3_PR
NEW li1 ( 17710 162010 ) L1M1_PR_MR
NEW met1 ( 15410 162010 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[29] ( PIN wbs_adr_i[29] ) ( ANTENNA_input20_A DIODE ) ( input20 A ) + USE SIGNAL
+ ROUTED met2 ( 14490 164220 ) ( * 164390 )
NEW met3 ( 3220 164220 0 ) ( 14490 * )
NEW met1 ( 13110 166430 ) ( 14490 * )
NEW met2 ( 14490 164390 ) ( * 166430 )
NEW li1 ( 14490 164390 ) L1M1_PR_MR
NEW met1 ( 14490 164390 ) M1M2_PR
NEW met2 ( 14490 164220 ) M2M3_PR
NEW li1 ( 13110 166430 ) L1M1_PR_MR
NEW met1 ( 14490 166430 ) M1M2_PR
NEW met1 ( 14490 164390 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[2] ( PIN wbs_adr_i[2] ) ( ANTENNA_input21_A DIODE ) ( input21 A ) + USE SIGNAL
+ ROUTED met2 ( 11270 49980 ) ( * 50150 )
NEW met3 ( 3220 49980 0 ) ( 11270 * )
NEW met1 ( 11270 50150 ) ( 13570 * )
NEW li1 ( 11270 50150 ) L1M1_PR_MR
NEW met1 ( 11270 50150 ) M1M2_PR
NEW met2 ( 11270 49980 ) M2M3_PR
NEW li1 ( 13570 50150 ) L1M1_PR_MR
NEW met1 ( 11270 50150 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[30] ( PIN wbs_adr_i[30] ) ( ANTENNA_input22_A DIODE ) ( input22 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 172380 ) ( * 172890 )
NEW met2 ( 6670 172380 ) ( 7130 * )
NEW met2 ( 6670 168300 ) ( * 172380 )
NEW met3 ( 3220 168300 0 ) ( 6670 * )
NEW met2 ( 10350 172890 ) ( * 174590 )
NEW met1 ( 7130 172890 ) ( 10350 * )
NEW li1 ( 7130 172890 ) L1M1_PR_MR
NEW met1 ( 7130 172890 ) M1M2_PR
NEW met2 ( 6670 168300 ) M2M3_PR
NEW li1 ( 10350 174590 ) L1M1_PR_MR
NEW met1 ( 10350 174590 ) M1M2_PR
NEW met1 ( 10350 172890 ) M1M2_PR
NEW met1 ( 7130 172890 ) RECT ( -355 -70 0 70 )
NEW met1 ( 10350 174590 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[31] ( PIN wbs_adr_i[31] ) ( ANTENNA_input23_A DIODE ) ( input23 A ) + USE SIGNAL
+ ROUTED met2 ( 11270 172380 ) ( * 172890 )
NEW met3 ( 3220 172380 0 ) ( 11270 * )
NEW met1 ( 11270 172890 ) ( 13570 * )
NEW li1 ( 11270 172890 ) L1M1_PR_MR
NEW met1 ( 11270 172890 ) M1M2_PR
NEW met2 ( 11270 172380 ) M2M3_PR
NEW li1 ( 13570 172890 ) L1M1_PR_MR
NEW met1 ( 11270 172890 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[3] ( PIN wbs_adr_i[3] ) ( ANTENNA_input24_A DIODE ) ( input24 A ) + USE SIGNAL
+ ROUTED met2 ( 11270 56100 ) ( * 58310 )
NEW met3 ( 3220 56100 0 ) ( 11270 * )
NEW met1 ( 11270 58310 ) ( 13570 * )
NEW li1 ( 11270 58310 ) L1M1_PR_MR
NEW met1 ( 11270 58310 ) M1M2_PR
NEW met2 ( 11270 56100 ) M2M3_PR
NEW li1 ( 13570 58310 ) L1M1_PR_MR
NEW met1 ( 11270 58310 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[4] ( PIN wbs_adr_i[4] ) ( ANTENNA_input25_A DIODE ) ( input25 A ) + USE SIGNAL
+ ROUTED met2 ( 11270 62220 ) ( * 63750 )
NEW met3 ( 3220 62220 0 ) ( 11270 * )
NEW met1 ( 11270 62050 ) ( 13110 * )
NEW met2 ( 11270 62050 ) ( * 62220 )
NEW li1 ( 11270 63750 ) L1M1_PR_MR
NEW met1 ( 11270 63750 ) M1M2_PR
NEW met2 ( 11270 62220 ) M2M3_PR
NEW li1 ( 13110 62050 ) L1M1_PR_MR
NEW met1 ( 11270 62050 ) M1M2_PR
NEW met1 ( 11270 63750 ) RECT ( -355 -70 0 70 )
NEW met2 ( 11270 62050 ) RECT ( -70 -315 70 0 ) ;
- wbs_adr_i[5] ( PIN wbs_adr_i[5] ) ( ANTENNA_input26_A DIODE ) ( input26 A ) + USE SIGNAL
+ ROUTED met1 ( 10810 66470 ) ( 11270 * )
NEW met2 ( 10810 66300 ) ( * 66470 )
NEW met3 ( 3220 66300 0 ) ( 10810 * )
NEW met2 ( 10810 62050 ) ( * 66300 )
NEW li1 ( 11270 66470 ) L1M1_PR_MR
NEW met1 ( 10810 66470 ) M1M2_PR
NEW met2 ( 10810 66300 ) M2M3_PR
NEW li1 ( 10810 62050 ) L1M1_PR_MR
NEW met1 ( 10810 62050 ) M1M2_PR
NEW met1 ( 10810 62050 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[6] ( PIN wbs_adr_i[6] ) ( ANTENNA_input27_A DIODE ) ( input27 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 69530 ) ( * 70380 )
NEW met3 ( 3220 70380 0 ) ( 7130 * )
NEW met1 ( 7130 69190 ) ( 16330 * )
NEW met1 ( 7130 69190 ) ( * 69530 )
NEW li1 ( 7130 69530 ) L1M1_PR_MR
NEW met1 ( 7130 69530 ) M1M2_PR
NEW met2 ( 7130 70380 ) M2M3_PR
NEW li1 ( 16330 69190 ) L1M1_PR_MR
NEW met1 ( 7130 69530 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[7] ( PIN wbs_adr_i[7] ) ( ANTENNA_input28_A DIODE ) ( input28 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 71910 ) ( * 74460 )
NEW met3 ( 3220 74460 0 ) ( 7130 * )
NEW met1 ( 7130 71910 ) ( 13110 * )
NEW li1 ( 7130 71910 ) L1M1_PR_MR
NEW met1 ( 7130 71910 ) M1M2_PR
NEW met2 ( 7130 74460 ) M2M3_PR
NEW li1 ( 13110 71910 ) L1M1_PR_MR
NEW met1 ( 7130 71910 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[8] ( PIN wbs_adr_i[8] ) ( ANTENNA_input29_A DIODE ) ( input29 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 77350 ) ( * 78540 )
NEW met3 ( 3220 78540 0 ) ( 7130 * )
NEW met1 ( 7130 77350 ) ( 13110 * )
NEW li1 ( 7130 77350 ) L1M1_PR_MR
NEW met1 ( 7130 77350 ) M1M2_PR
NEW met2 ( 7130 78540 ) M2M3_PR
NEW li1 ( 13110 77350 ) L1M1_PR_MR
NEW met1 ( 7130 77350 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[9] ( PIN wbs_adr_i[9] ) ( ANTENNA_input30_A DIODE ) ( input30 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 80410 ) ( * 81940 )
NEW met3 ( 7130 81940 ) ( * 82620 )
NEW met3 ( 3220 82620 0 ) ( 7130 * )
NEW met1 ( 7590 78370 ) ( 10350 * )
NEW met2 ( 7590 78370 ) ( * 79220 )
NEW met2 ( 7130 79220 ) ( 7590 * )
NEW met2 ( 7130 79220 ) ( * 80410 )
NEW li1 ( 7130 80410 ) L1M1_PR_MR
NEW met1 ( 7130 80410 ) M1M2_PR
NEW met2 ( 7130 81940 ) M2M3_PR
NEW li1 ( 10350 78370 ) L1M1_PR_MR
NEW met1 ( 7590 78370 ) M1M2_PR
NEW met1 ( 7130 80410 ) RECT ( -355 -70 0 70 ) ;
- wbs_cyc_i ( PIN wbs_cyc_i ) ( ANTENNA_input31_A DIODE ) ( input31 A ) + USE SIGNAL
+ ROUTED met1 ( 6670 26010 ) ( 7130 * )
NEW met2 ( 6670 26010 ) ( * 31620 )
NEW met3 ( 3220 31620 0 ) ( 6670 * )
NEW met1 ( 7130 26350 ) ( 13570 * )
NEW met1 ( 7130 26010 ) ( * 26350 )
NEW li1 ( 7130 26010 ) L1M1_PR_MR
NEW met1 ( 6670 26010 ) M1M2_PR
NEW met2 ( 6670 31620 ) M2M3_PR
NEW li1 ( 13570 26350 ) L1M1_PR_MR ;
- wbs_dat_i[0] ( PIN wbs_dat_i[0] ) ( ANTENNA_input32_A DIODE ) ( input32 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 39270 ) ( * 39780 )
NEW met3 ( 3220 39780 0 ) ( 7130 * )
NEW met1 ( 7130 39270 ) ( 13110 * )
NEW li1 ( 7130 39270 ) L1M1_PR_MR
NEW met1 ( 7130 39270 ) M1M2_PR
NEW met2 ( 7130 39780 ) M2M3_PR
NEW li1 ( 13110 39270 ) L1M1_PR_MR
NEW met1 ( 7130 39270 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[10] ( PIN wbs_dat_i[10] ) ( ANTENNA_input33_A DIODE ) ( input33 A ) + USE SIGNAL
+ ROUTED met2 ( 10350 88740 ) ( * 91290 )
NEW met3 ( 3220 88740 0 ) ( 10350 * )
NEW met1 ( 10350 91290 ) ( 13570 * )
NEW li1 ( 10350 91290 ) L1M1_PR_MR
NEW met1 ( 10350 91290 ) M1M2_PR
NEW met2 ( 10350 88740 ) M2M3_PR
NEW li1 ( 13570 91290 ) L1M1_PR_MR
NEW met1 ( 10350 91290 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[11] ( PIN wbs_dat_i[11] ) ( ANTENNA_input34_A DIODE ) ( input34 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 91290 ) ( * 92820 )
NEW met3 ( 3220 92820 0 ) ( 7130 * )
NEW met1 ( 7130 88910 ) ( 10350 * )
NEW met2 ( 7130 88910 ) ( * 91290 )
NEW li1 ( 7130 91290 ) L1M1_PR_MR
NEW met1 ( 7130 91290 ) M1M2_PR
NEW met2 ( 7130 92820 ) M2M3_PR
NEW li1 ( 10350 88910 ) L1M1_PR_MR
NEW met1 ( 7130 88910 ) M1M2_PR
NEW met1 ( 7130 91290 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[12] ( PIN wbs_dat_i[12] ) ( ANTENNA_input35_A DIODE ) ( input35 A ) + USE SIGNAL
+ ROUTED met2 ( 14030 96900 ) ( * 97070 )
NEW met3 ( 3220 96900 0 ) ( 14030 * )
NEW met2 ( 14030 97070 ) ( * 99110 )
NEW li1 ( 14030 97070 ) L1M1_PR_MR
NEW met1 ( 14030 97070 ) M1M2_PR
NEW met2 ( 14030 96900 ) M2M3_PR
NEW li1 ( 14030 99110 ) L1M1_PR_MR
NEW met1 ( 14030 99110 ) M1M2_PR
NEW met1 ( 14030 97070 ) RECT ( -355 -70 0 70 )
NEW met1 ( 14030 99110 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[13] ( PIN wbs_dat_i[13] ) ( ANTENNA_input36_A DIODE ) ( input36 A ) + USE SIGNAL
+ ROUTED met1 ( 13110 102170 ) ( 14490 * )
NEW met2 ( 14490 100980 ) ( * 102170 )
NEW met3 ( 3220 100980 0 ) ( 14490 * )
NEW met1 ( 14490 102170 ) ( 16330 * )
NEW li1 ( 13110 102170 ) L1M1_PR_MR
NEW met1 ( 14490 102170 ) M1M2_PR
NEW met2 ( 14490 100980 ) M2M3_PR
NEW li1 ( 16330 102170 ) L1M1_PR_MR ;
- wbs_dat_i[14] ( PIN wbs_dat_i[14] ) ( ANTENNA_input37_A DIODE ) ( input37 A ) + USE SIGNAL
+ ROUTED met1 ( 7130 104550 ) ( 7590 * )
NEW met1 ( 7590 104550 ) ( * 104890 )
NEW met1 ( 7590 104890 ) ( 14030 * )
NEW met2 ( 14030 104890 ) ( * 106590 )
NEW met1 ( 13570 106590 ) ( 14030 * )
NEW met3 ( 3220 105060 0 ) ( 7590 * )
NEW met2 ( 7590 104890 ) ( * 105060 )
NEW li1 ( 7130 104550 ) L1M1_PR_MR
NEW met1 ( 14030 104890 ) M1M2_PR
NEW met1 ( 14030 106590 ) M1M2_PR
NEW li1 ( 13570 106590 ) L1M1_PR_MR
NEW met2 ( 7590 105060 ) M2M3_PR
NEW met1 ( 7590 104890 ) M1M2_PR
NEW met1 ( 7590 104890 ) RECT ( 0 -70 595 70 ) ;
- wbs_dat_i[15] ( PIN wbs_dat_i[15] ) ( ANTENNA_input38_A DIODE ) ( input38 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 109140 ) ( * 109990 )
NEW met3 ( 3220 109140 0 ) ( 7130 * )
NEW met1 ( 7130 109990 ) ( 10350 * )
NEW li1 ( 7130 109990 ) L1M1_PR_MR
NEW met1 ( 7130 109990 ) M1M2_PR
NEW met2 ( 7130 109140 ) M2M3_PR
NEW li1 ( 10350 109990 ) L1M1_PR_MR
NEW met1 ( 7130 109990 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[16] ( PIN wbs_dat_i[16] ) ( ANTENNA_input39_A DIODE ) ( input39 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 113900 ) ( * 115430 )
NEW met3 ( 6900 113900 ) ( 7130 * )
NEW met3 ( 6900 113220 ) ( * 113900 )
NEW met3 ( 3220 113220 0 ) ( 6900 * )
NEW met1 ( 7130 115430 ) ( 10350 * )
NEW li1 ( 7130 115430 ) L1M1_PR_MR
NEW met1 ( 7130 115430 ) M1M2_PR
NEW met2 ( 7130 113900 ) M2M3_PR
NEW li1 ( 10350 115430 ) L1M1_PR_MR
NEW met1 ( 7130 115430 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[17] ( PIN wbs_dat_i[17] ) ( ANTENNA_input40_A DIODE ) ( input40 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 117300 ) ( * 123930 )
NEW met3 ( 3220 117300 0 ) ( 7130 * )
NEW met1 ( 9890 128350 ) ( 10350 * )
NEW met2 ( 9890 123930 ) ( * 128350 )
NEW met1 ( 7130 123930 ) ( 9890 * )
NEW li1 ( 7130 123930 ) L1M1_PR_MR
NEW met1 ( 7130 123930 ) M1M2_PR
NEW met2 ( 7130 117300 ) M2M3_PR
NEW li1 ( 10350 128350 ) L1M1_PR_MR
NEW met1 ( 9890 128350 ) M1M2_PR
NEW met1 ( 9890 123930 ) M1M2_PR
NEW met1 ( 7130 123930 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[18] ( PIN wbs_dat_i[18] ) ( ANTENNA_input41_A DIODE ) ( input41 A ) + USE SIGNAL
+ ROUTED met1 ( 7130 126310 ) ( 7590 * )
NEW met2 ( 7590 121380 ) ( * 126310 )
NEW met3 ( 3220 121380 0 ) ( 7590 * )
NEW met1 ( 7590 126310 ) ( 13110 * )
NEW li1 ( 7130 126310 ) L1M1_PR_MR
NEW met1 ( 7590 126310 ) M1M2_PR
NEW met2 ( 7590 121380 ) M2M3_PR
NEW li1 ( 13110 126310 ) L1M1_PR_MR ;
- wbs_dat_i[19] ( PIN wbs_dat_i[19] ) ( ANTENNA_input42_A DIODE ) ( input42 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 125460 ) ( * 129370 )
NEW met3 ( 3220 125460 0 ) ( 7130 * )
NEW met1 ( 7130 129370 ) ( 15870 * )
NEW li1 ( 7130 129370 ) L1M1_PR_MR
NEW met1 ( 7130 129370 ) M1M2_PR
NEW met2 ( 7130 125460 ) M2M3_PR
NEW li1 ( 15870 129370 ) L1M1_PR_MR
NEW met1 ( 7130 129370 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[1] ( PIN wbs_dat_i[1] ) ( ANTENNA_input43_A DIODE ) ( input43 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 44710 ) ( * 45900 )
NEW met3 ( 3220 45900 0 ) ( 7130 * )
NEW met1 ( 7130 44710 ) ( 13110 * )
NEW li1 ( 7130 44710 ) L1M1_PR_MR
NEW met1 ( 7130 44710 ) M1M2_PR
NEW met2 ( 7130 45900 ) M2M3_PR
NEW li1 ( 13110 44710 ) L1M1_PR_MR
NEW met1 ( 7130 44710 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[20] ( PIN wbs_dat_i[20] ) ( ANTENNA_input44_A DIODE ) ( input44 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 129540 0 ) ( 10350 * )
NEW met1 ( 10350 131750 ) ( 14030 * )
NEW met2 ( 14030 131750 ) ( * 133790 )
NEW met1 ( 13570 133790 ) ( 14030 * )
NEW met2 ( 10350 129540 ) ( * 131750 )
NEW met2 ( 10350 129540 ) M2M3_PR
NEW li1 ( 10350 131750 ) L1M1_PR_MR
NEW met1 ( 14030 131750 ) M1M2_PR
NEW met1 ( 14030 133790 ) M1M2_PR
NEW li1 ( 13570 133790 ) L1M1_PR_MR
NEW met1 ( 10350 131750 ) M1M2_PR
NEW met1 ( 10350 131750 ) RECT ( -595 -70 0 70 ) ;
- wbs_dat_i[21] ( PIN wbs_dat_i[21] ) ( ANTENNA_input45_A DIODE ) ( input45 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 133620 ) ( * 137190 )
NEW met3 ( 3220 133620 0 ) ( 7130 * )
NEW met1 ( 7130 137190 ) ( 13110 * )
NEW li1 ( 7130 137190 ) L1M1_PR_MR
NEW met1 ( 7130 137190 ) M1M2_PR
NEW met2 ( 7130 133620 ) M2M3_PR
NEW li1 ( 13110 137190 ) L1M1_PR_MR
NEW met1 ( 7130 137190 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[22] ( PIN wbs_dat_i[22] ) ( ANTENNA_input46_A DIODE ) ( input46 A ) + USE SIGNAL
+ ROUTED met1 ( 7130 142630 ) ( 8050 * )
NEW met2 ( 8050 137700 ) ( * 142630 )
NEW met3 ( 3220 137700 0 ) ( 8050 * )
NEW met1 ( 8050 145350 ) ( 10350 * )
NEW met2 ( 8050 142630 ) ( * 145350 )
NEW li1 ( 7130 142630 ) L1M1_PR_MR
NEW met1 ( 8050 142630 ) M1M2_PR
NEW met2 ( 8050 137700 ) M2M3_PR
NEW li1 ( 10350 145350 ) L1M1_PR_MR
NEW met1 ( 8050 145350 ) M1M2_PR ;
- wbs_dat_i[23] ( PIN wbs_dat_i[23] ) ( ANTENNA_input47_A DIODE ) ( input47 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 141780 ) ( * 145690 )
NEW met3 ( 3220 141780 0 ) ( 7130 * )
NEW met1 ( 7130 145690 ) ( 13110 * )
NEW li1 ( 7130 145690 ) L1M1_PR_MR
NEW met1 ( 7130 145690 ) M1M2_PR
NEW met2 ( 7130 141780 ) M2M3_PR
NEW li1 ( 13110 145690 ) L1M1_PR_MR
NEW met1 ( 7130 145690 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[24] ( PIN wbs_dat_i[24] ) ( ANTENNA_input48_A DIODE ) ( input48 A ) + USE SIGNAL
+ ROUTED met1 ( 7130 151130 ) ( 7590 * )
NEW met2 ( 7590 145860 ) ( * 151130 )
NEW met3 ( 3220 145860 0 ) ( 7590 * )
NEW met1 ( 8050 153170 ) ( 13110 * )
NEW met2 ( 8050 151300 ) ( * 153170 )
NEW met2 ( 7590 151300 ) ( 8050 * )
NEW met2 ( 7590 151130 ) ( * 151300 )
NEW li1 ( 7130 151130 ) L1M1_PR_MR
NEW met1 ( 7590 151130 ) M1M2_PR
NEW met2 ( 7590 145860 ) M2M3_PR
NEW li1 ( 13110 153170 ) L1M1_PR_MR
NEW met1 ( 8050 153170 ) M1M2_PR ;
- wbs_dat_i[25] ( PIN wbs_dat_i[25] ) ( ANTENNA_input49_A DIODE ) ( input49 A ) + USE SIGNAL
+ ROUTED met2 ( 10350 149940 ) ( * 151130 )
NEW met3 ( 3220 149940 0 ) ( 10350 * )
NEW met1 ( 10350 151130 ) ( 13570 * )
NEW li1 ( 10350 151130 ) L1M1_PR_MR
NEW met1 ( 10350 151130 ) M1M2_PR
NEW met2 ( 10350 149940 ) M2M3_PR
NEW li1 ( 13570 151130 ) L1M1_PR_MR
NEW met1 ( 10350 151130 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[26] ( PIN wbs_dat_i[26] ) ( ANTENNA_input50_A DIODE ) ( input50 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 154020 ) ( * 158950 )
NEW met3 ( 3220 154020 0 ) ( 7130 * )
NEW met1 ( 7130 158950 ) ( 13110 * )
NEW li1 ( 7130 158950 ) L1M1_PR_MR
NEW met1 ( 7130 158950 ) M1M2_PR
NEW met2 ( 7130 154020 ) M2M3_PR
NEW li1 ( 13110 158950 ) L1M1_PR_MR
NEW met1 ( 7130 158950 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[27] ( PIN wbs_dat_i[27] ) ( ANTENNA_input51_A DIODE ) ( input51 A ) + USE SIGNAL
+ ROUTED met1 ( 7130 162010 ) ( * 162350 )
NEW met1 ( 7130 162350 ) ( 8970 * )
NEW met2 ( 8970 162350 ) ( * 169150 )
NEW met1 ( 8970 169150 ) ( 10350 * )
NEW met3 ( 3220 158100 0 ) ( 8970 * )
NEW met2 ( 8970 158100 ) ( * 162350 )
NEW li1 ( 7130 162010 ) L1M1_PR_MR
NEW met1 ( 8970 162350 ) M1M2_PR
NEW met1 ( 8970 169150 ) M1M2_PR
NEW li1 ( 10350 169150 ) L1M1_PR_MR
NEW met2 ( 8970 158100 ) M2M3_PR ;
- wbs_dat_i[28] ( PIN wbs_dat_i[28] ) ( ANTENNA_input52_A DIODE ) ( input52 A ) + USE SIGNAL
+ ROUTED met1 ( 7130 167450 ) ( 8050 * )
NEW met2 ( 8050 162180 ) ( * 167450 )
NEW met3 ( 3220 162180 0 ) ( 8050 * )
NEW met1 ( 8050 167450 ) ( 15410 * )
NEW li1 ( 7130 167450 ) L1M1_PR_MR
NEW met1 ( 8050 167450 ) M1M2_PR
NEW met2 ( 8050 162180 ) M2M3_PR
NEW li1 ( 15410 167450 ) L1M1_PR_MR ;
- wbs_dat_i[29] ( PIN wbs_dat_i[29] ) ( ANTENNA_input53_A DIODE ) ( input53 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 166260 ) ( * 169830 )
NEW met3 ( 3220 166260 0 ) ( 7130 * )
NEW met1 ( 7130 169830 ) ( 13110 * )
NEW li1 ( 7130 169830 ) L1M1_PR_MR
NEW met1 ( 7130 169830 ) M1M2_PR
NEW met2 ( 7130 166260 ) M2M3_PR
NEW li1 ( 13110 169830 ) L1M1_PR_MR
NEW met1 ( 7130 169830 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[2] ( PIN wbs_dat_i[2] ) ( ANTENNA_input54_A DIODE ) ( input54 A ) + USE SIGNAL
+ ROUTED met2 ( 10350 52020 ) ( * 53210 )
NEW met3 ( 3220 52020 0 ) ( 10350 * )
NEW met1 ( 10350 53210 ) ( 13570 * )
NEW li1 ( 10350 53210 ) L1M1_PR_MR
NEW met1 ( 10350 53210 ) M1M2_PR
NEW met2 ( 10350 52020 ) M2M3_PR
NEW li1 ( 13570 53210 ) L1M1_PR_MR
NEW met1 ( 10350 53210 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[30] ( PIN wbs_dat_i[30] ) ( ANTENNA_input55_A DIODE ) ( input55 A ) + USE SIGNAL
+ ROUTED met1 ( 7130 175270 ) ( 7590 * )
NEW met2 ( 7590 170340 ) ( * 175270 )
NEW met3 ( 3220 170340 0 ) ( 7590 * )
NEW met1 ( 7590 177650 ) ( 10350 * )
NEW met2 ( 7590 175270 ) ( * 177650 )
NEW li1 ( 7130 175270 ) L1M1_PR_MR
NEW met1 ( 7590 175270 ) M1M2_PR
NEW met2 ( 7590 170340 ) M2M3_PR
NEW li1 ( 10350 177650 ) L1M1_PR_MR
NEW met1 ( 7590 177650 ) M1M2_PR ;
- wbs_dat_i[31] ( PIN wbs_dat_i[31] ) ( ANTENNA_input56_A DIODE ) ( input56 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 174420 0 ) ( 7130 * )
NEW met2 ( 7130 174420 ) ( * 180030 )
NEW li1 ( 7130 180030 ) L1M1_PR_MR
NEW met1 ( 7130 180030 ) M1M2_PR
NEW met2 ( 7130 174420 ) M2M3_PR
NEW li1 ( 7130 178330 ) L1M1_PR_MR
NEW met1 ( 7130 178330 ) M1M2_PR
NEW met1 ( 7130 180030 ) RECT ( -355 -70 0 70 )
NEW met1 ( 7130 178330 ) RECT ( -355 -70 0 70 )
NEW met2 ( 7130 178330 ) RECT ( -70 -485 70 0 ) ;
- wbs_dat_i[3] ( PIN wbs_dat_i[3] ) ( ANTENNA_input57_A DIODE ) ( input57 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 55590 ) ( * 58140 )
NEW met3 ( 3220 58140 0 ) ( 7130 * )
NEW met1 ( 7130 55590 ) ( 13110 * )
NEW li1 ( 7130 55590 ) L1M1_PR_MR
NEW met1 ( 7130 55590 ) M1M2_PR
NEW met2 ( 7130 58140 ) M2M3_PR
NEW li1 ( 13110 55590 ) L1M1_PR_MR
NEW met1 ( 7130 55590 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[4] ( PIN wbs_dat_i[4] ) ( ANTENNA_input58_A DIODE ) ( input58 A ) + USE SIGNAL
+ ROUTED met2 ( 14030 64260 ) ( * 64430 )
NEW met3 ( 3220 64260 0 ) ( 14030 * )
NEW met1 ( 13570 66470 ) ( 14030 * )
NEW met2 ( 14030 64430 ) ( * 66470 )
NEW li1 ( 14030 64430 ) L1M1_PR_MR
NEW met1 ( 14030 64430 ) M1M2_PR
NEW met2 ( 14030 64260 ) M2M3_PR
NEW li1 ( 13570 66470 ) L1M1_PR_MR
NEW met1 ( 14030 66470 ) M1M2_PR
NEW met1 ( 14030 64430 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[5] ( PIN wbs_dat_i[5] ) ( ANTENNA_input59_A DIODE ) ( input59 A ) + USE SIGNAL
+ ROUTED met2 ( 10350 68340 ) ( * 69530 )
NEW met3 ( 3220 68340 0 ) ( 10350 * )
NEW met1 ( 10350 69530 ) ( 13570 * )
NEW li1 ( 10350 69530 ) L1M1_PR_MR
NEW met1 ( 10350 69530 ) M1M2_PR
NEW met2 ( 10350 68340 ) M2M3_PR
NEW li1 ( 13570 69530 ) L1M1_PR_MR
NEW met1 ( 10350 69530 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[6] ( PIN wbs_dat_i[6] ) ( ANTENNA_input60_A DIODE ) ( input60 A ) + USE SIGNAL
+ ROUTED met2 ( 10350 72420 ) ( * 74970 )
NEW met3 ( 3220 72420 0 ) ( 10350 * )
NEW met1 ( 10350 74970 ) ( 13570 * )
NEW li1 ( 10350 74970 ) L1M1_PR_MR
NEW met1 ( 10350 74970 ) M1M2_PR
NEW met2 ( 10350 72420 ) M2M3_PR
NEW li1 ( 13570 74970 ) L1M1_PR_MR
NEW met1 ( 10350 74970 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[7] ( PIN wbs_dat_i[7] ) ( ANTENNA_input61_A DIODE ) ( input61 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 74970 ) ( * 76500 )
NEW met3 ( 3220 76500 0 ) ( 7130 * )
NEW met1 ( 7590 72930 ) ( 10350 * )
NEW met2 ( 7590 72930 ) ( * 75140 )
NEW met2 ( 7130 75140 ) ( 7590 * )
NEW li1 ( 7130 74970 ) L1M1_PR_MR
NEW met1 ( 7130 74970 ) M1M2_PR
NEW met2 ( 7130 76500 ) M2M3_PR
NEW li1 ( 10350 72930 ) L1M1_PR_MR
NEW met1 ( 7590 72930 ) M1M2_PR
NEW met1 ( 7130 74970 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[8] ( PIN wbs_dat_i[8] ) ( ANTENNA_input62_A DIODE ) ( input62 A ) + USE SIGNAL
+ ROUTED met2 ( 10350 80580 ) ( * 82790 )
NEW met3 ( 3220 80580 0 ) ( 10350 * )
NEW met1 ( 10350 82790 ) ( 13570 * )
NEW li1 ( 10350 82790 ) L1M1_PR_MR
NEW met1 ( 10350 82790 ) M1M2_PR
NEW met2 ( 10350 80580 ) M2M3_PR
NEW li1 ( 13570 82790 ) L1M1_PR_MR
NEW met1 ( 10350 82790 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[9] ( PIN wbs_dat_i[9] ) ( ANTENNA_input63_A DIODE ) ( input63 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 84660 0 ) ( 7130 * )
NEW met2 ( 7130 82800 ) ( * 84660 )
NEW met1 ( 7130 82790 ) ( 7590 * )
NEW met2 ( 7590 80750 ) ( * 82790 )
NEW met1 ( 7590 80750 ) ( 10350 * )
NEW met2 ( 7130 82800 ) ( 7590 * )
NEW met2 ( 7590 82790 ) ( * 82800 )
NEW met2 ( 7130 84660 ) M2M3_PR
NEW li1 ( 7130 82790 ) L1M1_PR_MR
NEW met1 ( 7590 82790 ) M1M2_PR
NEW met1 ( 7590 80750 ) M1M2_PR
NEW li1 ( 10350 80750 ) L1M1_PR_MR ;
- wbs_sel_i[0] ( PIN wbs_sel_i[0] ) ( ANTENNA_input64_A DIODE ) ( input64 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 41820 ) ( * 42330 )
NEW met3 ( 3220 41820 0 ) ( 7130 * )
NEW met1 ( 7130 40290 ) ( 10350 * )
NEW met2 ( 7130 40290 ) ( * 41820 )
NEW li1 ( 7130 42330 ) L1M1_PR_MR
NEW met1 ( 7130 42330 ) M1M2_PR
NEW met2 ( 7130 41820 ) M2M3_PR
NEW li1 ( 10350 40290 ) L1M1_PR_MR
NEW met1 ( 7130 40290 ) M1M2_PR
NEW met1 ( 7130 42330 ) RECT ( -355 -70 0 70 ) ;
- wbs_sel_i[1] ( PIN wbs_sel_i[1] ) ( ANTENNA_input65_A DIODE ) ( input65 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 47770 ) ( * 47940 )
NEW met3 ( 3220 47940 0 ) ( 7130 * )
NEW met1 ( 7590 45730 ) ( 10350 * )
NEW met2 ( 7590 45730 ) ( * 46580 )
NEW met2 ( 7130 46580 ) ( 7590 * )
NEW met2 ( 7130 46580 ) ( * 47770 )
NEW li1 ( 7130 47770 ) L1M1_PR_MR
NEW met1 ( 7130 47770 ) M1M2_PR
NEW met2 ( 7130 47940 ) M2M3_PR
NEW li1 ( 10350 45730 ) L1M1_PR_MR
NEW met1 ( 7590 45730 ) M1M2_PR
NEW met1 ( 7130 47770 ) RECT ( -355 -70 0 70 ) ;
- wbs_sel_i[2] ( PIN wbs_sel_i[2] ) ( ANTENNA_input66_A DIODE ) ( input66 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 53210 ) ( * 54060 )
NEW met3 ( 3220 54060 0 ) ( 7130 * )
NEW met1 ( 7130 48450 ) ( 10350 * )
NEW met2 ( 7130 48450 ) ( * 53210 )
NEW li1 ( 7130 53210 ) L1M1_PR_MR
NEW met1 ( 7130 53210 ) M1M2_PR
NEW met2 ( 7130 54060 ) M2M3_PR
NEW li1 ( 10350 48450 ) L1M1_PR_MR
NEW met1 ( 7130 48450 ) M1M2_PR
NEW met1 ( 7130 53210 ) RECT ( -355 -70 0 70 ) ;
- wbs_sel_i[3] ( PIN wbs_sel_i[3] ) ( ANTENNA_input67_A DIODE ) ( input67 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 60180 ) ( * 61030 )
NEW met3 ( 3220 60180 0 ) ( 7130 * )
NEW met1 ( 7590 56610 ) ( 10350 * )
NEW met2 ( 7590 56610 ) ( * 60180 )
NEW met2 ( 7130 60180 ) ( 7590 * )
NEW li1 ( 7130 61030 ) L1M1_PR_MR
NEW met1 ( 7130 61030 ) M1M2_PR
NEW met2 ( 7130 60180 ) M2M3_PR
NEW li1 ( 10350 56610 ) L1M1_PR_MR
NEW met1 ( 7590 56610 ) M1M2_PR
NEW met1 ( 7130 61030 ) RECT ( -355 -70 0 70 ) ;
- wbs_stb_i ( PIN wbs_stb_i ) ( ANTENNA_input68_A DIODE ) ( input68 A ) + USE SIGNAL
+ ROUTED met1 ( 13110 33830 ) ( 14030 * )
NEW met2 ( 14030 33660 ) ( * 33830 )
NEW met3 ( 3220 33660 0 ) ( 14030 * )
NEW met1 ( 14030 33830 ) ( 15410 * )
NEW li1 ( 13110 33830 ) L1M1_PR_MR
NEW met1 ( 14030 33830 ) M1M2_PR
NEW met2 ( 14030 33660 ) M2M3_PR
NEW li1 ( 15410 33830 ) L1M1_PR_MR ;
- wbs_we_i ( PIN wbs_we_i ) ( ANTENNA_input69_A DIODE ) ( input69 A ) + USE SIGNAL
+ ROUTED met1 ( 8970 36890 ) ( 9430 * )
NEW met2 ( 8970 35700 ) ( * 36890 )
NEW met3 ( 3220 35700 0 ) ( 8970 * )
NEW met1 ( 9430 36890 ) ( 12650 * )
NEW li1 ( 9430 36890 ) L1M1_PR_MR
NEW met1 ( 8970 36890 ) M1M2_PR
NEW met2 ( 8970 35700 ) M2M3_PR
NEW li1 ( 12650 36890 ) L1M1_PR_MR ;
- web0 ( PIN web0 ) ( output115 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 26860 ) ( * 27710 )
NEW met3 ( 190210 26860 ) ( 196420 * 0 )
NEW li1 ( 190210 27710 ) L1M1_PR_MR
NEW met1 ( 190210 27710 ) M1M2_PR
NEW met2 ( 190210 26860 ) M2M3_PR
NEW met1 ( 190210 27710 ) RECT ( -355 -70 0 70 ) ;
- wmask0[0] ( PIN wmask0[0] ) ( output116 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 30260 ) ( * 30430 )
NEW met3 ( 190210 30260 ) ( 196420 * 0 )
NEW li1 ( 190210 30430 ) L1M1_PR_MR
NEW met1 ( 190210 30430 ) M1M2_PR
NEW met2 ( 190210 30260 ) M2M3_PR
NEW met1 ( 190210 30430 ) RECT ( -355 -70 0 70 ) ;
- wmask0[1] ( PIN wmask0[1] ) ( output117 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 33150 ) ( * 33660 )
NEW met3 ( 190210 33660 ) ( 196420 * 0 )
NEW li1 ( 190210 33150 ) L1M1_PR_MR
NEW met1 ( 190210 33150 ) M1M2_PR
NEW met2 ( 190210 33660 ) M2M3_PR
NEW met1 ( 190210 33150 ) RECT ( -355 -70 0 70 ) ;
- wmask0[2] ( PIN wmask0[2] ) ( output118 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 37060 ) ( * 38590 )
NEW met3 ( 190210 37060 ) ( 196420 * 0 )
NEW li1 ( 190210 38590 ) L1M1_PR_MR
NEW met1 ( 190210 38590 ) M1M2_PR
NEW met2 ( 190210 37060 ) M2M3_PR
NEW met1 ( 190210 38590 ) RECT ( -355 -70 0 70 ) ;
- wmask0[3] ( PIN wmask0[3] ) ( output119 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 40460 ) ( * 41650 )
NEW met3 ( 190210 40460 ) ( 196420 * 0 )
NEW li1 ( 190210 41650 ) L1M1_PR_MR
NEW met1 ( 190210 41650 ) M1M2_PR
NEW met2 ( 190210 40460 ) M2M3_PR
NEW met1 ( 190210 41650 ) RECT ( -355 -70 0 70 ) ;
END NETS
END DESIGN