blob: 8b5452960153c187ad6867727231d87c5f047124 [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN user_project_wrapper ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 2920000 3520000 ) ;
ROW ROW_0 unithd 5520 10880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1 unithd 5520 13600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_2 unithd 5520 16320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_3 unithd 5520 19040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_4 unithd 5520 21760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_5 unithd 5520 24480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_6 unithd 5520 27200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_7 unithd 5520 29920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_8 unithd 5520 32640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_9 unithd 5520 35360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_10 unithd 5520 38080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_11 unithd 5520 40800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_12 unithd 5520 43520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_13 unithd 5520 46240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_14 unithd 5520 48960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_15 unithd 5520 51680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_16 unithd 5520 54400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_17 unithd 5520 57120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_18 unithd 5520 59840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_19 unithd 5520 62560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_20 unithd 5520 65280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_21 unithd 5520 68000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_22 unithd 5520 70720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_23 unithd 5520 73440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_24 unithd 5520 76160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_25 unithd 5520 78880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_26 unithd 5520 81600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_27 unithd 5520 84320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_28 unithd 5520 87040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_29 unithd 5520 89760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_30 unithd 5520 92480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_31 unithd 5520 95200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_32 unithd 5520 97920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_33 unithd 5520 100640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_34 unithd 5520 103360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_35 unithd 5520 106080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_36 unithd 5520 108800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_37 unithd 5520 111520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_38 unithd 5520 114240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_39 unithd 5520 116960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_40 unithd 5520 119680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_41 unithd 5520 122400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_42 unithd 5520 125120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_43 unithd 5520 127840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_44 unithd 5520 130560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_45 unithd 5520 133280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_46 unithd 5520 136000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_47 unithd 5520 138720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_48 unithd 5520 141440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_49 unithd 5520 144160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_50 unithd 5520 146880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_51 unithd 5520 149600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_52 unithd 5520 152320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_53 unithd 5520 155040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_54 unithd 5520 157760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_55 unithd 5520 160480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_56 unithd 5520 163200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_57 unithd 5520 165920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_58 unithd 5520 168640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_59 unithd 5520 171360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_60 unithd 5520 174080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_61 unithd 5520 176800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_62 unithd 5520 179520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_63 unithd 5520 182240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_64 unithd 5520 184960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_65 unithd 5520 187680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_66 unithd 5520 190400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_67 unithd 5520 193120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_68 unithd 5520 195840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_69 unithd 5520 198560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_70 unithd 5520 201280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_71 unithd 5520 204000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_72 unithd 5520 206720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_73 unithd 5520 209440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_74 unithd 5520 212160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_75 unithd 5520 214880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_76 unithd 5520 217600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_77 unithd 5520 220320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_78 unithd 5520 223040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_79 unithd 5520 225760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_80 unithd 5520 228480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_81 unithd 5520 231200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_82 unithd 5520 233920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_83 unithd 5520 236640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_84 unithd 5520 239360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_85 unithd 5520 242080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_86 unithd 5520 244800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_87 unithd 5520 247520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_88 unithd 5520 250240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_89 unithd 5520 252960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_90 unithd 5520 255680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_91 unithd 5520 258400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_92 unithd 5520 261120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_93 unithd 5520 263840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_94 unithd 5520 266560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_95 unithd 5520 269280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_96 unithd 5520 272000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_97 unithd 5520 274720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_98 unithd 5520 277440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_99 unithd 5520 280160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_100 unithd 5520 282880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_101 unithd 5520 285600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_102 unithd 5520 288320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_103 unithd 5520 291040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_104 unithd 5520 293760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_105 unithd 5520 296480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_106 unithd 5520 299200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_107 unithd 5520 301920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_108 unithd 5520 304640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_109 unithd 5520 307360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_110 unithd 5520 310080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_111 unithd 5520 312800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_112 unithd 5520 315520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_113 unithd 5520 318240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_114 unithd 5520 320960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_115 unithd 5520 323680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_116 unithd 5520 326400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_117 unithd 5520 329120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_118 unithd 5520 331840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_119 unithd 5520 334560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_120 unithd 5520 337280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_121 unithd 5520 340000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_122 unithd 5520 342720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_123 unithd 5520 345440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_124 unithd 5520 348160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_125 unithd 5520 350880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_126 unithd 5520 353600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_127 unithd 5520 356320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_128 unithd 5520 359040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_129 unithd 5520 361760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_130 unithd 5520 364480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_131 unithd 5520 367200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_132 unithd 5520 369920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_133 unithd 5520 372640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_134 unithd 5520 375360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_135 unithd 5520 378080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_136 unithd 5520 380800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_137 unithd 5520 383520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_138 unithd 5520 386240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_139 unithd 5520 388960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_140 unithd 5520 391680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_141 unithd 5520 394400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_142 unithd 5520 397120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_143 unithd 5520 399840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_144 unithd 5520 402560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_145 unithd 5520 405280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_146 unithd 5520 408000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_147 unithd 5520 410720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_148 unithd 5520 413440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_149 unithd 5520 416160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_150 unithd 5520 418880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_151 unithd 5520 421600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_152 unithd 5520 424320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_153 unithd 5520 427040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_154 unithd 5520 429760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_155 unithd 5520 432480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_156 unithd 5520 435200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_157 unithd 5520 437920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_158 unithd 5520 440640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_159 unithd 5520 443360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_160 unithd 5520 446080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_161 unithd 5520 448800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_162 unithd 5520 451520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_163 unithd 5520 454240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_164 unithd 5520 456960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_165 unithd 5520 459680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_166 unithd 5520 462400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_167 unithd 5520 465120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_168 unithd 5520 467840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_169 unithd 5520 470560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_170 unithd 5520 473280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_171 unithd 5520 476000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_172 unithd 5520 478720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_173 unithd 5520 481440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_174 unithd 5520 484160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_175 unithd 5520 486880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_176 unithd 5520 489600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_177 unithd 5520 492320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_178 unithd 5520 495040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_179 unithd 5520 497760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_180 unithd 5520 500480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_181 unithd 5520 503200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_182 unithd 5520 505920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_183 unithd 5520 508640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_184 unithd 5520 511360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_185 unithd 5520 514080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_186 unithd 5520 516800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_187 unithd 5520 519520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_188 unithd 5520 522240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_189 unithd 5520 524960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_190 unithd 5520 527680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_191 unithd 5520 530400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_192 unithd 5520 533120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_193 unithd 5520 535840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_194 unithd 5520 538560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_195 unithd 5520 541280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_196 unithd 5520 544000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_197 unithd 5520 546720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_198 unithd 5520 549440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_199 unithd 5520 552160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_200 unithd 5520 554880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_201 unithd 5520 557600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_202 unithd 5520 560320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_203 unithd 5520 563040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_204 unithd 5520 565760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_205 unithd 5520 568480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_206 unithd 5520 571200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_207 unithd 5520 573920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_208 unithd 5520 576640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_209 unithd 5520 579360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_210 unithd 5520 582080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_211 unithd 5520 584800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_212 unithd 5520 587520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_213 unithd 5520 590240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_214 unithd 5520 592960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_215 unithd 5520 595680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_216 unithd 5520 598400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_217 unithd 5520 601120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_218 unithd 5520 603840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_219 unithd 5520 606560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_220 unithd 5520 609280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_221 unithd 5520 612000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_222 unithd 5520 614720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_223 unithd 5520 617440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_224 unithd 5520 620160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_225 unithd 5520 622880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_226 unithd 5520 625600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_227 unithd 5520 628320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_228 unithd 5520 631040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_229 unithd 5520 633760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_230 unithd 5520 636480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_231 unithd 5520 639200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_232 unithd 5520 641920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_233 unithd 5520 644640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_234 unithd 5520 647360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_235 unithd 5520 650080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_236 unithd 5520 652800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_237 unithd 5520 655520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_238 unithd 5520 658240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_239 unithd 5520 660960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_240 unithd 5520 663680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_241 unithd 5520 666400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_242 unithd 5520 669120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_243 unithd 5520 671840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_244 unithd 5520 674560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_245 unithd 5520 677280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_246 unithd 5520 680000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_247 unithd 5520 682720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_248 unithd 5520 685440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_249 unithd 5520 688160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_250 unithd 5520 690880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_251 unithd 5520 693600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_252 unithd 5520 696320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_253 unithd 5520 699040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_254 unithd 5520 701760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_255 unithd 5520 704480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_256 unithd 5520 707200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_257 unithd 5520 709920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_258 unithd 5520 712640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_259 unithd 5520 715360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_260 unithd 5520 718080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_261 unithd 5520 720800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_262 unithd 5520 723520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_263 unithd 5520 726240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_264 unithd 5520 728960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_265 unithd 5520 731680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_266 unithd 5520 734400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_267 unithd 5520 737120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_268 unithd 5520 739840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_269 unithd 5520 742560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_270 unithd 5520 745280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_271 unithd 5520 748000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_272 unithd 5520 750720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_273 unithd 5520 753440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_274 unithd 5520 756160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_275 unithd 5520 758880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_276 unithd 5520 761600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_277 unithd 5520 764320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_278 unithd 5520 767040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_279 unithd 5520 769760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_280 unithd 5520 772480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_281 unithd 5520 775200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_282 unithd 5520 777920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_283 unithd 5520 780640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_284 unithd 5520 783360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_285 unithd 5520 786080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_286 unithd 5520 788800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_287 unithd 5520 791520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_288 unithd 5520 794240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_289 unithd 5520 796960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_290 unithd 5520 799680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_291 unithd 5520 802400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_292 unithd 5520 805120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_293 unithd 5520 807840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_294 unithd 5520 810560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_295 unithd 5520 813280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_296 unithd 5520 816000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_297 unithd 5520 818720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_298 unithd 5520 821440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_299 unithd 5520 824160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_300 unithd 5520 826880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_301 unithd 5520 829600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_302 unithd 5520 832320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_303 unithd 5520 835040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_304 unithd 5520 837760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_305 unithd 5520 840480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_306 unithd 5520 843200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_307 unithd 5520 845920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_308 unithd 5520 848640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_309 unithd 5520 851360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_310 unithd 5520 854080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_311 unithd 5520 856800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_312 unithd 5520 859520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_313 unithd 5520 862240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_314 unithd 5520 864960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_315 unithd 5520 867680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_316 unithd 5520 870400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_317 unithd 5520 873120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_318 unithd 5520 875840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_319 unithd 5520 878560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_320 unithd 5520 881280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_321 unithd 5520 884000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_322 unithd 5520 886720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_323 unithd 5520 889440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_324 unithd 5520 892160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_325 unithd 5520 894880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_326 unithd 5520 897600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_327 unithd 5520 900320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_328 unithd 5520 903040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_329 unithd 5520 905760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_330 unithd 5520 908480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_331 unithd 5520 911200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_332 unithd 5520 913920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_333 unithd 5520 916640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_334 unithd 5520 919360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_335 unithd 5520 922080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_336 unithd 5520 924800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_337 unithd 5520 927520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_338 unithd 5520 930240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_339 unithd 5520 932960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_340 unithd 5520 935680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_341 unithd 5520 938400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_342 unithd 5520 941120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_343 unithd 5520 943840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_344 unithd 5520 946560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_345 unithd 5520 949280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_346 unithd 5520 952000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_347 unithd 5520 954720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_348 unithd 5520 957440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_349 unithd 5520 960160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_350 unithd 5520 962880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_351 unithd 5520 965600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_352 unithd 5520 968320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_353 unithd 5520 971040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_354 unithd 5520 973760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_355 unithd 5520 976480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_356 unithd 5520 979200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_357 unithd 5520 981920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_358 unithd 5520 984640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_359 unithd 5520 987360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_360 unithd 5520 990080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_361 unithd 5520 992800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_362 unithd 5520 995520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_363 unithd 5520 998240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_364 unithd 5520 1000960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_365 unithd 5520 1003680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_366 unithd 5520 1006400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_367 unithd 5520 1009120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_368 unithd 5520 1011840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_369 unithd 5520 1014560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_370 unithd 5520 1017280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_371 unithd 5520 1020000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_372 unithd 5520 1022720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_373 unithd 5520 1025440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_374 unithd 5520 1028160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_375 unithd 5520 1030880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_376 unithd 5520 1033600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_377 unithd 5520 1036320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_378 unithd 5520 1039040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_379 unithd 5520 1041760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_380 unithd 5520 1044480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_381 unithd 5520 1047200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_382 unithd 5520 1049920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_383 unithd 5520 1052640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_384 unithd 5520 1055360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_385 unithd 5520 1058080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_386 unithd 5520 1060800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_387 unithd 5520 1063520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_388 unithd 5520 1066240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_389 unithd 5520 1068960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_390 unithd 5520 1071680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_391 unithd 5520 1074400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_392 unithd 5520 1077120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_393 unithd 5520 1079840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_394 unithd 5520 1082560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_395 unithd 5520 1085280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_396 unithd 5520 1088000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_397 unithd 5520 1090720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_398 unithd 5520 1093440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_399 unithd 5520 1096160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_400 unithd 5520 1098880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_401 unithd 5520 1101600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_402 unithd 5520 1104320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_403 unithd 5520 1107040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_404 unithd 5520 1109760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_405 unithd 5520 1112480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_406 unithd 5520 1115200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_407 unithd 5520 1117920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_408 unithd 5520 1120640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_409 unithd 5520 1123360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_410 unithd 5520 1126080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_411 unithd 5520 1128800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_412 unithd 5520 1131520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_413 unithd 5520 1134240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_414 unithd 5520 1136960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_415 unithd 5520 1139680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_416 unithd 5520 1142400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_417 unithd 5520 1145120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_418 unithd 5520 1147840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_419 unithd 5520 1150560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_420 unithd 5520 1153280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_421 unithd 5520 1156000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_422 unithd 5520 1158720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_423 unithd 5520 1161440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_424 unithd 5520 1164160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_425 unithd 5520 1166880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_426 unithd 5520 1169600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_427 unithd 5520 1172320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_428 unithd 5520 1175040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_429 unithd 5520 1177760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_430 unithd 5520 1180480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_431 unithd 5520 1183200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_432 unithd 5520 1185920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_433 unithd 5520 1188640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_434 unithd 5520 1191360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_435 unithd 5520 1194080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_436 unithd 5520 1196800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_437 unithd 5520 1199520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_438 unithd 5520 1202240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_439 unithd 5520 1204960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_440 unithd 5520 1207680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_441 unithd 5520 1210400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_442 unithd 5520 1213120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_443 unithd 5520 1215840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_444 unithd 5520 1218560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_445 unithd 5520 1221280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_446 unithd 5520 1224000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_447 unithd 5520 1226720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_448 unithd 5520 1229440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_449 unithd 5520 1232160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_450 unithd 5520 1234880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_451 unithd 5520 1237600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_452 unithd 5520 1240320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_453 unithd 5520 1243040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_454 unithd 5520 1245760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_455 unithd 5520 1248480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_456 unithd 5520 1251200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_457 unithd 5520 1253920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_458 unithd 5520 1256640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_459 unithd 5520 1259360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_460 unithd 5520 1262080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_461 unithd 5520 1264800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_462 unithd 5520 1267520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_463 unithd 5520 1270240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_464 unithd 5520 1272960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_465 unithd 5520 1275680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_466 unithd 5520 1278400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_467 unithd 5520 1281120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_468 unithd 5520 1283840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_469 unithd 5520 1286560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_470 unithd 5520 1289280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_471 unithd 5520 1292000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_472 unithd 5520 1294720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_473 unithd 5520 1297440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_474 unithd 5520 1300160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_475 unithd 5520 1302880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_476 unithd 5520 1305600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_477 unithd 5520 1308320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_478 unithd 5520 1311040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_479 unithd 5520 1313760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_480 unithd 5520 1316480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_481 unithd 5520 1319200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_482 unithd 5520 1321920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_483 unithd 5520 1324640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_484 unithd 5520 1327360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_485 unithd 5520 1330080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_486 unithd 5520 1332800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_487 unithd 5520 1335520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_488 unithd 5520 1338240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_489 unithd 5520 1340960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_490 unithd 5520 1343680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_491 unithd 5520 1346400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_492 unithd 5520 1349120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_493 unithd 5520 1351840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_494 unithd 5520 1354560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_495 unithd 5520 1357280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_496 unithd 5520 1360000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_497 unithd 5520 1362720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_498 unithd 5520 1365440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_499 unithd 5520 1368160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_500 unithd 5520 1370880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_501 unithd 5520 1373600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_502 unithd 5520 1376320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_503 unithd 5520 1379040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_504 unithd 5520 1381760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_505 unithd 5520 1384480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_506 unithd 5520 1387200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_507 unithd 5520 1389920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_508 unithd 5520 1392640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_509 unithd 5520 1395360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_510 unithd 5520 1398080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_511 unithd 5520 1400800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_512 unithd 5520 1403520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_513 unithd 5520 1406240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_514 unithd 5520 1408960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_515 unithd 5520 1411680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_516 unithd 5520 1414400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_517 unithd 5520 1417120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_518 unithd 5520 1419840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_519 unithd 5520 1422560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_520 unithd 5520 1425280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_521 unithd 5520 1428000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_522 unithd 5520 1430720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_523 unithd 5520 1433440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_524 unithd 5520 1436160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_525 unithd 5520 1438880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_526 unithd 5520 1441600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_527 unithd 5520 1444320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_528 unithd 5520 1447040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_529 unithd 5520 1449760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_530 unithd 5520 1452480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_531 unithd 5520 1455200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_532 unithd 5520 1457920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_533 unithd 5520 1460640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_534 unithd 5520 1463360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_535 unithd 5520 1466080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_536 unithd 5520 1468800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_537 unithd 5520 1471520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_538 unithd 5520 1474240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_539 unithd 5520 1476960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_540 unithd 5520 1479680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_541 unithd 5520 1482400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_542 unithd 5520 1485120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_543 unithd 5520 1487840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_544 unithd 5520 1490560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_545 unithd 5520 1493280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_546 unithd 5520 1496000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_547 unithd 5520 1498720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_548 unithd 5520 1501440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_549 unithd 5520 1504160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_550 unithd 5520 1506880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_551 unithd 5520 1509600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_552 unithd 5520 1512320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_553 unithd 5520 1515040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_554 unithd 5520 1517760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_555 unithd 5520 1520480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_556 unithd 5520 1523200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_557 unithd 5520 1525920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_558 unithd 5520 1528640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_559 unithd 5520 1531360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_560 unithd 5520 1534080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_561 unithd 5520 1536800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_562 unithd 5520 1539520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_563 unithd 5520 1542240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_564 unithd 5520 1544960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_565 unithd 5520 1547680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_566 unithd 5520 1550400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_567 unithd 5520 1553120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_568 unithd 5520 1555840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_569 unithd 5520 1558560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_570 unithd 5520 1561280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_571 unithd 5520 1564000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_572 unithd 5520 1566720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_573 unithd 5520 1569440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_574 unithd 5520 1572160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_575 unithd 5520 1574880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_576 unithd 5520 1577600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_577 unithd 5520 1580320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_578 unithd 5520 1583040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_579 unithd 5520 1585760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_580 unithd 5520 1588480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_581 unithd 5520 1591200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_582 unithd 5520 1593920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_583 unithd 5520 1596640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_584 unithd 5520 1599360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_585 unithd 5520 1602080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_586 unithd 5520 1604800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_587 unithd 5520 1607520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_588 unithd 5520 1610240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_589 unithd 5520 1612960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_590 unithd 5520 1615680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_591 unithd 5520 1618400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_592 unithd 5520 1621120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_593 unithd 5520 1623840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_594 unithd 5520 1626560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_595 unithd 5520 1629280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_596 unithd 5520 1632000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_597 unithd 5520 1634720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_598 unithd 5520 1637440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_599 unithd 5520 1640160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_600 unithd 5520 1642880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_601 unithd 5520 1645600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_602 unithd 5520 1648320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_603 unithd 5520 1651040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_604 unithd 5520 1653760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_605 unithd 5520 1656480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_606 unithd 5520 1659200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_607 unithd 5520 1661920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_608 unithd 5520 1664640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_609 unithd 5520 1667360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_610 unithd 5520 1670080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_611 unithd 5520 1672800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_612 unithd 5520 1675520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_613 unithd 5520 1678240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_614 unithd 5520 1680960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_615 unithd 5520 1683680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_616 unithd 5520 1686400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_617 unithd 5520 1689120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_618 unithd 5520 1691840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_619 unithd 5520 1694560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_620 unithd 5520 1697280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_621 unithd 5520 1700000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_622 unithd 5520 1702720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_623 unithd 5520 1705440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_624 unithd 5520 1708160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_625 unithd 5520 1710880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_626 unithd 5520 1713600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_627 unithd 5520 1716320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_628 unithd 5520 1719040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_629 unithd 5520 1721760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_630 unithd 5520 1724480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_631 unithd 5520 1727200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_632 unithd 5520 1729920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_633 unithd 5520 1732640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_634 unithd 5520 1735360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_635 unithd 5520 1738080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_636 unithd 5520 1740800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_637 unithd 5520 1743520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_638 unithd 5520 1746240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_639 unithd 5520 1748960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_640 unithd 5520 1751680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_641 unithd 5520 1754400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_642 unithd 5520 1757120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_643 unithd 5520 1759840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_644 unithd 5520 1762560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_645 unithd 5520 1765280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_646 unithd 5520 1768000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_647 unithd 5520 1770720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_648 unithd 5520 1773440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_649 unithd 5520 1776160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_650 unithd 5520 1778880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_651 unithd 5520 1781600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_652 unithd 5520 1784320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_653 unithd 5520 1787040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_654 unithd 5520 1789760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_655 unithd 5520 1792480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_656 unithd 5520 1795200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_657 unithd 5520 1797920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_658 unithd 5520 1800640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_659 unithd 5520 1803360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_660 unithd 5520 1806080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_661 unithd 5520 1808800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_662 unithd 5520 1811520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_663 unithd 5520 1814240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_664 unithd 5520 1816960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_665 unithd 5520 1819680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_666 unithd 5520 1822400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_667 unithd 5520 1825120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_668 unithd 5520 1827840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_669 unithd 5520 1830560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_670 unithd 5520 1833280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_671 unithd 5520 1836000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_672 unithd 5520 1838720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_673 unithd 5520 1841440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_674 unithd 5520 1844160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_675 unithd 5520 1846880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_676 unithd 5520 1849600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_677 unithd 5520 1852320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_678 unithd 5520 1855040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_679 unithd 5520 1857760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_680 unithd 5520 1860480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_681 unithd 5520 1863200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_682 unithd 5520 1865920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_683 unithd 5520 1868640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_684 unithd 5520 1871360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_685 unithd 5520 1874080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_686 unithd 5520 1876800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_687 unithd 5520 1879520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_688 unithd 5520 1882240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_689 unithd 5520 1884960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_690 unithd 5520 1887680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_691 unithd 5520 1890400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_692 unithd 5520 1893120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_693 unithd 5520 1895840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_694 unithd 5520 1898560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_695 unithd 5520 1901280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_696 unithd 5520 1904000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_697 unithd 5520 1906720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_698 unithd 5520 1909440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_699 unithd 5520 1912160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_700 unithd 5520 1914880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_701 unithd 5520 1917600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_702 unithd 5520 1920320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_703 unithd 5520 1923040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_704 unithd 5520 1925760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_705 unithd 5520 1928480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_706 unithd 5520 1931200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_707 unithd 5520 1933920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_708 unithd 5520 1936640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_709 unithd 5520 1939360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_710 unithd 5520 1942080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_711 unithd 5520 1944800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_712 unithd 5520 1947520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_713 unithd 5520 1950240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_714 unithd 5520 1952960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_715 unithd 5520 1955680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_716 unithd 5520 1958400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_717 unithd 5520 1961120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_718 unithd 5520 1963840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_719 unithd 5520 1966560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_720 unithd 5520 1969280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_721 unithd 5520 1972000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_722 unithd 5520 1974720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_723 unithd 5520 1977440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_724 unithd 5520 1980160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_725 unithd 5520 1982880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_726 unithd 5520 1985600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_727 unithd 5520 1988320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_728 unithd 5520 1991040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_729 unithd 5520 1993760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_730 unithd 5520 1996480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_731 unithd 5520 1999200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_732 unithd 5520 2001920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_733 unithd 5520 2004640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_734 unithd 5520 2007360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_735 unithd 5520 2010080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_736 unithd 5520 2012800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_737 unithd 5520 2015520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_738 unithd 5520 2018240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_739 unithd 5520 2020960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_740 unithd 5520 2023680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_741 unithd 5520 2026400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_742 unithd 5520 2029120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_743 unithd 5520 2031840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_744 unithd 5520 2034560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_745 unithd 5520 2037280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_746 unithd 5520 2040000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_747 unithd 5520 2042720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_748 unithd 5520 2045440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_749 unithd 5520 2048160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_750 unithd 5520 2050880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_751 unithd 5520 2053600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_752 unithd 5520 2056320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_753 unithd 5520 2059040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_754 unithd 5520 2061760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_755 unithd 5520 2064480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_756 unithd 5520 2067200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_757 unithd 5520 2069920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_758 unithd 5520 2072640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_759 unithd 5520 2075360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_760 unithd 5520 2078080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_761 unithd 5520 2080800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_762 unithd 5520 2083520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_763 unithd 5520 2086240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_764 unithd 5520 2088960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_765 unithd 5520 2091680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_766 unithd 5520 2094400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_767 unithd 5520 2097120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_768 unithd 5520 2099840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_769 unithd 5520 2102560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_770 unithd 5520 2105280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_771 unithd 5520 2108000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_772 unithd 5520 2110720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_773 unithd 5520 2113440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_774 unithd 5520 2116160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_775 unithd 5520 2118880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_776 unithd 5520 2121600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_777 unithd 5520 2124320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_778 unithd 5520 2127040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_779 unithd 5520 2129760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_780 unithd 5520 2132480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_781 unithd 5520 2135200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_782 unithd 5520 2137920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_783 unithd 5520 2140640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_784 unithd 5520 2143360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_785 unithd 5520 2146080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_786 unithd 5520 2148800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_787 unithd 5520 2151520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_788 unithd 5520 2154240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_789 unithd 5520 2156960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_790 unithd 5520 2159680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_791 unithd 5520 2162400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_792 unithd 5520 2165120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_793 unithd 5520 2167840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_794 unithd 5520 2170560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_795 unithd 5520 2173280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_796 unithd 5520 2176000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_797 unithd 5520 2178720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_798 unithd 5520 2181440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_799 unithd 5520 2184160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_800 unithd 5520 2186880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_801 unithd 5520 2189600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_802 unithd 5520 2192320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_803 unithd 5520 2195040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_804 unithd 5520 2197760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_805 unithd 5520 2200480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_806 unithd 5520 2203200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_807 unithd 5520 2205920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_808 unithd 5520 2208640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_809 unithd 5520 2211360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_810 unithd 5520 2214080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_811 unithd 5520 2216800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_812 unithd 5520 2219520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_813 unithd 5520 2222240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_814 unithd 5520 2224960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_815 unithd 5520 2227680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_816 unithd 5520 2230400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_817 unithd 5520 2233120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_818 unithd 5520 2235840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_819 unithd 5520 2238560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_820 unithd 5520 2241280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_821 unithd 5520 2244000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_822 unithd 5520 2246720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_823 unithd 5520 2249440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_824 unithd 5520 2252160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_825 unithd 5520 2254880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_826 unithd 5520 2257600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_827 unithd 5520 2260320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_828 unithd 5520 2263040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_829 unithd 5520 2265760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_830 unithd 5520 2268480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_831 unithd 5520 2271200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_832 unithd 5520 2273920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_833 unithd 5520 2276640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_834 unithd 5520 2279360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_835 unithd 5520 2282080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_836 unithd 5520 2284800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_837 unithd 5520 2287520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_838 unithd 5520 2290240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_839 unithd 5520 2292960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_840 unithd 5520 2295680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_841 unithd 5520 2298400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_842 unithd 5520 2301120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_843 unithd 5520 2303840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_844 unithd 5520 2306560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_845 unithd 5520 2309280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_846 unithd 5520 2312000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_847 unithd 5520 2314720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_848 unithd 5520 2317440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_849 unithd 5520 2320160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_850 unithd 5520 2322880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_851 unithd 5520 2325600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_852 unithd 5520 2328320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_853 unithd 5520 2331040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_854 unithd 5520 2333760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_855 unithd 5520 2336480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_856 unithd 5520 2339200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_857 unithd 5520 2341920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_858 unithd 5520 2344640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_859 unithd 5520 2347360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_860 unithd 5520 2350080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_861 unithd 5520 2352800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_862 unithd 5520 2355520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_863 unithd 5520 2358240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_864 unithd 5520 2360960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_865 unithd 5520 2363680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_866 unithd 5520 2366400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_867 unithd 5520 2369120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_868 unithd 5520 2371840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_869 unithd 5520 2374560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_870 unithd 5520 2377280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_871 unithd 5520 2380000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_872 unithd 5520 2382720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_873 unithd 5520 2385440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_874 unithd 5520 2388160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_875 unithd 5520 2390880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_876 unithd 5520 2393600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_877 unithd 5520 2396320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_878 unithd 5520 2399040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_879 unithd 5520 2401760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_880 unithd 5520 2404480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_881 unithd 5520 2407200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_882 unithd 5520 2409920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_883 unithd 5520 2412640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_884 unithd 5520 2415360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_885 unithd 5520 2418080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_886 unithd 5520 2420800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_887 unithd 5520 2423520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_888 unithd 5520 2426240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_889 unithd 5520 2428960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_890 unithd 5520 2431680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_891 unithd 5520 2434400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_892 unithd 5520 2437120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_893 unithd 5520 2439840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_894 unithd 5520 2442560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_895 unithd 5520 2445280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_896 unithd 5520 2448000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_897 unithd 5520 2450720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_898 unithd 5520 2453440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_899 unithd 5520 2456160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_900 unithd 5520 2458880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_901 unithd 5520 2461600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_902 unithd 5520 2464320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_903 unithd 5520 2467040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_904 unithd 5520 2469760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_905 unithd 5520 2472480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_906 unithd 5520 2475200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_907 unithd 5520 2477920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_908 unithd 5520 2480640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_909 unithd 5520 2483360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_910 unithd 5520 2486080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_911 unithd 5520 2488800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_912 unithd 5520 2491520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_913 unithd 5520 2494240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_914 unithd 5520 2496960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_915 unithd 5520 2499680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_916 unithd 5520 2502400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_917 unithd 5520 2505120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_918 unithd 5520 2507840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_919 unithd 5520 2510560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_920 unithd 5520 2513280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_921 unithd 5520 2516000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_922 unithd 5520 2518720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_923 unithd 5520 2521440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_924 unithd 5520 2524160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_925 unithd 5520 2526880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_926 unithd 5520 2529600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_927 unithd 5520 2532320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_928 unithd 5520 2535040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_929 unithd 5520 2537760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_930 unithd 5520 2540480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_931 unithd 5520 2543200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_932 unithd 5520 2545920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_933 unithd 5520 2548640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_934 unithd 5520 2551360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_935 unithd 5520 2554080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_936 unithd 5520 2556800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_937 unithd 5520 2559520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_938 unithd 5520 2562240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_939 unithd 5520 2564960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_940 unithd 5520 2567680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_941 unithd 5520 2570400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_942 unithd 5520 2573120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_943 unithd 5520 2575840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_944 unithd 5520 2578560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_945 unithd 5520 2581280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_946 unithd 5520 2584000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_947 unithd 5520 2586720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_948 unithd 5520 2589440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_949 unithd 5520 2592160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_950 unithd 5520 2594880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_951 unithd 5520 2597600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_952 unithd 5520 2600320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_953 unithd 5520 2603040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_954 unithd 5520 2605760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_955 unithd 5520 2608480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_956 unithd 5520 2611200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_957 unithd 5520 2613920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_958 unithd 5520 2616640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_959 unithd 5520 2619360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_960 unithd 5520 2622080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_961 unithd 5520 2624800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_962 unithd 5520 2627520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_963 unithd 5520 2630240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_964 unithd 5520 2632960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_965 unithd 5520 2635680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_966 unithd 5520 2638400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_967 unithd 5520 2641120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_968 unithd 5520 2643840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_969 unithd 5520 2646560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_970 unithd 5520 2649280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_971 unithd 5520 2652000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_972 unithd 5520 2654720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_973 unithd 5520 2657440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_974 unithd 5520 2660160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_975 unithd 5520 2662880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_976 unithd 5520 2665600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_977 unithd 5520 2668320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_978 unithd 5520 2671040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_979 unithd 5520 2673760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_980 unithd 5520 2676480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_981 unithd 5520 2679200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_982 unithd 5520 2681920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_983 unithd 5520 2684640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_984 unithd 5520 2687360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_985 unithd 5520 2690080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_986 unithd 5520 2692800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_987 unithd 5520 2695520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_988 unithd 5520 2698240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_989 unithd 5520 2700960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_990 unithd 5520 2703680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_991 unithd 5520 2706400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_992 unithd 5520 2709120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_993 unithd 5520 2711840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_994 unithd 5520 2714560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_995 unithd 5520 2717280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_996 unithd 5520 2720000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_997 unithd 5520 2722720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_998 unithd 5520 2725440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_999 unithd 5520 2728160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1000 unithd 5520 2730880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1001 unithd 5520 2733600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1002 unithd 5520 2736320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1003 unithd 5520 2739040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1004 unithd 5520 2741760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1005 unithd 5520 2744480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1006 unithd 5520 2747200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1007 unithd 5520 2749920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1008 unithd 5520 2752640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1009 unithd 5520 2755360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1010 unithd 5520 2758080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1011 unithd 5520 2760800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1012 unithd 5520 2763520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1013 unithd 5520 2766240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1014 unithd 5520 2768960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1015 unithd 5520 2771680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1016 unithd 5520 2774400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1017 unithd 5520 2777120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1018 unithd 5520 2779840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1019 unithd 5520 2782560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1020 unithd 5520 2785280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1021 unithd 5520 2788000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1022 unithd 5520 2790720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1023 unithd 5520 2793440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1024 unithd 5520 2796160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1025 unithd 5520 2798880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1026 unithd 5520 2801600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1027 unithd 5520 2804320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1028 unithd 5520 2807040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1029 unithd 5520 2809760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1030 unithd 5520 2812480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1031 unithd 5520 2815200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1032 unithd 5520 2817920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1033 unithd 5520 2820640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1034 unithd 5520 2823360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1035 unithd 5520 2826080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1036 unithd 5520 2828800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1037 unithd 5520 2831520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1038 unithd 5520 2834240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1039 unithd 5520 2836960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1040 unithd 5520 2839680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1041 unithd 5520 2842400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1042 unithd 5520 2845120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1043 unithd 5520 2847840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1044 unithd 5520 2850560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1045 unithd 5520 2853280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1046 unithd 5520 2856000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1047 unithd 5520 2858720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1048 unithd 5520 2861440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1049 unithd 5520 2864160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1050 unithd 5520 2866880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1051 unithd 5520 2869600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1052 unithd 5520 2872320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1053 unithd 5520 2875040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1054 unithd 5520 2877760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1055 unithd 5520 2880480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1056 unithd 5520 2883200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1057 unithd 5520 2885920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1058 unithd 5520 2888640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1059 unithd 5520 2891360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1060 unithd 5520 2894080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1061 unithd 5520 2896800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1062 unithd 5520 2899520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1063 unithd 5520 2902240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1064 unithd 5520 2904960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1065 unithd 5520 2907680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1066 unithd 5520 2910400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1067 unithd 5520 2913120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1068 unithd 5520 2915840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1069 unithd 5520 2918560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1070 unithd 5520 2921280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1071 unithd 5520 2924000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1072 unithd 5520 2926720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1073 unithd 5520 2929440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1074 unithd 5520 2932160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1075 unithd 5520 2934880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1076 unithd 5520 2937600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1077 unithd 5520 2940320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1078 unithd 5520 2943040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1079 unithd 5520 2945760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1080 unithd 5520 2948480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1081 unithd 5520 2951200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1082 unithd 5520 2953920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1083 unithd 5520 2956640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1084 unithd 5520 2959360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1085 unithd 5520 2962080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1086 unithd 5520 2964800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1087 unithd 5520 2967520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1088 unithd 5520 2970240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1089 unithd 5520 2972960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1090 unithd 5520 2975680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1091 unithd 5520 2978400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1092 unithd 5520 2981120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1093 unithd 5520 2983840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1094 unithd 5520 2986560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1095 unithd 5520 2989280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1096 unithd 5520 2992000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1097 unithd 5520 2994720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1098 unithd 5520 2997440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1099 unithd 5520 3000160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1100 unithd 5520 3002880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1101 unithd 5520 3005600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1102 unithd 5520 3008320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1103 unithd 5520 3011040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1104 unithd 5520 3013760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1105 unithd 5520 3016480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1106 unithd 5520 3019200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1107 unithd 5520 3021920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1108 unithd 5520 3024640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1109 unithd 5520 3027360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1110 unithd 5520 3030080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1111 unithd 5520 3032800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1112 unithd 5520 3035520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1113 unithd 5520 3038240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1114 unithd 5520 3040960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1115 unithd 5520 3043680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1116 unithd 5520 3046400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1117 unithd 5520 3049120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1118 unithd 5520 3051840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1119 unithd 5520 3054560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1120 unithd 5520 3057280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1121 unithd 5520 3060000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1122 unithd 5520 3062720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1123 unithd 5520 3065440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1124 unithd 5520 3068160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1125 unithd 5520 3070880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1126 unithd 5520 3073600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1127 unithd 5520 3076320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1128 unithd 5520 3079040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1129 unithd 5520 3081760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1130 unithd 5520 3084480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1131 unithd 5520 3087200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1132 unithd 5520 3089920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1133 unithd 5520 3092640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1134 unithd 5520 3095360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1135 unithd 5520 3098080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1136 unithd 5520 3100800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1137 unithd 5520 3103520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1138 unithd 5520 3106240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1139 unithd 5520 3108960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1140 unithd 5520 3111680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1141 unithd 5520 3114400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1142 unithd 5520 3117120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1143 unithd 5520 3119840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1144 unithd 5520 3122560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1145 unithd 5520 3125280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1146 unithd 5520 3128000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1147 unithd 5520 3130720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1148 unithd 5520 3133440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1149 unithd 5520 3136160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1150 unithd 5520 3138880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1151 unithd 5520 3141600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1152 unithd 5520 3144320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1153 unithd 5520 3147040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1154 unithd 5520 3149760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1155 unithd 5520 3152480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1156 unithd 5520 3155200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1157 unithd 5520 3157920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1158 unithd 5520 3160640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1159 unithd 5520 3163360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1160 unithd 5520 3166080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1161 unithd 5520 3168800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1162 unithd 5520 3171520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1163 unithd 5520 3174240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1164 unithd 5520 3176960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1165 unithd 5520 3179680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1166 unithd 5520 3182400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1167 unithd 5520 3185120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1168 unithd 5520 3187840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1169 unithd 5520 3190560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1170 unithd 5520 3193280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1171 unithd 5520 3196000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1172 unithd 5520 3198720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1173 unithd 5520 3201440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1174 unithd 5520 3204160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1175 unithd 5520 3206880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1176 unithd 5520 3209600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1177 unithd 5520 3212320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1178 unithd 5520 3215040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1179 unithd 5520 3217760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1180 unithd 5520 3220480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1181 unithd 5520 3223200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1182 unithd 5520 3225920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1183 unithd 5520 3228640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1184 unithd 5520 3231360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1185 unithd 5520 3234080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1186 unithd 5520 3236800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1187 unithd 5520 3239520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1188 unithd 5520 3242240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1189 unithd 5520 3244960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1190 unithd 5520 3247680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1191 unithd 5520 3250400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1192 unithd 5520 3253120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1193 unithd 5520 3255840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1194 unithd 5520 3258560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1195 unithd 5520 3261280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1196 unithd 5520 3264000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1197 unithd 5520 3266720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1198 unithd 5520 3269440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1199 unithd 5520 3272160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1200 unithd 5520 3274880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1201 unithd 5520 3277600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1202 unithd 5520 3280320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1203 unithd 5520 3283040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1204 unithd 5520 3285760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1205 unithd 5520 3288480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1206 unithd 5520 3291200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1207 unithd 5520 3293920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1208 unithd 5520 3296640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1209 unithd 5520 3299360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1210 unithd 5520 3302080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1211 unithd 5520 3304800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1212 unithd 5520 3307520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1213 unithd 5520 3310240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1214 unithd 5520 3312960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1215 unithd 5520 3315680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1216 unithd 5520 3318400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1217 unithd 5520 3321120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1218 unithd 5520 3323840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1219 unithd 5520 3326560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1220 unithd 5520 3329280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1221 unithd 5520 3332000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1222 unithd 5520 3334720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1223 unithd 5520 3337440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1224 unithd 5520 3340160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1225 unithd 5520 3342880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1226 unithd 5520 3345600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1227 unithd 5520 3348320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1228 unithd 5520 3351040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1229 unithd 5520 3353760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1230 unithd 5520 3356480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1231 unithd 5520 3359200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1232 unithd 5520 3361920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1233 unithd 5520 3364640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1234 unithd 5520 3367360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1235 unithd 5520 3370080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1236 unithd 5520 3372800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1237 unithd 5520 3375520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1238 unithd 5520 3378240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1239 unithd 5520 3380960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1240 unithd 5520 3383680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1241 unithd 5520 3386400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1242 unithd 5520 3389120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1243 unithd 5520 3391840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1244 unithd 5520 3394560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1245 unithd 5520 3397280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1246 unithd 5520 3400000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1247 unithd 5520 3402720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1248 unithd 5520 3405440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1249 unithd 5520 3408160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1250 unithd 5520 3410880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1251 unithd 5520 3413600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1252 unithd 5520 3416320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1253 unithd 5520 3419040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1254 unithd 5520 3421760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1255 unithd 5520 3424480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1256 unithd 5520 3427200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1257 unithd 5520 3429920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1258 unithd 5520 3432640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1259 unithd 5520 3435360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1260 unithd 5520 3438080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1261 unithd 5520 3440800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1262 unithd 5520 3443520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1263 unithd 5520 3446240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1264 unithd 5520 3448960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1265 unithd 5520 3451680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1266 unithd 5520 3454400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1267 unithd 5520 3457120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1268 unithd 5520 3459840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1269 unithd 5520 3462560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1270 unithd 5520 3465280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1271 unithd 5520 3468000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1272 unithd 5520 3470720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1273 unithd 5520 3473440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1274 unithd 5520 3476160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1275 unithd 5520 3478880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1276 unithd 5520 3481600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1277 unithd 5520 3484320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1278 unithd 5520 3487040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1279 unithd 5520 3489760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1280 unithd 5520 3492480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1281 unithd 5520 3495200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1282 unithd 5520 3497920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1283 unithd 5520 3500640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1284 unithd 5520 3503360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1285 unithd 5520 3506080 FS DO 6323 BY 1 STEP 460 0 ;
TRACKS X 230 DO 6348 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 10353 STEP 340 LAYER li1 ;
TRACKS X 170 DO 8588 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 10353 STEP 340 LAYER met1 ;
TRACKS X 230 DO 6348 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 7652 STEP 460 LAYER met2 ;
TRACKS X 340 DO 4294 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 5176 STEP 680 LAYER met3 ;
TRACKS X 460 DO 3174 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 3826 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 859 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 1035 STEP 3400 LAYER met5 ;
GCELLGRID X 0 DO 423 STEP 6900 ;
GCELLGRID Y 0 DO 510 STEP 6900 ;
VIAS 4 ;
- via5_6_3100_3100_2_2_1600_1600 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 350 190 310 350 + ROWCOL 2 2 ;
- via5_6_1740_3100_2_1_1600_1600 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 470 350 310 350 + ROWCOL 2 1 ;
- via5_6_1740_2670_1_1_1600_1600 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 470 935 310 935 ;
- via5_6_1600_3100_2_1_1600_1600 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 400 350 310 350 + ROWCOL 2 1 ;
END VIAS
COMPONENTS 4 ;
- core warpv_core + FIXED ( 1000000 1400000 ) N ;
- dmem sky130_sram_1kbyte_1rw1r_32x256_8 + FIXED ( 1200000 2350000 ) N ;
- imem sky130_sram_1kbyte_1rw1r_32x256_8 + FIXED ( 1500000 500000 ) N ;
- wbs_int wb_interface + FIXED ( 800000 500000 ) N ;
END COMPONENTS
PINS 645 ;
- analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1426980 ) N ;
- analog_io[10] + NET analog_io[10] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2230770 3521200 ) N ;
- analog_io[11] + NET analog_io[11] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1906010 3521200 ) N ;
- analog_io[12] + NET analog_io[12] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1581710 3521200 ) N ;
- analog_io[13] + NET analog_io[13] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1257410 3521200 ) N ;
- analog_io[14] + NET analog_io[14] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 932650 3521200 ) N ;
- analog_io[15] + NET analog_io[15] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 608350 3521200 ) N ;
- analog_io[16] + NET analog_io[16] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 284050 3521200 ) N ;
- analog_io[17] + NET analog_io[17] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3486700 ) N ;
- analog_io[18] + NET analog_io[18] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3225580 ) N ;
- analog_io[19] + NET analog_io[19] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2965140 ) N ;
- analog_io[1] + NET analog_io[1] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1692860 ) N ;
- analog_io[20] + NET analog_io[20] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2704020 ) N ;
- analog_io[21] + NET analog_io[21] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2443580 ) N ;
- analog_io[22] + NET analog_io[22] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2183140 ) N ;
- analog_io[23] + NET analog_io[23] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1922020 ) N ;
- analog_io[24] + NET analog_io[24] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1661580 ) N ;
- analog_io[25] + NET analog_io[25] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1400460 ) N ;
- analog_io[26] + NET analog_io[26] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1140020 ) N ;
- analog_io[27] + NET analog_io[27] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 879580 ) N ;
- analog_io[28] + NET analog_io[28] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 618460 ) N ;
- analog_io[2] + NET analog_io[2] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1958740 ) N ;
- analog_io[3] + NET analog_io[3] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2223940 ) N ;
- analog_io[4] + NET analog_io[4] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2489820 ) N ;
- analog_io[5] + NET analog_io[5] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2755700 ) N ;
- analog_io[6] + NET analog_io[6] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3020900 ) N ;
- analog_io[7] + NET analog_io[7] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3286780 ) N ;
- analog_io[8] + NET analog_io[8] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2879370 3521200 ) N ;
- analog_io[9] + NET analog_io[9] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2555070 3521200 ) N ;
- io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 32980 ) N ;
- io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2290580 ) N ;
- io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2556460 ) N ;
- io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2821660 ) N ;
- io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3087540 ) N ;
- io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3353420 ) N ;
- io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2798410 3521200 ) N ;
- io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2474110 3521200 ) N ;
- io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2149350 3521200 ) N ;
- io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1825050 3521200 ) N ;
- io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1500750 3521200 ) N ;
- io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 231540 ) N ;
- io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1175990 3521200 ) N ;
- io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 851690 3521200 ) N ;
- io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 527390 3521200 ) N ;
- io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 202630 3521200 ) N ;
- io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3421420 ) N ;
- io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3160300 ) N ;
- io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2899860 ) N ;
- io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2639420 ) N ;
- io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2378300 ) N ;
- io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2117860 ) N ;
- io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 430780 ) N ;
- io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1856740 ) N ;
- io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1596300 ) N ;
- io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1335860 ) N ;
- io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1074740 ) N ;
- io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 814300 ) N ;
- io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 553180 ) N ;
- io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 358020 ) N ;
- io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 162180 ) N ;
- io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 630020 ) N ;
- io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 829260 ) N ;
- io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1028500 ) N ;
- io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1227740 ) N ;
- io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1493620 ) N ;
- io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1759500 ) N ;
- io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2024700 ) N ;
- io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 165580 ) N ;
- io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2423180 ) N ;
- io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2689060 ) N ;
- io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2954940 ) N ;
- io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3220140 ) N ;
- io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3486020 ) N ;
- io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2636030 3521200 ) N ;
- io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2311730 3521200 ) N ;
- io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1987430 3521200 ) N ;
- io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1662670 3521200 ) N ;
- io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1338370 3521200 ) N ;
- io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 364820 ) N ;
- io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1014070 3521200 ) N ;
- io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 689310 3521200 ) N ;
- io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 365010 3521200 ) N ;
- io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 40710 3521200 ) N ;
- io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3290860 ) N ;
- io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3030420 ) N ;
- io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2769300 ) N ;
- io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2508860 ) N ;
- io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2247740 ) N ;
- io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1987300 ) N ;
- io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 564060 ) N ;
- io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1726860 ) N ;
- io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1465740 ) N ;
- io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1205300 ) N ;
- io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 944180 ) N ;
- io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 683740 ) N ;
- io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 423300 ) N ;
- io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 227460 ) N ;
- io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 32300 ) N ;
- io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 763300 ) N ;
- io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 962540 ) N ;
- io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1161780 ) N ;
- io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1361020 ) N ;
- io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1626220 ) N ;
- io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1892100 ) N ;
- io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2157980 ) N ;
- io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 98940 ) N ;
- io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2357220 ) N ;
- io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2622420 ) N ;
- io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2888300 ) N ;
- io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3154180 ) N ;
- io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3419380 ) N ;
- io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2717450 3521200 ) N ;
- io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2392690 3521200 ) N ;
- io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2068390 3521200 ) N ;
- io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1744090 3521200 ) N ;
- io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1419330 3521200 ) N ;
- io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 298180 ) N ;
- io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1095030 3521200 ) N ;
- io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 770730 3521200 ) N ;
- io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 445970 3521200 ) N ;
- io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 121670 3521200 ) N ;
- io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3356140 ) N ;
- io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3095700 ) N ;
- io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2834580 ) N ;
- io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2574140 ) N ;
- io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2313020 ) N ;
- io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2052580 ) N ;
- io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 497420 ) N ;
- io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1792140 ) N ;
- io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1531020 ) N ;
- io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1270580 ) N ;
- io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1009460 ) N ;
- io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 749020 ) N ;
- io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 487900 ) N ;
- io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 292740 ) N ;
- io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 96900 ) N ;
- io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 696660 ) N ;
- io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 895900 ) N ;
- io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1095140 ) N ;
- io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1294380 ) N ;
- io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1560260 ) N ;
- io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1825460 ) N ;
- io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2091340 ) N ;
- la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 629510 -1200 ) N ;
- la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2402810 -1200 ) N ;
- la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2420290 -1200 ) N ;
- la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2438230 -1200 ) N ;
- la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2455710 -1200 ) N ;
- la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2473650 -1200 ) N ;
- la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2491130 -1200 ) N ;
- la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2509070 -1200 ) N ;
- la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2527010 -1200 ) N ;
- la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2544490 -1200 ) N ;
- la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2562430 -1200 ) N ;
- la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 806610 -1200 ) N ;
- la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2579910 -1200 ) N ;
- la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2597850 -1200 ) N ;
- la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2615330 -1200 ) N ;
- la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2633270 -1200 ) N ;
- la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2650750 -1200 ) N ;
- la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2668690 -1200 ) N ;
- la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2686170 -1200 ) N ;
- la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2704110 -1200 ) N ;
- la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2722050 -1200 ) N ;
- la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2739530 -1200 ) N ;
- la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 824550 -1200 ) N ;
- la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2757470 -1200 ) N ;
- la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2774950 -1200 ) N ;
- la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2792890 -1200 ) N ;
- la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2810370 -1200 ) N ;
- la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2828310 -1200 ) N ;
- la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2845790 -1200 ) N ;
- la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2863730 -1200 ) N ;
- la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2881670 -1200 ) N ;
- la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 842030 -1200 ) N ;
- la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 859970 -1200 ) N ;
- la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 877450 -1200 ) N ;
- la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 895390 -1200 ) N ;
- la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 912870 -1200 ) N ;
- la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 930810 -1200 ) N ;
- la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 948750 -1200 ) N ;
- la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 966230 -1200 ) N ;
- la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 646990 -1200 ) N ;
- la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 984170 -1200 ) N ;
- la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1001650 -1200 ) N ;
- la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1019590 -1200 ) N ;
- la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1037070 -1200 ) N ;
- la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1055010 -1200 ) N ;
- la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1072490 -1200 ) N ;
- la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1090430 -1200 ) N ;
- la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1107910 -1200 ) N ;
- la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1125850 -1200 ) N ;
- la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1143790 -1200 ) N ;
- la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 664930 -1200 ) N ;
- la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1161270 -1200 ) N ;
- la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1179210 -1200 ) N ;
- la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1196690 -1200 ) N ;
- la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1214630 -1200 ) N ;
- la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1232110 -1200 ) N ;
- la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1250050 -1200 ) N ;
- la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1267530 -1200 ) N ;
- la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1285470 -1200 ) N ;
- la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1303410 -1200 ) N ;
- la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1320890 -1200 ) N ;
- la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 682410 -1200 ) N ;
- la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1338830 -1200 ) N ;
- la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1356310 -1200 ) N ;
- la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1374250 -1200 ) N ;
- la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1391730 -1200 ) N ;
- la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1409670 -1200 ) N ;
- la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1427150 -1200 ) N ;
- la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1445090 -1200 ) N ;
- la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1463030 -1200 ) N ;
- la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1480510 -1200 ) N ;
- la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1498450 -1200 ) N ;
- la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 700350 -1200 ) N ;
- la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1515930 -1200 ) N ;
- la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1533870 -1200 ) N ;
- la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1551350 -1200 ) N ;
- la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1569290 -1200 ) N ;
- la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1586770 -1200 ) N ;
- la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1604710 -1200 ) N ;
- la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1622190 -1200 ) N ;
- la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1640130 -1200 ) N ;
- la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1658070 -1200 ) N ;
- la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1675550 -1200 ) N ;
- la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 717830 -1200 ) N ;
- la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1693490 -1200 ) N ;
- la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1710970 -1200 ) N ;
- la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1728910 -1200 ) N ;
- la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1746390 -1200 ) N ;
- la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1764330 -1200 ) N ;
- la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1781810 -1200 ) N ;
- la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1799750 -1200 ) N ;
- la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1817690 -1200 ) N ;
- la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1835170 -1200 ) N ;
- la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1853110 -1200 ) N ;
- la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 735770 -1200 ) N ;
- la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1870590 -1200 ) N ;
- la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1888530 -1200 ) N ;
- la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1906010 -1200 ) N ;
- la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1923950 -1200 ) N ;
- la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1941430 -1200 ) N ;
- la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1959370 -1200 ) N ;
- la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1976850 -1200 ) N ;
- la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1994790 -1200 ) N ;
- la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2012730 -1200 ) N ;
- la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2030210 -1200 ) N ;
- la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 753250 -1200 ) N ;
- la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2048150 -1200 ) N ;
- la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2065630 -1200 ) N ;
- la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2083570 -1200 ) N ;
- la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2101050 -1200 ) N ;
- la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2118990 -1200 ) N ;
- la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2136470 -1200 ) N ;
- la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2154410 -1200 ) N ;
- la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2172350 -1200 ) N ;
- la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2189830 -1200 ) N ;
- la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2207770 -1200 ) N ;
- la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 771190 -1200 ) N ;
- la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2225250 -1200 ) N ;
- la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2243190 -1200 ) N ;
- la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2260670 -1200 ) N ;
- la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2278610 -1200 ) N ;
- la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2296090 -1200 ) N ;
- la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2314030 -1200 ) N ;
- la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2331510 -1200 ) N ;
- la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2349450 -1200 ) N ;
- la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2367390 -1200 ) N ;
- la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2384870 -1200 ) N ;
- la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 789130 -1200 ) N ;
- la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 635030 -1200 ) N ;
- la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2408790 -1200 ) N ;
- la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2426270 -1200 ) N ;
- la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2444210 -1200 ) N ;
- la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2461690 -1200 ) N ;
- la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2479630 -1200 ) N ;
- la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2497110 -1200 ) N ;
- la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2515050 -1200 ) N ;
- la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2532530 -1200 ) N ;
- la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2550470 -1200 ) N ;
- la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2567950 -1200 ) N ;
- la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 812590 -1200 ) N ;
- la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2585890 -1200 ) N ;
- la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2603830 -1200 ) N ;
- la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2621310 -1200 ) N ;
- la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2639250 -1200 ) N ;
- la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2656730 -1200 ) N ;
- la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2674670 -1200 ) N ;
- la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2692150 -1200 ) N ;
- la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2710090 -1200 ) N ;
- la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2727570 -1200 ) N ;
- la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2745510 -1200 ) N ;
- la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 830530 -1200 ) N ;
- la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2763450 -1200 ) N ;
- la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2780930 -1200 ) N ;
- la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2798870 -1200 ) N ;
- la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2816350 -1200 ) N ;
- la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2834290 -1200 ) N ;
- la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2851770 -1200 ) N ;
- la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2869710 -1200 ) N ;
- la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2887190 -1200 ) N ;
- la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 848010 -1200 ) N ;
- la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 865950 -1200 ) N ;
- la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 883430 -1200 ) N ;
- la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 901370 -1200 ) N ;
- la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 918850 -1200 ) N ;
- la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 936790 -1200 ) N ;
- la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 954270 -1200 ) N ;
- la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 972210 -1200 ) N ;
- la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 652970 -1200 ) N ;
- la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 989690 -1200 ) N ;
- la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1007630 -1200 ) N ;
- la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1025570 -1200 ) N ;
- la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1043050 -1200 ) N ;
- la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1060990 -1200 ) N ;
- la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1078470 -1200 ) N ;
- la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1096410 -1200 ) N ;
- la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1113890 -1200 ) N ;
- la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1131830 -1200 ) N ;
- la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1149310 -1200 ) N ;
- la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 670910 -1200 ) N ;
- la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1167250 -1200 ) N ;
- la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1185190 -1200 ) N ;
- la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1202670 -1200 ) N ;
- la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1220610 -1200 ) N ;
- la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1238090 -1200 ) N ;
- la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1256030 -1200 ) N ;
- la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1273510 -1200 ) N ;
- la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1291450 -1200 ) N ;
- la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1308930 -1200 ) N ;
- la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1326870 -1200 ) N ;
- la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 688390 -1200 ) N ;
- la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1344350 -1200 ) N ;
- la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1362290 -1200 ) N ;
- la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1380230 -1200 ) N ;
- la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1397710 -1200 ) N ;
- la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1415650 -1200 ) N ;
- la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1433130 -1200 ) N ;
- la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1451070 -1200 ) N ;
- la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1468550 -1200 ) N ;
- la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1486490 -1200 ) N ;
- la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1503970 -1200 ) N ;
- la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 706330 -1200 ) N ;
- la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1521910 -1200 ) N ;
- la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1539850 -1200 ) N ;
- la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1557330 -1200 ) N ;
- la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1575270 -1200 ) N ;
- la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1592750 -1200 ) N ;
- la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1610690 -1200 ) N ;
- la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1628170 -1200 ) N ;
- la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1646110 -1200 ) N ;
- la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1663590 -1200 ) N ;
- la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1681530 -1200 ) N ;
- la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 723810 -1200 ) N ;
- la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1699470 -1200 ) N ;
- la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1716950 -1200 ) N ;
- la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1734890 -1200 ) N ;
- la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1752370 -1200 ) N ;
- la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1770310 -1200 ) N ;
- la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1787790 -1200 ) N ;
- la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1805730 -1200 ) N ;
- la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1823210 -1200 ) N ;
- la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1841150 -1200 ) N ;
- la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1858630 -1200 ) N ;
- la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 741750 -1200 ) N ;
- la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1876570 -1200 ) N ;
- la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1894510 -1200 ) N ;
- la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1911990 -1200 ) N ;
- la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1929930 -1200 ) N ;
- la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1947410 -1200 ) N ;
- la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1965350 -1200 ) N ;
- la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1982830 -1200 ) N ;
- la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2000770 -1200 ) N ;
- la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2018250 -1200 ) N ;
- la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2036190 -1200 ) N ;
- la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 759230 -1200 ) N ;
- la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2054130 -1200 ) N ;
- la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2071610 -1200 ) N ;
- la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2089550 -1200 ) N ;
- la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2107030 -1200 ) N ;
- la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2124970 -1200 ) N ;
- la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2142450 -1200 ) N ;
- la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2160390 -1200 ) N ;
- la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2177870 -1200 ) N ;
- la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2195810 -1200 ) N ;
- la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2213290 -1200 ) N ;
- la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 777170 -1200 ) N ;
- la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2231230 -1200 ) N ;
- la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2249170 -1200 ) N ;
- la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2266650 -1200 ) N ;
- la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2284590 -1200 ) N ;
- la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2302070 -1200 ) N ;
- la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2320010 -1200 ) N ;
- la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2337490 -1200 ) N ;
- la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2355430 -1200 ) N ;
- la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2372910 -1200 ) N ;
- la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2390850 -1200 ) N ;
- la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 794650 -1200 ) N ;
- la_oenb[0] + NET la_oenb[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 641010 -1200 ) N ;
- la_oenb[100] + NET la_oenb[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2414310 -1200 ) N ;
- la_oenb[101] + NET la_oenb[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2432250 -1200 ) N ;
- la_oenb[102] + NET la_oenb[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2449730 -1200 ) N ;
- la_oenb[103] + NET la_oenb[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2467670 -1200 ) N ;
- la_oenb[104] + NET la_oenb[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2485610 -1200 ) N ;
- la_oenb[105] + NET la_oenb[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2503090 -1200 ) N ;
- la_oenb[106] + NET la_oenb[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2521030 -1200 ) N ;
- la_oenb[107] + NET la_oenb[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2538510 -1200 ) N ;
- la_oenb[108] + NET la_oenb[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2556450 -1200 ) N ;
- la_oenb[109] + NET la_oenb[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2573930 -1200 ) N ;
- la_oenb[10] + NET la_oenb[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 818570 -1200 ) N ;
- la_oenb[110] + NET la_oenb[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2591870 -1200 ) N ;
- la_oenb[111] + NET la_oenb[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2609350 -1200 ) N ;
- la_oenb[112] + NET la_oenb[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2627290 -1200 ) N ;
- la_oenb[113] + NET la_oenb[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2645230 -1200 ) N ;
- la_oenb[114] + NET la_oenb[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2662710 -1200 ) N ;
- la_oenb[115] + NET la_oenb[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2680650 -1200 ) N ;
- la_oenb[116] + NET la_oenb[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2698130 -1200 ) N ;
- la_oenb[117] + NET la_oenb[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2716070 -1200 ) N ;
- la_oenb[118] + NET la_oenb[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2733550 -1200 ) N ;
- la_oenb[119] + NET la_oenb[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2751490 -1200 ) N ;
- la_oenb[11] + NET la_oenb[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 836050 -1200 ) N ;
- la_oenb[120] + NET la_oenb[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2768970 -1200 ) N ;
- la_oenb[121] + NET la_oenb[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2786910 -1200 ) N ;
- la_oenb[122] + NET la_oenb[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2804390 -1200 ) N ;
- la_oenb[123] + NET la_oenb[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2822330 -1200 ) N ;
- la_oenb[124] + NET la_oenb[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2840270 -1200 ) N ;
- la_oenb[125] + NET la_oenb[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2857750 -1200 ) N ;
- la_oenb[126] + NET la_oenb[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2875690 -1200 ) N ;
- la_oenb[127] + NET la_oenb[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2893170 -1200 ) N ;
- la_oenb[12] + NET la_oenb[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 853990 -1200 ) N ;
- la_oenb[13] + NET la_oenb[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 871470 -1200 ) N ;
- la_oenb[14] + NET la_oenb[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 889410 -1200 ) N ;
- la_oenb[15] + NET la_oenb[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 907350 -1200 ) N ;
- la_oenb[16] + NET la_oenb[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 924830 -1200 ) N ;
- la_oenb[17] + NET la_oenb[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 942770 -1200 ) N ;
- la_oenb[18] + NET la_oenb[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 960250 -1200 ) N ;
- la_oenb[19] + NET la_oenb[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 978190 -1200 ) N ;
- la_oenb[1] + NET la_oenb[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 658950 -1200 ) N ;
- la_oenb[20] + NET la_oenb[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 995670 -1200 ) N ;
- la_oenb[21] + NET la_oenb[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1013610 -1200 ) N ;
- la_oenb[22] + NET la_oenb[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1031090 -1200 ) N ;
- la_oenb[23] + NET la_oenb[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1049030 -1200 ) N ;
- la_oenb[24] + NET la_oenb[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1066970 -1200 ) N ;
- la_oenb[25] + NET la_oenb[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1084450 -1200 ) N ;
- la_oenb[26] + NET la_oenb[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1102390 -1200 ) N ;
- la_oenb[27] + NET la_oenb[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1119870 -1200 ) N ;
- la_oenb[28] + NET la_oenb[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1137810 -1200 ) N ;
- la_oenb[29] + NET la_oenb[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1155290 -1200 ) N ;
- la_oenb[2] + NET la_oenb[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 676430 -1200 ) N ;
- la_oenb[30] + NET la_oenb[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1173230 -1200 ) N ;
- la_oenb[31] + NET la_oenb[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1190710 -1200 ) N ;
- la_oenb[32] + NET la_oenb[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1208650 -1200 ) N ;
- la_oenb[33] + NET la_oenb[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1226130 -1200 ) N ;
- la_oenb[34] + NET la_oenb[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1244070 -1200 ) N ;
- la_oenb[35] + NET la_oenb[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1262010 -1200 ) N ;
- la_oenb[36] + NET la_oenb[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1279490 -1200 ) N ;
- la_oenb[37] + NET la_oenb[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1297430 -1200 ) N ;
- la_oenb[38] + NET la_oenb[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1314910 -1200 ) N ;
- la_oenb[39] + NET la_oenb[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1332850 -1200 ) N ;
- la_oenb[3] + NET la_oenb[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 694370 -1200 ) N ;
- la_oenb[40] + NET la_oenb[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1350330 -1200 ) N ;
- la_oenb[41] + NET la_oenb[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1368270 -1200 ) N ;
- la_oenb[42] + NET la_oenb[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1385750 -1200 ) N ;
- la_oenb[43] + NET la_oenb[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1403690 -1200 ) N ;
- la_oenb[44] + NET la_oenb[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1421630 -1200 ) N ;
- la_oenb[45] + NET la_oenb[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1439110 -1200 ) N ;
- la_oenb[46] + NET la_oenb[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1457050 -1200 ) N ;
- la_oenb[47] + NET la_oenb[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1474530 -1200 ) N ;
- la_oenb[48] + NET la_oenb[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1492470 -1200 ) N ;
- la_oenb[49] + NET la_oenb[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1509950 -1200 ) N ;
- la_oenb[4] + NET la_oenb[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 712310 -1200 ) N ;
- la_oenb[50] + NET la_oenb[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1527890 -1200 ) N ;
- la_oenb[51] + NET la_oenb[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1545370 -1200 ) N ;
- la_oenb[52] + NET la_oenb[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1563310 -1200 ) N ;
- la_oenb[53] + NET la_oenb[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1581250 -1200 ) N ;
- la_oenb[54] + NET la_oenb[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1598730 -1200 ) N ;
- la_oenb[55] + NET la_oenb[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1616670 -1200 ) N ;
- la_oenb[56] + NET la_oenb[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1634150 -1200 ) N ;
- la_oenb[57] + NET la_oenb[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1652090 -1200 ) N ;
- la_oenb[58] + NET la_oenb[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1669570 -1200 ) N ;
- la_oenb[59] + NET la_oenb[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1687510 -1200 ) N ;
- la_oenb[5] + NET la_oenb[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 729790 -1200 ) N ;
- la_oenb[60] + NET la_oenb[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1704990 -1200 ) N ;
- la_oenb[61] + NET la_oenb[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1722930 -1200 ) N ;
- la_oenb[62] + NET la_oenb[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1740410 -1200 ) N ;
- la_oenb[63] + NET la_oenb[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1758350 -1200 ) N ;
- la_oenb[64] + NET la_oenb[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1776290 -1200 ) N ;
- la_oenb[65] + NET la_oenb[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1793770 -1200 ) N ;
- la_oenb[66] + NET la_oenb[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1811710 -1200 ) N ;
- la_oenb[67] + NET la_oenb[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1829190 -1200 ) N ;
- la_oenb[68] + NET la_oenb[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1847130 -1200 ) N ;
- la_oenb[69] + NET la_oenb[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1864610 -1200 ) N ;
- la_oenb[6] + NET la_oenb[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 747730 -1200 ) N ;
- la_oenb[70] + NET la_oenb[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1882550 -1200 ) N ;
- la_oenb[71] + NET la_oenb[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1900030 -1200 ) N ;
- la_oenb[72] + NET la_oenb[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1917970 -1200 ) N ;
- la_oenb[73] + NET la_oenb[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1935910 -1200 ) N ;
- la_oenb[74] + NET la_oenb[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1953390 -1200 ) N ;
- la_oenb[75] + NET la_oenb[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1971330 -1200 ) N ;
- la_oenb[76] + NET la_oenb[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1988810 -1200 ) N ;
- la_oenb[77] + NET la_oenb[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2006750 -1200 ) N ;
- la_oenb[78] + NET la_oenb[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2024230 -1200 ) N ;
- la_oenb[79] + NET la_oenb[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2042170 -1200 ) N ;
- la_oenb[7] + NET la_oenb[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 765210 -1200 ) N ;
- la_oenb[80] + NET la_oenb[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2059650 -1200 ) N ;
- la_oenb[81] + NET la_oenb[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2077590 -1200 ) N ;
- la_oenb[82] + NET la_oenb[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2095070 -1200 ) N ;
- la_oenb[83] + NET la_oenb[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2113010 -1200 ) N ;
- la_oenb[84] + NET la_oenb[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2130950 -1200 ) N ;
- la_oenb[85] + NET la_oenb[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2148430 -1200 ) N ;
- la_oenb[86] + NET la_oenb[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2166370 -1200 ) N ;
- la_oenb[87] + NET la_oenb[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2183850 -1200 ) N ;
- la_oenb[88] + NET la_oenb[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2201790 -1200 ) N ;
- la_oenb[89] + NET la_oenb[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2219270 -1200 ) N ;
- la_oenb[8] + NET la_oenb[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 783150 -1200 ) N ;
- la_oenb[90] + NET la_oenb[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2237210 -1200 ) N ;
- la_oenb[91] + NET la_oenb[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2254690 -1200 ) N ;
- la_oenb[92] + NET la_oenb[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2272630 -1200 ) N ;
- la_oenb[93] + NET la_oenb[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2290570 -1200 ) N ;
- la_oenb[94] + NET la_oenb[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2308050 -1200 ) N ;
- la_oenb[95] + NET la_oenb[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2325990 -1200 ) N ;
- la_oenb[96] + NET la_oenb[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2343470 -1200 ) N ;
- la_oenb[97] + NET la_oenb[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2361410 -1200 ) N ;
- la_oenb[98] + NET la_oenb[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2378890 -1200 ) N ;
- la_oenb[99] + NET la_oenb[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2396830 -1200 ) N ;
- la_oenb[9] + NET la_oenb[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 800630 -1200 ) N ;
- user_clock2 + NET user_clock2 + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2899150 -1200 ) N ;
- user_irq[0] + NET user_irq[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2905130 -1200 ) N ;
- user_irq[1] + NET user_irq[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2911110 -1200 ) N ;
- user_irq[2] + NET user_irq[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2917090 -1200 ) N ;
- vccd1 + NET vccd1 + SPECIAL + DIRECTION INOUT + USE POWER
+ PORT
+ LAYER met5 ( -1503440 -1550 ) ( 1503440 1550 )
+ LAYER met5 ( -1503440 -181550 ) ( 1503440 -178450 )
+ LAYER met5 ( -1503440 -361550 ) ( 1503440 -358450 )
+ LAYER met5 ( -1503440 -541550 ) ( 1503440 -538450 )
+ LAYER met5 ( -1503440 -721550 ) ( 1503440 -718450 )
+ LAYER met5 ( -1503440 -901550 ) ( 1503440 -898450 )
+ LAYER met5 ( -1503440 -1081550 ) ( 1503440 -1078450 )
+ LAYER met5 ( -1503440 -1261550 ) ( 1503440 -1258450 )
+ LAYER met5 ( -1503440 -1441550 ) ( 1503440 -1438450 )
+ LAYER met5 ( -1503440 -1621550 ) ( 1503440 -1618450 )
+ LAYER met5 ( -1503440 -1801550 ) ( 1503440 -1798450 )
+ LAYER met5 ( -1503440 -1981550 ) ( 1503440 -1978450 )
+ LAYER met5 ( -1503440 -2161550 ) ( 1503440 -2158450 )
+ LAYER met5 ( -1503440 -2341550 ) ( 1503440 -2338450 )
+ LAYER met5 ( -1503440 -2521550 ) ( 1503440 -2518450 )
+ LAYER met5 ( -1503440 -2701550 ) ( 1503440 -2698450 )
+ LAYER met5 ( -1503440 -2881550 ) ( 1503440 -2878450 )
+ LAYER met5 ( -1503440 -3061550 ) ( 1503440 -3058450 )
+ LAYER met5 ( -1503440 -3241550 ) ( 1503440 -3238450 )
+ LAYER met5 ( -1503440 -3421550 ) ( 1503440 -3418450 )
+ LAYER met4 ( 1429160 -3474150 ) ( 1432260 122070 )
+ LAYER met4 ( 1249160 -3474150 ) ( 1252260 122070 )
+ LAYER met4 ( 1069160 -3474150 ) ( 1072260 122070 )
+ LAYER met4 ( 889160 -3474150 ) ( 892260 122070 )
+ LAYER met4 ( 709160 -3474150 ) ( 712260 122070 )
+ LAYER met4 ( 529160 -2528380 ) ( 532260 122070 )
+ LAYER met4 ( 529160 -3474150 ) ( 532260 -2945880 )
+ LAYER met4 ( 349160 -1425880 ) ( 352260 122070 )
+ LAYER met4 ( 349160 -2528380 ) ( 352260 -2045880 )
+ LAYER met4 ( 349160 -3474150 ) ( 352260 -2945880 )
+ LAYER met4 ( 169160 -678380 ) ( 172260 122070 )
+ LAYER met4 ( 169160 -2528380 ) ( 172260 -2045880 )
+ LAYER met4 ( 169160 -3474150 ) ( 172260 -2945880 )
+ LAYER met4 ( -10840 -678380 ) ( -7740 122070 )
+ LAYER met4 ( -10840 -3474150 ) ( -7740 -2045880 )
+ LAYER met4 ( -190840 -678380 ) ( -187740 122070 )
+ LAYER met4 ( -190840 -3474150 ) ( -187740 -2045880 )
+ LAYER met4 ( -370840 -1425880 ) ( -367740 122070 )
+ LAYER met4 ( -370840 -3474150 ) ( -367740 -2045880 )
+ LAYER met4 ( -550840 -2725880 ) ( -547740 122070 )
+ LAYER met4 ( -550840 -3474150 ) ( -547740 -2945880 )
+ LAYER met4 ( -730840 -3474150 ) ( -727740 122070 )
+ LAYER met4 ( -910840 -3474150 ) ( -907740 122070 )
+ LAYER met4 ( -1090840 -3474150 ) ( -1087740 122070 )
+ LAYER met4 ( -1270840 -3474150 ) ( -1267740 122070 )
+ LAYER met4 ( -1450840 -3474150 ) ( -1447740 122070 )
+ LAYER met4 ( 1466740 -3440550 ) ( 1469840 88470 )
+ LAYER met5 ( -1469840 85370 ) ( 1469840 88470 )
+ LAYER met5 ( -1469840 -3440550 ) ( 1469840 -3437450 )
+ LAYER met4 ( -1469840 -3440550 ) ( -1466740 88470 )
+ FIXED ( 1459810 3435880 ) N ;
- vccd2 + NET vccd2 + SPECIAL + DIRECTION INOUT + USE POWER
+ PORT
+ LAYER met5 ( -1503440 -1550 ) ( 1503440 1550 )
+ LAYER met5 ( -1503440 -181550 ) ( 1503440 -178450 )
+ LAYER met5 ( -1503440 -361550 ) ( 1503440 -358450 )
+ LAYER met5 ( -1503440 -541550 ) ( 1503440 -538450 )
+ LAYER met5 ( -1503440 -721550 ) ( 1503440 -718450 )
+ LAYER met5 ( -1503440 -901550 ) ( 1503440 -898450 )
+ LAYER met5 ( -1503440 -1081550 ) ( 1503440 -1078450 )
+ LAYER met5 ( -1503440 -1261550 ) ( 1503440 -1258450 )
+ LAYER met5 ( -1503440 -1441550 ) ( 1503440 -1438450 )
+ LAYER met5 ( -1503440 -1621550 ) ( 1503440 -1618450 )
+ LAYER met5 ( -1503440 -1801550 ) ( 1503440 -1798450 )
+ LAYER met5 ( -1503440 -1981550 ) ( 1503440 -1978450 )
+ LAYER met5 ( -1503440 -2161550 ) ( 1503440 -2158450 )
+ LAYER met5 ( -1503440 -2341550 ) ( 1503440 -2338450 )
+ LAYER met5 ( -1503440 -2521550 ) ( 1503440 -2518450 )
+ LAYER met5 ( -1503440 -2701550 ) ( 1503440 -2698450 )
+ LAYER met5 ( -1503440 -2881550 ) ( 1503440 -2878450 )
+ LAYER met5 ( -1503440 -3061550 ) ( 1503440 -3058450 )
+ LAYER met5 ( -1503440 -3241550 ) ( 1503440 -3238450 )
+ LAYER met5 ( -1503440 -3421550 ) ( 1503440 -3418450 )
+ LAYER met4 ( 1294160 -3519150 ) ( 1297260 77070 )
+ LAYER met4 ( 1114160 -3519150 ) ( 1117260 77070 )
+ LAYER met4 ( 934160 -3519150 ) ( 937260 77070 )
+ LAYER met4 ( 754160 -3519150 ) ( 757260 77070 )
+ LAYER met4 ( 574160 -3519150 ) ( 577260 77070 )
+ LAYER met4 ( 394160 -1470880 ) ( 397260 77070 )
+ LAYER met4 ( 394160 -2573380 ) ( 397260 -2090880 )
+ LAYER met4 ( 394160 -3519150 ) ( 397260 -2990880 )
+ LAYER met4 ( 214160 -723380 ) ( 217260 77070 )
+ LAYER met4 ( 214160 -1470880 ) ( 217260 -1140880 )
+ LAYER met4 ( 214160 -2573380 ) ( 217260 -2090880 )
+ LAYER met4 ( 214160 -3519150 ) ( 217260 -2990880 )
+ LAYER met4 ( 34160 -723380 ) ( 37260 77070 )
+ LAYER met4 ( 34160 -1470880 ) ( 37260 -1140880 )
+ LAYER met4 ( 34160 -2573380 ) ( 37260 -2090880 )
+ LAYER met4 ( 34160 -3519150 ) ( 37260 -2990880 )
+ LAYER met4 ( -145840 -723380 ) ( -142740 77070 )
+ LAYER met4 ( -145840 -1470880 ) ( -142740 -1140880 )
+ LAYER met4 ( -145840 -3519150 ) ( -142740 -2090880 )
+ LAYER met4 ( -325840 -1470880 ) ( -322740 77070 )
+ LAYER met4 ( -325840 -3519150 ) ( -322740 -2090880 )
+ LAYER met4 ( -505840 -2770880 ) ( -502740 77070 )
+ LAYER met4 ( -505840 -3519150 ) ( -502740 -2990880 )
+ LAYER met4 ( -685840 -3519150 ) ( -682740 77070 )
+ LAYER met4 ( -865840 -3519150 ) ( -862740 77070 )
+ LAYER met4 ( -1045840 -3519150 ) ( -1042740 77070 )
+ LAYER met4 ( -1225840 -3519150 ) ( -1222740 77070 )
+ LAYER met4 ( -1405840 -3519150 ) ( -1402740 77070 )
+ LAYER met4 ( 1476340 -3495150 ) ( 1479440 53070 )
+ LAYER met5 ( -1479440 49970 ) ( 1479440 53070 )
+ LAYER met5 ( -1479440 -3495150 ) ( 1479440 -3492050 )
+ LAYER met4 ( -1479440 -3495150 ) ( -1476340 53070 )
+ FIXED ( 1459810 3480880 ) N ;
- vdda1 + NET vdda1 + SPECIAL + DIRECTION INOUT + USE POWER
+ PORT
+ LAYER met5 ( -1503440 -1550 ) ( 1503440 1550 )
+ LAYER met5 ( -1503440 -181550 ) ( 1503440 -178450 )
+ LAYER met5 ( -1503440 -361550 ) ( 1503440 -358450 )
+ LAYER met5 ( -1503440 -541550 ) ( 1503440 -538450 )
+ LAYER met5 ( -1503440 -721550 ) ( 1503440 -718450 )
+ LAYER met5 ( -1503440 -901550 ) ( 1503440 -898450 )
+ LAYER met5 ( -1503440 -1081550 ) ( 1503440 -1078450 )
+ LAYER met5 ( -1503440 -1261550 ) ( 1503440 -1258450 )
+ LAYER met5 ( -1503440 -1441550 ) ( 1503440 -1438450 )
+ LAYER met5 ( -1503440 -1621550 ) ( 1503440 -1618450 )
+ LAYER met5 ( -1503440 -1801550 ) ( 1503440 -1798450 )
+ LAYER met5 ( -1503440 -1981550 ) ( 1503440 -1978450 )
+ LAYER met5 ( -1503440 -2161550 ) ( 1503440 -2158450 )
+ LAYER met5 ( -1503440 -2341550 ) ( 1503440 -2338450 )
+ LAYER met5 ( -1503440 -2521550 ) ( 1503440 -2518450 )
+ LAYER met5 ( -1503440 -2701550 ) ( 1503440 -2698450 )
+ LAYER met5 ( -1503440 -2881550 ) ( 1503440 -2878450 )
+ LAYER met5 ( -1503440 -3061550 ) ( 1503440 -3058450 )
+ LAYER met5 ( -1503440 -3241550 ) ( 1503440 -3238450 )
+ LAYER met4 ( 1339160 -3384150 ) ( 1342260 212070 )
+ LAYER met4 ( 1159160 -3384150 ) ( 1162260 212070 )
+ LAYER met4 ( 979160 -3384150 ) ( 982260 212070 )
+ LAYER met4 ( 799160 -3384150 ) ( 802260 212070 )
+ LAYER met4 ( 619160 -3384150 ) ( 622260 212070 )
+ LAYER met4 ( 439160 -1335880 ) ( 442260 212070 )
+ LAYER met4 ( 439160 -2438380 ) ( 442260 -1955880 )
+ LAYER met4 ( 439160 -3384150 ) ( 442260 -2855880 )
+ LAYER met4 ( 259160 -1335880 ) ( 262260 212070 )
+ LAYER met4 ( 259160 -2438380 ) ( 262260 -1955880 )
+ LAYER met4 ( 259160 -3384150 ) ( 262260 -2855880 )
+ LAYER met4 ( 79160 -588380 ) ( 82260 212070 )
+ LAYER met4 ( 79160 -1335880 ) ( 82260 -1005880 )
+ LAYER met4 ( 79160 -2438380 ) ( 82260 -1955880 )
+ LAYER met4 ( 79160 -3384150 ) ( 82260 -2855880 )
+ LAYER met4 ( -100840 -588380 ) ( -97740 212070 )
+ LAYER met4 ( -100840 -1335880 ) ( -97740 -1005880 )
+ LAYER met4 ( -100840 -3384150 ) ( -97740 -1955880 )
+ LAYER met4 ( -280840 -1335880 ) ( -277740 212070 )
+ LAYER met4 ( -280840 -3384150 ) ( -277740 -1955880 )
+ LAYER met4 ( -460840 -1335880 ) ( -457740 212070 )
+ LAYER met4 ( -460840 -2635880 ) ( -457740 -1955880 )
+ LAYER met4 ( -460840 -3384150 ) ( -457740 -2855880 )
+ LAYER met4 ( -640840 -2635880 ) ( -637740 212070 )
+ LAYER met4 ( -640840 -3384150 ) ( -637740 -2855880 )
+ LAYER met4 ( -820840 -3384150 ) ( -817740 212070 )
+ LAYER met4 ( -1000840 -3384150 ) ( -997740 212070 )
+ LAYER met4 ( -1180840 -3384150 ) ( -1177740 212070 )
+ LAYER met4 ( -1360840 -3384150 ) ( -1357740 212070 )
+ LAYER met4 ( 1485940 -3369750 ) ( 1489040 197670 )
+ LAYER met5 ( -1489040 194570 ) ( 1489040 197670 )
+ LAYER met5 ( -1489040 -3369750 ) ( 1489040 -3366650 )
+ LAYER met4 ( -1489040 -3369750 ) ( -1485940 197670 )
+ FIXED ( 1459810 3345880 ) N ;
- vdda2 + NET vdda2 + SPECIAL + DIRECTION INOUT + USE POWER
+ PORT
+ LAYER met5 ( -1503440 -1550 ) ( 1503440 1550 )
+ LAYER met5 ( -1503440 -181550 ) ( 1503440 -178450 )
+ LAYER met5 ( -1503440 -361550 ) ( 1503440 -358450 )
+ LAYER met5 ( -1503440 -541550 ) ( 1503440 -538450 )
+ LAYER met5 ( -1503440 -721550 ) ( 1503440 -718450 )
+ LAYER met5 ( -1503440 -901550 ) ( 1503440 -898450 )
+ LAYER met5 ( -1503440 -1081550 ) ( 1503440 -1078450 )
+ LAYER met5 ( -1503440 -1261550 ) ( 1503440 -1258450 )
+ LAYER met5 ( -1503440 -1441550 ) ( 1503440 -1438450 )
+ LAYER met5 ( -1503440 -1621550 ) ( 1503440 -1618450 )
+ LAYER met5 ( -1503440 -1801550 ) ( 1503440 -1798450 )
+ LAYER met5 ( -1503440 -1981550 ) ( 1503440 -1978450 )
+ LAYER met5 ( -1503440 -2161550 ) ( 1503440 -2158450 )
+ LAYER met5 ( -1503440 -2341550 ) ( 1503440 -2338450 )
+ LAYER met5 ( -1503440 -2521550 ) ( 1503440 -2518450 )
+ LAYER met5 ( -1503440 -2701550 ) ( 1503440 -2698450 )
+ LAYER met5 ( -1503440 -2881550 ) ( 1503440 -2878450 )
+ LAYER met5 ( -1503440 -3061550 ) ( 1503440 -3058450 )
+ LAYER met5 ( -1503440 -3241550 ) ( 1503440 -3238450 )
+ LAYER met4 ( 1384160 -3429150 ) ( 1387260 167070 )
+ LAYER met4 ( 1204160 -3429150 ) ( 1207260 167070 )
+ LAYER met4 ( 1024160 -3429150 ) ( 1027260 167070 )
+ LAYER met4 ( 844160 -3429150 ) ( 847260 167070 )
+ LAYER met4 ( 664160 -3429150 ) ( 667260 167070 )
+ LAYER met4 ( 484160 -2483380 ) ( 487260 167070 )
+ LAYER met4 ( 484160 -3429150 ) ( 487260 -2900880 )
+ LAYER met4 ( 304160 -1380880 ) ( 307260 167070 )
+ LAYER met4 ( 304160 -2483380 ) ( 307260 -2000880 )
+ LAYER met4 ( 304160 -3429150 ) ( 307260 -2900880 )
+ LAYER met4 ( 124160 -633380 ) ( 127260 167070 )
+ LAYER met4 ( 124160 -1380880 ) ( 127260 -1050880 )
+ LAYER met4 ( 124160 -2483380 ) ( 127260 -2000880 )
+ LAYER met4 ( 124160 -3429150 ) ( 127260 -2900880 )
+ LAYER met4 ( -55840 -633380 ) ( -52740 167070 )
+ LAYER met4 ( -55840 -1380880 ) ( -52740 -1050880 )
+ LAYER met4 ( -55840 -3429150 ) ( -52740 -2000880 )
+ LAYER met4 ( -235840 -633380 ) ( -232740 167070 )
+ LAYER met4 ( -235840 -1380880 ) ( -232740 -1050880 )
+ LAYER met4 ( -235840 -3429150 ) ( -232740 -2000880 )
+ LAYER met4 ( -415840 -1380880 ) ( -412740 167070 )
+ LAYER met4 ( -415840 -3429150 ) ( -412740 -2000880 )
+ LAYER met4 ( -595840 -2680880 ) ( -592740 167070 )
+ LAYER met4 ( -595840 -3429150 ) ( -592740 -2900880 )
+ LAYER met4 ( -775840 -3429150 ) ( -772740 167070 )
+ LAYER met4 ( -955840 -3429150 ) ( -952740 167070 )
+ LAYER met4 ( -1135840 -3429150 ) ( -1132740 167070 )
+ LAYER met4 ( -1315840 -3429150 ) ( -1312740 167070 )
+ LAYER met4 ( 1495540 -3424350 ) ( 1498640 162270 )
+ LAYER met5 ( -1498640 159170 ) ( 1498640 162270 )
+ LAYER met5 ( -1498640 -3424350 ) ( 1498640 -3421250 )
+ LAYER met4 ( -1498640 -3424350 ) ( -1495540 162270 )
+ FIXED ( 1459810 3390880 ) N ;
- vssa1 + NET vssa1 + SPECIAL + DIRECTION INOUT + USE GROUND
+ PORT
+ LAYER met5 ( -1503440 -1550 ) ( 1503440 1550 )
+ LAYER met5 ( -1503440 -181550 ) ( 1503440 -178450 )
+ LAYER met5 ( -1503440 -361550 ) ( 1503440 -358450 )
+ LAYER met5 ( -1503440 -541550 ) ( 1503440 -538450 )
+ LAYER met5 ( -1503440 -721550 ) ( 1503440 -718450 )
+ LAYER met5 ( -1503440 -901550 ) ( 1503440 -898450 )
+ LAYER met5 ( -1503440 -1081550 ) ( 1503440 -1078450 )
+ LAYER met5 ( -1503440 -1261550 ) ( 1503440 -1258450 )
+ LAYER met5 ( -1503440 -1441550 ) ( 1503440 -1438450 )
+ LAYER met5 ( -1503440 -1621550 ) ( 1503440 -1618450 )
+ LAYER met5 ( -1503440 -1801550 ) ( 1503440 -1798450 )
+ LAYER met5 ( -1503440 -1981550 ) ( 1503440 -1978450 )
+ LAYER met5 ( -1503440 -2161550 ) ( 1503440 -2158450 )
+ LAYER met5 ( -1503440 -2341550 ) ( 1503440 -2338450 )
+ LAYER met5 ( -1503440 -2521550 ) ( 1503440 -2518450 )
+ LAYER met5 ( -1503440 -2701550 ) ( 1503440 -2698450 )
+ LAYER met5 ( -1503440 -2881550 ) ( 1503440 -2878450 )
+ LAYER met5 ( -1503440 -3061550 ) ( 1503440 -3058450 )
+ LAYER met5 ( -1503440 -3241550 ) ( 1503440 -3238450 )
+ LAYER met4 ( 1361660 -3406650 ) ( 1364760 189570 )
+ LAYER met4 ( 1181660 -3406650 ) ( 1184760 189570 )
+ LAYER met4 ( 1001660 -3406650 ) ( 1004760 189570 )
+ LAYER met4 ( 821660 -3406650 ) ( 824760 189570 )
+ LAYER met4 ( 641660 -3406650 ) ( 644760 189570 )
+ LAYER met4 ( 461660 -2460880 ) ( 464760 189570 )
+ LAYER met4 ( 461660 -3406650 ) ( 464760 -2878380 )
+ LAYER met4 ( 281660 -1358380 ) ( 284760 189570 )
+ LAYER met4 ( 281660 -2460880 ) ( 284760 -1978380 )
+ LAYER met4 ( 281660 -3406650 ) ( 284760 -2878380 )
+ LAYER met4 ( 101660 -610880 ) ( 104760 189570 )
+ LAYER met4 ( 101660 -1358380 ) ( 104760 -1028380 )
+ LAYER met4 ( 101660 -2460880 ) ( 104760 -1978380 )
+ LAYER met4 ( 101660 -3406650 ) ( 104760 -2878380 )
+ LAYER met4 ( -78340 -610880 ) ( -75240 189570 )
+ LAYER met4 ( -78340 -1358380 ) ( -75240 -1028380 )
+ LAYER met4 ( -78340 -3406650 ) ( -75240 -1978380 )
+ LAYER met4 ( -258340 -610880 ) ( -255240 189570 )
+ LAYER met4 ( -258340 -1358380 ) ( -255240 -1028380 )
+ LAYER met4 ( -258340 -3406650 ) ( -255240 -1978380 )
+ LAYER met4 ( -438340 -1358380 ) ( -435240 189570 )
+ LAYER met4 ( -438340 -3406650 ) ( -435240 -1978380 )
+ LAYER met4 ( -618340 -2658380 ) ( -615240 189570 )
+ LAYER met4 ( -618340 -3406650 ) ( -615240 -2878380 )
+ LAYER met4 ( -798340 -3406650 ) ( -795240 189570 )
+ LAYER met4 ( -978340 -3406650 ) ( -975240 189570 )
+ LAYER met4 ( -1158340 -3406650 ) ( -1155240 189570 )
+ LAYER met4 ( -1338340 -3406650 ) ( -1335240 189570 )
+ LAYER met4 ( 1490740 -3397050 ) ( 1493840 179970 )
+ LAYER met5 ( -1493840 176870 ) ( 1493840 179970 )
+ LAYER met5 ( -1493840 -3397050 ) ( 1493840 -3393950 )
+ LAYER met4 ( -1493840 -3397050 ) ( -1490740 179970 )
+ FIXED ( 1459810 3368380 ) N ;
- vssa2 + NET vssa2 + SPECIAL + DIRECTION INOUT + USE GROUND
+ PORT
+ LAYER met5 ( -1503440 -1550 ) ( 1503440 1550 )
+ LAYER met5 ( -1503440 -181550 ) ( 1503440 -178450 )
+ LAYER met5 ( -1503440 -361550 ) ( 1503440 -358450 )
+ LAYER met5 ( -1503440 -541550 ) ( 1503440 -538450 )
+ LAYER met5 ( -1503440 -721550 ) ( 1503440 -718450 )
+ LAYER met5 ( -1503440 -901550 ) ( 1503440 -898450 )
+ LAYER met5 ( -1503440 -1081550 ) ( 1503440 -1078450 )
+ LAYER met5 ( -1503440 -1261550 ) ( 1503440 -1258450 )
+ LAYER met5 ( -1503440 -1441550 ) ( 1503440 -1438450 )
+ LAYER met5 ( -1503440 -1621550 ) ( 1503440 -1618450 )
+ LAYER met5 ( -1503440 -1801550 ) ( 1503440 -1798450 )
+ LAYER met5 ( -1503440 -1981550 ) ( 1503440 -1978450 )
+ LAYER met5 ( -1503440 -2161550 ) ( 1503440 -2158450 )
+ LAYER met5 ( -1503440 -2341550 ) ( 1503440 -2338450 )
+ LAYER met5 ( -1503440 -2521550 ) ( 1503440 -2518450 )
+ LAYER met5 ( -1503440 -2701550 ) ( 1503440 -2698450 )
+ LAYER met5 ( -1503440 -2881550 ) ( 1503440 -2878450 )
+ LAYER met5 ( -1503440 -3061550 ) ( 1503440 -3058450 )
+ LAYER met5 ( -1503440 -3241550 ) ( 1503440 -3238450 )
+ LAYER met4 ( 1406660 -3451650 ) ( 1409760 144570 )
+ LAYER met4 ( 1226660 -3451650 ) ( 1229760 144570 )
+ LAYER met4 ( 1046660 -3451650 ) ( 1049760 144570 )
+ LAYER met4 ( 866660 -3451650 ) ( 869760 144570 )
+ LAYER met4 ( 686660 -3451650 ) ( 689760 144570 )
+ LAYER met4 ( 506660 -2505880 ) ( 509760 144570 )
+ LAYER met4 ( 506660 -3451650 ) ( 509760 -2923380 )
+ LAYER met4 ( 326660 -1403380 ) ( 329760 144570 )
+ LAYER met4 ( 326660 -2505880 ) ( 329760 -2023380 )
+ LAYER met4 ( 326660 -3451650 ) ( 329760 -2923380 )
+ LAYER met4 ( 146660 -655880 ) ( 149760 144570 )
+ LAYER met4 ( 146660 -1403380 ) ( 149760 -1073380 )
+ LAYER met4 ( 146660 -2505880 ) ( 149760 -2023380 )
+ LAYER met4 ( 146660 -3451650 ) ( 149760 -2923380 )
+ LAYER met4 ( -33340 -655880 ) ( -30240 144570 )
+ LAYER met4 ( -33340 -1403380 ) ( -30240 -1073380 )
+ LAYER met4 ( -33340 -3451650 ) ( -30240 -2023380 )
+ LAYER met4 ( -213340 -655880 ) ( -210240 144570 )
+ LAYER met4 ( -213340 -1403380 ) ( -210240 -1073380 )
+ LAYER met4 ( -213340 -3451650 ) ( -210240 -2023380 )
+ LAYER met4 ( -393340 -1403380 ) ( -390240 144570 )
+ LAYER met4 ( -393340 -3451650 ) ( -390240 -2023380 )
+ LAYER met4 ( -573340 -2703380 ) ( -570240 144570 )
+ LAYER met4 ( -573340 -3451650 ) ( -570240 -2923380 )
+ LAYER met4 ( -753340 -3451650 ) ( -750240 144570 )
+ LAYER met4 ( -933340 -3451650 ) ( -930240 144570 )
+ LAYER met4 ( -1113340 -3451650 ) ( -1110240 144570 )
+ LAYER met4 ( -1293340 -3451650 ) ( -1290240 144570 )
+ LAYER met4 ( 1500340 -3451650 ) ( 1503440 144570 )
+ LAYER met5 ( -1503440 141470 ) ( 1503440 144570 )
+ LAYER met5 ( -1503440 -3451650 ) ( 1503440 -3448550 )
+ LAYER met4 ( -1503440 -3451650 ) ( -1500340 144570 )
+ FIXED ( 1459810 3413380 ) N ;
- vssd1 + NET vssd1 + SPECIAL + DIRECTION INOUT + USE GROUND
+ PORT
+ LAYER met5 ( -1503440 -1550 ) ( 1503440 1550 )
+ LAYER met5 ( -1503440 -181550 ) ( 1503440 -178450 )
+ LAYER met5 ( -1503440 -361550 ) ( 1503440 -358450 )
+ LAYER met5 ( -1503440 -541550 ) ( 1503440 -538450 )
+ LAYER met5 ( -1503440 -721550 ) ( 1503440 -718450 )
+ LAYER met5 ( -1503440 -901550 ) ( 1503440 -898450 )
+ LAYER met5 ( -1503440 -1081550 ) ( 1503440 -1078450 )
+ LAYER met5 ( -1503440 -1261550 ) ( 1503440 -1258450 )
+ LAYER met5 ( -1503440 -1441550 ) ( 1503440 -1438450 )
+ LAYER met5 ( -1503440 -1621550 ) ( 1503440 -1618450 )
+ LAYER met5 ( -1503440 -1801550 ) ( 1503440 -1798450 )
+ LAYER met5 ( -1503440 -1981550 ) ( 1503440 -1978450 )
+ LAYER met5 ( -1503440 -2161550 ) ( 1503440 -2158450 )
+ LAYER met5 ( -1503440 -2341550 ) ( 1503440 -2338450 )
+ LAYER met5 ( -1503440 -2521550 ) ( 1503440 -2518450 )
+ LAYER met5 ( -1503440 -2701550 ) ( 1503440 -2698450 )
+ LAYER met5 ( -1503440 -2881550 ) ( 1503440 -2878450 )
+ LAYER met5 ( -1503440 -3061550 ) ( 1503440 -3058450 )
+ LAYER met5 ( -1503440 -3241550 ) ( 1503440 -3238450 )
+ LAYER met5 ( -1503440 -3421550 ) ( 1503440 -3418450 )
+ LAYER met4 ( 1451660 -3496650 ) ( 1454760 99570 )
+ LAYER met4 ( 1271660 -3496650 ) ( 1274760 99570 )
+ LAYER met4 ( 1091660 -3496650 ) ( 1094760 99570 )
+ LAYER met4 ( 911660 -3496650 ) ( 914760 99570 )
+ LAYER met4 ( 731660 -3496650 ) ( 734760 99570 )
+ LAYER met4 ( 551660 -3496650 ) ( 554760 99570 )
+ LAYER met4 ( 371660 -1448380 ) ( 374760 99570 )
+ LAYER met4 ( 371660 -2550880 ) ( 374760 -2068380 )
+ LAYER met4 ( 371660 -3496650 ) ( 374760 -2968380 )
+ LAYER met4 ( 191660 -700880 ) ( 194760 99570 )
+ LAYER met4 ( 191660 -1448380 ) ( 194760 -1118380 )
+ LAYER met4 ( 191660 -2550880 ) ( 194760 -2068380 )
+ LAYER met4 ( 191660 -3496650 ) ( 194760 -2968380 )
+ LAYER met4 ( 11660 -700880 ) ( 14760 99570 )
+ LAYER met4 ( 11660 -1448380 ) ( 14760 -1118380 )
+ LAYER met4 ( 11660 -3496650 ) ( 14760 -2068380 )
+ LAYER met4 ( -168340 -700880 ) ( -165240 99570 )
+ LAYER met4 ( -168340 -1448380 ) ( -165240 -1118380 )
+ LAYER met4 ( -168340 -3496650 ) ( -165240 -2068380 )
+ LAYER met4 ( -348340 -1448380 ) ( -345240 99570 )
+ LAYER met4 ( -348340 -3496650 ) ( -345240 -2068380 )
+ LAYER met4 ( -528340 -2748380 ) ( -525240 99570 )
+ LAYER met4 ( -528340 -3496650 ) ( -525240 -2968380 )
+ LAYER met4 ( -708340 -3496650 ) ( -705240 99570 )
+ LAYER met4 ( -888340 -3496650 ) ( -885240 99570 )
+ LAYER met4 ( -1068340 -3496650 ) ( -1065240 99570 )
+ LAYER met4 ( -1248340 -3496650 ) ( -1245240 99570 )
+ LAYER met4 ( -1428340 -3496650 ) ( -1425240 99570 )
+ LAYER met4 ( 1471540 -3467850 ) ( 1474640 70770 )
+ LAYER met5 ( -1474640 67670 ) ( 1474640 70770 )
+ LAYER met5 ( -1474640 -3467850 ) ( 1474640 -3464750 )
+ LAYER met4 ( -1474640 -3467850 ) ( -1471540 70770 )
+ FIXED ( 1459810 3458380 ) N ;
- vssd2 + NET vssd2 + SPECIAL + DIRECTION INOUT + USE GROUND
+ PORT
+ LAYER met5 ( -1503440 -1550 ) ( 1503440 1550 )
+ LAYER met5 ( -1503440 -181550 ) ( 1503440 -178450 )
+ LAYER met5 ( -1503440 -361550 ) ( 1503440 -358450 )
+ LAYER met5 ( -1503440 -541550 ) ( 1503440 -538450 )
+ LAYER met5 ( -1503440 -721550 ) ( 1503440 -718450 )
+ LAYER met5 ( -1503440 -901550 ) ( 1503440 -898450 )
+ LAYER met5 ( -1503440 -1081550 ) ( 1503440 -1078450 )
+ LAYER met5 ( -1503440 -1261550 ) ( 1503440 -1258450 )
+ LAYER met5 ( -1503440 -1441550 ) ( 1503440 -1438450 )
+ LAYER met5 ( -1503440 -1621550 ) ( 1503440 -1618450 )
+ LAYER met5 ( -1503440 -1801550 ) ( 1503440 -1798450 )
+ LAYER met5 ( -1503440 -1981550 ) ( 1503440 -1978450 )
+ LAYER met5 ( -1503440 -2161550 ) ( 1503440 -2158450 )
+ LAYER met5 ( -1503440 -2341550 ) ( 1503440 -2338450 )
+ LAYER met5 ( -1503440 -2521550 ) ( 1503440 -2518450 )
+ LAYER met5 ( -1503440 -2701550 ) ( 1503440 -2698450 )
+ LAYER met5 ( -1503440 -2881550 ) ( 1503440 -2878450 )
+ LAYER met5 ( -1503440 -3061550 ) ( 1503440 -3058450 )
+ LAYER met5 ( -1503440 -3241550 ) ( 1503440 -3238450 )
+ LAYER met5 ( -1503440 -3421550 ) ( 1503440 -3418450 )
+ LAYER met4 ( 1316660 -3541650 ) ( 1319760 54570 )
+ LAYER met4 ( 1136660 -3541650 ) ( 1139760 54570 )
+ LAYER met4 ( 956660 -3541650 ) ( 959760 54570 )
+ LAYER met4 ( 776660 -3541650 ) ( 779760 54570 )
+ LAYER met4 ( 596660 -3541650 ) ( 599760 54570 )
+ LAYER met4 ( 416660 -1493380 ) ( 419760 54570 )
+ LAYER met4 ( 416660 -2595880 ) ( 419760 -2113380 )
+ LAYER met4 ( 416660 -3541650 ) ( 419760 -3013380 )
+ LAYER met4 ( 236660 -1493380 ) ( 239760 54570 )
+ LAYER met4 ( 236660 -2595880 ) ( 239760 -2113380 )
+ LAYER met4 ( 236660 -3541650 ) ( 239760 -3013380 )
+ LAYER met4 ( 56660 -745880 ) ( 59760 54570 )
+ LAYER met4 ( 56660 -1493380 ) ( 59760 -1163380 )
+ LAYER met4 ( 56660 -2595880 ) ( 59760 -2113380 )
+ LAYER met4 ( 56660 -3541650 ) ( 59760 -3013380 )
+ LAYER met4 ( -123340 -745880 ) ( -120240 54570 )
+ LAYER met4 ( -123340 -1493380 ) ( -120240 -1163380 )
+ LAYER met4 ( -123340 -3541650 ) ( -120240 -2113380 )
+ LAYER met4 ( -303340 -1493380 ) ( -300240 54570 )
+ LAYER met4 ( -303340 -3541650 ) ( -300240 -2113380 )
+ LAYER met4 ( -483340 -2793380 ) ( -480240 54570 )
+ LAYER met4 ( -483340 -3541650 ) ( -480240 -3013380 )
+ LAYER met4 ( -663340 -2793380 ) ( -660240 54570 )
+ LAYER met4 ( -663340 -3541650 ) ( -660240 -3013380 )
+ LAYER met4 ( -843340 -3541650 ) ( -840240 54570 )
+ LAYER met4 ( -1023340 -3541650 ) ( -1020240 54570 )
+ LAYER met4 ( -1203340 -3541650 ) ( -1200240 54570 )
+ LAYER met4 ( -1383340 -3541650 ) ( -1380240 54570 )
+ LAYER met4 ( 1481140 -3522450 ) ( 1484240 35370 )
+ LAYER met5 ( -1484240 32270 ) ( 1484240 35370 )
+ LAYER met5 ( -1484240 -3522450 ) ( 1484240 -3519350 )
+ LAYER met4 ( -1484240 -3522450 ) ( -1481140 35370 )
+ FIXED ( 1459810 3503380 ) N ;
- wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2990 -1200 ) N ;
- wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 8510 -1200 ) N ;
- wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 14490 -1200 ) N ;
- wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 38410 -1200 ) N ;
- wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 239430 -1200 ) N ;
- wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 256910 -1200 ) N ;
- wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 274850 -1200 ) N ;
- wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 292330 -1200 ) N ;
- wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 310270 -1200 ) N ;
- wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 327750 -1200 ) N ;
- wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 345690 -1200 ) N ;
- wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 363170 -1200 ) N ;
- wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 381110 -1200 ) N ;
- wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 398590 -1200 ) N ;
- wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 61870 -1200 ) N ;
- wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 416530 -1200 ) N ;
- wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 434470 -1200 ) N ;
- wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 451950 -1200 ) N ;
- wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 469890 -1200 ) N ;
- wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 487370 -1200 ) N ;
- wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 505310 -1200 ) N ;
- wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 522790 -1200 ) N ;
- wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 540730 -1200 ) N ;
- wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 558210 -1200 ) N ;
- wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 576150 -1200 ) N ;
- wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 85330 -1200 ) N ;
- wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 594090 -1200 ) N ;
- wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 611570 -1200 ) N ;
- wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 109250 -1200 ) N ;
- wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 132710 -1200 ) N ;
- wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 150650 -1200 ) N ;
- wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 168130 -1200 ) N ;
- wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 186070 -1200 ) N ;
- wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 203550 -1200 ) N ;
- wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 221490 -1200 ) N ;
- wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 20470 -1200 ) N ;
- wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 43930 -1200 ) N ;
- wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 244950 -1200 ) N ;
- wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 262890 -1200 ) N ;
- wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 280370 -1200 ) N ;
- wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 298310 -1200 ) N ;
- wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 316250 -1200 ) N ;
- wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 333730 -1200 ) N ;
- wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 351670 -1200 ) N ;
- wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 369150 -1200 ) N ;
- wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 387090 -1200 ) N ;
- wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 404570 -1200 ) N ;
- wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 67850 -1200 ) N ;
- wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 422510 -1200 ) N ;
- wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 439990 -1200 ) N ;
- wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 457930 -1200 ) N ;
- wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 475870 -1200 ) N ;
- wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 493350 -1200 ) N ;
- wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 511290 -1200 ) N ;
- wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 528770 -1200 ) N ;
- wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 546710 -1200 ) N ;
- wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 564190 -1200 ) N ;
- wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 582130 -1200 ) N ;
- wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 91310 -1200 ) N ;
- wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 599610 -1200 ) N ;
- wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 617550 -1200 ) N ;
- wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 115230 -1200 ) N ;
- wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 138690 -1200 ) N ;
- wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 156630 -1200 ) N ;
- wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 174110 -1200 ) N ;
- wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 192050 -1200 ) N ;
- wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 209530 -1200 ) N ;
- wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 227470 -1200 ) N ;
- wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 49910 -1200 ) N ;
- wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 250930 -1200 ) N ;
- wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 268870 -1200 ) N ;
- wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 286350 -1200 ) N ;
- wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 304290 -1200 ) N ;
- wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 321770 -1200 ) N ;
- wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 339710 -1200 ) N ;
- wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 357650 -1200 ) N ;
- wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 375130 -1200 ) N ;
- wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 393070 -1200 ) N ;
- wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 410550 -1200 ) N ;
- wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 73830 -1200 ) N ;
- wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 428490 -1200 ) N ;
- wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 445970 -1200 ) N ;
- wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 463910 -1200 ) N ;
- wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 481390 -1200 ) N ;
- wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 499330 -1200 ) N ;
- wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 516810 -1200 ) N ;
- wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 534750 -1200 ) N ;
- wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 552690 -1200 ) N ;
- wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 570170 -1200 ) N ;
- wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 588110 -1200 ) N ;
- wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 97290 -1200 ) N ;
- wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 605590 -1200 ) N ;
- wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 623530 -1200 ) N ;
- wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 121210 -1200 ) N ;
- wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 144670 -1200 ) N ;
- wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 162150 -1200 ) N ;
- wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 180090 -1200 ) N ;
- wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 198030 -1200 ) N ;
- wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 215510 -1200 ) N ;
- wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 233450 -1200 ) N ;
- wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 55890 -1200 ) N ;
- wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 79810 -1200 ) N ;
- wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 103270 -1200 ) N ;
- wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 126730 -1200 ) N ;
- wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 26450 -1200 ) N ;
- wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 32430 -1200 ) N ;
END PINS
BLOCKAGES 9 ;
- LAYER li1 RECT ( 0 0 ) ( 2920000 3520000 ) ;
- LAYER met1 RECT ( 1200000 2350000 ) ( 1679780 2747500 ) ;
- LAYER met2 RECT ( 1200000 2350000 ) ( 1679780 2747500 ) ;
- LAYER met3 RECT ( 1200000 2350000 ) ( 1679780 2747500 ) ;
- LAYER met4 RECT ( 1200000 2350000 ) ( 1679780 2747500 ) ;
- LAYER met1 RECT ( 1500000 500000 ) ( 1979780 897500 ) ;
- LAYER met2 RECT ( 1500000 500000 ) ( 1979780 897500 ) ;
- LAYER met3 RECT ( 1500000 500000 ) ( 1979780 897500 ) ;
- LAYER met4 RECT ( 1500000 500000 ) ( 1979780 897500 ) ;
END BLOCKAGES
SPECIALNETS 8 ;
- vccd1 ( PIN vccd1 ) ( * vccd1 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 1789840 1815880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1789840 1635880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1789840 1455880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1636240 1815880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1636240 1635880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1636240 1455880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1482640 1815880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1482640 1635880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1482640 1455880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1329040 1815880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1329040 1635880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1329040 1455880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1175440 1815880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1175440 1635880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1175440 1455880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1021840 1815880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1021840 1635880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1021840 1455880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 975440 555880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 821840 555880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1974150 735880 ) via5_6_1740_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1974150 555880 ) via5_6_1740_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1505630 735880 ) via5_6_1740_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1505630 555880 ) via5_6_1740_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1674150 2715880 ) via5_6_1740_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1674150 2535880 ) via5_6_1740_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1674150 2356095 ) via5_6_1740_2670_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1205630 2715880 ) via5_6_1740_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1205630 2535880 ) via5_6_1740_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1205630 2356095 ) via5_6_1740_2670_1_1_1600_1600
NEW met5 3100 + SHAPE STRIPE ( -43630 3435880 ) ( 2963250 3435880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3255880 ) ( 2963250 3255880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3075880 ) ( 2963250 3075880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2895880 ) ( 2963250 2895880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2715880 ) ( 2963250 2715880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2535880 ) ( 2963250 2535880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2355880 ) ( 2963250 2355880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2175880 ) ( 2963250 2175880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1995880 ) ( 2963250 1995880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1815880 ) ( 2963250 1815880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1635880 ) ( 2963250 1635880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1455880 ) ( 2963250 1455880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1275880 ) ( 2963250 1275880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1095880 ) ( 2963250 1095880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 915880 ) ( 2963250 915880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 735880 ) ( 2963250 735880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 555880 ) ( 2963250 555880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 375880 ) ( 2963250 375880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 195880 ) ( 2963250 195880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 15880 ) ( 2963250 15880 )
NEW met4 3100 + SHAPE STRIPE ( 2890520 -38270 ) ( 2890520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2710520 -38270 ) ( 2710520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2530520 -38270 ) ( 2530520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2350520 -38270 ) ( 2350520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2170520 -38270 ) ( 2170520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1990520 907500 ) ( 1990520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1990520 -38270 ) ( 1990520 490000 )
NEW met4 3100 + SHAPE STRIPE ( 1810520 2010000 ) ( 1810520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1810520 907500 ) ( 1810520 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 1810520 -38270 ) ( 1810520 490000 )
NEW met4 3100 + SHAPE STRIPE ( 1630520 2757500 ) ( 1630520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1630520 907500 ) ( 1630520 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 1630520 -38270 ) ( 1630520 490000 )
NEW met4 3100 + SHAPE STRIPE ( 1450520 2757500 ) ( 1450520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1450520 -38270 ) ( 1450520 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 1270520 2757500 ) ( 1270520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1270520 -38270 ) ( 1270520 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 1090520 2010000 ) ( 1090520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1090520 -38270 ) ( 1090520 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 910520 710000 ) ( 910520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 910520 -38270 ) ( 910520 490000 )
NEW met4 3100 + SHAPE STRIPE ( 730520 -38270 ) ( 730520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 550520 -38270 ) ( 550520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 370520 -38270 ) ( 370520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 190520 -38270 ) ( 190520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 10520 -38270 ) ( 10520 3557950 )
NEW met4 3100 + SHAPE RING ( 2928100 -4670 ) ( 2928100 3524350 )
NEW met5 3100 + SHAPE RING ( -10030 3522800 ) ( 2929650 3522800 )
NEW met5 3100 + SHAPE RING ( -10030 -3120 ) ( 2929650 -3120 )
NEW met4 3100 + SHAPE RING ( -8480 -4670 ) ( -8480 3524350 )
NEW met4 0 + SHAPE RING ( 2928100 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 1815880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 1635880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( 2928100 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 1815880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 1635880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 1815880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 1635880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 1815880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 1635880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 1815880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 1635880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 1815880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 1635880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 1815880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 1635880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 1815880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 1635880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 1815880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 1635880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 1815880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 1635880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 1815880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 1635880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 1815880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 1635880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 1815880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 1635880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -8480 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 1815880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 1635880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -8480 -3120 ) via5_6_3100_3100_2_2_1600_1600 ;
- vccd2 ( PIN vccd2 ) + USE POWER
+ ROUTED met5 3100 + SHAPE STRIPE ( -43630 3480880 ) ( 2963250 3480880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3300880 ) ( 2963250 3300880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3120880 ) ( 2963250 3120880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2940880 ) ( 2963250 2940880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2760880 ) ( 2963250 2760880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2580880 ) ( 2963250 2580880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2400880 ) ( 2963250 2400880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2220880 ) ( 2963250 2220880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2040880 ) ( 2963250 2040880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1860880 ) ( 2963250 1860880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1680880 ) ( 2963250 1680880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1500880 ) ( 2963250 1500880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1320880 ) ( 2963250 1320880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1140880 ) ( 2963250 1140880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 960880 ) ( 2963250 960880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 780880 ) ( 2963250 780880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 600880 ) ( 2963250 600880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 420880 ) ( 2963250 420880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 240880 ) ( 2963250 240880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 60880 ) ( 2963250 60880 )
NEW met4 3100 + SHAPE STRIPE ( 2755520 -38270 ) ( 2755520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2575520 -38270 ) ( 2575520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2395520 -38270 ) ( 2395520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2215520 -38270 ) ( 2215520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2035520 -38270 ) ( 2035520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1855520 2010000 ) ( 1855520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1855520 907500 ) ( 1855520 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 1855520 -38270 ) ( 1855520 490000 )
NEW met4 3100 + SHAPE STRIPE ( 1675520 2757500 ) ( 1675520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1675520 2010000 ) ( 1675520 2340000 )
NEW met4 3100 + SHAPE STRIPE ( 1675520 907500 ) ( 1675520 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 1675520 -38270 ) ( 1675520 490000 )
NEW met4 3100 + SHAPE STRIPE ( 1495520 2757500 ) ( 1495520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1495520 2010000 ) ( 1495520 2340000 )
NEW met4 3100 + SHAPE STRIPE ( 1495520 907500 ) ( 1495520 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 1495520 -38270 ) ( 1495520 490000 )
NEW met4 3100 + SHAPE STRIPE ( 1315520 2757500 ) ( 1315520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1315520 2010000 ) ( 1315520 2340000 )
NEW met4 3100 + SHAPE STRIPE ( 1315520 -38270 ) ( 1315520 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 1135520 2010000 ) ( 1135520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1135520 -38270 ) ( 1135520 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 955520 710000 ) ( 955520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 955520 -38270 ) ( 955520 490000 )
NEW met4 3100 + SHAPE STRIPE ( 775520 -38270 ) ( 775520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 595520 -38270 ) ( 595520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 415520 -38270 ) ( 415520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 235520 -38270 ) ( 235520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 55520 -38270 ) ( 55520 3557950 )
NEW met4 3100 + SHAPE RING ( 2937700 -14270 ) ( 2937700 3533950 )
NEW met5 3100 + SHAPE RING ( -19630 3532400 ) ( 2939250 3532400 )
NEW met5 3100 + SHAPE RING ( -19630 -12720 ) ( 2939250 -12720 )
NEW met4 3100 + SHAPE RING ( -18080 -14270 ) ( -18080 3533950 )
NEW met4 0 + SHAPE RING ( 2937700 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 3480880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 3300880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 3120880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 2940880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 2760880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 2580880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 2400880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 2220880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 2040880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 1860880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 1680880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 1500880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 1320880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 1140880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 960880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 780880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 600880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 420880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 240880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 60880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( 2937700 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2755520 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2755520 3480880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2755520 3300880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2755520 3120880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2755520 2940880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2755520 2760880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2755520 2580880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2755520 2400880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2755520 2220880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2755520 2040880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2755520 1860880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2755520 1680880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2755520 1500880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2755520 1320880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2755520 1140880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2755520 960880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2755520 780880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2755520 600880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2755520 420880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2755520 240880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2755520 60880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2755520 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2575520 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2575520 3480880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2575520 3300880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2575520 3120880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2575520 2940880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2575520 2760880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2575520 2580880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2575520 2400880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2575520 2220880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2575520 2040880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2575520 1860880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2575520 1680880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2575520 1500880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2575520 1320880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2575520 1140880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2575520 960880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2575520 780880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2575520 600880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2575520 420880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2575520 240880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2575520 60880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2575520 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2395520 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2395520 3480880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2395520 3300880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2395520 3120880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2395520 2940880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2395520 2760880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2395520 2580880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2395520 2400880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2395520 2220880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2395520 2040880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2395520 1860880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2395520 1680880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2395520 1500880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2395520 1320880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2395520 1140880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2395520 960880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2395520 780880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2395520 600880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2395520 420880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2395520 240880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2395520 60880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2395520 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2215520 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2215520 3480880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2215520 3300880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2215520 3120880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2215520 2940880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2215520 2760880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2215520 2580880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2215520 2400880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2215520 2220880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2215520 2040880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2215520 1860880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2215520 1680880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2215520 1500880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2215520 1320880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2215520 1140880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2215520 960880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2215520 780880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2215520 600880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2215520 420880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2215520 240880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2215520 60880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2215520 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2035520 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2035520 3480880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2035520 3300880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2035520 3120880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2035520 2940880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2035520 2760880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2035520 2580880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2035520 2400880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2035520 2220880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2035520 2040880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2035520 1860880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2035520 1680880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2035520 1500880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2035520 1320880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2035520 1140880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2035520 960880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2035520 780880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2035520 600880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2035520 420880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2035520 240880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2035520 60880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2035520 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1855520 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1855520 3480880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1855520 3300880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1855520 3120880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1855520 2940880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1855520 2760880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1855520 2580880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1855520 2400880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1855520 2220880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1855520 2040880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1855520 1320880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1855520 1140880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1855520 960880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1855520 420880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1855520 240880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1855520 60880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1855520 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1675520 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1675520 3480880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1675520 3300880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1675520 3120880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1675520 2940880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1675520 2760880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1675520 2220880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1675520 2040880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1675520 1320880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1675520 1140880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1675520 960880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1675520 420880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1675520 240880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1675520 60880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1675520 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1495520 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1495520 3480880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1495520 3300880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1495520 3120880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1495520 2940880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1495520 2760880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1495520 2220880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1495520 2040880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1495520 1320880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1495520 1140880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1495520 960880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1495520 420880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1495520 240880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1495520 60880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1495520 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1315520 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1315520 3480880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1315520 3300880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1315520 3120880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1315520 2940880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1315520 2760880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1315520 2220880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1315520 2040880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1315520 1320880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1315520 1140880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1315520 960880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1315520 780880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1315520 600880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1315520 420880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1315520 240880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1315520 60880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1315520 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1135520 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1135520 3480880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1135520 3300880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1135520 3120880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1135520 2940880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1135520 2760880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1135520 2580880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1135520 2400880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1135520 2220880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1135520 2040880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1135520 1320880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1135520 1140880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1135520 960880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1135520 780880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1135520 600880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1135520 420880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1135520 240880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1135520 60880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1135520 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 955520 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 955520 3480880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 955520 3300880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 955520 3120880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 955520 2940880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 955520 2760880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 955520 2580880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 955520 2400880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 955520 2220880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 955520 2040880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 955520 1860880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 955520 1680880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 955520 1500880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 955520 1320880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 955520 1140880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 955520 960880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 955520 780880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 955520 420880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 955520 240880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 955520 60880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 955520 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 775520 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 775520 3480880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 775520 3300880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 775520 3120880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 775520 2940880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 775520 2760880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 775520 2580880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 775520 2400880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 775520 2220880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 775520 2040880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 775520 1860880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 775520 1680880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 775520 1500880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 775520 1320880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 775520 1140880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 775520 960880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 775520 780880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 775520 600880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 775520 420880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 775520 240880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 775520 60880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 775520 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 595520 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 595520 3480880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 595520 3300880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 595520 3120880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 595520 2940880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 595520 2760880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 595520 2580880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 595520 2400880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 595520 2220880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 595520 2040880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 595520 1860880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 595520 1680880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 595520 1500880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 595520 1320880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 595520 1140880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 595520 960880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 595520 780880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 595520 600880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 595520 420880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 595520 240880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 595520 60880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 595520 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 415520 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 415520 3480880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 415520 3300880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 415520 3120880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 415520 2940880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 415520 2760880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 415520 2580880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 415520 2400880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 415520 2220880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 415520 2040880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 415520 1860880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 415520 1680880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 415520 1500880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 415520 1320880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 415520 1140880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 415520 960880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 415520 780880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 415520 600880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 415520 420880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 415520 240880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 415520 60880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 415520 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 235520 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 235520 3480880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 235520 3300880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 235520 3120880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 235520 2940880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 235520 2760880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 235520 2580880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 235520 2400880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 235520 2220880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 235520 2040880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 235520 1860880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 235520 1680880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 235520 1500880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 235520 1320880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 235520 1140880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 235520 960880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 235520 780880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 235520 600880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 235520 420880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 235520 240880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 235520 60880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 235520 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 55520 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 55520 3480880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 55520 3300880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 55520 3120880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 55520 2940880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 55520 2760880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 55520 2580880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 55520 2400880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 55520 2220880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 55520 2040880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 55520 1860880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 55520 1680880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 55520 1500880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 55520 1320880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 55520 1140880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 55520 960880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 55520 780880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 55520 600880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 55520 420880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 55520 240880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 55520 60880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 55520 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -18080 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 3480880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 3300880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 3120880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 2940880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 2760880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 2580880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 2400880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 2220880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 2040880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 1860880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 1680880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 1500880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 1320880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 1140880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 960880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 780880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 600880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 420880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 240880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 60880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -18080 -12720 ) via5_6_3100_3100_2_2_1600_1600 ;
- vdda1 ( PIN vdda1 ) + USE POWER
+ ROUTED met5 3100 + SHAPE STRIPE ( -43630 3345880 ) ( 2963250 3345880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3165880 ) ( 2963250 3165880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2985880 ) ( 2963250 2985880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2805880 ) ( 2963250 2805880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2625880 ) ( 2963250 2625880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2445880 ) ( 2963250 2445880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2265880 ) ( 2963250 2265880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2085880 ) ( 2963250 2085880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1905880 ) ( 2963250 1905880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1725880 ) ( 2963250 1725880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1545880 ) ( 2963250 1545880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1365880 ) ( 2963250 1365880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1185880 ) ( 2963250 1185880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1005880 ) ( 2963250 1005880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 825880 ) ( 2963250 825880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 645880 ) ( 2963250 645880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 465880 ) ( 2963250 465880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 285880 ) ( 2963250 285880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 105880 ) ( 2963250 105880 )
NEW met4 3100 + SHAPE STRIPE ( 2800520 -38270 ) ( 2800520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2620520 -38270 ) ( 2620520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2440520 -38270 ) ( 2440520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2260520 -38270 ) ( 2260520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2080520 -38270 ) ( 2080520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1900520 2010000 ) ( 1900520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1900520 907500 ) ( 1900520 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 1900520 -38270 ) ( 1900520 490000 )
NEW met4 3100 + SHAPE STRIPE ( 1720520 2010000 ) ( 1720520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1720520 907500 ) ( 1720520 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 1720520 -38270 ) ( 1720520 490000 )
NEW met4 3100 + SHAPE STRIPE ( 1540520 2757500 ) ( 1540520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1540520 2010000 ) ( 1540520 2340000 )
NEW met4 3100 + SHAPE STRIPE ( 1540520 907500 ) ( 1540520 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 1540520 -38270 ) ( 1540520 490000 )
NEW met4 3100 + SHAPE STRIPE ( 1360520 2757500 ) ( 1360520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1360520 2010000 ) ( 1360520 2340000 )
NEW met4 3100 + SHAPE STRIPE ( 1360520 -38270 ) ( 1360520 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 1180520 2010000 ) ( 1180520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1180520 -38270 ) ( 1180520 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 1000520 2010000 ) ( 1000520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1000520 710000 ) ( 1000520 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 1000520 -38270 ) ( 1000520 490000 )
NEW met4 3100 + SHAPE STRIPE ( 820520 710000 ) ( 820520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 820520 -38270 ) ( 820520 490000 )
NEW met4 3100 + SHAPE STRIPE ( 640520 -38270 ) ( 640520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 460520 -38270 ) ( 460520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 280520 -38270 ) ( 280520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 100520 -38270 ) ( 100520 3557950 )
NEW met4 3100 + SHAPE RING ( 2947300 -23870 ) ( 2947300 3543550 )
NEW met5 3100 + SHAPE RING ( -29230 3542000 ) ( 2948850 3542000 )
NEW met5 3100 + SHAPE RING ( -29230 -22320 ) ( 2948850 -22320 )
NEW met4 3100 + SHAPE RING ( -27680 -23870 ) ( -27680 3543550 )
NEW met4 0 + SHAPE RING ( 2947300 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 3345880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 3165880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 2985880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 2805880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 2625880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 2445880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 2265880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 2085880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 1905880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 1725880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 1545880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 1365880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 1185880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 1005880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 825880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 645880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 465880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 285880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 105880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( 2947300 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2800520 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2800520 3345880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2800520 3165880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2800520 2985880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2800520 2805880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2800520 2625880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2800520 2445880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2800520 2265880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2800520 2085880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2800520 1905880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2800520 1725880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2800520 1545880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2800520 1365880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2800520 1185880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2800520 1005880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2800520 825880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2800520 645880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2800520 465880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2800520 285880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2800520 105880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2800520 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2620520 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2620520 3345880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2620520 3165880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2620520 2985880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2620520 2805880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2620520 2625880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2620520 2445880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2620520 2265880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2620520 2085880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2620520 1905880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2620520 1725880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2620520 1545880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2620520 1365880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2620520 1185880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2620520 1005880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2620520 825880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2620520 645880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2620520 465880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2620520 285880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2620520 105880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2620520 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2440520 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2440520 3345880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2440520 3165880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2440520 2985880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2440520 2805880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2440520 2625880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2440520 2445880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2440520 2265880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2440520 2085880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2440520 1905880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2440520 1725880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2440520 1545880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2440520 1365880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2440520 1185880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2440520 1005880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2440520 825880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2440520 645880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2440520 465880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2440520 285880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2440520 105880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2440520 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2260520 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2260520 3345880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2260520 3165880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2260520 2985880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2260520 2805880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2260520 2625880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2260520 2445880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2260520 2265880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2260520 2085880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2260520 1905880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2260520 1725880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2260520 1545880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2260520 1365880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2260520 1185880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2260520 1005880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2260520 825880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2260520 645880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2260520 465880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2260520 285880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2260520 105880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2260520 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2080520 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2080520 3345880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2080520 3165880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2080520 2985880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2080520 2805880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2080520 2625880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2080520 2445880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2080520 2265880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2080520 2085880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2080520 1905880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2080520 1725880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2080520 1545880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2080520 1365880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2080520 1185880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2080520 1005880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2080520 825880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2080520 645880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2080520 465880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2080520 285880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2080520 105880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2080520 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1900520 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1900520 3345880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1900520 3165880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1900520 2985880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1900520 2805880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1900520 2625880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1900520 2445880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1900520 2265880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1900520 2085880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1900520 1365880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1900520 1185880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1900520 1005880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1900520 465880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1900520 285880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1900520 105880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1900520 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1720520 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1720520 3345880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1720520 3165880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1720520 2985880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1720520 2805880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1720520 2625880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1720520 2445880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1720520 2265880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1720520 2085880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1720520 1365880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1720520 1185880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1720520 1005880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1720520 465880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1720520 285880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1720520 105880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1720520 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1540520 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1540520 3345880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1540520 3165880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1540520 2985880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1540520 2805880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1540520 2265880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1540520 2085880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1540520 1365880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1540520 1185880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1540520 1005880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1540520 465880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1540520 285880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1540520 105880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1540520 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1360520 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1360520 3345880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1360520 3165880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1360520 2985880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1360520 2805880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1360520 2265880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1360520 2085880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1360520 1365880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1360520 1185880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1360520 1005880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1360520 825880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1360520 645880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1360520 465880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1360520 285880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1360520 105880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1360520 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1180520 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1180520 3345880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1180520 3165880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1180520 2985880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1180520 2805880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1180520 2625880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1180520 2445880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1180520 2265880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1180520 2085880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1180520 1365880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1180520 1185880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1180520 1005880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1180520 825880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1180520 645880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1180520 465880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1180520 285880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1180520 105880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1180520 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1000520 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1000520 3345880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1000520 3165880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1000520 2985880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1000520 2805880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1000520 2625880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1000520 2445880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1000520 2265880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1000520 2085880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1000520 1365880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1000520 1185880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1000520 1005880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1000520 825880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1000520 465880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1000520 285880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1000520 105880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1000520 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 820520 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 820520 3345880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 820520 3165880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 820520 2985880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 820520 2805880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 820520 2625880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 820520 2445880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 820520 2265880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 820520 2085880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 820520 1905880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 820520 1725880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 820520 1545880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 820520 1365880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 820520 1185880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 820520 1005880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 820520 825880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 820520 465880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 820520 285880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 820520 105880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 820520 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 640520 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 640520 3345880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 640520 3165880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 640520 2985880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 640520 2805880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 640520 2625880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 640520 2445880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 640520 2265880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 640520 2085880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 640520 1905880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 640520 1725880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 640520 1545880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 640520 1365880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 640520 1185880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 640520 1005880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 640520 825880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 640520 645880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 640520 465880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 640520 285880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 640520 105880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 640520 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 460520 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 460520 3345880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 460520 3165880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 460520 2985880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 460520 2805880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 460520 2625880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 460520 2445880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 460520 2265880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 460520 2085880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 460520 1905880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 460520 1725880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 460520 1545880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 460520 1365880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 460520 1185880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 460520 1005880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 460520 825880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 460520 645880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 460520 465880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 460520 285880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 460520 105880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 460520 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 280520 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 280520 3345880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 280520 3165880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 280520 2985880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 280520 2805880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 280520 2625880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 280520 2445880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 280520 2265880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 280520 2085880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 280520 1905880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 280520 1725880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 280520 1545880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 280520 1365880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 280520 1185880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 280520 1005880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 280520 825880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 280520 645880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 280520 465880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 280520 285880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 280520 105880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 280520 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 100520 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 100520 3345880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 100520 3165880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 100520 2985880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 100520 2805880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 100520 2625880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 100520 2445880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 100520 2265880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 100520 2085880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 100520 1905880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 100520 1725880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 100520 1545880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 100520 1365880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 100520 1185880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 100520 1005880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 100520 825880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 100520 645880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 100520 465880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 100520 285880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 100520 105880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 100520 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -27680 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 3345880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 3165880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 2985880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 2805880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 2625880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 2445880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 2265880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 2085880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 1905880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 1725880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 1545880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 1365880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 1185880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 1005880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 825880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 645880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 465880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 285880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 105880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -27680 -22320 ) via5_6_3100_3100_2_2_1600_1600 ;
- vdda2 ( PIN vdda2 ) + USE POWER
+ ROUTED met5 3100 + SHAPE STRIPE ( -43630 3390880 ) ( 2963250 3390880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3210880 ) ( 2963250 3210880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3030880 ) ( 2963250 3030880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2850880 ) ( 2963250 2850880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2670880 ) ( 2963250 2670880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2490880 ) ( 2963250 2490880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2310880 ) ( 2963250 2310880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2130880 ) ( 2963250 2130880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1950880 ) ( 2963250 1950880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1770880 ) ( 2963250 1770880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1590880 ) ( 2963250 1590880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1410880 ) ( 2963250 1410880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1230880 ) ( 2963250 1230880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1050880 ) ( 2963250 1050880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 870880 ) ( 2963250 870880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 690880 ) ( 2963250 690880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 510880 ) ( 2963250 510880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 330880 ) ( 2963250 330880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 150880 ) ( 2963250 150880 )
NEW met4 3100 + SHAPE STRIPE ( 2845520 -38270 ) ( 2845520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2665520 -38270 ) ( 2665520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2485520 -38270 ) ( 2485520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2305520 -38270 ) ( 2305520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2125520 -38270 ) ( 2125520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1945520 907500 ) ( 1945520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1945520 -38270 ) ( 1945520 490000 )
NEW met4 3100 + SHAPE STRIPE ( 1765520 2010000 ) ( 1765520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1765520 907500 ) ( 1765520 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 1765520 -38270 ) ( 1765520 490000 )
NEW met4 3100 + SHAPE STRIPE ( 1585520 2757500 ) ( 1585520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1585520 2010000 ) ( 1585520 2340000 )
NEW met4 3100 + SHAPE STRIPE ( 1585520 907500 ) ( 1585520 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 1585520 -38270 ) ( 1585520 490000 )
NEW met4 3100 + SHAPE STRIPE ( 1405520 2757500 ) ( 1405520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1405520 2010000 ) ( 1405520 2340000 )
NEW met4 3100 + SHAPE STRIPE ( 1405520 -38270 ) ( 1405520 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 1225520 2757500 ) ( 1225520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1225520 2010000 ) ( 1225520 2340000 )
NEW met4 3100 + SHAPE STRIPE ( 1225520 -38270 ) ( 1225520 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 1045520 2010000 ) ( 1045520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1045520 -38270 ) ( 1045520 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 865520 710000 ) ( 865520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 865520 -38270 ) ( 865520 490000 )
NEW met4 3100 + SHAPE STRIPE ( 685520 -38270 ) ( 685520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 505520 -38270 ) ( 505520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 325520 -38270 ) ( 325520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 145520 -38270 ) ( 145520 3557950 )
NEW met4 3100 + SHAPE RING ( 2956900 -33470 ) ( 2956900 3553150 )
NEW met5 3100 + SHAPE RING ( -38830 3551600 ) ( 2958450 3551600 )
NEW met5 3100 + SHAPE RING ( -38830 -31920 ) ( 2958450 -31920 )
NEW met4 3100 + SHAPE RING ( -37280 -33470 ) ( -37280 3553150 )
NEW met4 0 + SHAPE RING ( 2956900 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 3390880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 3210880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 3030880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 2850880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 2670880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 2490880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 2310880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 2130880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 1950880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 1770880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 1590880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 1410880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 1230880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 1050880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 870880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 690880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 510880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 330880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 150880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( 2956900 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2845520 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2845520 3390880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2845520 3210880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2845520 3030880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2845520 2850880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2845520 2670880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2845520 2490880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2845520 2310880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2845520 2130880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2845520 1950880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2845520 1770880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2845520 1590880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2845520 1410880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2845520 1230880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2845520 1050880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2845520 870880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2845520 690880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2845520 510880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2845520 330880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2845520 150880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2845520 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2665520 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2665520 3390880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2665520 3210880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2665520 3030880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2665520 2850880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2665520 2670880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2665520 2490880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2665520 2310880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2665520 2130880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2665520 1950880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2665520 1770880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2665520 1590880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2665520 1410880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2665520 1230880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2665520 1050880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2665520 870880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2665520 690880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2665520 510880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2665520 330880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2665520 150880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2665520 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2485520 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2485520 3390880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2485520 3210880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2485520 3030880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2485520 2850880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2485520 2670880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2485520 2490880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2485520 2310880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2485520 2130880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2485520 1950880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2485520 1770880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2485520 1590880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2485520 1410880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2485520 1230880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2485520 1050880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2485520 870880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2485520 690880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2485520 510880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2485520 330880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2485520 150880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2485520 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2305520 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2305520 3390880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2305520 3210880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2305520 3030880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2305520 2850880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2305520 2670880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2305520 2490880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2305520 2310880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2305520 2130880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2305520 1950880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2305520 1770880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2305520 1590880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2305520 1410880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2305520 1230880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2305520 1050880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2305520 870880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2305520 690880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2305520 510880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2305520 330880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2305520 150880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2305520 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2125520 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2125520 3390880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2125520 3210880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2125520 3030880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2125520 2850880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2125520 2670880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2125520 2490880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2125520 2310880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2125520 2130880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2125520 1950880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2125520 1770880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2125520 1590880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2125520 1410880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2125520 1230880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2125520 1050880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2125520 870880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2125520 690880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2125520 510880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2125520 330880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2125520 150880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2125520 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1945520 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1945520 3390880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1945520 3210880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1945520 3030880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1945520 2850880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1945520 2670880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1945520 2490880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1945520 2310880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1945520 2130880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1945520 1950880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1945520 1770880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1945520 1590880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1945520 1410880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1945520 1230880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1945520 1050880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1945520 330880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1945520 150880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1945520 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1765520 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1765520 3390880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1765520 3210880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1765520 3030880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1765520 2850880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1765520 2670880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1765520 2490880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1765520 2310880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1765520 2130880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1765520 1230880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1765520 1050880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1765520 330880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1765520 150880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1765520 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1585520 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1585520 3390880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1585520 3210880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1585520 3030880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1585520 2850880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1585520 2310880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1585520 2130880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1585520 1230880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1585520 1050880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1585520 330880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1585520 150880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1585520 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1405520 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1405520 3390880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1405520 3210880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1405520 3030880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1405520 2850880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1405520 2310880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1405520 2130880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1405520 1230880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1405520 1050880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1405520 870880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1405520 690880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1405520 510880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1405520 330880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1405520 150880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1405520 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1225520 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1225520 3390880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1225520 3210880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1225520 3030880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1225520 2850880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1225520 2310880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1225520 2130880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1225520 1230880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1225520 1050880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1225520 870880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1225520 690880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1225520 510880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1225520 330880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1225520 150880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1225520 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1045520 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1045520 3390880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1045520 3210880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1045520 3030880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1045520 2850880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1045520 2670880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1045520 2490880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1045520 2310880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1045520 2130880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1045520 1230880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1045520 1050880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1045520 870880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1045520 690880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1045520 510880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1045520 330880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1045520 150880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1045520 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 865520 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 865520 3390880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 865520 3210880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 865520 3030880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 865520 2850880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 865520 2670880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 865520 2490880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 865520 2310880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 865520 2130880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 865520 1950880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 865520 1770880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 865520 1590880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 865520 1410880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 865520 1230880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 865520 1050880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 865520 870880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 865520 330880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 865520 150880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 865520 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 685520 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 685520 3390880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 685520 3210880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 685520 3030880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 685520 2850880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 685520 2670880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 685520 2490880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 685520 2310880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 685520 2130880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 685520 1950880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 685520 1770880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 685520 1590880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 685520 1410880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 685520 1230880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 685520 1050880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 685520 870880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 685520 690880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 685520 510880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 685520 330880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 685520 150880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 685520 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 505520 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 505520 3390880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 505520 3210880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 505520 3030880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 505520 2850880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 505520 2670880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 505520 2490880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 505520 2310880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 505520 2130880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 505520 1950880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 505520 1770880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 505520 1590880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 505520 1410880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 505520 1230880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 505520 1050880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 505520 870880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 505520 690880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 505520 510880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 505520 330880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 505520 150880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 505520 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 325520 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 325520 3390880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 325520 3210880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 325520 3030880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 325520 2850880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 325520 2670880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 325520 2490880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 325520 2310880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 325520 2130880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 325520 1950880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 325520 1770880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 325520 1590880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 325520 1410880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 325520 1230880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 325520 1050880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 325520 870880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 325520 690880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 325520 510880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 325520 330880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 325520 150880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 325520 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 145520 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 145520 3390880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 145520 3210880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 145520 3030880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 145520 2850880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 145520 2670880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 145520 2490880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 145520 2310880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 145520 2130880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 145520 1950880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 145520 1770880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 145520 1590880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 145520 1410880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 145520 1230880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 145520 1050880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 145520 870880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 145520 690880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 145520 510880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 145520 330880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 145520 150880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 145520 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -37280 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 3390880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 3210880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 3030880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 2850880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 2670880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 2490880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 2310880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 2130880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 1950880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 1770880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 1590880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 1410880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 1230880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 1050880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 870880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 690880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 510880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 330880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 150880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -37280 -31920 ) via5_6_3100_3100_2_2_1600_1600 ;
- vssa1 ( PIN vssa1 ) + USE GROUND
+ ROUTED met5 3100 + SHAPE STRIPE ( -43630 3368380 ) ( 2963250 3368380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3188380 ) ( 2963250 3188380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3008380 ) ( 2963250 3008380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2828380 ) ( 2963250 2828380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2648380 ) ( 2963250 2648380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2468380 ) ( 2963250 2468380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2288380 ) ( 2963250 2288380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2108380 ) ( 2963250 2108380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1928380 ) ( 2963250 1928380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1748380 ) ( 2963250 1748380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1568380 ) ( 2963250 1568380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1388380 ) ( 2963250 1388380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1208380 ) ( 2963250 1208380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1028380 ) ( 2963250 1028380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 848380 ) ( 2963250 848380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 668380 ) ( 2963250 668380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 488380 ) ( 2963250 488380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 308380 ) ( 2963250 308380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 128380 ) ( 2963250 128380 )
NEW met4 3100 + SHAPE STRIPE ( 2823020 -38270 ) ( 2823020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2643020 -38270 ) ( 2643020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2463020 -38270 ) ( 2463020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2283020 -38270 ) ( 2283020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2103020 -38270 ) ( 2103020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1923020 907500 ) ( 1923020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1923020 -38270 ) ( 1923020 490000 )
NEW met4 3100 + SHAPE STRIPE ( 1743020 2010000 ) ( 1743020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1743020 907500 ) ( 1743020 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 1743020 -38270 ) ( 1743020 490000 )
NEW met4 3100 + SHAPE STRIPE ( 1563020 2757500 ) ( 1563020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1563020 2010000 ) ( 1563020 2340000 )
NEW met4 3100 + SHAPE STRIPE ( 1563020 907500 ) ( 1563020 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 1563020 -38270 ) ( 1563020 490000 )
NEW met4 3100 + SHAPE STRIPE ( 1383020 2757500 ) ( 1383020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1383020 2010000 ) ( 1383020 2340000 )
NEW met4 3100 + SHAPE STRIPE ( 1383020 -38270 ) ( 1383020 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 1203020 2757500 ) ( 1203020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1203020 2010000 ) ( 1203020 2340000 )
NEW met4 3100 + SHAPE STRIPE ( 1203020 -38270 ) ( 1203020 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 1023020 2010000 ) ( 1023020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1023020 -38270 ) ( 1023020 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 843020 710000 ) ( 843020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 843020 -38270 ) ( 843020 490000 )
NEW met4 3100 + SHAPE STRIPE ( 663020 -38270 ) ( 663020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 483020 -38270 ) ( 483020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 303020 -38270 ) ( 303020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 123020 -38270 ) ( 123020 3557950 )
NEW met4 3100 + SHAPE RING ( 2952100 -28670 ) ( 2952100 3548350 )
NEW met5 3100 + SHAPE RING ( -34030 3546800 ) ( 2953650 3546800 )
NEW met5 3100 + SHAPE RING ( -34030 -27120 ) ( 2953650 -27120 )
NEW met4 3100 + SHAPE RING ( -32480 -28670 ) ( -32480 3548350 )
NEW met4 0 + SHAPE RING ( 2952100 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 3368380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 3188380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 3008380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 2828380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 2648380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 2468380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 2288380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 2108380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 1928380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 1748380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 1568380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 1388380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 1208380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 1028380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 848380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 668380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 488380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 308380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 128380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( 2952100 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2823020 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2823020 3368380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2823020 3188380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2823020 3008380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2823020 2828380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2823020 2648380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2823020 2468380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2823020 2288380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2823020 2108380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2823020 1928380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2823020 1748380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2823020 1568380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2823020 1388380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2823020 1208380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2823020 1028380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2823020 848380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2823020 668380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2823020 488380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2823020 308380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2823020 128380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2823020 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2643020 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2643020 3368380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2643020 3188380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2643020 3008380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2643020 2828380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2643020 2648380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2643020 2468380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2643020 2288380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2643020 2108380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2643020 1928380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2643020 1748380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2643020 1568380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2643020 1388380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2643020 1208380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2643020 1028380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2643020 848380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2643020 668380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2643020 488380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2643020 308380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2643020 128380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2643020 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2463020 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2463020 3368380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2463020 3188380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2463020 3008380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2463020 2828380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2463020 2648380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2463020 2468380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2463020 2288380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2463020 2108380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2463020 1928380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2463020 1748380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2463020 1568380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2463020 1388380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2463020 1208380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2463020 1028380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2463020 848380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2463020 668380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2463020 488380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2463020 308380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2463020 128380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2463020 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2283020 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2283020 3368380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2283020 3188380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2283020 3008380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2283020 2828380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2283020 2648380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2283020 2468380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2283020 2288380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2283020 2108380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2283020 1928380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2283020 1748380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2283020 1568380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2283020 1388380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2283020 1208380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2283020 1028380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2283020 848380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2283020 668380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2283020 488380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2283020 308380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2283020 128380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2283020 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2103020 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2103020 3368380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2103020 3188380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2103020 3008380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2103020 2828380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2103020 2648380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2103020 2468380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2103020 2288380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2103020 2108380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2103020 1928380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2103020 1748380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2103020 1568380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2103020 1388380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2103020 1208380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2103020 1028380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2103020 848380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2103020 668380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2103020 488380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2103020 308380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2103020 128380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2103020 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1923020 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1923020 3368380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1923020 3188380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1923020 3008380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1923020 2828380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1923020 2648380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1923020 2468380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1923020 2288380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1923020 2108380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1923020 1928380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1923020 1748380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1923020 1568380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1923020 1388380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1923020 1208380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1923020 1028380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1923020 488380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1923020 308380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1923020 128380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1923020 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1743020 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1743020 3368380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1743020 3188380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1743020 3008380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1743020 2828380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1743020 2648380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1743020 2468380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1743020 2288380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1743020 2108380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1743020 1388380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1743020 1208380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1743020 1028380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1743020 488380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1743020 308380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1743020 128380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1743020 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1563020 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1563020 3368380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1563020 3188380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1563020 3008380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1563020 2828380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1563020 2288380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1563020 2108380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1563020 1388380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1563020 1208380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1563020 1028380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1563020 488380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1563020 308380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1563020 128380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1563020 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1383020 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1383020 3368380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1383020 3188380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1383020 3008380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1383020 2828380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1383020 2288380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1383020 2108380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1383020 1388380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1383020 1208380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1383020 1028380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1383020 848380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1383020 668380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1383020 488380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1383020 308380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1383020 128380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1383020 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1203020 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1203020 3368380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1203020 3188380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1203020 3008380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1203020 2828380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1203020 2288380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1203020 2108380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1203020 1388380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1203020 1208380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1203020 1028380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1203020 848380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1203020 668380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1203020 488380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1203020 308380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1203020 128380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1203020 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1023020 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1023020 3368380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1023020 3188380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1023020 3008380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1023020 2828380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1023020 2648380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1023020 2468380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1023020 2288380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1023020 2108380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1023020 1388380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1023020 1208380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1023020 1028380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1023020 848380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1023020 668380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1023020 488380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1023020 308380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1023020 128380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1023020 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 843020 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 843020 3368380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 843020 3188380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 843020 3008380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 843020 2828380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 843020 2648380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 843020 2468380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 843020 2288380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 843020 2108380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 843020 1928380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 843020 1748380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 843020 1568380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 843020 1388380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 843020 1208380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 843020 1028380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 843020 848380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 843020 488380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 843020 308380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 843020 128380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 843020 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 663020 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 663020 3368380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 663020 3188380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 663020 3008380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 663020 2828380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 663020 2648380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 663020 2468380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 663020 2288380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 663020 2108380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 663020 1928380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 663020 1748380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 663020 1568380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 663020 1388380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 663020 1208380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 663020 1028380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 663020 848380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 663020 668380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 663020 488380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 663020 308380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 663020 128380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 663020 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 483020 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 483020 3368380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 483020 3188380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 483020 3008380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 483020 2828380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 483020 2648380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 483020 2468380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 483020 2288380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 483020 2108380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 483020 1928380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 483020 1748380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 483020 1568380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 483020 1388380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 483020 1208380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 483020 1028380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 483020 848380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 483020 668380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 483020 488380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 483020 308380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 483020 128380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 483020 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 303020 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 303020 3368380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 303020 3188380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 303020 3008380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 303020 2828380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 303020 2648380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 303020 2468380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 303020 2288380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 303020 2108380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 303020 1928380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 303020 1748380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 303020 1568380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 303020 1388380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 303020 1208380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 303020 1028380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 303020 848380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 303020 668380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 303020 488380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 303020 308380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 303020 128380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 303020 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 123020 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 123020 3368380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 123020 3188380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 123020 3008380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 123020 2828380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 123020 2648380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 123020 2468380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 123020 2288380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 123020 2108380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 123020 1928380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 123020 1748380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 123020 1568380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 123020 1388380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 123020 1208380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 123020 1028380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 123020 848380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 123020 668380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 123020 488380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 123020 308380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 123020 128380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 123020 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -32480 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 3368380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 3188380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 3008380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 2828380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 2648380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 2468380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 2288380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 2108380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 1928380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 1748380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 1568380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 1388380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 1208380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 1028380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 848380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 668380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 488380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 308380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 128380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -32480 -27120 ) via5_6_3100_3100_2_2_1600_1600 ;
- vssa2 ( PIN vssa2 ) + USE GROUND
+ ROUTED met5 3100 + SHAPE STRIPE ( -43630 3413380 ) ( 2963250 3413380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3233380 ) ( 2963250 3233380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3053380 ) ( 2963250 3053380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2873380 ) ( 2963250 2873380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2693380 ) ( 2963250 2693380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2513380 ) ( 2963250 2513380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2333380 ) ( 2963250 2333380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2153380 ) ( 2963250 2153380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1973380 ) ( 2963250 1973380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1793380 ) ( 2963250 1793380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1613380 ) ( 2963250 1613380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1433380 ) ( 2963250 1433380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1253380 ) ( 2963250 1253380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1073380 ) ( 2963250 1073380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 893380 ) ( 2963250 893380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 713380 ) ( 2963250 713380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 533380 ) ( 2963250 533380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 353380 ) ( 2963250 353380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 173380 ) ( 2963250 173380 )
NEW met4 3100 + SHAPE STRIPE ( 2868020 -38270 ) ( 2868020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2688020 -38270 ) ( 2688020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2508020 -38270 ) ( 2508020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2328020 -38270 ) ( 2328020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2148020 -38270 ) ( 2148020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1968020 907500 ) ( 1968020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1968020 -38270 ) ( 1968020 490000 )
NEW met4 3100 + SHAPE STRIPE ( 1788020 2010000 ) ( 1788020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1788020 907500 ) ( 1788020 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 1788020 -38270 ) ( 1788020 490000 )
NEW met4 3100 + SHAPE STRIPE ( 1608020 2757500 ) ( 1608020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1608020 2010000 ) ( 1608020 2340000 )
NEW met4 3100 + SHAPE STRIPE ( 1608020 907500 ) ( 1608020 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 1608020 -38270 ) ( 1608020 490000 )
NEW met4 3100 + SHAPE STRIPE ( 1428020 2757500 ) ( 1428020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1428020 2010000 ) ( 1428020 2340000 )
NEW met4 3100 + SHAPE STRIPE ( 1428020 -38270 ) ( 1428020 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 1248020 2757500 ) ( 1248020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1248020 2010000 ) ( 1248020 2340000 )
NEW met4 3100 + SHAPE STRIPE ( 1248020 -38270 ) ( 1248020 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 1068020 2010000 ) ( 1068020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1068020 -38270 ) ( 1068020 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 888020 710000 ) ( 888020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 888020 -38270 ) ( 888020 490000 )
NEW met4 3100 + SHAPE STRIPE ( 708020 -38270 ) ( 708020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 528020 -38270 ) ( 528020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 348020 -38270 ) ( 348020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 168020 -38270 ) ( 168020 3557950 )
NEW met4 3100 + SHAPE RING ( 2961700 -38270 ) ( 2961700 3557950 )
NEW met5 3100 + SHAPE RING ( -43630 3556400 ) ( 2963250 3556400 )
NEW met5 3100 + SHAPE RING ( -43630 -36720 ) ( 2963250 -36720 )
NEW met4 3100 + SHAPE RING ( -42080 -38270 ) ( -42080 3557950 )
NEW met4 0 + SHAPE RING ( 2961700 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 3413380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 3233380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 3053380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 2873380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 2693380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 2513380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 2333380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 2153380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 1973380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 1793380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 1613380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 1433380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 1253380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 1073380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 893380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 713380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 533380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 353380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 173380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( 2961700 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2868020 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2868020 3413380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2868020 3233380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2868020 3053380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2868020 2873380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2868020 2693380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2868020 2513380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2868020 2333380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2868020 2153380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2868020 1973380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2868020 1793380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2868020 1613380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2868020 1433380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2868020 1253380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2868020 1073380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2868020 893380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2868020 713380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2868020 533380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2868020 353380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2868020 173380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2868020 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2688020 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2688020 3413380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2688020 3233380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2688020 3053380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2688020 2873380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2688020 2693380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2688020 2513380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2688020 2333380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2688020 2153380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2688020 1973380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2688020 1793380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2688020 1613380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2688020 1433380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2688020 1253380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2688020 1073380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2688020 893380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2688020 713380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2688020 533380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2688020 353380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2688020 173380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2688020 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2508020 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2508020 3413380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2508020 3233380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2508020 3053380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2508020 2873380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2508020 2693380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2508020 2513380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2508020 2333380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2508020 2153380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2508020 1973380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2508020 1793380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2508020 1613380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2508020 1433380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2508020 1253380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2508020 1073380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2508020 893380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2508020 713380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2508020 533380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2508020 353380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2508020 173380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2508020 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2328020 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2328020 3413380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2328020 3233380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2328020 3053380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2328020 2873380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2328020 2693380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2328020 2513380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2328020 2333380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2328020 2153380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2328020 1973380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2328020 1793380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2328020 1613380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2328020 1433380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2328020 1253380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2328020 1073380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2328020 893380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2328020 713380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2328020 533380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2328020 353380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2328020 173380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2328020 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2148020 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2148020 3413380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2148020 3233380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2148020 3053380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2148020 2873380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2148020 2693380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2148020 2513380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2148020 2333380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2148020 2153380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2148020 1973380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2148020 1793380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2148020 1613380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2148020 1433380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2148020 1253380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2148020 1073380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2148020 893380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2148020 713380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2148020 533380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2148020 353380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2148020 173380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2148020 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1968020 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1968020 3413380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1968020 3233380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1968020 3053380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1968020 2873380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1968020 2693380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1968020 2513380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1968020 2333380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1968020 2153380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1968020 1973380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1968020 1793380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1968020 1613380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1968020 1433380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1968020 1253380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1968020 1073380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1968020 353380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1968020 173380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1968020 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1788020 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1788020 3413380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1788020 3233380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1788020 3053380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1788020 2873380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1788020 2693380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1788020 2513380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1788020 2333380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1788020 2153380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1788020 1253380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1788020 1073380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1788020 353380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1788020 173380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1788020 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1608020 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1608020 3413380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1608020 3233380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1608020 3053380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1608020 2873380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1608020 2333380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1608020 2153380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1608020 1253380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1608020 1073380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1608020 353380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1608020 173380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1608020 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1428020 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1428020 3413380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1428020 3233380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1428020 3053380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1428020 2873380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1428020 2333380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1428020 2153380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1428020 1253380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1428020 1073380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1428020 893380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1428020 713380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1428020 533380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1428020 353380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1428020 173380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1428020 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1248020 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1248020 3413380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1248020 3233380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1248020 3053380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1248020 2873380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1248020 2333380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1248020 2153380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1248020 1253380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1248020 1073380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1248020 893380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1248020 713380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1248020 533380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1248020 353380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1248020 173380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1248020 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1068020 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1068020 3413380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1068020 3233380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1068020 3053380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1068020 2873380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1068020 2693380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1068020 2513380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1068020 2333380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1068020 2153380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1068020 1253380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1068020 1073380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1068020 893380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1068020 713380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1068020 533380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1068020 353380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1068020 173380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1068020 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 888020 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 888020 3413380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 888020 3233380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 888020 3053380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 888020 2873380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 888020 2693380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 888020 2513380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 888020 2333380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 888020 2153380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 888020 1973380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 888020 1793380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 888020 1613380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 888020 1433380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 888020 1253380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 888020 1073380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 888020 893380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 888020 713380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 888020 353380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 888020 173380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 888020 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 708020 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 708020 3413380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 708020 3233380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 708020 3053380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 708020 2873380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 708020 2693380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 708020 2513380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 708020 2333380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 708020 2153380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 708020 1973380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 708020 1793380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 708020 1613380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 708020 1433380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 708020 1253380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 708020 1073380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 708020 893380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 708020 713380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 708020 533380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 708020 353380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 708020 173380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 708020 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 528020 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 528020 3413380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 528020 3233380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 528020 3053380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 528020 2873380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 528020 2693380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 528020 2513380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 528020 2333380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 528020 2153380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 528020 1973380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 528020 1793380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 528020 1613380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 528020 1433380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 528020 1253380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 528020 1073380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 528020 893380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 528020 713380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 528020 533380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 528020 353380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 528020 173380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 528020 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 348020 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 348020 3413380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 348020 3233380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 348020 3053380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 348020 2873380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 348020 2693380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 348020 2513380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 348020 2333380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 348020 2153380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 348020 1973380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 348020 1793380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 348020 1613380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 348020 1433380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 348020 1253380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 348020 1073380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 348020 893380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 348020 713380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 348020 533380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 348020 353380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 348020 173380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 348020 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 168020 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 168020 3413380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 168020 3233380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 168020 3053380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 168020 2873380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 168020 2693380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 168020 2513380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 168020 2333380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 168020 2153380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 168020 1973380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 168020 1793380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 168020 1613380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 168020 1433380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 168020 1253380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 168020 1073380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 168020 893380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 168020 713380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 168020 533380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 168020 353380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 168020 173380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 168020 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -42080 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 3413380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 3233380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 3053380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 2873380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 2693380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 2513380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 2333380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 2153380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 1973380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 1793380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 1613380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 1433380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 1253380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 1073380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 893380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 713380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 533380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 353380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 173380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -42080 -36720 ) via5_6_3100_3100_2_2_1600_1600 ;
- vssd1 ( PIN vssd1 ) ( * vssd1 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 1866640 1838380 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866640 1658380 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866640 1478380 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1713040 1838380 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1713040 1658380 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1713040 1478380 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1559440 1838380 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1559440 1658380 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1559440 1478380 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1405840 1838380 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1405840 1658380 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1405840 1478380 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1252240 1838380 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1252240 1658380 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1252240 1478380 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1098640 1838380 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1098640 1658380 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1098640 1478380 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 898640 578380 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1977550 758380 ) via5_6_1740_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1977550 578380 ) via5_6_1740_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1502230 758380 ) via5_6_1740_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1502230 578380 ) via5_6_1740_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1677550 2738380 ) via5_6_1740_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1677550 2558380 ) via5_6_1740_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1677550 2378380 ) via5_6_1740_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202230 2738380 ) via5_6_1740_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202230 2558380 ) via5_6_1740_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202230 2378380 ) via5_6_1740_3100_2_1_1600_1600
NEW met5 3100 + SHAPE STRIPE ( -43630 3458380 ) ( 2963250 3458380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3278380 ) ( 2963250 3278380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3098380 ) ( 2963250 3098380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2918380 ) ( 2963250 2918380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2738380 ) ( 2963250 2738380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2558380 ) ( 2963250 2558380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2378380 ) ( 2963250 2378380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2198380 ) ( 2963250 2198380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2018380 ) ( 2963250 2018380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1838380 ) ( 2963250 1838380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1658380 ) ( 2963250 1658380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1478380 ) ( 2963250 1478380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1298380 ) ( 2963250 1298380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1118380 ) ( 2963250 1118380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 938380 ) ( 2963250 938380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 758380 ) ( 2963250 758380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 578380 ) ( 2963250 578380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 398380 ) ( 2963250 398380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 218380 ) ( 2963250 218380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 38380 ) ( 2963250 38380 )
NEW met4 3100 + SHAPE STRIPE ( 2913020 -38270 ) ( 2913020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2733020 -38270 ) ( 2733020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2553020 -38270 ) ( 2553020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2373020 -38270 ) ( 2373020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2193020 -38270 ) ( 2193020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2013020 -38270 ) ( 2013020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1833020 2010000 ) ( 1833020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1833020 907500 ) ( 1833020 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 1833020 -38270 ) ( 1833020 490000 )
NEW met4 3100 + SHAPE STRIPE ( 1653020 2757500 ) ( 1653020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1653020 2010000 ) ( 1653020 2340000 )
NEW met4 3100 + SHAPE STRIPE ( 1653020 907500 ) ( 1653020 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 1653020 -38270 ) ( 1653020 490000 )
NEW met4 3100 + SHAPE STRIPE ( 1473020 2757500 ) ( 1473020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1473020 2010000 ) ( 1473020 2340000 )
NEW met4 3100 + SHAPE STRIPE ( 1473020 -38270 ) ( 1473020 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 1293020 2757500 ) ( 1293020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1293020 2010000 ) ( 1293020 2340000 )
NEW met4 3100 + SHAPE STRIPE ( 1293020 -38270 ) ( 1293020 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 1113020 2010000 ) ( 1113020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1113020 -38270 ) ( 1113020 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 933020 710000 ) ( 933020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 933020 -38270 ) ( 933020 490000 )
NEW met4 3100 + SHAPE STRIPE ( 753020 -38270 ) ( 753020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 573020 -38270 ) ( 573020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 393020 -38270 ) ( 393020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 213020 -38270 ) ( 213020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 33020 -38270 ) ( 33020 3557950 )
NEW met4 3100 + SHAPE RING ( 2932900 -9470 ) ( 2932900 3529150 )
NEW met5 3100 + SHAPE RING ( -14830 3527600 ) ( 2934450 3527600 )
NEW met5 3100 + SHAPE RING ( -14830 -7920 ) ( 2934450 -7920 )
NEW met4 3100 + SHAPE RING ( -13280 -9470 ) ( -13280 3529150 )
NEW met4 0 + SHAPE RING ( 2932900 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 3458380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 3278380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 3098380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 2918380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 2738380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 2558380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 2378380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 2198380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 2018380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 1838380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 1658380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 1478380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 1298380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 1118380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 938380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 758380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 578380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 398380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 218380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 38380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( 2932900 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2913020 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2913020 3458380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2913020 3278380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2913020 3098380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2913020 2918380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2913020 2738380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2913020 2558380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2913020 2378380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2913020 2198380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2913020 2018380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2913020 1838380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2913020 1658380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2913020 1478380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2913020 1298380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2913020 1118380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2913020 938380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2913020 758380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2913020 578380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2913020 398380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2913020 218380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2913020 38380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2913020 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2733020 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2733020 3458380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2733020 3278380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2733020 3098380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2733020 2918380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2733020 2738380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2733020 2558380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2733020 2378380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2733020 2198380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2733020 2018380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2733020 1838380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2733020 1658380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2733020 1478380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2733020 1298380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2733020 1118380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2733020 938380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2733020 758380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2733020 578380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2733020 398380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2733020 218380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2733020 38380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2733020 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2553020 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2553020 3458380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2553020 3278380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2553020 3098380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2553020 2918380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2553020 2738380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2553020 2558380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2553020 2378380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2553020 2198380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2553020 2018380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2553020 1838380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2553020 1658380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2553020 1478380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2553020 1298380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2553020 1118380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2553020 938380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2553020 758380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2553020 578380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2553020 398380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2553020 218380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2553020 38380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2553020 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2373020 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2373020 3458380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2373020 3278380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2373020 3098380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2373020 2918380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2373020 2738380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2373020 2558380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2373020 2378380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2373020 2198380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2373020 2018380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2373020 1838380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2373020 1658380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2373020 1478380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2373020 1298380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2373020 1118380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2373020 938380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2373020 758380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2373020 578380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2373020 398380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2373020 218380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2373020 38380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2373020 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2193020 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2193020 3458380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2193020 3278380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2193020 3098380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2193020 2918380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2193020 2738380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2193020 2558380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2193020 2378380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2193020 2198380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2193020 2018380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2193020 1838380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2193020 1658380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2193020 1478380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2193020 1298380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2193020 1118380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2193020 938380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2193020 758380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2193020 578380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2193020 398380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2193020 218380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2193020 38380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2193020 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2013020 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2013020 3458380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2013020 3278380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2013020 3098380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2013020 2918380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2013020 2738380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2013020 2558380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2013020 2378380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2013020 2198380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2013020 2018380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2013020 1838380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2013020 1658380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2013020 1478380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2013020 1298380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2013020 1118380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2013020 938380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2013020 758380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2013020 578380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2013020 398380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2013020 218380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2013020 38380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2013020 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1833020 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1833020 3458380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1833020 3278380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1833020 3098380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1833020 2918380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1833020 2738380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1833020 2558380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1833020 2378380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1833020 2198380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1833020 2018380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1833020 1298380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1833020 1118380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1833020 938380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1833020 398380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1833020 218380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1833020 38380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1833020 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1653020 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1653020 3458380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1653020 3278380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1653020 3098380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1653020 2918380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1653020 2198380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1653020 2018380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1653020 1298380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1653020 1118380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1653020 938380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1653020 398380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1653020 218380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1653020 38380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1653020 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1473020 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1473020 3458380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1473020 3278380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1473020 3098380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1473020 2918380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1473020 2198380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1473020 2018380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1473020 1298380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1473020 1118380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1473020 938380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1473020 758380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1473020 578380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1473020 398380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1473020 218380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1473020 38380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1473020 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1293020 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1293020 3458380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1293020 3278380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1293020 3098380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1293020 2918380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1293020 2198380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1293020 2018380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1293020 1298380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1293020 1118380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1293020 938380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1293020 758380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1293020 578380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1293020 398380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1293020 218380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1293020 38380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1293020 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1113020 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1113020 3458380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1113020 3278380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1113020 3098380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1113020 2918380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1113020 2738380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1113020 2558380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1113020 2378380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1113020 2198380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1113020 2018380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1113020 1298380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1113020 1118380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1113020 938380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1113020 758380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1113020 578380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1113020 398380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1113020 218380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1113020 38380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1113020 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 933020 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 933020 3458380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 933020 3278380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 933020 3098380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 933020 2918380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 933020 2738380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 933020 2558380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 933020 2378380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 933020 2198380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 933020 2018380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 933020 1838380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 933020 1658380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 933020 1478380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 933020 1298380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 933020 1118380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 933020 938380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 933020 758380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 933020 398380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 933020 218380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 933020 38380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 933020 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 753020 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 753020 3458380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 753020 3278380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 753020 3098380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 753020 2918380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 753020 2738380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 753020 2558380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 753020 2378380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 753020 2198380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 753020 2018380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 753020 1838380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 753020 1658380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 753020 1478380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 753020 1298380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 753020 1118380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 753020 938380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 753020 758380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 753020 578380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 753020 398380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 753020 218380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 753020 38380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 753020 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 573020 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 573020 3458380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 573020 3278380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 573020 3098380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 573020 2918380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 573020 2738380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 573020 2558380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 573020 2378380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 573020 2198380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 573020 2018380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 573020 1838380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 573020 1658380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 573020 1478380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 573020 1298380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 573020 1118380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 573020 938380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 573020 758380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 573020 578380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 573020 398380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 573020 218380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 573020 38380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 573020 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 393020 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 393020 3458380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 393020 3278380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 393020 3098380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 393020 2918380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 393020 2738380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 393020 2558380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 393020 2378380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 393020 2198380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 393020 2018380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 393020 1838380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 393020 1658380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 393020 1478380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 393020 1298380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 393020 1118380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 393020 938380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 393020 758380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 393020 578380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 393020 398380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 393020 218380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 393020 38380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 393020 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 213020 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 213020 3458380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 213020 3278380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 213020 3098380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 213020 2918380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 213020 2738380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 213020 2558380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 213020 2378380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 213020 2198380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 213020 2018380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 213020 1838380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 213020 1658380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 213020 1478380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 213020 1298380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 213020 1118380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 213020 938380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 213020 758380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 213020 578380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 213020 398380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 213020 218380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 213020 38380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 213020 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 33020 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 33020 3458380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 33020 3278380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 33020 3098380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 33020 2918380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 33020 2738380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 33020 2558380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 33020 2378380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 33020 2198380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 33020 2018380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 33020 1838380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 33020 1658380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 33020 1478380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 33020 1298380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 33020 1118380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 33020 938380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 33020 758380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 33020 578380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 33020 398380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 33020 218380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 33020 38380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 33020 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -13280 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 3458380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 3278380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 3098380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 2918380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 2738380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 2558380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 2378380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 2198380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 2018380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 1838380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 1658380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 1478380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 1298380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 1118380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 938380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 758380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 578380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 398380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 218380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 38380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -13280 -7920 ) via5_6_3100_3100_2_2_1600_1600 ;
- vssd2 ( PIN vssd2 ) + USE GROUND
+ ROUTED met5 3100 + SHAPE STRIPE ( -43630 3503380 ) ( 2963250 3503380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3323380 ) ( 2963250 3323380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3143380 ) ( 2963250 3143380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2963380 ) ( 2963250 2963380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2783380 ) ( 2963250 2783380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2603380 ) ( 2963250 2603380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2423380 ) ( 2963250 2423380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2243380 ) ( 2963250 2243380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2063380 ) ( 2963250 2063380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1883380 ) ( 2963250 1883380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1703380 ) ( 2963250 1703380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1523380 ) ( 2963250 1523380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1343380 ) ( 2963250 1343380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1163380 ) ( 2963250 1163380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 983380 ) ( 2963250 983380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 803380 ) ( 2963250 803380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 623380 ) ( 2963250 623380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 443380 ) ( 2963250 443380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 263380 ) ( 2963250 263380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 83380 ) ( 2963250 83380 )
NEW met4 3100 + SHAPE STRIPE ( 2778020 -38270 ) ( 2778020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2598020 -38270 ) ( 2598020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2418020 -38270 ) ( 2418020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2238020 -38270 ) ( 2238020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2058020 -38270 ) ( 2058020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1878020 2010000 ) ( 1878020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1878020 907500 ) ( 1878020 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 1878020 -38270 ) ( 1878020 490000 )
NEW met4 3100 + SHAPE STRIPE ( 1698020 2010000 ) ( 1698020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1698020 907500 ) ( 1698020 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 1698020 -38270 ) ( 1698020 490000 )
NEW met4 3100 + SHAPE STRIPE ( 1518020 2757500 ) ( 1518020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1518020 2010000 ) ( 1518020 2340000 )
NEW met4 3100 + SHAPE STRIPE ( 1518020 907500 ) ( 1518020 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 1518020 -38270 ) ( 1518020 490000 )
NEW met4 3100 + SHAPE STRIPE ( 1338020 2757500 ) ( 1338020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1338020 2010000 ) ( 1338020 2340000 )
NEW met4 3100 + SHAPE STRIPE ( 1338020 -38270 ) ( 1338020 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 1158020 2010000 ) ( 1158020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1158020 -38270 ) ( 1158020 1390000 )
NEW met4 3100 + SHAPE STRIPE ( 978020 710000 ) ( 978020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 978020 -38270 ) ( 978020 490000 )
NEW met4 3100 + SHAPE STRIPE ( 798020 710000 ) ( 798020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 798020 -38270 ) ( 798020 490000 )
NEW met4 3100 + SHAPE STRIPE ( 618020 -38270 ) ( 618020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 438020 -38270 ) ( 438020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 258020 -38270 ) ( 258020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 78020 -38270 ) ( 78020 3557950 )
NEW met4 3100 + SHAPE RING ( 2942500 -19070 ) ( 2942500 3538750 )
NEW met5 3100 + SHAPE RING ( -24430 3537200 ) ( 2944050 3537200 )
NEW met5 3100 + SHAPE RING ( -24430 -17520 ) ( 2944050 -17520 )
NEW met4 3100 + SHAPE RING ( -22880 -19070 ) ( -22880 3538750 )
NEW met4 0 + SHAPE RING ( 2942500 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 3503380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 3323380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 3143380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 2963380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 2783380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 2603380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 2423380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 2243380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 2063380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 1883380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 1703380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 1523380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 1343380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 1163380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 983380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 803380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 623380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 443380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 263380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 83380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( 2942500 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2778020 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2778020 3503380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2778020 3323380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2778020 3143380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2778020 2963380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2778020 2783380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2778020 2603380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2778020 2423380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2778020 2243380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2778020 2063380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2778020 1883380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2778020 1703380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2778020 1523380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2778020 1343380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2778020 1163380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2778020 983380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2778020 803380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2778020 623380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2778020 443380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2778020 263380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2778020 83380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2778020 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2598020 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2598020 3503380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2598020 3323380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2598020 3143380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2598020 2963380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2598020 2783380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2598020 2603380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2598020 2423380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2598020 2243380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2598020 2063380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2598020 1883380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2598020 1703380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2598020 1523380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2598020 1343380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2598020 1163380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2598020 983380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2598020 803380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2598020 623380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2598020 443380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2598020 263380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2598020 83380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2598020 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2418020 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2418020 3503380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2418020 3323380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2418020 3143380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2418020 2963380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2418020 2783380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2418020 2603380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2418020 2423380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2418020 2243380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2418020 2063380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2418020 1883380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2418020 1703380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2418020 1523380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2418020 1343380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2418020 1163380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2418020 983380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2418020 803380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2418020 623380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2418020 443380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2418020 263380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2418020 83380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2418020 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2238020 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2238020 3503380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2238020 3323380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2238020 3143380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2238020 2963380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2238020 2783380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2238020 2603380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2238020 2423380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2238020 2243380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2238020 2063380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2238020 1883380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2238020 1703380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2238020 1523380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2238020 1343380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2238020 1163380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2238020 983380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2238020 803380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2238020 623380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2238020 443380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2238020 263380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2238020 83380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2238020 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2058020 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2058020 3503380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2058020 3323380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2058020 3143380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2058020 2963380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2058020 2783380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2058020 2603380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2058020 2423380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2058020 2243380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2058020 2063380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2058020 1883380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2058020 1703380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2058020 1523380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2058020 1343380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2058020 1163380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2058020 983380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2058020 803380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2058020 623380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2058020 443380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2058020 263380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2058020 83380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2058020 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1878020 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1878020 3503380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1878020 3323380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1878020 3143380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1878020 2963380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1878020 2783380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1878020 2603380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1878020 2423380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1878020 2243380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1878020 2063380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1878020 1343380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1878020 1163380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1878020 983380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1878020 443380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1878020 263380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1878020 83380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1878020 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1698020 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1698020 3503380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1698020 3323380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1698020 3143380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1698020 2963380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1698020 2783380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1698020 2603380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1698020 2423380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1698020 2243380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1698020 2063380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1698020 1343380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1698020 1163380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1698020 983380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1698020 443380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1698020 263380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1698020 83380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1698020 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1518020 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1518020 3503380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1518020 3323380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1518020 3143380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1518020 2963380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1518020 2783380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1518020 2243380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1518020 2063380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1518020 1343380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1518020 1163380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1518020 983380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1518020 443380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1518020 263380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1518020 83380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1518020 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1338020 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1338020 3503380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1338020 3323380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1338020 3143380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1338020 2963380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1338020 2783380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1338020 2243380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1338020 2063380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1338020 1343380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1338020 1163380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1338020 983380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1338020 803380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1338020 623380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1338020 443380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1338020 263380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1338020 83380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1338020 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1158020 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1158020 3503380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1158020 3323380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1158020 3143380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1158020 2963380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1158020 2783380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1158020 2603380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1158020 2423380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1158020 2243380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1158020 2063380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1158020 1343380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1158020 1163380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1158020 983380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1158020 803380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1158020 623380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1158020 443380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1158020 263380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1158020 83380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1158020 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 978020 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 978020 3503380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 978020 3323380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 978020 3143380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 978020 2963380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 978020 2783380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 978020 2603380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 978020 2423380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 978020 2243380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 978020 2063380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 978020 1883380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 978020 1703380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 978020 1523380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 978020 1343380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 978020 1163380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 978020 983380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 978020 803380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 978020 443380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 978020 263380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 978020 83380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 978020 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 798020 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 798020 3503380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 798020 3323380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 798020 3143380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 798020 2963380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 798020 2783380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 798020 2603380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 798020 2423380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 798020 2243380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 798020 2063380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 798020 1883380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 798020 1703380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 798020 1523380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 798020 1343380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 798020 1163380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 798020 983380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 798020 803380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 798020 443380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 798020 263380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 798020 83380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 798020 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 618020 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 618020 3503380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 618020 3323380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 618020 3143380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 618020 2963380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 618020 2783380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 618020 2603380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 618020 2423380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 618020 2243380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 618020 2063380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 618020 1883380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 618020 1703380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 618020 1523380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 618020 1343380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 618020 1163380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 618020 983380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 618020 803380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 618020 623380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 618020 443380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 618020 263380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 618020 83380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 618020 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 438020 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 438020 3503380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 438020 3323380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 438020 3143380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 438020 2963380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 438020 2783380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 438020 2603380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 438020 2423380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 438020 2243380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 438020 2063380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 438020 1883380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 438020 1703380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 438020 1523380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 438020 1343380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 438020 1163380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 438020 983380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 438020 803380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 438020 623380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 438020 443380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 438020 263380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 438020 83380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 438020 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 258020 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 258020 3503380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 258020 3323380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 258020 3143380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 258020 2963380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 258020 2783380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 258020 2603380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 258020 2423380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 258020 2243380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 258020 2063380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 258020 1883380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 258020 1703380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 258020 1523380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 258020 1343380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 258020 1163380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 258020 983380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 258020 803380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 258020 623380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 258020 443380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 258020 263380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 258020 83380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 258020 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 78020 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 78020 3503380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 78020 3323380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 78020 3143380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 78020 2963380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 78020 2783380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 78020 2603380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 78020 2423380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 78020 2243380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 78020 2063380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 78020 1883380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 78020 1703380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 78020 1523380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 78020 1343380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 78020 1163380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 78020 983380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 78020 803380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 78020 623380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 78020 443380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 78020 263380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 78020 83380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 78020 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -22880 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 3503380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 3323380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 3143380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 2963380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 2783380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 2603380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 2423380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 2243380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 2063380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 1883380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 1703380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 1523380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 1343380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 1163380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 983380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 803380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 623380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 443380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 263380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 83380 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -22880 -17520 ) via5_6_3100_3100_2_2_1600_1600 ;
END SPECIALNETS
NETS 922 ;
- addr0\[0\] ( wbs_int addr0[0] ) ( imem addr0[0] ) + USE SIGNAL
+ ROUTED met4 ( 1577710 498100 ) ( * 500530 0 )
NEW met4 ( 1577340 498100 ) ( 1577710 * )
NEW met4 ( 1577340 489260 ) ( * 498100 )
NEW met3 ( 1573890 489260 ) ( 1577340 * )
NEW met2 ( 1573890 482630 ) ( * 489260 )
NEW met1 ( 1018670 482630 ) ( 1573890 * )
NEW met2 ( 1007630 650590 ) ( * 652460 )
NEW met1 ( 1007630 650590 ) ( 1018670 * )
NEW met3 ( 999580 652460 0 ) ( 1007630 * )
NEW met2 ( 1018670 482630 ) ( * 650590 )
NEW met3 ( 1577340 489260 ) M3M4_PR
NEW met2 ( 1573890 489260 ) M2M3_PR
NEW met1 ( 1573890 482630 ) M1M2_PR
NEW met1 ( 1018670 482630 ) M1M2_PR
NEW met2 ( 1007630 652460 ) M2M3_PR
NEW met1 ( 1007630 650590 ) M1M2_PR
NEW met1 ( 1018670 650590 ) M1M2_PR ;
- addr0\[1\] ( wbs_int addr0[1] ) ( imem addr0[1] ) + USE SIGNAL
+ ROUTED met2 ( 1049950 634610 ) ( * 655690 )
NEW met2 ( 1014070 655690 ) ( * 655860 )
NEW met3 ( 999580 655860 0 ) ( 1014070 * )
NEW met1 ( 1014070 655690 ) ( 1049950 * )
NEW met2 ( 1489710 628660 ) ( * 634610 )
NEW met3 ( 1489710 628660 ) ( 1497300 * )
NEW met3 ( 1497300 628660 ) ( * 628710 )
NEW met3 ( 1497300 628710 ) ( 1500060 * 0 )
NEW met1 ( 1049950 634610 ) ( 1489710 * )
NEW met1 ( 1049950 655690 ) M1M2_PR
NEW met1 ( 1049950 634610 ) M1M2_PR
NEW met2 ( 1014070 655860 ) M2M3_PR
NEW met1 ( 1014070 655690 ) M1M2_PR
NEW met1 ( 1489710 634610 ) M1M2_PR
NEW met2 ( 1489710 628660 ) M2M3_PR ;
- addr0\[2\] ( wbs_int addr0[2] ) ( imem addr0[2] ) + USE SIGNAL
+ ROUTED met2 ( 1013150 657730 ) ( * 659260 )
NEW met1 ( 1013150 657730 ) ( 1028330 * )
NEW met2 ( 1028330 641410 ) ( * 657730 )
NEW met3 ( 999580 659260 0 ) ( 1013150 * )
NEW met2 ( 1490170 637500 ) ( * 641410 )
NEW met3 ( 1490170 637500 ) ( 1497300 * )
NEW met3 ( 1497300 637500 ) ( * 637550 )
NEW met3 ( 1497300 637550 ) ( 1500060 * 0 )
NEW met1 ( 1028330 641410 ) ( 1490170 * )
NEW met2 ( 1013150 659260 ) M2M3_PR
NEW met1 ( 1013150 657730 ) M1M2_PR
NEW met1 ( 1028330 657730 ) M1M2_PR
NEW met1 ( 1028330 641410 ) M1M2_PR
NEW met1 ( 1490170 641410 ) M1M2_PR
NEW met2 ( 1490170 637500 ) M2M3_PR ;
- addr0\[3\] ( wbs_int addr0[3] ) ( imem addr0[3] ) + USE SIGNAL
+ ROUTED met2 ( 1055930 648550 ) ( * 662490 )
NEW met2 ( 1014070 662490 ) ( * 662660 )
NEW met3 ( 999580 662660 0 ) ( 1014070 * )
NEW met1 ( 1014070 662490 ) ( 1055930 * )
NEW met2 ( 1490170 642260 ) ( * 648550 )
NEW met3 ( 1490170 642260 ) ( 1497300 * )
NEW met3 ( 1497300 642260 ) ( * 642310 )
NEW met3 ( 1497300 642310 ) ( 1500060 * 0 )
NEW met1 ( 1055930 648550 ) ( 1490170 * )
NEW met1 ( 1055930 662490 ) M1M2_PR
NEW met1 ( 1055930 648550 ) M1M2_PR
NEW met2 ( 1014070 662660 ) M2M3_PR
NEW met1 ( 1014070 662490 ) M1M2_PR
NEW met1 ( 1490170 648550 ) M1M2_PR
NEW met2 ( 1490170 642260 ) M2M3_PR ;
- addr0\[4\] ( wbs_int addr0[4] ) ( imem addr0[4] ) + USE SIGNAL
+ ROUTED met3 ( 999580 666060 0 ) ( 1008550 * )
NEW met2 ( 1008550 655350 ) ( * 666060 )
NEW met2 ( 1486950 650420 ) ( * 655350 )
NEW met3 ( 1486950 650420 ) ( 1497300 * )
NEW met3 ( 1497300 650420 ) ( * 650470 )
NEW met3 ( 1497300 650470 ) ( 1500060 * 0 )
NEW met1 ( 1008550 655350 ) ( 1486950 * )
NEW met2 ( 1008550 666060 ) M2M3_PR
NEW met1 ( 1008550 655350 ) M1M2_PR
NEW met1 ( 1486950 655350 ) M1M2_PR
NEW met2 ( 1486950 650420 ) M2M3_PR ;
- addr0\[5\] ( wbs_int addr0[5] ) ( imem addr0[5] ) + USE SIGNAL
+ ROUTED met2 ( 1049030 662150 ) ( * 669630 )
NEW met2 ( 1014070 669460 ) ( * 669630 )
NEW met3 ( 999580 669460 0 ) ( 1014070 * )
NEW met1 ( 1014070 669630 ) ( 1049030 * )
NEW met2 ( 1490170 655860 ) ( * 662150 )
NEW met3 ( 1490170 655860 ) ( 1497300 * )
NEW met3 ( 1497300 655860 ) ( * 655910 )
NEW met3 ( 1497300 655910 ) ( 1500060 * 0 )
NEW met1 ( 1049030 662150 ) ( 1490170 * )
NEW met1 ( 1049030 669630 ) M1M2_PR
NEW met1 ( 1049030 662150 ) M1M2_PR
NEW met2 ( 1014070 669460 ) M2M3_PR
NEW met1 ( 1014070 669630 ) M1M2_PR
NEW met1 ( 1490170 662150 ) M1M2_PR
NEW met2 ( 1490170 655860 ) M2M3_PR ;
- addr0\[6\] ( wbs_int addr0[6] ) ( imem addr0[6] ) + USE SIGNAL
+ ROUTED met2 ( 1012230 669290 ) ( * 672860 )
NEW met3 ( 999580 672860 0 ) ( 1012230 * )
NEW met2 ( 1487870 664700 ) ( * 669290 )
NEW met3 ( 1487870 664700 ) ( 1497300 * )
NEW met3 ( 1497300 664700 ) ( * 664750 )
NEW met3 ( 1497300 664750 ) ( 1500060 * 0 )
NEW met1 ( 1012230 669290 ) ( 1487870 * )
NEW met2 ( 1012230 672860 ) M2M3_PR
NEW met1 ( 1012230 669290 ) M1M2_PR
NEW met1 ( 1487870 669290 ) M1M2_PR
NEW met2 ( 1487870 664700 ) M2M3_PR ;
- addr0\[7\] ( wbs_int addr0[7] ) ( imem addr0[7] ) + USE SIGNAL
+ ROUTED met2 ( 1014070 676260 ) ( * 676430 )
NEW met1 ( 1014070 676430 ) ( 1048800 * )
NEW met1 ( 1048800 676090 ) ( * 676430 )
NEW met3 ( 999580 676260 0 ) ( 1014070 * )
NEW met2 ( 1486950 670820 ) ( * 676090 )
NEW met3 ( 1486950 670820 ) ( 1497300 * )
NEW met3 ( 1497300 670820 ) ( * 670870 )
NEW met3 ( 1497300 670870 ) ( 1500060 * 0 )
NEW met1 ( 1048800 676090 ) ( 1486950 * )
NEW met2 ( 1014070 676260 ) M2M3_PR
NEW met1 ( 1014070 676430 ) M1M2_PR
NEW met1 ( 1486950 676090 ) M1M2_PR
NEW met2 ( 1486950 670820 ) M2M3_PR ;
- addr0\[8\] ( wbs_int addr0[8] ) + USE SIGNAL ;
- analog_io[0] ( PIN analog_io[0] ) + USE SIGNAL ;
- analog_io[10] ( PIN analog_io[10] ) + USE SIGNAL ;
- analog_io[11] ( PIN analog_io[11] ) + USE SIGNAL ;
- analog_io[12] ( PIN analog_io[12] ) + USE SIGNAL ;
- analog_io[13] ( PIN analog_io[13] ) + USE SIGNAL ;
- analog_io[14] ( PIN analog_io[14] ) + USE SIGNAL ;
- analog_io[15] ( PIN analog_io[15] ) + USE SIGNAL ;
- analog_io[16] ( PIN analog_io[16] ) + USE SIGNAL ;
- analog_io[17] ( PIN analog_io[17] ) + USE SIGNAL ;
- analog_io[18] ( PIN analog_io[18] ) + USE SIGNAL ;
- analog_io[19] ( PIN analog_io[19] ) + USE SIGNAL ;
- analog_io[1] ( PIN analog_io[1] ) + USE SIGNAL ;
- analog_io[20] ( PIN analog_io[20] ) + USE SIGNAL ;
- analog_io[21] ( PIN analog_io[21] ) + USE SIGNAL ;
- analog_io[22] ( PIN analog_io[22] ) + USE SIGNAL ;
- analog_io[23] ( PIN analog_io[23] ) + USE SIGNAL ;
- analog_io[24] ( PIN analog_io[24] ) + USE SIGNAL ;
- analog_io[25] ( PIN analog_io[25] ) + USE SIGNAL ;
- analog_io[26] ( PIN analog_io[26] ) + USE SIGNAL ;
- analog_io[27] ( PIN analog_io[27] ) + USE SIGNAL ;
- analog_io[28] ( PIN analog_io[28] ) + USE SIGNAL ;
- analog_io[2] ( PIN analog_io[2] ) + USE SIGNAL ;
- analog_io[3] ( PIN analog_io[3] ) + USE SIGNAL ;
- analog_io[4] ( PIN analog_io[4] ) + USE SIGNAL ;
- analog_io[5] ( PIN analog_io[5] ) + USE SIGNAL ;
- analog_io[6] ( PIN analog_io[6] ) + USE SIGNAL ;
- analog_io[7] ( PIN analog_io[7] ) + USE SIGNAL ;
- analog_io[8] ( PIN analog_io[8] ) + USE SIGNAL ;
- analog_io[9] ( PIN analog_io[9] ) + USE SIGNAL ;
- clk0 ( wbs_int clk0 ) + USE SIGNAL ;
- csb0 ( wbs_int csb0 ) ( imem csb0 ) + USE SIGNAL
+ ROUTED met1 ( 1469930 524110 ) ( * 524450 )
NEW met2 ( 1014070 523260 ) ( * 524110 )
NEW met3 ( 999580 523260 0 ) ( 1014070 * )
NEW met1 ( 1014070 524110 ) ( 1469930 * )
NEW met2 ( 1490170 524450 ) ( * 528020 )
NEW met3 ( 1490170 528020 ) ( 1497300 * )
NEW met3 ( 1497300 528020 ) ( * 528070 )
NEW met3 ( 1497300 528070 ) ( 1500060 * 0 )
NEW met1 ( 1469930 524450 ) ( 1490170 * )
NEW met2 ( 1014070 523260 ) M2M3_PR
NEW met1 ( 1014070 524110 ) M1M2_PR
NEW met1 ( 1490170 524450 ) M1M2_PR
NEW met2 ( 1490170 528020 ) M2M3_PR ;
- din0\[0\] ( wbs_int din0[0] ) ( imem din0[0] ) + USE SIGNAL
+ ROUTED met4 ( 1606950 498100 ) ( * 500530 0 )
NEW met4 ( 1605860 498100 ) ( 1606950 * )
NEW met4 ( 1605860 489260 ) ( * 498100 )
NEW met3 ( 1601490 489260 ) ( 1605860 * )
NEW met2 ( 1601490 482970 ) ( * 489260 )
NEW met1 ( 1032010 482970 ) ( 1601490 * )
NEW met2 ( 1008550 542810 ) ( * 543660 )
NEW met1 ( 1008550 542810 ) ( 1032010 * )
NEW met3 ( 999580 543660 0 ) ( 1008550 * )
NEW met2 ( 1032010 482970 ) ( * 542810 )
NEW met1 ( 1032010 482970 ) M1M2_PR
NEW met3 ( 1605860 489260 ) M3M4_PR
NEW met2 ( 1601490 489260 ) M2M3_PR
NEW met1 ( 1601490 482970 ) M1M2_PR
NEW met2 ( 1008550 543660 ) M2M3_PR
NEW met1 ( 1008550 542810 ) M1M2_PR
NEW met1 ( 1032010 542810 ) M1M2_PR ;
- din0\[10\] ( wbs_int din0[10] ) ( imem din0[10] ) + USE SIGNAL
+ ROUTED met4 ( 1665430 498100 ) ( * 500530 0 )
NEW met4 ( 1665430 498100 ) ( 1665660 * )
NEW met4 ( 1665660 489260 ) ( * 498100 )
NEW met3 ( 1665430 489260 ) ( 1665660 * )
NEW met2 ( 1665430 481270 ) ( * 489260 )
NEW met2 ( 1013610 572730 ) ( * 577660 )
NEW met3 ( 999580 577660 0 ) ( 1013610 * )
NEW met1 ( 1013610 572730 ) ( 1487410 * )
NEW met1 ( 1487410 481270 ) ( 1665430 * )
NEW met2 ( 1487410 481270 ) ( * 572730 )
NEW met3 ( 1665660 489260 ) M3M4_PR
NEW met2 ( 1665430 489260 ) M2M3_PR
NEW met1 ( 1665430 481270 ) M1M2_PR
NEW met2 ( 1013610 577660 ) M2M3_PR
NEW met1 ( 1013610 572730 ) M1M2_PR
NEW met1 ( 1487410 481270 ) M1M2_PR
NEW met1 ( 1487410 572730 ) M1M2_PR
NEW met3 ( 1665660 489260 ) RECT ( 0 -150 390 150 ) ;
- din0\[11\] ( wbs_int din0[11] ) ( imem din0[11] ) + USE SIGNAL
+ ROUTED met4 ( 1670870 498100 ) ( * 500530 0 )
NEW met4 ( 1670870 498100 ) ( 1671180 * )
NEW met4 ( 1671180 489260 ) ( * 498100 )
NEW met3 ( 1670950 489260 ) ( 1671180 * )
NEW met2 ( 1670950 489260 ) ( * 489430 )
NEW met2 ( 1459810 489430 ) ( * 580210 )
NEW met2 ( 1014070 580210 ) ( * 581060 )
NEW met3 ( 999580 581060 0 ) ( 1014070 * )
NEW met1 ( 1014070 580210 ) ( 1459810 * )
NEW met1 ( 1459810 489430 ) ( 1670950 * )
NEW met1 ( 1459810 489430 ) M1M2_PR
NEW met1 ( 1459810 580210 ) M1M2_PR
NEW met3 ( 1671180 489260 ) M3M4_PR
NEW met2 ( 1670950 489260 ) M2M3_PR
NEW met1 ( 1670950 489430 ) M1M2_PR
NEW met2 ( 1014070 581060 ) M2M3_PR
NEW met1 ( 1014070 580210 ) M1M2_PR
NEW met3 ( 1671180 489260 ) RECT ( 0 -150 390 150 ) ;
- din0\[12\] ( wbs_int din0[12] ) ( imem din0[12] ) + USE SIGNAL
+ ROUTED met2 ( 1459350 474470 ) ( * 579870 )
NEW met2 ( 1013610 579870 ) ( * 584460 )
NEW met3 ( 999580 584460 0 ) ( 1013610 * )
NEW met1 ( 1013610 579870 ) ( 1459350 * )
NEW met4 ( 1677670 498100 ) ( * 500530 0 )
NEW met4 ( 1677670 498100 ) ( 1678540 * )
NEW met4 ( 1678540 487220 ) ( * 498100 )
NEW met3 ( 1677850 487220 ) ( 1678540 * )
NEW met2 ( 1677850 474470 ) ( * 487220 )
NEW met1 ( 1459350 474470 ) ( 1677850 * )
NEW met1 ( 1459350 474470 ) M1M2_PR
NEW met1 ( 1459350 579870 ) M1M2_PR
NEW met2 ( 1013610 584460 ) M2M3_PR
NEW met1 ( 1013610 579870 ) M1M2_PR
NEW met3 ( 1678540 487220 ) M3M4_PR
NEW met2 ( 1677850 487220 ) M2M3_PR
NEW met1 ( 1677850 474470 ) M1M2_PR ;
- din0\[13\] ( wbs_int din0[13] ) ( imem din0[13] ) + USE SIGNAL
+ ROUTED met3 ( 999580 587860 0 ) ( 1011770 * )
NEW met2 ( 1593670 489770 ) ( * 493170 )
NEW met1 ( 1011770 493170 ) ( 1593670 * )
NEW met4 ( 1683110 498100 ) ( * 500530 0 )
NEW met4 ( 1683110 498100 ) ( 1683140 * )
NEW met4 ( 1683140 489260 ) ( * 498100 )
NEW met3 ( 1682910 489260 ) ( 1683140 * )
NEW met2 ( 1682910 489260 ) ( * 489770 )
NEW met1 ( 1593670 489770 ) ( 1682910 * )
NEW met2 ( 1011770 493170 ) ( * 587860 )
NEW met1 ( 1011770 493170 ) M1M2_PR
NEW met2 ( 1011770 587860 ) M2M3_PR
NEW met1 ( 1593670 493170 ) M1M2_PR
NEW met1 ( 1593670 489770 ) M1M2_PR
NEW met3 ( 1683140 489260 ) M3M4_PR
NEW met2 ( 1682910 489260 ) M2M3_PR
NEW met1 ( 1682910 489770 ) M1M2_PR
NEW met3 ( 1683140 489260 ) RECT ( 0 -150 390 150 ) ;
- din0\[14\] ( wbs_int din0[14] ) ( imem din0[14] ) + USE SIGNAL
+ ROUTED met2 ( 1014070 586670 ) ( * 591260 )
NEW met3 ( 999580 591260 0 ) ( 1014070 * )
NEW met1 ( 1014070 586670 ) ( 1487870 * )
NEW met4 ( 1688550 498100 ) ( * 500530 0 )
NEW met4 ( 1688550 498100 ) ( 1688660 * )
NEW met4 ( 1688660 496740 ) ( * 498100 )
NEW met3 ( 1688430 496740 ) ( 1688660 * )
NEW met2 ( 1688430 494190 ) ( * 496740 )
NEW met1 ( 1487870 494190 ) ( 1688430 * )
NEW met2 ( 1487870 494190 ) ( * 586670 )
NEW met2 ( 1014070 591260 ) M2M3_PR
NEW met1 ( 1014070 586670 ) M1M2_PR
NEW met1 ( 1487870 494190 ) M1M2_PR
NEW met1 ( 1487870 586670 ) M1M2_PR
NEW met3 ( 1688660 496740 ) M3M4_PR
NEW met2 ( 1688430 496740 ) M2M3_PR
NEW met1 ( 1688430 494190 ) M1M2_PR
NEW met3 ( 1688660 496740 ) RECT ( 0 -150 390 150 ) ;
- din0\[15\] ( wbs_int din0[15] ) ( imem din0[15] ) + USE SIGNAL
+ ROUTED met2 ( 1663130 475830 ) ( * 483650 )
NEW met3 ( 999580 594660 0 ) ( 1011310 * )
NEW met1 ( 1011310 475830 ) ( 1663130 * )
NEW met4 ( 1694670 498100 ) ( * 500530 0 )
NEW met4 ( 1694180 498100 ) ( 1694670 * )
NEW met4 ( 1694180 489260 ) ( * 498100 )
NEW met3 ( 1692110 489260 ) ( 1694180 * )
NEW met2 ( 1692110 483650 ) ( * 489260 )
NEW met1 ( 1663130 483650 ) ( 1692110 * )
NEW met2 ( 1011310 475830 ) ( * 594660 )
NEW met1 ( 1663130 475830 ) M1M2_PR
NEW met1 ( 1663130 483650 ) M1M2_PR
NEW met1 ( 1011310 475830 ) M1M2_PR
NEW met2 ( 1011310 594660 ) M2M3_PR
NEW met3 ( 1694180 489260 ) M3M4_PR
NEW met2 ( 1692110 489260 ) M2M3_PR
NEW met1 ( 1692110 483650 ) M1M2_PR ;
- din0\[16\] ( wbs_int din0[16] ) ( imem din0[16] ) + USE SIGNAL
+ ROUTED met2 ( 1014070 593470 ) ( * 598060 )
NEW met3 ( 999580 598060 0 ) ( 1014070 * )
NEW met1 ( 1014070 593470 ) ( 1494310 * )
NEW met4 ( 1701470 498100 ) ( * 500530 0 )
NEW met4 ( 1701470 498100 ) ( 1701540 * )
NEW met4 ( 1701540 489260 ) ( * 498100 )
NEW met3 ( 1697630 489260 ) ( 1701540 * )
NEW met2 ( 1697630 481610 ) ( * 489260 )
NEW met1 ( 1494310 481610 ) ( 1697630 * )
NEW met2 ( 1494310 481610 ) ( * 593470 )
NEW met2 ( 1014070 598060 ) M2M3_PR
NEW met1 ( 1014070 593470 ) M1M2_PR
NEW met1 ( 1494310 481610 ) M1M2_PR
NEW met1 ( 1494310 593470 ) M1M2_PR
NEW met3 ( 1701540 489260 ) M3M4_PR
NEW met2 ( 1697630 489260 ) M2M3_PR
NEW met1 ( 1697630 481610 ) M1M2_PR ;
- din0\[17\] ( wbs_int din0[17] ) ( imem din0[17] ) + USE SIGNAL
+ ROUTED met2 ( 1445550 461550 ) ( * 600950 )
NEW met2 ( 1014070 600950 ) ( * 601460 )
NEW met3 ( 999580 601460 0 ) ( 1014070 * )
NEW met1 ( 1014070 600950 ) ( 1445550 * )
NEW met4 ( 1706910 498100 ) ( * 500530 0 )
NEW met4 ( 1706910 498100 ) ( 1707060 * )
NEW met4 ( 1707060 485180 ) ( * 498100 )
NEW met3 ( 1704530 485180 ) ( 1707060 * )
NEW met1 ( 1445550 461550 ) ( 1704530 * )
NEW met2 ( 1704530 461550 ) ( * 485180 )
NEW met1 ( 1445550 600950 ) M1M2_PR
NEW met1 ( 1445550 461550 ) M1M2_PR
NEW met2 ( 1014070 601460 ) M2M3_PR
NEW met1 ( 1014070 600950 ) M1M2_PR
NEW met3 ( 1707060 485180 ) M3M4_PR
NEW met2 ( 1704530 485180 ) M2M3_PR
NEW met1 ( 1704530 461550 ) M1M2_PR ;
- din0\[18\] ( wbs_int din0[18] ) ( imem din0[18] ) + USE SIGNAL
+ ROUTED met2 ( 1013610 600610 ) ( * 604860 )
NEW met3 ( 999580 604860 0 ) ( 1013610 * )
NEW met1 ( 1013610 600610 ) ( 1494770 * )
NEW met4 ( 1712350 498100 ) ( * 500530 0 )
NEW met4 ( 1712350 498100 ) ( 1712580 * )
NEW met4 ( 1712580 496740 ) ( * 498100 )
NEW met3 ( 1712350 496740 ) ( 1712580 * )
NEW met2 ( 1712350 493850 ) ( * 496740 )
NEW met1 ( 1494770 493850 ) ( 1712350 * )
NEW met2 ( 1494770 493850 ) ( * 600610 )
NEW met2 ( 1013610 604860 ) M2M3_PR
NEW met1 ( 1013610 600610 ) M1M2_PR
NEW met1 ( 1494770 493850 ) M1M2_PR
NEW met1 ( 1494770 600610 ) M1M2_PR
NEW met3 ( 1712580 496740 ) M3M4_PR
NEW met2 ( 1712350 496740 ) M2M3_PR
NEW met1 ( 1712350 493850 ) M1M2_PR
NEW met3 ( 1712580 496740 ) RECT ( 0 -150 390 150 ) ;
- din0\[19\] ( wbs_int din0[19] ) ( imem din0[19] ) + USE SIGNAL
+ ROUTED met2 ( 1480510 481950 ) ( * 607410 )
NEW met2 ( 1014070 607410 ) ( * 608260 )
NEW met3 ( 999580 608260 0 ) ( 1014070 * )
NEW met1 ( 1014070 607410 ) ( 1480510 * )
NEW met4 ( 1717790 498100 ) ( * 500530 0 )
NEW met4 ( 1717790 498100 ) ( 1718100 * )
NEW met4 ( 1718100 489260 ) ( * 498100 )
NEW met3 ( 1717870 489260 ) ( 1718100 * )
NEW met2 ( 1717870 481950 ) ( * 489260 )
NEW met1 ( 1480510 481950 ) ( 1717870 * )
NEW met1 ( 1480510 481950 ) M1M2_PR
NEW met1 ( 1480510 607410 ) M1M2_PR
NEW met2 ( 1014070 608260 ) M2M3_PR
NEW met1 ( 1014070 607410 ) M1M2_PR
NEW met3 ( 1718100 489260 ) M3M4_PR
NEW met2 ( 1717870 489260 ) M2M3_PR
NEW met1 ( 1717870 481950 ) M1M2_PR
NEW met3 ( 1718100 489260 ) RECT ( 0 -150 390 150 ) ;
- din0\[1\] ( wbs_int din0[1] ) ( imem din0[1] ) + USE SIGNAL
+ ROUTED met2 ( 1059150 468690 ) ( * 545530 )
NEW met4 ( 1613070 498100 ) ( * 500530 0 )
NEW met4 ( 1613070 498100 ) ( 1613220 * )
NEW met4 ( 1613220 485180 ) ( * 498100 )
NEW met3 ( 1607930 485180 ) ( 1613220 * )
NEW met2 ( 1014070 545530 ) ( * 547060 )
NEW met3 ( 999580 547060 0 ) ( 1014070 * )
NEW met1 ( 1014070 545530 ) ( 1059150 * )
NEW met1 ( 1059150 468690 ) ( 1607930 * )
NEW met2 ( 1607930 468690 ) ( * 485180 )
NEW met1 ( 1059150 468690 ) M1M2_PR
NEW met1 ( 1059150 545530 ) M1M2_PR
NEW met3 ( 1613220 485180 ) M3M4_PR
NEW met2 ( 1607930 485180 ) M2M3_PR
NEW met2 ( 1014070 547060 ) M2M3_PR
NEW met1 ( 1014070 545530 ) M1M2_PR
NEW met1 ( 1607930 468690 ) M1M2_PR ;
- din0\[20\] ( wbs_int din0[20] ) ( imem din0[20] ) + USE SIGNAL
+ ROUTED met3 ( 999580 611660 0 ) ( 1010850 * )
NEW met2 ( 1600570 475490 ) ( * 483310 )
NEW met1 ( 1010850 475490 ) ( 1600570 * )
NEW met4 ( 1724590 498100 ) ( * 500530 0 )
NEW met4 ( 1724540 498100 ) ( 1724590 * )
NEW met4 ( 1724540 489260 ) ( * 498100 )
NEW met3 ( 1720630 489260 ) ( 1724540 * )
NEW met2 ( 1720630 483310 ) ( * 489260 )
NEW met1 ( 1600570 483310 ) ( 1720630 * )
NEW met2 ( 1010850 475490 ) ( * 611660 )
NEW met1 ( 1010850 475490 ) M1M2_PR
NEW met2 ( 1010850 611660 ) M2M3_PR
NEW met1 ( 1600570 475490 ) M1M2_PR
NEW met1 ( 1600570 483310 ) M1M2_PR
NEW met3 ( 1724540 489260 ) M3M4_PR
NEW met2 ( 1720630 489260 ) M2M3_PR
NEW met1 ( 1720630 483310 ) M1M2_PR ;
- din0\[21\] ( wbs_int din0[21] ) ( imem din0[21] ) + USE SIGNAL
+ ROUTED met4 ( 1730030 498100 ) ( * 500530 0 )
NEW met4 ( 1730030 498100 ) ( 1730060 * )
NEW met4 ( 1730060 487220 ) ( * 498100 )
NEW met3 ( 1727530 487220 ) ( 1730060 * )
NEW met2 ( 1727530 474810 ) ( * 487220 )
NEW met1 ( 1493850 474810 ) ( 1727530 * )
NEW met2 ( 1014070 614210 ) ( * 615060 )
NEW met3 ( 999580 615060 0 ) ( 1014070 * )
NEW met1 ( 1014070 614210 ) ( 1493850 * )
NEW met2 ( 1493850 474810 ) ( * 614210 )
NEW met3 ( 1730060 487220 ) M3M4_PR
NEW met2 ( 1727530 487220 ) M2M3_PR
NEW met1 ( 1727530 474810 ) M1M2_PR
NEW met1 ( 1493850 474810 ) M1M2_PR
NEW met2 ( 1014070 615060 ) M2M3_PR
NEW met1 ( 1014070 614210 ) M1M2_PR
NEW met1 ( 1493850 614210 ) M1M2_PR ;
- din0\[22\] ( wbs_int din0[22] ) ( imem din0[22] ) + USE SIGNAL
+ ROUTED met4 ( 1735470 498100 ) ( * 500530 0 )
NEW met4 ( 1735470 498100 ) ( 1735580 * )
NEW met4 ( 1735580 485180 ) ( * 498100 )
NEW met3 ( 1732130 485180 ) ( 1735580 * )
NEW met2 ( 1732130 454750 ) ( * 485180 )
NEW met2 ( 1014070 616250 ) ( * 618460 )
NEW met1 ( 1014070 616250 ) ( 1031550 * )
NEW met3 ( 999580 618460 0 ) ( 1014070 * )
NEW met2 ( 1031550 454750 ) ( * 616250 )
NEW met1 ( 1031550 454750 ) ( 1732130 * )
NEW met3 ( 1735580 485180 ) M3M4_PR
NEW met2 ( 1732130 485180 ) M2M3_PR
NEW met1 ( 1732130 454750 ) M1M2_PR
NEW met1 ( 1031550 454750 ) M1M2_PR
NEW met2 ( 1014070 618460 ) M2M3_PR
NEW met1 ( 1014070 616250 ) M1M2_PR
NEW met1 ( 1031550 616250 ) M1M2_PR ;
- din0\[23\] ( wbs_int din0[23] ) ( imem din0[23] ) + USE SIGNAL
+ ROUTED met4 ( 1741590 498100 ) ( * 500530 0 )
NEW met3 ( 1741330 498100 ) ( 1741590 * )
NEW met2 ( 1741330 493510 ) ( * 498100 )
NEW met2 ( 1480970 493510 ) ( * 621010 )
NEW met1 ( 1480970 493510 ) ( 1741330 * )
NEW met2 ( 1014070 621010 ) ( * 621860 )
NEW met3 ( 999580 621860 0 ) ( 1014070 * )
NEW met1 ( 1014070 621010 ) ( 1480970 * )
NEW met1 ( 1480970 493510 ) M1M2_PR
NEW met3 ( 1741590 498100 ) M3M4_PR
NEW met2 ( 1741330 498100 ) M2M3_PR
NEW met1 ( 1741330 493510 ) M1M2_PR
NEW met1 ( 1480970 621010 ) M1M2_PR
NEW met2 ( 1014070 621860 ) M2M3_PR
NEW met1 ( 1014070 621010 ) M1M2_PR
NEW met3 ( 1741590 498100 ) RECT ( 0 -150 360 150 ) ;
- din0\[24\] ( wbs_int din0[24] ) ( imem din0[24] ) + USE SIGNAL
+ ROUTED met4 ( 1747030 498100 ) ( * 500530 0 )
NEW met4 ( 1746620 498100 ) ( 1747030 * )
NEW met4 ( 1746620 487220 ) ( * 498100 )
NEW met3 ( 1746390 487220 ) ( 1746620 * )
NEW met2 ( 1746390 475150 ) ( * 487220 )
NEW met2 ( 1480050 475150 ) ( * 621350 )
NEW met1 ( 1480050 475150 ) ( 1746390 * )
NEW met2 ( 1012230 621350 ) ( * 625260 )
NEW met3 ( 999580 625260 0 ) ( 1012230 * )
NEW met1 ( 1012230 621350 ) ( 1480050 * )
NEW met1 ( 1480050 475150 ) M1M2_PR
NEW met3 ( 1746620 487220 ) M3M4_PR
NEW met2 ( 1746390 487220 ) M2M3_PR
NEW met1 ( 1746390 475150 ) M1M2_PR
NEW met1 ( 1480050 621350 ) M1M2_PR
NEW met2 ( 1012230 625260 ) M2M3_PR
NEW met1 ( 1012230 621350 ) M1M2_PR
NEW met3 ( 1746620 487220 ) RECT ( 0 -150 390 150 ) ;
- din0\[25\] ( wbs_int din0[25] ) ( imem din0[25] ) + USE SIGNAL
+ ROUTED met4 ( 1753830 498100 ) ( * 500530 0 )
NEW met4 ( 1753830 498100 ) ( 1753980 * )
NEW met4 ( 1753980 485180 ) ( * 498100 )
NEW met3 ( 1753290 485180 ) ( 1753980 * )
NEW met2 ( 1753290 468860 ) ( * 485180 )
NEW met3 ( 999580 628660 0 ) ( 1483500 * )
NEW met3 ( 1483500 627980 ) ( * 628660 )
NEW met3 ( 1483500 627980 ) ( 1492700 * )
NEW met4 ( 1492700 468860 ) ( * 627980 )
NEW met3 ( 1492700 468860 ) ( 1753290 * )
NEW met3 ( 1753980 485180 ) M3M4_PR
NEW met2 ( 1753290 485180 ) M2M3_PR
NEW met2 ( 1753290 468860 ) M2M3_PR
NEW met3 ( 1492700 468860 ) M3M4_PR
NEW met3 ( 1492700 627980 ) M3M4_PR ;
- din0\[26\] ( wbs_int din0[26] ) ( imem din0[26] ) + USE SIGNAL
+ ROUTED met4 ( 1759270 498100 ) ( * 500530 0 )
NEW met4 ( 1759270 498100 ) ( 1759500 * )
NEW met4 ( 1759500 484500 ) ( * 498100 )
NEW met3 ( 1752830 484500 ) ( 1759500 * )
NEW met2 ( 1752830 462230 ) ( * 484500 )
NEW met2 ( 1007630 628150 ) ( * 632060 )
NEW met1 ( 1007630 628150 ) ( 1018210 * )
NEW met3 ( 999580 632060 0 ) ( 1007630 * )
NEW met2 ( 1018210 462230 ) ( * 628150 )
NEW met1 ( 1018210 462230 ) ( 1752830 * )
NEW met3 ( 1759500 484500 ) M3M4_PR
NEW met2 ( 1752830 484500 ) M2M3_PR
NEW met1 ( 1752830 462230 ) M1M2_PR
NEW met1 ( 1018210 462230 ) M1M2_PR
NEW met2 ( 1007630 632060 ) M2M3_PR
NEW met1 ( 1007630 628150 ) M1M2_PR
NEW met1 ( 1018210 628150 ) M1M2_PR ;
- din0\[27\] ( wbs_int din0[27] ) ( imem din0[27] ) + USE SIGNAL
+ ROUTED met4 ( 1764710 498100 ) ( * 500530 0 )
NEW met4 ( 1763180 498100 ) ( 1764710 * )
NEW met4 ( 1763180 489260 ) ( * 498100 )
NEW met3 ( 1762950 489260 ) ( 1763180 * )
NEW met2 ( 1762950 482290 ) ( * 489260 )
NEW met3 ( 1490860 496060 ) ( 1495690 * )
NEW met2 ( 1495690 482290 ) ( * 496060 )
NEW met1 ( 1495690 482290 ) ( 1762950 * )
NEW met3 ( 999580 635460 0 ) ( 1490860 * )
NEW met4 ( 1490860 496060 ) ( * 635460 )
NEW met3 ( 1763180 489260 ) M3M4_PR
NEW met2 ( 1762950 489260 ) M2M3_PR
NEW met1 ( 1762950 482290 ) M1M2_PR
NEW met3 ( 1490860 496060 ) M3M4_PR
NEW met2 ( 1495690 496060 ) M2M3_PR
NEW met1 ( 1495690 482290 ) M1M2_PR
NEW met3 ( 1490860 635460 ) M3M4_PR
NEW met3 ( 1763180 489260 ) RECT ( 0 -150 390 150 ) ;
- din0\[28\] ( wbs_int din0[28] ) ( imem din0[28] ) + USE SIGNAL
+ ROUTED met4 ( 1770150 498100 ) ( * 500530 0 )
NEW met4 ( 1769620 498100 ) ( 1770150 * )
NEW met4 ( 1769620 485180 ) ( * 498100 )
NEW met3 ( 1766630 485180 ) ( 1769620 * )
NEW met2 ( 1766630 469030 ) ( * 485180 )
NEW met2 ( 1011310 634950 ) ( * 638860 )
NEW met1 ( 1011310 634950 ) ( 1024650 * )
NEW met3 ( 999580 638860 0 ) ( 1011310 * )
NEW met2 ( 1024650 469030 ) ( * 634950 )
NEW met1 ( 1024650 469030 ) ( 1766630 * )
NEW met3 ( 1769620 485180 ) M3M4_PR
NEW met2 ( 1766630 485180 ) M2M3_PR
NEW met1 ( 1766630 469030 ) M1M2_PR
NEW met1 ( 1024650 469030 ) M1M2_PR
NEW met2 ( 1011310 638860 ) M2M3_PR
NEW met1 ( 1011310 634950 ) M1M2_PR
NEW met1 ( 1024650 634950 ) M1M2_PR ;
- din0\[29\] ( wbs_int din0[29] ) ( imem din0[29] ) + USE SIGNAL
+ ROUTED met2 ( 1072950 496740 ) ( * 641750 )
NEW met3 ( 1072950 496740 ) ( 1676700 * )
NEW met3 ( 1676700 496060 ) ( * 496740 )
NEW met4 ( 1776270 498100 ) ( * 500530 0 )
NEW met4 ( 1776060 498100 ) ( 1776270 * )
NEW met4 ( 1776060 496060 ) ( * 498100 )
NEW met3 ( 1676700 496060 ) ( 1776060 * )
NEW met2 ( 1014070 641750 ) ( * 642260 )
NEW met3 ( 999580 642260 0 ) ( 1014070 * )
NEW met1 ( 1014070 641750 ) ( 1072950 * )
NEW met2 ( 1072950 496740 ) M2M3_PR
NEW met1 ( 1072950 641750 ) M1M2_PR
NEW met3 ( 1776060 496060 ) M3M4_PR
NEW met2 ( 1014070 642260 ) M2M3_PR
NEW met1 ( 1014070 641750 ) M1M2_PR ;
- din0\[2\] ( wbs_int din0[2] ) ( imem din0[2] ) + USE SIGNAL
+ ROUTED met2 ( 1446010 489090 ) ( * 545190 )
NEW met4 ( 1618510 498100 ) ( * 500530 0 )
NEW met4 ( 1618510 498100 ) ( 1618740 * )
NEW met4 ( 1618740 489260 ) ( * 498100 )
NEW met3 ( 1618510 489260 ) ( 1618740 * )
NEW met2 ( 1618510 489090 ) ( * 489260 )
NEW met1 ( 1446010 489090 ) ( 1618510 * )
NEW met2 ( 1012690 545190 ) ( * 550460 )
NEW met3 ( 999580 550460 0 ) ( 1012690 * )
NEW met1 ( 1012690 545190 ) ( 1446010 * )
NEW met1 ( 1446010 489090 ) M1M2_PR
NEW met1 ( 1446010 545190 ) M1M2_PR
NEW met3 ( 1618740 489260 ) M3M4_PR
NEW met2 ( 1618510 489260 ) M2M3_PR
NEW met1 ( 1618510 489090 ) M1M2_PR
NEW met2 ( 1012690 550460 ) M2M3_PR
NEW met1 ( 1012690 545190 ) M1M2_PR
NEW met3 ( 1618740 489260 ) RECT ( 0 -150 390 150 ) ;
- din0\[30\] ( wbs_int din0[30] ) ( imem din0[30] ) + USE SIGNAL
+ ROUTED met4 ( 1783070 498100 ) ( * 500530 0 )
NEW met4 ( 1782500 498100 ) ( 1783070 * )
NEW met4 ( 1782500 487900 ) ( * 498100 )
NEW met3 ( 1487180 487900 ) ( 1782500 * )
NEW met2 ( 1012230 562020 ) ( * 614100 )
NEW met2 ( 1011770 614100 ) ( * 645660 )
NEW met2 ( 1011770 614100 ) ( 1012230 * )
NEW met3 ( 999580 645660 0 ) ( 1011770 * )
NEW met3 ( 1012230 562020 ) ( 1487180 * )
NEW met4 ( 1487180 487900 ) ( * 562020 )
NEW met3 ( 1487180 487900 ) M3M4_PR
NEW met3 ( 1782500 487900 ) M3M4_PR
NEW met2 ( 1012230 562020 ) M2M3_PR
NEW met2 ( 1011770 645660 ) M2M3_PR
NEW met3 ( 1487180 562020 ) M3M4_PR ;
- din0\[31\] ( wbs_int din0[31] ) ( imem din0[31] ) + USE SIGNAL
+ ROUTED met4 ( 1788510 498100 ) ( * 500530 0 )
NEW met4 ( 1788020 498100 ) ( 1788510 * )
NEW met4 ( 1788020 490620 ) ( * 498100 )
NEW met3 ( 1788020 489260 ) ( * 490620 )
NEW met3 ( 1787330 489260 ) ( 1788020 * )
NEW met2 ( 1787330 475660 ) ( * 489260 )
NEW met3 ( 1486260 475660 ) ( 1787330 * )
NEW met3 ( 999580 649060 0 ) ( 1486260 * )
NEW met4 ( 1486260 475660 ) ( * 649060 )
NEW met3 ( 1486260 475660 ) M3M4_PR
NEW met3 ( 1788020 490620 ) M3M4_PR
NEW met2 ( 1787330 489260 ) M2M3_PR
NEW met2 ( 1787330 475660 ) M2M3_PR
NEW met3 ( 1486260 649060 ) M3M4_PR ;
- din0\[3\] ( wbs_int din0[3] ) ( imem din0[3] ) + USE SIGNAL
+ ROUTED met4 ( 1625310 498100 ) ( * 500530 0 )
NEW met4 ( 1625180 498100 ) ( 1625310 * )
NEW met4 ( 1625180 496060 ) ( * 498100 )
NEW met3 ( 1624950 496060 ) ( 1625180 * )
NEW met2 ( 1624950 494870 ) ( * 496060 )
NEW met1 ( 1488330 494870 ) ( 1624950 * )
NEW met2 ( 1014070 552330 ) ( * 553860 )
NEW met3 ( 999580 553860 0 ) ( 1014070 * )
NEW met1 ( 1014070 552330 ) ( 1488330 * )
NEW met2 ( 1488330 494870 ) ( * 552330 )
NEW met1 ( 1488330 494870 ) M1M2_PR
NEW met3 ( 1625180 496060 ) M3M4_PR
NEW met2 ( 1624950 496060 ) M2M3_PR
NEW met1 ( 1624950 494870 ) M1M2_PR
NEW met2 ( 1014070 553860 ) M2M3_PR
NEW met1 ( 1014070 552330 ) M1M2_PR
NEW met1 ( 1488330 552330 ) M1M2_PR
NEW met3 ( 1625180 496060 ) RECT ( 0 -150 390 150 ) ;
- din0\[4\] ( wbs_int din0[4] ) ( imem din0[4] ) + USE SIGNAL
+ ROUTED met4 ( 1630750 498100 ) ( * 500530 0 )
NEW met4 ( 1630700 498100 ) ( 1630750 * )
NEW met4 ( 1630700 490620 ) ( * 498100 )
NEW met3 ( 1630700 489260 ) ( * 490620 )
NEW met3 ( 1628630 489260 ) ( 1630700 * )
NEW met2 ( 1052250 461890 ) ( * 552670 )
NEW met2 ( 1628630 461890 ) ( * 489260 )
NEW met2 ( 1013610 552670 ) ( * 557260 )
NEW met3 ( 999580 557260 0 ) ( 1013610 * )
NEW met1 ( 1013610 552670 ) ( 1052250 * )
NEW met1 ( 1052250 461890 ) ( 1628630 * )
NEW met3 ( 1630700 490620 ) M3M4_PR
NEW met2 ( 1628630 489260 ) M2M3_PR
NEW met1 ( 1052250 461890 ) M1M2_PR
NEW met1 ( 1052250 552670 ) M1M2_PR
NEW met1 ( 1628630 461890 ) M1M2_PR
NEW met2 ( 1013610 557260 ) M2M3_PR
NEW met1 ( 1013610 552670 ) M1M2_PR ;
- din0\[5\] ( wbs_int din0[5] ) ( imem din0[5] ) + USE SIGNAL
+ ROUTED met4 ( 1636190 498100 ) ( * 500530 0 )
NEW met4 ( 1636190 498100 ) ( 1636220 * )
NEW met4 ( 1636220 485180 ) ( * 498100 )
NEW met3 ( 1635530 485180 ) ( 1636220 * )
NEW met2 ( 1466250 461210 ) ( * 559130 )
NEW met2 ( 1635530 461210 ) ( * 485180 )
NEW met2 ( 1014070 559130 ) ( * 560660 )
NEW met3 ( 999580 560660 0 ) ( 1014070 * )
NEW met1 ( 1014070 559130 ) ( 1466250 * )
NEW met1 ( 1466250 461210 ) ( 1635530 * )
NEW met3 ( 1636220 485180 ) M3M4_PR
NEW met2 ( 1635530 485180 ) M2M3_PR
NEW met1 ( 1466250 461210 ) M1M2_PR
NEW met1 ( 1466250 559130 ) M1M2_PR
NEW met1 ( 1635530 461210 ) M1M2_PR
NEW met2 ( 1014070 560660 ) M2M3_PR
NEW met1 ( 1014070 559130 ) M1M2_PR ;
- din0\[6\] ( wbs_int din0[6] ) ( imem din0[6] ) + USE SIGNAL
+ ROUTED met4 ( 1641630 498100 ) ( * 500530 0 )
NEW met4 ( 1641630 498100 ) ( 1641740 * )
NEW met4 ( 1641740 489260 ) ( * 498100 )
NEW met3 ( 1641510 489260 ) ( 1641740 * )
NEW met2 ( 1641510 488410 ) ( * 489260 )
NEW met1 ( 1495230 488410 ) ( 1641510 * )
NEW met2 ( 1009470 548590 ) ( * 564060 )
NEW met3 ( 999580 564060 0 ) ( 1009470 * )
NEW met1 ( 1009470 548590 ) ( 1495230 * )
NEW met2 ( 1495230 488410 ) ( * 548590 )
NEW met3 ( 1641740 489260 ) M3M4_PR
NEW met2 ( 1641510 489260 ) M2M3_PR
NEW met1 ( 1641510 488410 ) M1M2_PR
NEW met1 ( 1495230 488410 ) M1M2_PR
NEW met2 ( 1009470 564060 ) M2M3_PR
NEW met1 ( 1009470 548590 ) M1M2_PR
NEW met1 ( 1495230 548590 ) M1M2_PR
NEW met3 ( 1641740 489260 ) RECT ( 0 -150 390 150 ) ;
- din0\[7\] ( wbs_int din0[7] ) ( imem din0[7] ) + USE SIGNAL
+ ROUTED met4 ( 1648430 498100 ) ( * 500530 0 )
NEW met4 ( 1648180 498100 ) ( 1648430 * )
NEW met4 ( 1648180 485180 ) ( * 498100 )
NEW met3 ( 1642430 485180 ) ( 1648180 * )
NEW met2 ( 1481430 468010 ) ( * 566270 )
NEW met2 ( 1642430 468010 ) ( * 485180 )
NEW met2 ( 1014070 566270 ) ( * 567460 )
NEW met3 ( 999580 567460 0 ) ( 1014070 * )
NEW met1 ( 1014070 566270 ) ( 1481430 * )
NEW met1 ( 1481430 468010 ) ( 1642430 * )
NEW met1 ( 1481430 566270 ) M1M2_PR
NEW met3 ( 1648180 485180 ) M3M4_PR
NEW met2 ( 1642430 485180 ) M2M3_PR
NEW met1 ( 1481430 468010 ) M1M2_PR
NEW met1 ( 1642430 468010 ) M1M2_PR
NEW met2 ( 1014070 567460 ) M2M3_PR
NEW met1 ( 1014070 566270 ) M1M2_PR ;
- din0\[8\] ( wbs_int din0[8] ) ( imem din0[8] ) + USE SIGNAL
+ ROUTED met4 ( 1653870 498100 ) ( * 500530 0 )
NEW met4 ( 1653700 498100 ) ( 1653870 * )
NEW met4 ( 1653700 490620 ) ( * 498100 )
NEW met3 ( 1653700 489260 ) ( * 490620 )
NEW met3 ( 1649330 489260 ) ( 1653700 * )
NEW met2 ( 1649330 460870 ) ( * 489260 )
NEW met2 ( 1013610 565930 ) ( * 570860 )
NEW met3 ( 999580 570860 0 ) ( 1013610 * )
NEW met1 ( 1013610 565930 ) ( 1486950 * )
NEW met2 ( 1486950 460870 ) ( * 565930 )
NEW met1 ( 1486950 460870 ) ( 1649330 * )
NEW met3 ( 1653700 490620 ) M3M4_PR
NEW met2 ( 1649330 489260 ) M2M3_PR
NEW met1 ( 1649330 460870 ) M1M2_PR
NEW met2 ( 1013610 570860 ) M2M3_PR
NEW met1 ( 1013610 565930 ) M1M2_PR
NEW met1 ( 1486950 565930 ) M1M2_PR
NEW met1 ( 1486950 460870 ) M1M2_PR ;
- din0\[9\] ( wbs_int din0[9] ) ( imem din0[9] ) + USE SIGNAL
+ ROUTED met4 ( 1659310 498100 ) ( * 500530 0 )
NEW met4 ( 1659220 498100 ) ( 1659310 * )
NEW met4 ( 1659220 485180 ) ( * 498100 )
NEW met3 ( 1656230 485180 ) ( 1659220 * )
NEW met2 ( 1473150 468350 ) ( * 573070 )
NEW met2 ( 1656230 468350 ) ( * 485180 )
NEW met2 ( 1014070 573070 ) ( * 574260 )
NEW met3 ( 999580 574260 0 ) ( 1014070 * )
NEW met1 ( 1014070 573070 ) ( 1473150 * )
NEW met1 ( 1473150 468350 ) ( 1656230 * )
NEW met1 ( 1473150 573070 ) M1M2_PR
NEW met3 ( 1659220 485180 ) M3M4_PR
NEW met2 ( 1656230 485180 ) M2M3_PR
NEW met1 ( 1473150 468350 ) M1M2_PR
NEW met1 ( 1656230 468350 ) M1M2_PR
NEW met2 ( 1014070 574260 ) M2M3_PR
NEW met1 ( 1014070 573070 ) M1M2_PR ;
- dmem_addra\[0\] ( dmem addr0[0] ) ( core dmem_addra[0] ) + USE SIGNAL
+ ROUTED met4 ( 1277710 2347700 ) ( * 2350530 0 )
NEW met4 ( 1277420 2347700 ) ( 1277710 * )
NEW met4 ( 1277420 2338860 ) ( * 2347700 )
NEW met3 ( 1277190 2338860 ) ( 1277420 * )
NEW met2 ( 1277190 2332230 ) ( * 2338860 )
NEW met1 ( 1014530 2332230 ) ( 1277190 * )
NEW met2 ( 1014530 1999540 ) ( 1015450 * 0 )
NEW met2 ( 1014530 1999540 ) ( * 2332230 )
NEW met3 ( 1277420 2338860 ) M3M4_PR
NEW met2 ( 1277190 2338860 ) M2M3_PR
NEW met1 ( 1277190 2332230 ) M1M2_PR
NEW met1 ( 1014530 2332230 ) M1M2_PR
NEW met3 ( 1277420 2338860 ) RECT ( 0 -150 390 150 ) ;
- dmem_addra\[10\] ( core dmem_addra[10] ) + USE SIGNAL ;
- dmem_addra\[11\] ( core dmem_addra[11] ) + USE SIGNAL ;
- dmem_addra\[12\] ( core dmem_addra[12] ) + USE SIGNAL ;
- dmem_addra\[13\] ( core dmem_addra[13] ) + USE SIGNAL ;
- dmem_addra\[14\] ( core dmem_addra[14] ) + USE SIGNAL ;
- dmem_addra\[15\] ( core dmem_addra[15] ) + USE SIGNAL ;
- dmem_addra\[16\] ( core dmem_addra[16] ) + USE SIGNAL ;
- dmem_addra\[17\] ( core dmem_addra[17] ) + USE SIGNAL ;
- dmem_addra\[18\] ( core dmem_addra[18] ) + USE SIGNAL ;
- dmem_addra\[19\] ( core dmem_addra[19] ) + USE SIGNAL ;
- dmem_addra\[1\] ( dmem addr0[1] ) ( core dmem_addra[1] ) + USE SIGNAL
+ ROUTED met2 ( 1180130 2477410 ) ( * 2478260 )
NEW met2 ( 1028330 1999540 ) ( 1029250 * 0 )
NEW met2 ( 1028330 1999540 ) ( * 2477410 )
NEW met1 ( 1028330 2477410 ) ( 1180130 * )
NEW met3 ( 1197380 2478260 ) ( * 2478710 )
NEW met3 ( 1197380 2478710 ) ( 1200140 * 0 )
NEW met3 ( 1180130 2478260 ) ( 1197380 * )
NEW met1 ( 1180130 2477410 ) M1M2_PR
NEW met2 ( 1180130 2478260 ) M2M3_PR
NEW met1 ( 1028330 2477410 ) M1M2_PR ;
- dmem_addra\[20\] ( core dmem_addra[20] ) + USE SIGNAL ;
- dmem_addra\[21\] ( core dmem_addra[21] ) + USE SIGNAL ;
- dmem_addra\[22\] ( core dmem_addra[22] ) + USE SIGNAL ;
- dmem_addra\[23\] ( core dmem_addra[23] ) + USE SIGNAL ;
- dmem_addra\[24\] ( core dmem_addra[24] ) + USE SIGNAL ;
- dmem_addra\[25\] ( core dmem_addra[25] ) + USE SIGNAL ;
- dmem_addra\[26\] ( core dmem_addra[26] ) + USE SIGNAL ;
- dmem_addra\[27\] ( core dmem_addra[27] ) + USE SIGNAL ;
- dmem_addra\[28\] ( core dmem_addra[28] ) + USE SIGNAL ;
- dmem_addra\[29\] ( core dmem_addra[29] ) + USE SIGNAL ;
- dmem_addra\[2\] ( dmem addr0[2] ) ( core dmem_addra[2] ) + USE SIGNAL
+ ROUTED met2 ( 1052250 2035410 ) ( * 2484210 )
NEW met2 ( 1180130 2484210 ) ( * 2487100 )
NEW met1 ( 1042130 2035410 ) ( 1052250 * )
NEW met2 ( 1042130 1999540 ) ( 1043050 * 0 )
NEW met2 ( 1042130 1999540 ) ( * 2035410 )
NEW met1 ( 1052250 2484210 ) ( 1180130 * )
NEW met3 ( 1197380 2487100 ) ( * 2487550 )
NEW met3 ( 1197380 2487550 ) ( 1200140 * 0 )
NEW met3 ( 1180130 2487100 ) ( 1197380 * )
NEW met1 ( 1052250 2035410 ) M1M2_PR
NEW met1 ( 1052250 2484210 ) M1M2_PR
NEW met1 ( 1180130 2484210 ) M1M2_PR
NEW met2 ( 1180130 2487100 ) M2M3_PR
NEW met1 ( 1042130 2035410 ) M1M2_PR ;
- dmem_addra\[30\] ( core dmem_addra[30] ) + USE SIGNAL ;
- dmem_addra\[31\] ( core dmem_addra[31] ) + USE SIGNAL ;
- dmem_addra\[3\] ( dmem addr0[3] ) ( core dmem_addra[3] ) + USE SIGNAL
+ ROUTED met2 ( 1055930 1999540 ) ( 1056850 * 0 )
NEW met2 ( 1055930 1999540 ) ( * 2491010 )
NEW met2 ( 1180130 2491010 ) ( * 2491860 )
NEW met1 ( 1055930 2491010 ) ( 1180130 * )
NEW met3 ( 1197380 2491860 ) ( * 2492310 )
NEW met3 ( 1197380 2492310 ) ( 1200140 * 0 )
NEW met3 ( 1180130 2491860 ) ( 1197380 * )
NEW met1 ( 1055930 2491010 ) M1M2_PR
NEW met1 ( 1180130 2491010 ) M1M2_PR
NEW met2 ( 1180130 2491860 ) M2M3_PR ;
- dmem_addra\[4\] ( dmem addr0[4] ) ( core dmem_addra[4] ) + USE SIGNAL
+ ROUTED met2 ( 1180130 2497810 ) ( * 2500020 )
NEW met2 ( 1069730 1999540 ) ( 1070650 * 0 )
NEW met2 ( 1069730 1999540 ) ( * 2497810 )
NEW met1 ( 1069730 2497810 ) ( 1180130 * )
NEW met3 ( 1197380 2500020 ) ( * 2500470 )
NEW met3 ( 1197380 2500470 ) ( 1200140 * 0 )
NEW met3 ( 1180130 2500020 ) ( 1197380 * )
NEW met1 ( 1069730 2497810 ) M1M2_PR
NEW met1 ( 1180130 2497810 ) M1M2_PR
NEW met2 ( 1180130 2500020 ) M2M3_PR ;
- dmem_addra\[5\] ( dmem addr0[5] ) ( core dmem_addra[5] ) + USE SIGNAL
+ ROUTED met2 ( 1180130 2504950 ) ( * 2505460 )
NEW met2 ( 1083530 1999540 ) ( 1084450 * 0 )
NEW met2 ( 1083530 1999540 ) ( * 2032010 )
NEW met1 ( 1083530 2032010 ) ( 1107450 * )
NEW met1 ( 1107450 2504950 ) ( 1180130 * )
NEW met3 ( 1197380 2505460 ) ( * 2505910 )
NEW met3 ( 1197380 2505910 ) ( 1200140 * 0 )
NEW met3 ( 1180130 2505460 ) ( 1197380 * )
NEW met2 ( 1107450 2032010 ) ( * 2504950 )
NEW met1 ( 1083530 2032010 ) M1M2_PR
NEW met1 ( 1180130 2504950 ) M1M2_PR
NEW met2 ( 1180130 2505460 ) M2M3_PR
NEW met1 ( 1107450 2032010 ) M1M2_PR
NEW met1 ( 1107450 2504950 ) M1M2_PR ;
- dmem_addra\[6\] ( dmem addr0[6] ) ( core dmem_addra[6] ) + USE SIGNAL
+ ROUTED met2 ( 1180130 2511750 ) ( * 2514300 )
NEW met1 ( 1097330 2511750 ) ( 1180130 * )
NEW met3 ( 1197380 2514300 ) ( * 2514750 )
NEW met3 ( 1197380 2514750 ) ( 1200140 * 0 )
NEW met3 ( 1180130 2514300 ) ( 1197380 * )
NEW met2 ( 1097330 1999540 ) ( 1098250 * 0 )
NEW met2 ( 1097330 1999540 ) ( * 2511750 )
NEW met1 ( 1180130 2511750 ) M1M2_PR
NEW met2 ( 1180130 2514300 ) M2M3_PR
NEW met1 ( 1097330 2511750 ) M1M2_PR ;
- dmem_addra\[7\] ( dmem addr0[7] ) ( core dmem_addra[7] ) + USE SIGNAL
+ ROUTED met2 ( 1180130 2518550 ) ( * 2520420 )
NEW met1 ( 1111130 2033370 ) ( 1121250 * )
NEW met1 ( 1121250 2518550 ) ( 1180130 * )
NEW met3 ( 1197380 2520420 ) ( * 2520870 )
NEW met3 ( 1197380 2520870 ) ( 1200140 * 0 )
NEW met3 ( 1180130 2520420 ) ( 1197380 * )
NEW met2 ( 1111130 1999540 ) ( 1112050 * 0 )
NEW met2 ( 1111130 1999540 ) ( * 2033370 )
NEW met2 ( 1121250 2033370 ) ( * 2518550 )
NEW met1 ( 1180130 2518550 ) M1M2_PR
NEW met2 ( 1180130 2520420 ) M2M3_PR
NEW met1 ( 1111130 2033370 ) M1M2_PR
NEW met1 ( 1121250 2033370 ) M1M2_PR
NEW met1 ( 1121250 2518550 ) M1M2_PR ;
- dmem_addra\[8\] ( core dmem_addra[8] ) + USE SIGNAL ;
- dmem_addra\[9\] ( core dmem_addra[9] ) + USE SIGNAL ;
- dmem_addrb\[0\] ( dmem addr1[0] ) ( core dmem_addrb[0] ) + USE SIGNAL
+ ROUTED met2 ( 1456130 1999540 ) ( 1457050 * 0 )
NEW met2 ( 1456130 1999540 ) ( * 2335630 )
NEW met1 ( 1456130 2335630 ) ( 1683830 * )
NEW met2 ( 1600570 2760290 ) ( * 2760460 )
NEW met3 ( 1597580 2760460 ) ( 1600570 * )
NEW met4 ( 1597580 2748900 ) ( * 2760460 )
NEW met4 ( 1597310 2748900 ) ( 1597580 * )
NEW met4 ( 1597310 2747200 0 ) ( * 2748900 )
NEW met1 ( 1600570 2760290 ) ( 1683830 * )
NEW met2 ( 1683830 2335630 ) ( * 2760290 )
NEW met1 ( 1456130 2335630 ) M1M2_PR
NEW met1 ( 1683830 2335630 ) M1M2_PR
NEW met1 ( 1600570 2760290 ) M1M2_PR
NEW met2 ( 1600570 2760460 ) M2M3_PR
NEW met3 ( 1597580 2760460 ) M3M4_PR
NEW met1 ( 1683830 2760290 ) M1M2_PR ;
- dmem_addrb\[10\] ( core dmem_addrb[10] ) + USE SIGNAL ;
- dmem_addrb\[11\] ( core dmem_addrb[11] ) + USE SIGNAL ;
- dmem_addrb\[12\] ( core dmem_addrb[12] ) + USE SIGNAL ;
- dmem_addrb\[13\] ( core dmem_addrb[13] ) + USE SIGNAL ;
- dmem_addrb\[14\] ( core dmem_addrb[14] ) + USE SIGNAL ;
- dmem_addrb\[15\] ( core dmem_addrb[15] ) + USE SIGNAL ;
- dmem_addrb\[16\] ( core dmem_addrb[16] ) + USE SIGNAL ;
- dmem_addrb\[17\] ( core dmem_addrb[17] ) + USE SIGNAL ;
- dmem_addrb\[18\] ( core dmem_addrb[18] ) + USE SIGNAL ;
- dmem_addrb\[19\] ( core dmem_addrb[19] ) + USE SIGNAL ;
- dmem_addrb\[1\] ( dmem addr1[1] ) ( core dmem_addrb[1] ) + USE SIGNAL
+ ROUTED met2 ( 1469930 1999540 ) ( 1470850 * 0 )
NEW met2 ( 1469930 1999540 ) ( * 2039490 )
NEW met1 ( 1469930 2039490 ) ( 1684290 * )
NEW met3 ( 1682220 2432700 ) ( 1684290 * )
NEW met3 ( 1682220 2432700 ) ( * 2433150 )
NEW met3 ( 1679460 2433150 0 ) ( 1682220 * )
NEW met2 ( 1684290 2039490 ) ( * 2432700 )
NEW met1 ( 1469930 2039490 ) M1M2_PR
NEW met1 ( 1684290 2039490 ) M1M2_PR
NEW met2 ( 1684290 2432700 ) M2M3_PR ;
- dmem_addrb\[20\] ( core dmem_addrb[20] ) + USE SIGNAL ;
- dmem_addrb\[21\] ( core dmem_addrb[21] ) + USE SIGNAL ;
- dmem_addrb\[22\] ( core dmem_addrb[22] ) + USE SIGNAL ;
- dmem_addrb\[23\] ( core dmem_addrb[23] ) + USE SIGNAL ;
- dmem_addrb\[24\] ( core dmem_addrb[24] ) + USE SIGNAL ;
- dmem_addrb\[25\] ( core dmem_addrb[25] ) + USE SIGNAL ;
- dmem_addrb\[26\] ( core dmem_addrb[26] ) + USE SIGNAL ;
- dmem_addrb\[27\] ( core dmem_addrb[27] ) + USE SIGNAL ;
- dmem_addrb\[28\] ( core dmem_addrb[28] ) + USE SIGNAL ;
- dmem_addrb\[29\] ( core dmem_addrb[29] ) + USE SIGNAL ;
- dmem_addrb\[2\] ( dmem addr1[2] ) ( core dmem_addrb[2] ) + USE SIGNAL
+ ROUTED met1 ( 1483730 2045610 ) ( 1684750 * )
NEW met3 ( 1682220 2424540 ) ( 1684750 * )
NEW met3 ( 1682220 2424540 ) ( * 2424990 )
NEW met3 ( 1679460 2424990 0 ) ( 1682220 * )
NEW met2 ( 1483730 1999540 ) ( 1484650 * 0 )
NEW met2 ( 1483730 1999540 ) ( * 2045610 )
NEW met2 ( 1684750 2045610 ) ( * 2424540 )
NEW met1 ( 1483730 2045610 ) M1M2_PR
NEW met1 ( 1684750 2045610 ) M1M2_PR
NEW met2 ( 1684750 2424540 ) M2M3_PR ;
- dmem_addrb\[30\] ( core dmem_addrb[30] ) + USE SIGNAL ;
- dmem_addrb\[31\] ( core dmem_addrb[31] ) + USE SIGNAL ;
- dmem_addrb\[3\] ( dmem addr1[3] ) ( core dmem_addrb[3] ) + USE SIGNAL
+ ROUTED met1 ( 1497530 2328490 ) ( 1690730 * )
NEW met3 ( 1682220 2417740 ) ( 1690730 * )
NEW met3 ( 1682220 2417740 ) ( * 2418190 )
NEW met3 ( 1679460 2418190 0 ) ( 1682220 * )
NEW met2 ( 1497530 1999540 ) ( 1498450 * 0 )
NEW met2 ( 1497530 1999540 ) ( * 2328490 )
NEW met2 ( 1690730 2328490 ) ( * 2417740 )
NEW met1 ( 1497530 2328490 ) M1M2_PR
NEW met1 ( 1690730 2328490 ) M1M2_PR
NEW met2 ( 1690730 2417740 ) M2M3_PR ;
- dmem_addrb\[4\] ( dmem addr1[4] ) ( core dmem_addrb[4] ) + USE SIGNAL
+ ROUTED met4 ( 1615670 2347700 ) ( * 2350530 0 )
NEW met4 ( 1615670 2347700 ) ( 1615980 * )
NEW met4 ( 1615980 2332740 ) ( * 2347700 )
NEW met3 ( 1614830 2332740 ) ( 1615980 * )
NEW met2 ( 1511330 1999540 ) ( 1512250 * 0 )
NEW met2 ( 1511330 1999540 ) ( * 2197590 )
NEW met1 ( 1511330 2197590 ) ( 1614830 * )
NEW met2 ( 1614830 2197590 ) ( * 2332740 )
NEW met3 ( 1615980 2332740 ) M3M4_PR
NEW met2 ( 1614830 2332740 ) M2M3_PR
NEW met1 ( 1511330 2197590 ) M1M2_PR
NEW met1 ( 1614830 2197590 ) M1M2_PR ;
- dmem_addrb\[5\] ( dmem addr1[5] ) ( core dmem_addrb[5] ) + USE SIGNAL
+ ROUTED met4 ( 1613630 2347700 ) ( * 2350530 0 )
NEW met4 ( 1613220 2347700 ) ( 1613630 * )
NEW met4 ( 1613220 2336140 ) ( * 2347700 )
NEW met3 ( 1608390 2336140 ) ( 1613220 * )
NEW met2 ( 1608390 2321690 ) ( * 2336140 )
NEW met1 ( 1525130 2321690 ) ( 1608390 * )
NEW met2 ( 1525130 1999540 ) ( 1526050 * 0 )
NEW met2 ( 1525130 1999540 ) ( * 2321690 )
NEW met1 ( 1525130 2321690 ) M1M2_PR
NEW met3 ( 1613220 2336140 ) M3M4_PR
NEW met2 ( 1608390 2336140 ) M2M3_PR
NEW met1 ( 1608390 2321690 ) M1M2_PR ;
- dmem_addrb\[6\] ( dmem addr1[6] ) ( core dmem_addrb[6] ) + USE SIGNAL
+ ROUTED met2 ( 1538930 1999540 ) ( 1539850 * 0 )
NEW met2 ( 1538930 1999540 ) ( * 2204390 )
NEW met4 ( 1614310 2344300 ) ( * 2350530 0 )
NEW met4 ( 1614140 2344300 ) ( 1614310 * )
NEW met4 ( 1614140 2334100 ) ( * 2344300 )
NEW met3 ( 1607930 2334100 ) ( 1614140 * )
NEW met1 ( 1538930 2204390 ) ( 1607930 * )
NEW met2 ( 1607930 2204390 ) ( * 2334100 )
NEW met1 ( 1538930 2204390 ) M1M2_PR
NEW met3 ( 1614140 2334100 ) M3M4_PR
NEW met2 ( 1607930 2334100 ) M2M3_PR
NEW met1 ( 1607930 2204390 ) M1M2_PR ;
- dmem_addrb\[7\] ( dmem addr1[7] ) ( core dmem_addrb[7] ) + USE SIGNAL
+ ROUTED met2 ( 1552730 1999540 ) ( 1553650 * 0 )
NEW met2 ( 1552730 1999540 ) ( * 2314890 )
NEW met4 ( 1614990 2347700 ) ( * 2350530 0 )
NEW met4 ( 1614990 2347700 ) ( 1615060 * )
NEW met4 ( 1615060 2333420 ) ( * 2347700 )
NEW met3 ( 1615060 2333420 ) ( 1615290 * )
NEW met2 ( 1615290 2314890 ) ( * 2333420 )
NEW met1 ( 1552730 2314890 ) ( 1615290 * )
NEW met1 ( 1552730 2314890 ) M1M2_PR
NEW met3 ( 1615060 2333420 ) M3M4_PR
NEW met2 ( 1615290 2333420 ) M2M3_PR
NEW met1 ( 1615290 2314890 ) M1M2_PR
NEW met3 ( 1615060 2333420 ) RECT ( -390 -150 0 150 ) ;
- dmem_addrb\[8\] ( core dmem_addrb[8] ) + USE SIGNAL ;
- dmem_addrb\[9\] ( core dmem_addrb[9] ) + USE SIGNAL ;
- dmem_dina\[0\] ( dmem din0[0] ) ( core dmem_dina[0] ) + USE SIGNAL
+ ROUTED met2 ( 1933610 1428170 ) ( * 2011270 )
NEW met4 ( 1306950 2347700 ) ( * 2350530 0 )
NEW met4 ( 1306860 2347700 ) ( 1306950 * )
NEW met4 ( 1306860 2332740 ) ( * 2347700 )
NEW met3 ( 1306860 2332740 ) ( 1310770 * )
NEW met2 ( 1310770 2011270 ) ( * 2332740 )
NEW met3 ( 1899340 1422900 0 ) ( 1911530 * )
NEW met2 ( 1911530 1422900 ) ( * 1428170 )
NEW met1 ( 1911530 1428170 ) ( 1933610 * )
NEW met1 ( 1310770 2011270 ) ( 1933610 * )
NEW met1 ( 1933610 1428170 ) M1M2_PR
NEW met1 ( 1933610 2011270 ) M1M2_PR
NEW met3 ( 1306860 2332740 ) M3M4_PR
NEW met2 ( 1310770 2332740 ) M2M3_PR
NEW met1 ( 1310770 2011270 ) M1M2_PR
NEW met2 ( 1911530 1422900 ) M2M3_PR
NEW met1 ( 1911530 1428170 ) M1M2_PR ;
- dmem_dina\[10\] ( dmem din0[10] ) ( core dmem_dina[10] ) + USE SIGNAL
+ ROUTED met4 ( 1365430 2347700 ) ( * 2350530 0 )
NEW met4 ( 1365430 2347700 ) ( 1365740 * )
NEW met4 ( 1365740 2338180 ) ( * 2347700 )
NEW met3 ( 1365740 2338180 ) ( 1365970 * )
NEW met2 ( 1365970 2332230 ) ( * 2338180 )
NEW met2 ( 1925330 1497870 ) ( * 2332230 )
NEW met1 ( 1365970 2332230 ) ( 1925330 * )
NEW met3 ( 1899340 1497700 0 ) ( 1911990 * )
NEW met2 ( 1911990 1497700 ) ( * 1497870 )
NEW met1 ( 1911990 1497870 ) ( 1925330 * )
NEW met3 ( 1365740 2338180 ) M3M4_PR
NEW met2 ( 1365970 2338180 ) M2M3_PR
NEW met1 ( 1365970 2332230 ) M1M2_PR
NEW met1 ( 1925330 2332230 ) M1M2_PR
NEW met1 ( 1925330 1497870 ) M1M2_PR
NEW met2 ( 1911990 1497700 ) M2M3_PR
NEW met1 ( 1911990 1497870 ) M1M2_PR
NEW met3 ( 1365740 2338180 ) RECT ( -390 -150 0 150 ) ;
- dmem_dina\[11\] ( dmem din0[11] ) ( core dmem_dina[11] ) + USE SIGNAL
+ ROUTED met4 ( 1370870 2347700 ) ( * 2350530 0 )
NEW met4 ( 1370870 2347700 ) ( 1371260 * )
NEW met4 ( 1371260 2332740 ) ( * 2347700 )
NEW met3 ( 1371260 2332740 ) ( 1372870 * )
NEW met2 ( 1372870 2011610 ) ( * 2332740 )
NEW met2 ( 1932690 1510790 ) ( * 2011610 )
NEW met3 ( 1899340 1505180 0 ) ( 1911990 * )
NEW met2 ( 1911990 1505180 ) ( * 1510790 )
NEW met1 ( 1911990 1510790 ) ( 1932690 * )
NEW met1 ( 1372870 2011610 ) ( 1932690 * )
NEW met3 ( 1371260 2332740 ) M3M4_PR
NEW met2 ( 1372870 2332740 ) M2M3_PR
NEW met1 ( 1372870 2011610 ) M1M2_PR
NEW met1 ( 1932690 1510790 ) M1M2_PR
NEW met1 ( 1932690 2011610 ) M1M2_PR
NEW met2 ( 1911990 1505180 ) M2M3_PR
NEW met1 ( 1911990 1510790 ) M1M2_PR ;
- dmem_dina\[12\] ( dmem din0[12] ) ( core dmem_dina[12] ) + USE SIGNAL
+ ROUTED met4 ( 1377670 2347700 ) ( * 2350530 0 )
NEW met4 ( 1377670 2347700 ) ( 1377700 * )
NEW met4 ( 1377700 2332740 ) ( * 2347700 )
NEW met3 ( 1377700 2332740 ) ( 1379770 * )
NEW met2 ( 1379770 2025550 ) ( * 2332740 )
NEW met2 ( 1926250 1514530 ) ( * 2025550 )
NEW met1 ( 1379770 2025550 ) ( 1926250 * )
NEW met3 ( 1899340 1512660 0 ) ( 1911990 * )
NEW met2 ( 1911990 1512660 ) ( * 1514530 )
NEW met1 ( 1911990 1514530 ) ( 1926250 * )
NEW met1 ( 1379770 2025550 ) M1M2_PR
NEW met3 ( 1377700 2332740 ) M3M4_PR
NEW met2 ( 1379770 2332740 ) M2M3_PR
NEW met1 ( 1926250 2025550 ) M1M2_PR
NEW met1 ( 1926250 1514530 ) M1M2_PR
NEW met2 ( 1911990 1512660 ) M2M3_PR
NEW met1 ( 1911990 1514530 ) M1M2_PR ;
- dmem_dina\[13\] ( dmem din0[13] ) ( core dmem_dina[13] ) + USE SIGNAL
+ ROUTED met4 ( 1383110 2347700 ) ( * 2350530 0 )
NEW met4 ( 1383110 2347700 ) ( 1385980 * )
NEW met4 ( 1385980 2332740 ) ( * 2347700 )
NEW met3 ( 1385980 2332740 ) ( 1386670 * )
NEW met2 ( 1386670 2025210 ) ( * 2332740 )
NEW met2 ( 1959830 1524730 ) ( * 2025210 )
NEW met1 ( 1386670 2025210 ) ( 1959830 * )
NEW met3 ( 1899340 1520140 0 ) ( 1911990 * )
NEW met2 ( 1911990 1520140 ) ( * 1524730 )
NEW met1 ( 1911990 1524730 ) ( 1959830 * )
NEW met1 ( 1386670 2025210 ) M1M2_PR
NEW met3 ( 1385980 2332740 ) M3M4_PR
NEW met2 ( 1386670 2332740 ) M2M3_PR
NEW met1 ( 1959830 2025210 ) M1M2_PR
NEW met1 ( 1959830 1524730 ) M1M2_PR
NEW met2 ( 1911990 1520140 ) M2M3_PR
NEW met1 ( 1911990 1524730 ) M1M2_PR ;
- dmem_dina\[14\] ( dmem din0[14] ) ( core dmem_dina[14] ) + USE SIGNAL
+ ROUTED met4 ( 1388550 2347700 ) ( * 2350530 0 )
NEW met4 ( 1388550 2347700 ) ( 1388740 * )
NEW met4 ( 1388740 2332740 ) ( * 2347700 )
NEW met3 ( 1388740 2332740 ) ( 1393570 * )
NEW met2 ( 1393570 2087260 ) ( * 2332740 )
NEW met3 ( 1898420 1527620 0 ) ( * 1529660 )
NEW met4 ( 1898420 1529660 ) ( * 2063100 )
NEW met4 ( 1897500 2063100 ) ( * 2087260 )
NEW met4 ( 1897500 2063100 ) ( 1898420 * )
NEW met3 ( 1393570 2087260 ) ( 1897500 * )
NEW met3 ( 1388740 2332740 ) M3M4_PR
NEW met2 ( 1393570 2332740 ) M2M3_PR
NEW met2 ( 1393570 2087260 ) M2M3_PR
NEW met3 ( 1898420 1529660 ) M3M4_PR
NEW met3 ( 1897500 2087260 ) M3M4_PR ;
- dmem_dina\[15\] ( dmem din0[15] ) ( core dmem_dina[15] ) + USE SIGNAL
+ ROUTED met4 ( 1394670 2347700 ) ( * 2350530 0 )
NEW met4 ( 1394670 2347700 ) ( 1395180 * )
NEW met4 ( 1395180 2332740 ) ( * 2347700 )
NEW met3 ( 1395180 2332740 ) ( 1400470 * )
NEW met3 ( 1897500 1537820 ) ( 1897730 * )
NEW met3 ( 1897500 1535100 0 ) ( * 1537820 )
NEW met2 ( 1400470 2093890 ) ( * 2332740 )
NEW met1 ( 1400470 2093890 ) ( 1897730 * )
NEW met2 ( 1897730 1537820 ) ( * 2093890 )
NEW met3 ( 1395180 2332740 ) M3M4_PR
NEW met2 ( 1400470 2332740 ) M2M3_PR
NEW met2 ( 1897730 1537820 ) M2M3_PR
NEW met1 ( 1400470 2093890 ) M1M2_PR
NEW met1 ( 1897730 2093890 ) M1M2_PR ;
- dmem_dina\[16\] ( dmem din0[16] ) ( core dmem_dina[16] ) + USE SIGNAL
+ ROUTED met2 ( 1952930 1545470 ) ( * 2332910 )
NEW met4 ( 1401470 2347700 ) ( * 2350530 0 )
NEW met4 ( 1401470 2347700 ) ( 1401620 * )
NEW met4 ( 1401620 2338860 ) ( * 2347700 )
NEW met3 ( 1401620 2338860 ) ( 1405070 * )
NEW met2 ( 1405070 2332910 ) ( * 2338860 )
NEW met3 ( 1899340 1542580 0 ) ( 1911990 * )
NEW met2 ( 1911990 1542580 ) ( * 1545470 )
NEW met1 ( 1911990 1545470 ) ( 1952930 * )
NEW met1 ( 1405070 2332910 ) ( 1952930 * )
NEW met1 ( 1952930 1545470 ) M1M2_PR
NEW met1 ( 1952930 2332910 ) M1M2_PR
NEW met3 ( 1401620 2338860 ) M3M4_PR
NEW met2 ( 1405070 2338860 ) M2M3_PR
NEW met1 ( 1405070 2332910 ) M1M2_PR
NEW met2 ( 1911990 1542580 ) M2M3_PR
NEW met1 ( 1911990 1545470 ) M1M2_PR ;
- dmem_dina\[17\] ( dmem din0[17] ) ( core dmem_dina[17] ) + USE SIGNAL
+ ROUTED met4 ( 1406910 2347700 ) ( * 2350530 0 )
NEW met4 ( 1406910 2347700 ) ( 1407140 * )
NEW met4 ( 1407140 2340900 ) ( * 2347700 )
NEW met3 ( 1406910 2340900 ) ( 1407140 * )
NEW met3 ( 1898190 1552100 ) ( 1898420 * )
NEW met3 ( 1898420 1550060 0 ) ( * 1552100 )
NEW met2 ( 1406910 2101030 ) ( * 2340900 )
NEW met1 ( 1406910 2101030 ) ( 1898190 * )
NEW met2 ( 1898190 1552100 ) ( * 2101030 )
NEW met3 ( 1407140 2340900 ) M3M4_PR
NEW met2 ( 1406910 2340900 ) M2M3_PR
NEW met2 ( 1898190 1552100 ) M2M3_PR
NEW met1 ( 1406910 2101030 ) M1M2_PR
NEW met1 ( 1898190 2101030 ) M1M2_PR
NEW met3 ( 1407140 2340900 ) RECT ( 0 -150 390 150 ) ;
- dmem_dina\[18\] ( dmem din0[18] ) ( core dmem_dina[18] ) + USE SIGNAL
+ ROUTED met2 ( 1946030 1559070 ) ( * 2039150 )
NEW met4 ( 1412350 2347700 ) ( * 2350530 0 )
NEW met4 ( 1412350 2347700 ) ( 1412660 * )
NEW met4 ( 1412660 2332740 ) ( * 2347700 )
NEW met3 ( 1412660 2332740 ) ( 1414270 * )
NEW met3 ( 1899340 1557540 0 ) ( 1911990 * )
NEW met2 ( 1911990 1557540 ) ( * 1559070 )
NEW met1 ( 1911990 1559070 ) ( 1946030 * )
NEW met1 ( 1414270 2039150 ) ( 1946030 * )
NEW met2 ( 1414270 2039150 ) ( * 2332740 )
NEW met1 ( 1946030 1559070 ) M1M2_PR
NEW met1 ( 1946030 2039150 ) M1M2_PR
NEW met1 ( 1414270 2039150 ) M1M2_PR
NEW met3 ( 1412660 2332740 ) M3M4_PR
NEW met2 ( 1414270 2332740 ) M2M3_PR
NEW met2 ( 1911990 1557540 ) M2M3_PR
NEW met1 ( 1911990 1559070 ) M1M2_PR ;
- dmem_dina\[19\] ( dmem din0[19] ) ( core dmem_dina[19] ) + USE SIGNAL
+ ROUTED met2 ( 1939130 1566210 ) ( * 2004470 )
NEW met4 ( 1417790 2347700 ) ( * 2350530 0 )
NEW met4 ( 1417790 2347700 ) ( 1418180 * )
NEW met4 ( 1418180 2332740 ) ( * 2347700 )
NEW met3 ( 1418180 2332740 ) ( 1421170 * )
NEW met3 ( 1899340 1565020 0 ) ( 1911990 * )
NEW met2 ( 1911990 1565020 ) ( * 1566210 )
NEW met1 ( 1911990 1566210 ) ( 1939130 * )
NEW met2 ( 1421170 2004470 ) ( * 2332740 )
NEW met1 ( 1421170 2004470 ) ( 1939130 * )
NEW met1 ( 1939130 1566210 ) M1M2_PR
NEW met1 ( 1939130 2004470 ) M1M2_PR
NEW met3 ( 1418180 2332740 ) M3M4_PR
NEW met2 ( 1421170 2332740 ) M2M3_PR
NEW met2 ( 1911990 1565020 ) M2M3_PR
NEW met1 ( 1911990 1566210 ) M1M2_PR
NEW met1 ( 1421170 2004470 ) M1M2_PR ;
- dmem_dina\[1\] ( dmem din0[1] ) ( core dmem_dina[1] ) + USE SIGNAL
+ ROUTED met4 ( 1313070 2347700 ) ( * 2350530 0 )
NEW met4 ( 1313070 2347700 ) ( 1313300 * )
NEW met4 ( 1313300 2332740 ) ( * 2347700 )
NEW met3 ( 1313300 2332740 ) ( 1317670 * )
NEW met1 ( 1317670 2231930 ) ( 1899570 * )
NEW met2 ( 1317670 2231930 ) ( * 2332740 )
NEW met3 ( 1897500 1430380 0 ) ( * 1433100 )
NEW met3 ( 1897500 2008380 ) ( 1899570 * )
NEW met4 ( 1897500 1433100 ) ( * 2008380 )
NEW met2 ( 1899570 2008380 ) ( * 2231930 )
NEW met1 ( 1317670 2231930 ) M1M2_PR
NEW met3 ( 1313300 2332740 ) M3M4_PR
NEW met2 ( 1317670 2332740 ) M2M3_PR
NEW met1 ( 1899570 2231930 ) M1M2_PR
NEW met3 ( 1897500 1433100 ) M3M4_PR
NEW met3 ( 1897500 2008380 ) M3M4_PR
NEW met2 ( 1899570 2008380 ) M2M3_PR ;
- dmem_dina\[20\] ( dmem din0[20] ) ( core dmem_dina[20] ) + USE SIGNAL
+ ROUTED met2 ( 1960290 1573010 ) ( * 2038810 )
NEW met4 ( 1424590 2347700 ) ( * 2350530 0 )
NEW met4 ( 1424590 2347700 ) ( 1424620 * )
NEW met4 ( 1424620 2332740 ) ( * 2347700 )
NEW met3 ( 1424620 2332740 ) ( 1428070 * )
NEW met3 ( 1899340 1572500 0 ) ( 1911990 * )
NEW met2 ( 1911990 1572500 ) ( * 1573010 )
NEW met1 ( 1911990 1573010 ) ( 1960290 * )
NEW met1 ( 1428070 2038810 ) ( 1960290 * )
NEW met2 ( 1428070 2038810 ) ( * 2332740 )
NEW met1 ( 1960290 1573010 ) M1M2_PR
NEW met1 ( 1960290 2038810 ) M1M2_PR
NEW met1 ( 1428070 2038810 ) M1M2_PR
NEW met3 ( 1424620 2332740 ) M3M4_PR
NEW met2 ( 1428070 2332740 ) M2M3_PR
NEW met2 ( 1911990 1572500 ) M2M3_PR
NEW met1 ( 1911990 1573010 ) M1M2_PR ;
- dmem_dina\[21\] ( dmem din0[21] ) ( core dmem_dina[21] ) + USE SIGNAL
+ ROUTED met4 ( 1430030 2347700 ) ( * 2350530 0 )
NEW met4 ( 1430030 2347700 ) ( 1430140 * )
NEW met4 ( 1430140 2334100 ) ( * 2347700 )
NEW met3 ( 1430140 2334100 ) ( 1434970 * )
NEW met3 ( 1899340 1579980 0 ) ( 1905090 * )
NEW met1 ( 1434970 2114630 ) ( 1905090 * )
NEW met2 ( 1434970 2114630 ) ( * 2334100 )
NEW met2 ( 1905090 1579980 ) ( * 2114630 )
NEW met1 ( 1434970 2114630 ) M1M2_PR
NEW met3 ( 1430140 2334100 ) M3M4_PR
NEW met2 ( 1434970 2334100 ) M2M3_PR
NEW met2 ( 1905090 1579980 ) M2M3_PR
NEW met1 ( 1905090 2114630 ) M1M2_PR ;
- dmem_dina\[22\] ( dmem din0[22] ) ( core dmem_dina[22] ) + USE SIGNAL
+ ROUTED met4 ( 1435470 2347700 ) ( * 2350530 0 )
NEW met4 ( 1435470 2347700 ) ( 1435660 * )
NEW met4 ( 1435660 2333420 ) ( * 2347700 )
NEW met3 ( 1435660 2333420 ) ( 1441410 * )
NEW met2 ( 1441410 2032010 ) ( * 2333420 )
NEW met1 ( 1441410 2032010 ) ( 1898650 * )
NEW met3 ( 1898420 1590180 ) ( 1898650 * )
NEW met3 ( 1898420 1587460 0 ) ( * 1590180 )
NEW met2 ( 1898650 1590180 ) ( * 2032010 )
NEW met1 ( 1441410 2032010 ) M1M2_PR
NEW met3 ( 1435660 2333420 ) M3M4_PR
NEW met2 ( 1441410 2333420 ) M2M3_PR
NEW met1 ( 1898650 2032010 ) M1M2_PR
NEW met2 ( 1898650 1590180 ) M2M3_PR ;
- dmem_dina\[23\] ( dmem din0[23] ) ( core dmem_dina[23] ) + USE SIGNAL
+ ROUTED met4 ( 1441590 2347700 ) ( * 2350530 0 )
NEW met4 ( 1441590 2347700 ) ( 1442100 * )
NEW met4 ( 1442100 2332740 ) ( * 2347700 )
NEW met3 ( 1441870 2332740 ) ( 1442100 * )
NEW met2 ( 1441870 2018410 ) ( * 2332740 )
NEW met2 ( 1953390 1600550 ) ( * 2018410 )
NEW met1 ( 1441870 2018410 ) ( 1953390 * )
NEW met3 ( 1899340 1594940 0 ) ( 1911990 * )
NEW met2 ( 1911990 1594940 ) ( * 1600550 )
NEW met1 ( 1911990 1600550 ) ( 1953390 * )
NEW met1 ( 1441870 2018410 ) M1M2_PR
NEW met3 ( 1442100 2332740 ) M3M4_PR
NEW met2 ( 1441870 2332740 ) M2M3_PR
NEW met1 ( 1953390 2018410 ) M1M2_PR
NEW met1 ( 1953390 1600550 ) M1M2_PR
NEW met2 ( 1911990 1594940 ) M2M3_PR
NEW met1 ( 1911990 1600550 ) M1M2_PR
NEW met3 ( 1442100 2332740 ) RECT ( 0 -150 390 150 ) ;
- dmem_dina\[24\] ( dmem din0[24] ) ( core dmem_dina[24] ) + USE SIGNAL
+ ROUTED met4 ( 1447030 2347700 ) ( * 2350530 0 )
NEW met4 ( 1447030 2347700 ) ( 1447620 * )
NEW met4 ( 1447620 2332740 ) ( * 2347700 )
NEW met3 ( 1447620 2332740 ) ( 1448770 * )
NEW met2 ( 1448770 2004810 ) ( * 2332740 )
NEW met2 ( 1933150 1607690 ) ( * 2004810 )
NEW met3 ( 1899340 1602420 0 ) ( 1911990 * )
NEW met2 ( 1911990 1602420 ) ( * 1607690 )
NEW met1 ( 1911990 1607690 ) ( 1933150 * )
NEW met1 ( 1448770 2004810 ) ( 1933150 * )
NEW met3 ( 1447620 2332740 ) M3M4_PR
NEW met2 ( 1448770 2332740 ) M2M3_PR
NEW met1 ( 1448770 2004810 ) M1M2_PR
NEW met1 ( 1933150 1607690 ) M1M2_PR
NEW met1 ( 1933150 2004810 ) M1M2_PR
NEW met2 ( 1911990 1602420 ) M2M3_PR
NEW met1 ( 1911990 1607690 ) M1M2_PR ;
- dmem_dina\[25\] ( dmem din0[25] ) ( core dmem_dina[25] ) + USE SIGNAL
+ ROUTED met4 ( 1453830 2347700 ) ( * 2350530 0 )
NEW met4 ( 1453830 2347700 ) ( 1454060 * )
NEW met4 ( 1454060 2332740 ) ( * 2347700 )
NEW met3 ( 1454060 2332740 ) ( 1455670 * )
NEW met2 ( 1455670 2128570 ) ( * 2332740 )
NEW met2 ( 1918890 1609900 ) ( * 2128570 )
NEW met1 ( 1455670 2128570 ) ( 1918890 * )
NEW met3 ( 1899340 1609900 0 ) ( 1917970 * )
NEW met2 ( 1917970 1609900 ) ( 1918890 * )
NEW met1 ( 1455670 2128570 ) M1M2_PR
NEW met3 ( 1454060 2332740 ) M3M4_PR
NEW met2 ( 1455670 2332740 ) M2M3_PR
NEW met1 ( 1918890 2128570 ) M1M2_PR
NEW met2 ( 1917970 1609900 ) M2M3_PR ;
- dmem_dina\[26\] ( dmem din0[26] ) ( core dmem_dina[26] ) + USE SIGNAL
+ ROUTED met4 ( 1459270 2347700 ) ( * 2350530 0 )
NEW met4 ( 1459270 2347700 ) ( 1459580 * )
NEW met4 ( 1459580 2332740 ) ( * 2347700 )
NEW met3 ( 1459580 2332740 ) ( 1462570 * )
NEW met2 ( 1462570 2053430 ) ( * 2332740 )
NEW met2 ( 1926710 1620270 ) ( * 2053430 )
NEW met1 ( 1462570 2053430 ) ( 1926710 * )
NEW met3 ( 1899340 1617380 0 ) ( 1911990 * )
NEW met2 ( 1911990 1617380 ) ( * 1620270 )
NEW met1 ( 1911990 1620270 ) ( 1926710 * )
NEW met1 ( 1462570 2053430 ) M1M2_PR
NEW met3 ( 1459580 2332740 ) M3M4_PR
NEW met2 ( 1462570 2332740 ) M2M3_PR
NEW met1 ( 1926710 2053430 ) M1M2_PR
NEW met1 ( 1926710 1620270 ) M1M2_PR
NEW met2 ( 1911990 1617380 ) M2M3_PR
NEW met1 ( 1911990 1620270 ) M1M2_PR ;
- dmem_dina\[27\] ( dmem din0[27] ) ( core dmem_dina[27] ) + USE SIGNAL
+ ROUTED met4 ( 1464710 2347700 ) ( * 2350530 0 )
NEW met4 ( 1464710 2347700 ) ( 1465100 * )
NEW met4 ( 1465100 2332740 ) ( * 2347700 )
NEW met3 ( 1465100 2332740 ) ( 1469470 * )
NEW met2 ( 1469470 2142170 ) ( * 2332740 )
NEW met2 ( 1919350 1624860 ) ( * 2142170 )
NEW met1 ( 1469470 2142170 ) ( 1919350 * )
NEW met3 ( 1899340 1624860 0 ) ( 1919350 * )
NEW met1 ( 1469470 2142170 ) M1M2_PR
NEW met3 ( 1465100 2332740 ) M3M4_PR
NEW met2 ( 1469470 2332740 ) M2M3_PR
NEW met1 ( 1919350 2142170 ) M1M2_PR
NEW met2 ( 1919350 1624860 ) M2M3_PR ;
- dmem_dina\[28\] ( dmem din0[28] ) ( core dmem_dina[28] ) + USE SIGNAL
+ ROUTED met4 ( 1470150 2347700 ) ( * 2350530 0 )
NEW met4 ( 1470150 2347700 ) ( 1470620 * )
NEW met4 ( 1470620 2337500 ) ( * 2347700 )
NEW met3 ( 1470620 2337500 ) ( 1476370 * )
NEW met2 ( 1476370 2011950 ) ( * 2337500 )
NEW met3 ( 1899110 1635060 ) ( 1899340 * )
NEW met3 ( 1899340 1632340 0 ) ( * 1635060 )
NEW met1 ( 1476370 2011950 ) ( 1899110 * )
NEW met2 ( 1899110 1635060 ) ( * 2011950 )
NEW met3 ( 1470620 2337500 ) M3M4_PR
NEW met2 ( 1476370 2337500 ) M2M3_PR
NEW met1 ( 1476370 2011950 ) M1M2_PR
NEW met2 ( 1899110 1635060 ) M2M3_PR
NEW met1 ( 1899110 2011950 ) M1M2_PR ;
- dmem_dina\[29\] ( dmem din0[29] ) ( core dmem_dina[29] ) + USE SIGNAL
+ ROUTED met4 ( 1476270 2347700 ) ( * 2350530 0 )
NEW met4 ( 1476140 2347700 ) ( 1476270 * )
NEW met4 ( 1476140 2332740 ) ( * 2347700 )
NEW met3 ( 1475910 2332740 ) ( 1476140 * )
NEW met2 ( 1475910 2294150 ) ( * 2332740 )
NEW met2 ( 1918430 1639820 ) ( * 2294150 )
NEW met3 ( 1899340 1639820 0 ) ( 1917970 * )
NEW met2 ( 1917970 1639820 ) ( 1918430 * )
NEW met1 ( 1475910 2294150 ) ( 1918430 * )
NEW met3 ( 1476140 2332740 ) M3M4_PR
NEW met2 ( 1475910 2332740 ) M2M3_PR
NEW met1 ( 1475910 2294150 ) M1M2_PR
NEW met1 ( 1918430 2294150 ) M1M2_PR
NEW met2 ( 1917970 1639820 ) M2M3_PR
NEW met3 ( 1476140 2332740 ) RECT ( 0 -150 390 150 ) ;
- dmem_dina\[2\] ( dmem din0[2] ) ( core dmem_dina[2] ) + USE SIGNAL
+ ROUTED met4 ( 1318510 2347700 ) ( * 2350530 0 )
NEW met4 ( 1318510 2347700 ) ( 1318820 * )
NEW met4 ( 1318820 2337500 ) ( * 2347700 )
NEW met3 ( 1318820 2337500 ) ( 1324570 * )
NEW met3 ( 1899340 1437860 0 ) ( 1904860 * )
NEW met3 ( 1324570 2155940 ) ( 1904860 * )
NEW met2 ( 1324570 2155940 ) ( * 2337500 )
NEW met4 ( 1904860 1437860 ) ( * 2155940 )
NEW met2 ( 1324570 2155940 ) M2M3_PR
NEW met3 ( 1318820 2337500 ) M3M4_PR
NEW met2 ( 1324570 2337500 ) M2M3_PR
NEW met3 ( 1904860 1437860 ) M3M4_PR
NEW met3 ( 1904860 2155940 ) M3M4_PR ;
- dmem_dina\[30\] ( dmem din0[30] ) ( core dmem_dina[30] ) + USE SIGNAL
+ ROUTED met4 ( 1483070 2347700 ) ( * 2350530 0 )
NEW met4 ( 1482580 2347700 ) ( 1483070 * )
NEW met4 ( 1482580 2332740 ) ( * 2347700 )
NEW met3 ( 1482580 2332740 ) ( 1483270 * )
NEW met2 ( 1483270 2073490 ) ( * 2332740 )
NEW met3 ( 1899340 1647300 0 ) ( 1905550 * )
NEW met1 ( 1483270 2073490 ) ( 1905550 * )
NEW met2 ( 1905550 1647300 ) ( * 2073490 )
NEW met3 ( 1482580 2332740 ) M3M4_PR
NEW met2 ( 1483270 2332740 ) M2M3_PR
NEW met1 ( 1483270 2073490 ) M1M2_PR
NEW met2 ( 1905550 1647300 ) M2M3_PR
NEW met1 ( 1905550 2073490 ) M1M2_PR ;
- dmem_dina\[31\] ( dmem din0[31] ) ( core dmem_dina[31] ) + USE SIGNAL
+ ROUTED met2 ( 1919810 1654950 ) ( * 2149310 )
NEW met4 ( 1488510 2347700 ) ( * 2350530 0 )
NEW met4 ( 1488510 2347700 ) ( 1489020 * )
NEW met4 ( 1489020 2332740 ) ( * 2347700 )
NEW met3 ( 1489020 2332740 ) ( 1490170 * )
NEW met3 ( 1899340 1654780 0 ) ( 1911990 * )
NEW met2 ( 1911990 1654780 ) ( * 1654950 )
NEW met1 ( 1911990 1654950 ) ( 1919810 * )
NEW met1 ( 1490170 2149310 ) ( 1919810 * )
NEW met2 ( 1490170 2149310 ) ( * 2332740 )
NEW met1 ( 1919810 1654950 ) M1M2_PR
NEW met1 ( 1919810 2149310 ) M1M2_PR
NEW met1 ( 1490170 2149310 ) M1M2_PR
NEW met3 ( 1489020 2332740 ) M3M4_PR
NEW met2 ( 1490170 2332740 ) M2M3_PR
NEW met2 ( 1911990 1654780 ) M2M3_PR
NEW met1 ( 1911990 1654950 ) M1M2_PR ;
- dmem_dina\[3\] ( dmem din0[3] ) ( core dmem_dina[3] ) + USE SIGNAL
+ ROUTED met4 ( 1325310 2347700 ) ( * 2350530 0 )
NEW met4 ( 1325260 2347700 ) ( 1325310 * )
NEW met4 ( 1325260 2333420 ) ( * 2347700 )
NEW met3 ( 1325260 2333420 ) ( 1331470 * )
NEW met3 ( 1899340 1445340 0 ) ( 1911530 * )
NEW met2 ( 1911530 1445340 ) ( * 1448910 )
NEW met1 ( 1911530 1448910 ) ( 1980530 * )
NEW met1 ( 1331470 2053090 ) ( 1980530 * )
NEW met2 ( 1331470 2053090 ) ( * 2333420 )
NEW met2 ( 1980530 1448910 ) ( * 2053090 )
NEW met1 ( 1331470 2053090 ) M1M2_PR
NEW met3 ( 1325260 2333420 ) M3M4_PR
NEW met2 ( 1331470 2333420 ) M2M3_PR
NEW met2 ( 1911530 1445340 ) M2M3_PR
NEW met1 ( 1911530 1448910 ) M1M2_PR
NEW met1 ( 1980530 1448910 ) M1M2_PR
NEW met1 ( 1980530 2053090 ) M1M2_PR ;
- dmem_dina\[4\] ( dmem din0[4] ) ( core dmem_dina[4] ) + USE SIGNAL
+ ROUTED met4 ( 1918660 1452820 ) ( * 2259300 )
NEW met4 ( 1330750 2347700 ) ( * 2350530 0 )
NEW met4 ( 1330750 2347700 ) ( 1330780 * )
NEW met4 ( 1330780 2332740 ) ( * 2347700 )
NEW met3 ( 1330780 2332740 ) ( 1331010 * )
NEW met3 ( 1899340 1452820 0 ) ( 1918660 * )
NEW met2 ( 1331010 2259300 ) ( * 2332740 )
NEW met3 ( 1331010 2259300 ) ( 1918660 * )
NEW met3 ( 1918660 1452820 ) M3M4_PR
NEW met3 ( 1918660 2259300 ) M3M4_PR
NEW met3 ( 1330780 2332740 ) M3M4_PR
NEW met2 ( 1331010 2332740 ) M2M3_PR
NEW met2 ( 1331010 2259300 ) M2M3_PR
NEW met3 ( 1330780 2332740 ) RECT ( -390 -150 0 150 ) ;
- dmem_dina\[5\] ( dmem din0[5] ) ( core dmem_dina[5] ) + USE SIGNAL
+ ROUTED met4 ( 1336190 2347700 ) ( * 2350530 0 )
NEW met4 ( 1335380 2347700 ) ( 1336190 * )
NEW met4 ( 1335380 2332740 ) ( * 2347700 )
NEW met3 ( 1335380 2332740 ) ( 1338370 * )
NEW met3 ( 1899340 1460300 0 ) ( 1911300 * )
NEW met2 ( 1338370 2183820 ) ( * 2332740 )
NEW met3 ( 1338370 2183820 ) ( 1911300 * )
NEW met4 ( 1911300 1460300 ) ( * 2183820 )
NEW met3 ( 1335380 2332740 ) M3M4_PR
NEW met2 ( 1338370 2332740 ) M2M3_PR
NEW met3 ( 1911300 1460300 ) M3M4_PR
NEW met2 ( 1338370 2183820 ) M2M3_PR
NEW met3 ( 1911300 2183820 ) M3M4_PR ;
- dmem_dina\[6\] ( dmem din0[6] ) ( core dmem_dina[6] ) + USE SIGNAL
+ ROUTED met4 ( 1341630 2347700 ) ( * 2350530 0 )
NEW met4 ( 1341630 2347700 ) ( 1341820 * )
NEW met4 ( 1341820 2332740 ) ( * 2347700 )
NEW met3 ( 1341820 2332740 ) ( 1342050 * )
NEW met2 ( 1342050 2332230 ) ( * 2332740 )
NEW met1 ( 1342050 2332230 ) ( 1355850 * )
NEW met2 ( 1355850 2004300 ) ( * 2332230 )
NEW met3 ( 1899340 1467780 0 ) ( 1912220 * )
NEW met3 ( 1355850 2004300 ) ( 1912220 * )
NEW met4 ( 1912220 1467780 ) ( * 2004300 )
NEW met3 ( 1341820 2332740 ) M3M4_PR
NEW met2 ( 1342050 2332740 ) M2M3_PR
NEW met1 ( 1342050 2332230 ) M1M2_PR
NEW met1 ( 1355850 2332230 ) M1M2_PR
NEW met2 ( 1355850 2004300 ) M2M3_PR
NEW met3 ( 1912220 1467780 ) M3M4_PR
NEW met3 ( 1912220 2004300 ) M3M4_PR
NEW met3 ( 1341820 2332740 ) RECT ( -390 -150 0 150 ) ;
- dmem_dina\[7\] ( dmem din0[7] ) ( core dmem_dina[7] ) + USE SIGNAL
+ ROUTED met4 ( 1348430 2347700 ) ( * 2350530 0 )
NEW met4 ( 1348260 2347700 ) ( 1348430 * )
NEW met4 ( 1348260 2332740 ) ( * 2347700 )
NEW met3 ( 1348260 2332740 ) ( 1352170 * )
NEW met2 ( 1352170 2190620 ) ( * 2332740 )
NEW met3 ( 1899340 1475260 0 ) ( 1905780 * )
NEW met3 ( 1352170 2190620 ) ( 1905780 * )
NEW met4 ( 1905780 1475260 ) ( * 2190620 )
NEW met3 ( 1348260 2332740 ) M3M4_PR
NEW met2 ( 1352170 2332740 ) M2M3_PR
NEW met2 ( 1352170 2190620 ) M2M3_PR
NEW met3 ( 1905780 1475260 ) M3M4_PR
NEW met3 ( 1905780 2190620 ) M3M4_PR ;
- dmem_dina\[8\] ( dmem din0[8] ) ( core dmem_dina[8] ) + USE SIGNAL
+ ROUTED met4 ( 1353870 2347700 ) ( * 2350530 0 )
NEW met4 ( 1353780 2347700 ) ( 1353870 * )
NEW met4 ( 1353780 2338860 ) ( * 2347700 )
NEW met3 ( 1353780 2338860 ) ( 1354010 * )
NEW met2 ( 1354010 2332570 ) ( * 2338860 )
NEW met3 ( 1899340 1482740 0 ) ( 1911530 * )
NEW met1 ( 1354010 2332570 ) ( 1907850 * )
NEW met2 ( 1911530 1482740 ) ( * 1869900 )
NEW met1 ( 1907850 1904510 ) ( 1911990 * )
NEW met2 ( 1911990 1869900 ) ( * 1904510 )
NEW met2 ( 1911530 1869900 ) ( 1911990 * )
NEW met2 ( 1907850 1904510 ) ( * 2332570 )
NEW met3 ( 1353780 2338860 ) M3M4_PR
NEW met2 ( 1354010 2338860 ) M2M3_PR
NEW met1 ( 1354010 2332570 ) M1M2_PR
NEW met2 ( 1911530 1482740 ) M2M3_PR
NEW met1 ( 1907850 2332570 ) M1M2_PR
NEW met1 ( 1907850 1904510 ) M1M2_PR
NEW met1 ( 1911990 1904510 ) M1M2_PR
NEW met3 ( 1353780 2338860 ) RECT ( -390 -150 0 150 ) ;
- dmem_dina\[9\] ( dmem din0[9] ) ( core dmem_dina[9] ) + USE SIGNAL
+ ROUTED met4 ( 1359310 2347700 ) ( * 2350530 0 )
NEW met4 ( 1359300 2347700 ) ( 1359310 * )
NEW met4 ( 1359300 2340900 ) ( * 2347700 )
NEW met3 ( 1359300 2338860 ) ( * 2340900 )
NEW met3 ( 1359300 2338860 ) ( 1365510 * )
NEW met2 ( 1365510 2287350 ) ( * 2338860 )
NEW met3 ( 1899340 1490220 0 ) ( 1904630 * )
NEW met1 ( 1365510 2287350 ) ( 1904630 * )
NEW met2 ( 1904630 1490220 ) ( * 2287350 )
NEW met3 ( 1359300 2340900 ) M3M4_PR
NEW met2 ( 1365510 2338860 ) M2M3_PR
NEW met1 ( 1365510 2287350 ) M1M2_PR
NEW met2 ( 1904630 1490220 ) M2M3_PR
NEW met1 ( 1904630 2287350 ) M1M2_PR ;
- dmem_dinb\[0\] ( core dmem_dinb[0] ) + USE SIGNAL ;
- dmem_dinb\[10\] ( core dmem_dinb[10] ) + USE SIGNAL ;
- dmem_dinb\[11\] ( core dmem_dinb[11] ) + USE SIGNAL ;
- dmem_dinb\[12\] ( core dmem_dinb[12] ) + USE SIGNAL ;
- dmem_dinb\[13\] ( core dmem_dinb[13] ) + USE SIGNAL ;
- dmem_dinb\[14\] ( core dmem_dinb[14] ) + USE SIGNAL ;
- dmem_dinb\[15\] ( core dmem_dinb[15] ) + USE SIGNAL ;
- dmem_dinb\[16\] ( core dmem_dinb[16] ) + USE SIGNAL ;
- dmem_dinb\[17\] ( core dmem_dinb[17] ) + USE SIGNAL ;
- dmem_dinb\[18\] ( core dmem_dinb[18] ) + USE SIGNAL ;
- dmem_dinb\[19\] ( core dmem_dinb[19] ) + USE SIGNAL ;
- dmem_dinb\[1\] ( core dmem_dinb[1] ) + USE SIGNAL ;
- dmem_dinb\[20\] ( core dmem_dinb[20] ) + USE SIGNAL ;
- dmem_dinb\[21\] ( core dmem_dinb[21] ) + USE SIGNAL ;
- dmem_dinb\[22\] ( core dmem_dinb[22] ) + USE SIGNAL ;
- dmem_dinb\[23\] ( core dmem_dinb[23] ) + USE SIGNAL ;
- dmem_dinb\[24\] ( core dmem_dinb[24] ) + USE SIGNAL ;
- dmem_dinb\[25\] ( core dmem_dinb[25] ) + USE SIGNAL ;
- dmem_dinb\[26\] ( core dmem_dinb[26] ) + USE SIGNAL ;
- dmem_dinb\[27\] ( core dmem_dinb[27] ) + USE SIGNAL ;
- dmem_dinb\[28\] ( core dmem_dinb[28] ) + USE SIGNAL ;
- dmem_dinb\[29\] ( core dmem_dinb[29] ) + USE SIGNAL ;
- dmem_dinb\[2\] ( core dmem_dinb[2] ) + USE SIGNAL ;
- dmem_dinb\[30\] ( core dmem_dinb[30] ) + USE SIGNAL ;
- dmem_dinb\[31\] ( core dmem_dinb[31] ) + USE SIGNAL ;
- dmem_dinb\[3\] ( core dmem_dinb[3] ) + USE SIGNAL ;
- dmem_dinb\[4\] ( core dmem_dinb[4] ) + USE SIGNAL ;
- dmem_dinb\[5\] ( core dmem_dinb[5] ) + USE SIGNAL ;
- dmem_dinb\[6\] ( core dmem_dinb[6] ) + USE SIGNAL ;
- dmem_dinb\[7\] ( core dmem_dinb[7] ) + USE SIGNAL ;
- dmem_dinb\[8\] ( core dmem_dinb[8] ) + USE SIGNAL ;
- dmem_dinb\[9\] ( core dmem_dinb[9] ) + USE SIGNAL ;
- dmem_doutb\[0\] ( dmem dout1[0] ) ( core dmem_doutb[0] ) + USE SIGNAL
+ ROUTED met2 ( 986930 1453500 ) ( * 1453670 )
NEW met1 ( 972670 1453670 ) ( 986930 * )
NEW met2 ( 972670 1453670 ) ( * 2761310 )
NEW met2 ( 1340210 2760460 ) ( * 2761310 )
NEW met3 ( 1340210 2760460 ) ( 1340900 * )
NEW met4 ( 1340900 2748900 ) ( * 2760460 )
NEW met4 ( 1340900 2748900 ) ( 1340950 * )
NEW met4 ( 1340950 2747200 0 ) ( * 2748900 )
NEW met3 ( 986930 1453500 ) ( 1000500 * 0 )
NEW met1 ( 972670 2761310 ) ( 1340210 * )
NEW met2 ( 986930 1453500 ) M2M3_PR
NEW met1 ( 986930 1453670 ) M1M2_PR
NEW met1 ( 972670 1453670 ) M1M2_PR
NEW met1 ( 972670 2761310 ) M1M2_PR
NEW met1 ( 1340210 2761310 ) M1M2_PR
NEW met2 ( 1340210 2760460 ) M2M3_PR
NEW met3 ( 1340900 2760460 ) M3M4_PR ;
- dmem_doutb\[10\] ( dmem dout1[10] ) ( core dmem_doutb[10] ) + USE SIGNAL
+ ROUTED met2 ( 988310 1623500 ) ( * 1628090 )
NEW met1 ( 944610 1628090 ) ( 988310 * )
NEW met2 ( 944610 1628090 ) ( * 2767770 )
NEW met3 ( 988310 1623500 ) ( 1000500 * 0 )
NEW met2 ( 1400930 2763860 ) ( * 2767770 )
NEW met3 ( 1400930 2763860 ) ( 1402540 * )
NEW met4 ( 1402540 2748900 ) ( * 2763860 )
NEW met4 ( 1402540 2748900 ) ( 1403510 * )
NEW met4 ( 1403510 2747200 0 ) ( * 2748900 )
NEW met1 ( 944610 2767770 ) ( 1400930 * )
NEW met2 ( 988310 1623500 ) M2M3_PR
NEW met1 ( 988310 1628090 ) M1M2_PR
NEW met1 ( 944610 1628090 ) M1M2_PR
NEW met1 ( 944610 2767770 ) M1M2_PR
NEW met1 ( 1400930 2767770 ) M1M2_PR
NEW met2 ( 1400930 2763860 ) M2M3_PR
NEW met3 ( 1402540 2763860 ) M3M4_PR ;
- dmem_doutb\[11\] ( dmem dout1[11] ) ( core dmem_doutb[11] ) + USE SIGNAL
+ ROUTED met2 ( 989690 1640500 ) ( * 1642030 )
NEW met1 ( 951970 1642030 ) ( 989690 * )
NEW met3 ( 989690 1640500 ) ( 1000500 * 0 )
NEW met2 ( 951970 1642030 ) ( * 2774910 )
NEW met2 ( 1407830 2763180 ) ( * 2774910 )
NEW met3 ( 1407830 2763180 ) ( 1409900 * )
NEW met4 ( 1409900 2748900 ) ( * 2763180 )
NEW met4 ( 1409630 2748900 ) ( 1409900 * )
NEW met4 ( 1409630 2747200 0 ) ( * 2748900 )
NEW met1 ( 951970 2774910 ) ( 1407830 * )
NEW met2 ( 989690 1640500 ) M2M3_PR
NEW met1 ( 989690 1642030 ) M1M2_PR
NEW met1 ( 951970 1642030 ) M1M2_PR
NEW met1 ( 951970 2774910 ) M1M2_PR
NEW met1 ( 1407830 2774910 ) M1M2_PR
NEW met2 ( 1407830 2763180 ) M2M3_PR
NEW met3 ( 1409900 2763180 ) M3M4_PR ;
- dmem_doutb\[12\] ( dmem dout1[12] ) ( core dmem_doutb[12] ) + USE SIGNAL
+ ROUTED met2 ( 988770 1657500 ) ( * 1660050 )
NEW met1 ( 965770 1660050 ) ( 988770 * )
NEW met2 ( 965770 1660050 ) ( * 2768110 )
NEW met3 ( 988770 1657500 ) ( 1000500 * 0 )
NEW met2 ( 1414730 2765900 ) ( * 2768110 )
NEW met3 ( 1414730 2765900 ) ( 1416340 * )
NEW met4 ( 1416340 2748900 ) ( * 2765900 )
NEW met4 ( 1416340 2748900 ) ( 1416430 * )
NEW met4 ( 1416430 2747200 0 ) ( * 2748900 )
NEW met1 ( 965770 2768110 ) ( 1414730 * )
NEW met2 ( 988770 1657500 ) M2M3_PR
NEW met1 ( 988770 1660050 ) M1M2_PR
NEW met1 ( 965770 1660050 ) M1M2_PR
NEW met1 ( 965770 2768110 ) M1M2_PR
NEW met1 ( 1414730 2768110 ) M1M2_PR
NEW met2 ( 1414730 2765900 ) M2M3_PR
NEW met3 ( 1416340 2765900 ) M3M4_PR ;
- dmem_doutb\[13\] ( dmem dout1[13] ) ( core dmem_doutb[13] ) + USE SIGNAL
+ ROUTED met2 ( 993830 1674500 ) ( * 2757570 )
NEW met3 ( 993830 1674500 ) ( 1000500 * 0 )
NEW met2 ( 1421630 2757060 ) ( * 2757570 )
NEW met3 ( 1421630 2757060 ) ( 1421860 * )
NEW met4 ( 1421860 2748900 ) ( * 2757060 )
NEW met4 ( 1421860 2748900 ) ( 1421870 * )
NEW met4 ( 1421870 2747200 0 ) ( * 2748900 )
NEW met1 ( 993830 2757570 ) ( 1421630 * )
NEW met2 ( 993830 1674500 ) M2M3_PR
NEW met1 ( 993830 2757570 ) M1M2_PR
NEW met1 ( 1421630 2757570 ) M1M2_PR
NEW met2 ( 1421630 2757060 ) M2M3_PR
NEW met3 ( 1421860 2757060 ) M3M4_PR
NEW met3 ( 1421630 2757060 ) RECT ( -390 -150 0 150 ) ;
- dmem_doutb\[14\] ( dmem dout1[14] ) ( core dmem_doutb[14] ) + USE SIGNAL
+ ROUTED met2 ( 989690 1691500 ) ( * 1692010 )
NEW met1 ( 972210 1692010 ) ( 989690 * )
NEW met2 ( 972210 1692010 ) ( * 2748390 )
NEW met3 ( 989690 1691500 ) ( 1000500 * 0 )
NEW met2 ( 1428070 2748220 ) ( * 2748390 )
NEW met3 ( 1427990 2748220 ) ( 1428070 * )
NEW met4 ( 1427990 2747200 0 ) ( * 2748220 )
NEW met1 ( 972210 2748390 ) ( 1428070 * )
NEW met2 ( 989690 1691500 ) M2M3_PR
NEW met1 ( 989690 1692010 ) M1M2_PR
NEW met1 ( 972210 1692010 ) M1M2_PR
NEW met1 ( 972210 2748390 ) M1M2_PR
NEW met1 ( 1428070 2748390 ) M1M2_PR
NEW met2 ( 1428070 2748220 ) M2M3_PR
NEW met3 ( 1427990 2748220 ) M3M4_PR
NEW met3 ( 1428070 2748220 ) RECT ( 0 -150 540 150 ) ;
- dmem_doutb\[15\] ( dmem dout1[15] ) ( core dmem_doutb[15] ) + USE SIGNAL
+ ROUTED met2 ( 991530 1708500 ) ( * 1709010 )
NEW met1 ( 979570 1709010 ) ( 991530 * )
NEW met2 ( 979570 1709010 ) ( * 2748730 )
NEW met3 ( 991530 1708500 ) ( 1000500 * 0 )
NEW met2 ( 1434510 2748220 ) ( * 2748730 )
NEW met3 ( 1434510 2748220 ) ( 1434790 * )
NEW met4 ( 1434790 2747200 0 ) ( * 2748220 )
NEW met1 ( 979570 2748730 ) ( 1434510 * )
NEW met2 ( 991530 1708500 ) M2M3_PR
NEW met1 ( 991530 1709010 ) M1M2_PR
NEW met1 ( 979570 1709010 ) M1M2_PR
NEW met1 ( 979570 2748730 ) M1M2_PR
NEW met1 ( 1434510 2748730 ) M1M2_PR
NEW met2 ( 1434510 2748220 ) M2M3_PR
NEW met3 ( 1434790 2748220 ) M3M4_PR
NEW met3 ( 1434510 2748220 ) RECT ( -340 -150 0 150 ) ;
- dmem_doutb\[16\] ( dmem dout1[16] ) ( core dmem_doutb[16] ) + USE SIGNAL
+ ROUTED met2 ( 986930 1725500 ) ( * 1731790 )
NEW met2 ( 1438190 2766580 ) ( * 2774230 )
NEW met3 ( 1438190 2766580 ) ( 1441180 * )
NEW met4 ( 1441180 2748900 ) ( * 2766580 )
NEW met4 ( 1440910 2748900 ) ( 1441180 * )
NEW met4 ( 1440910 2747200 0 ) ( * 2748900 )
NEW met1 ( 924370 1731790 ) ( 986930 * )
NEW met3 ( 986930 1725500 ) ( 1000500 * 0 )
NEW met2 ( 924370 1731790 ) ( * 2774230 )
NEW met1 ( 924370 2774230 ) ( 1438190 * )
NEW met2 ( 986930 1725500 ) M2M3_PR
NEW met1 ( 986930 1731790 ) M1M2_PR
NEW met1 ( 1438190 2774230 ) M1M2_PR
NEW met2 ( 1438190 2766580 ) M2M3_PR
NEW met3 ( 1441180 2766580 ) M3M4_PR
NEW met1 ( 924370 1731790 ) M1M2_PR
NEW met1 ( 924370 2774230 ) M1M2_PR ;
- dmem_doutb\[17\] ( dmem dout1[17] ) ( core dmem_doutb[17] ) + USE SIGNAL
+ ROUTED met2 ( 986930 1742500 ) ( * 1745390 )
NEW met2 ( 1447390 2756380 ) ( * 2756890 )
NEW met3 ( 1447390 2756380 ) ( 1447620 * )
NEW met4 ( 1447620 2748900 ) ( * 2756380 )
NEW met4 ( 1447620 2748900 ) ( 1447710 * )
NEW met4 ( 1447710 2747200 0 ) ( * 2748900 )
NEW met1 ( 931270 1745390 ) ( 986930 * )
NEW met3 ( 986930 1742500 ) ( 1000500 * 0 )
NEW met2 ( 931270 1745390 ) ( * 2756890 )
NEW met1 ( 931270 2756890 ) ( 1447390 * )
NEW met2 ( 986930 1742500 ) M2M3_PR
NEW met1 ( 986930 1745390 ) M1M2_PR
NEW met1 ( 1447390 2756890 ) M1M2_PR
NEW met2 ( 1447390 2756380 ) M2M3_PR
NEW met3 ( 1447620 2756380 ) M3M4_PR
NEW met1 ( 931270 1745390 ) M1M2_PR
NEW met1 ( 931270 2756890 ) M1M2_PR
NEW met3 ( 1447390 2756380 ) RECT ( -390 -150 0 150 ) ;
- dmem_doutb\[18\] ( dmem dout1[18] ) ( core dmem_doutb[18] ) + USE SIGNAL
+ ROUTED met2 ( 987390 1759500 ) ( * 1766130 )
NEW met2 ( 1449230 2766580 ) ( * 2767430 )
NEW met3 ( 1449230 2766580 ) ( 1453140 * )
NEW met4 ( 1453140 2748900 ) ( * 2766580 )
NEW met4 ( 1453140 2748900 ) ( 1453150 * )
NEW met4 ( 1453150 2747200 0 ) ( * 2748900 )
NEW met1 ( 951510 1766130 ) ( 987390 * )
NEW met3 ( 987390 1759500 ) ( 1000500 * 0 )
NEW met2 ( 951510 1766130 ) ( * 2767430 )
NEW met1 ( 951510 2767430 ) ( 1449230 * )
NEW met2 ( 987390 1759500 ) M2M3_PR
NEW met1 ( 987390 1766130 ) M1M2_PR
NEW met1 ( 1449230 2767430 ) M1M2_PR
NEW met2 ( 1449230 2766580 ) M2M3_PR
NEW met3 ( 1453140 2766580 ) M3M4_PR
NEW met1 ( 951510 1766130 ) M1M2_PR
NEW met1 ( 951510 2767430 ) M1M2_PR ;
- dmem_doutb\[19\] ( dmem dout1[19] ) ( core dmem_doutb[19] ) + USE SIGNAL
+ ROUTED met2 ( 987850 1776500 ) ( * 1778710 )
NEW met1 ( 958870 1778710 ) ( 987850 * )
NEW met2 ( 958870 1778710 ) ( * 2774570 )
NEW met2 ( 1456130 2766580 ) ( * 2774570 )
NEW met3 ( 1456130 2766580 ) ( 1459580 * )
NEW met4 ( 1459580 2748900 ) ( * 2766580 )
NEW met4 ( 1459580 2748900 ) ( 1459950 * )
NEW met4 ( 1459950 2747200 0 ) ( * 2748900 )
NEW met3 ( 987850 1776500 ) ( 1000500 * 0 )
NEW met1 ( 958870 2774570 ) ( 1456130 * )
NEW met2 ( 987850 1776500 ) M2M3_PR
NEW met1 ( 987850 1778710 ) M1M2_PR
NEW met1 ( 958870 1778710 ) M1M2_PR
NEW met1 ( 958870 2774570 ) M1M2_PR
NEW met1 ( 1456130 2774570 ) M1M2_PR
NEW met2 ( 1456130 2766580 ) M2M3_PR
NEW met3 ( 1459580 2766580 ) M3M4_PR ;
- dmem_doutb\[1\] ( dmem dout1[1] ) ( core dmem_doutb[1] ) + USE SIGNAL
+ ROUTED met2 ( 987850 1470500 ) ( * 1476450 )
NEW met2 ( 1345730 2765900 ) ( * 2768450 )
NEW met3 ( 1345730 2765900 ) ( 1347340 * )
NEW met4 ( 1347340 2748900 ) ( * 2765900 )
NEW met4 ( 1347340 2748900 ) ( 1347750 * )
NEW met4 ( 1347750 2747200 0 ) ( * 2748900 )
NEW met1 ( 945070 1476450 ) ( 987850 * )
NEW met3 ( 987850 1470500 ) ( 1000500 * 0 )
NEW met2 ( 945070 1476450 ) ( * 2768450 )
NEW met1 ( 945070 2768450 ) ( 1345730 * )
NEW met2 ( 987850 1470500 ) M2M3_PR
NEW met1 ( 987850 1476450 ) M1M2_PR
NEW met1 ( 1345730 2768450 ) M1M2_PR
NEW met2 ( 1345730 2765900 ) M2M3_PR
NEW met3 ( 1347340 2765900 ) M3M4_PR
NEW met1 ( 945070 1476450 ) M1M2_PR
NEW met1 ( 945070 2768450 ) M1M2_PR ;
- dmem_doutb\[20\] ( dmem dout1[20] ) ( core dmem_doutb[20] ) + USE SIGNAL
+ ROUTED met2 ( 986930 1793500 ) ( * 1793670 )
NEW met2 ( 1463030 2760460 ) ( * 2760630 )
NEW met3 ( 1463030 2760460 ) ( 1465100 * )
NEW met4 ( 1465100 2748900 ) ( * 2760460 )
NEW met4 ( 1465100 2748900 ) ( 1465390 * )
NEW met4 ( 1465390 2747200 0 ) ( * 2748900 )
NEW met1 ( 930810 1793670 ) ( 986930 * )
NEW met2 ( 930810 1793670 ) ( * 2760630 )
NEW met3 ( 986930 1793500 ) ( 1000500 * 0 )
NEW met1 ( 930810 2760630 ) ( 1463030 * )
NEW met2 ( 986930 1793500 ) M2M3_PR
NEW met1 ( 986930 1793670 ) M1M2_PR
NEW met1 ( 1463030 2760630 ) M1M2_PR
NEW met2 ( 1463030 2760460 ) M2M3_PR
NEW met3 ( 1465100 2760460 ) M3M4_PR
NEW met1 ( 930810 1793670 ) M1M2_PR
NEW met1 ( 930810 2760630 ) M1M2_PR ;
- dmem_doutb\[21\] ( dmem dout1[21] ) ( core dmem_doutb[21] ) + USE SIGNAL
+ ROUTED met2 ( 986930 1810500 ) ( * 1814410 )
NEW met2 ( 1469930 2766580 ) ( * 2781710 )
NEW met3 ( 1469930 2766580 ) ( 1470620 * )
NEW met4 ( 1470620 2748900 ) ( * 2766580 )
NEW met4 ( 1470620 2748900 ) ( 1471510 * )
NEW met4 ( 1471510 2747200 0 ) ( * 2748900 )
NEW met1 ( 938170 1814410 ) ( 986930 * )
NEW met2 ( 938170 1814410 ) ( * 2781710 )
NEW met3 ( 986930 1810500 ) ( 1000500 * 0 )
NEW met1 ( 938170 2781710 ) ( 1469930 * )
NEW met2 ( 986930 1810500 ) M2M3_PR
NEW met1 ( 986930 1814410 ) M1M2_PR
NEW met1 ( 1469930 2781710 ) M1M2_PR
NEW met2 ( 1469930 2766580 ) M2M3_PR
NEW met3 ( 1470620 2766580 ) M3M4_PR
NEW met1 ( 938170 1814410 ) M1M2_PR
NEW met1 ( 938170 2781710 ) M1M2_PR ;
- dmem_doutb\[22\] ( dmem dout1[22] ) ( core dmem_doutb[22] ) + USE SIGNAL
+ ROUTED met2 ( 986930 1827500 ) ( * 1828350 )
NEW met2 ( 896770 1828350 ) ( * 2781370 )
NEW met2 ( 1476830 2766580 ) ( * 2781370 )
NEW met3 ( 1476830 2766580 ) ( 1477980 * )
NEW met4 ( 1477980 2748900 ) ( * 2766580 )
NEW met4 ( 1477980 2748900 ) ( 1478310 * )
NEW met4 ( 1478310 2747200 0 ) ( * 2748900 )
NEW met1 ( 896770 1828350 ) ( 986930 * )
NEW met3 ( 986930 1827500 ) ( 1000500 * 0 )
NEW met1 ( 896770 2781370 ) ( 1476830 * )
NEW met1 ( 896770 1828350 ) M1M2_PR
NEW met2 ( 986930 1827500 ) M2M3_PR
NEW met1 ( 986930 1828350 ) M1M2_PR
NEW met1 ( 896770 2781370 ) M1M2_PR
NEW met1 ( 1476830 2781370 ) M1M2_PR
NEW met2 ( 1476830 2766580 ) M2M3_PR
NEW met3 ( 1477980 2766580 ) M3M4_PR ;
- dmem_doutb\[23\] ( dmem dout1[23] ) ( core dmem_doutb[23] ) + USE SIGNAL
+ ROUTED met2 ( 988310 1844500 ) ( * 1849090 )
NEW met2 ( 903210 1849090 ) ( * 2781030 )
NEW met4 ( 1482580 2748900 ) ( * 2766580 )
NEW met1 ( 903210 1849090 ) ( 988310 * )
NEW met3 ( 988310 1844500 ) ( 1000500 * 0 )
NEW met2 ( 1483730 2766580 ) ( * 2781030 )
NEW met4 ( 1484430 2747200 0 ) ( * 2748900 )
NEW met4 ( 1482580 2748900 ) ( 1484430 * )
NEW met3 ( 1482580 2766580 ) ( 1483730 * )
NEW met1 ( 903210 2781030 ) ( 1483730 * )
NEW met1 ( 903210 1849090 ) M1M2_PR
NEW met2 ( 988310 1844500 ) M2M3_PR
NEW met1 ( 988310 1849090 ) M1M2_PR
NEW met1 ( 903210 2781030 ) M1M2_PR
NEW met3 ( 1482580 2766580 ) M3M4_PR
NEW met2 ( 1483730 2766580 ) M2M3_PR
NEW met1 ( 1483730 2781030 ) M1M2_PR ;
- dmem_doutb\[24\] ( dmem dout1[24] ) ( core dmem_doutb[24] ) + USE SIGNAL
+ ROUTED met3 ( 1003260 1861500 0 ) ( * 1862860 )
NEW met3 ( 1003260 1862860 ) ( 1003490 * )
NEW met2 ( 1003490 1862860 ) ( * 1869900 )
NEW met2 ( 1003490 1869900 ) ( 1003950 * )
NEW met2 ( 1003950 1869900 ) ( * 2757230 )
NEW met2 ( 1490630 2757060 ) ( * 2757230 )
NEW met3 ( 1490630 2757060 ) ( 1490860 * )
NEW met4 ( 1490860 2748900 ) ( * 2757060 )
NEW met4 ( 1490860 2748900 ) ( 1491230 * )
NEW met4 ( 1491230 2747200 0 ) ( * 2748900 )
NEW met1 ( 1003950 2757230 ) ( 1490630 * )
NEW met2 ( 1003490 1862860 ) M2M3_PR
NEW met1 ( 1003950 2757230 ) M1M2_PR
NEW met1 ( 1490630 2757230 ) M1M2_PR
NEW met2 ( 1490630 2757060 ) M2M3_PR
NEW met3 ( 1490860 2757060 ) M3M4_PR
NEW met3 ( 1490630 2757060 ) RECT ( -390 -150 0 150 ) ;
- dmem_doutb\[25\] ( dmem dout1[25] ) ( core dmem_doutb[25] ) + USE SIGNAL
+ ROUTED met2 ( 988770 1878500 ) ( * 1881050 )
NEW met1 ( 964850 1881050 ) ( 988770 * )
NEW met2 ( 964850 1881050 ) ( * 2773890 )
NEW met3 ( 988770 1878500 ) ( 1000500 * 0 )
NEW met2 ( 1490630 2760460 ) ( * 2773890 )
NEW met3 ( 1490630 2760460 ) ( 1492700 * )
NEW met4 ( 1492700 2748900 ) ( * 2760460 )
NEW met4 ( 1492700 2748900 ) ( 1496670 * )
NEW met4 ( 1496670 2747200 0 ) ( * 2748900 )
NEW met1 ( 964850 2773890 ) ( 1490630 * )
NEW met2 ( 988770 1878500 ) M2M3_PR
NEW met1 ( 988770 1881050 ) M1M2_PR
NEW met1 ( 964850 1881050 ) M1M2_PR
NEW met1 ( 964850 2773890 ) M1M2_PR
NEW met1 ( 1490630 2773890 ) M1M2_PR
NEW met2 ( 1490630 2760460 ) M2M3_PR
NEW met3 ( 1492700 2760460 ) M3M4_PR ;
- dmem_doutb\[26\] ( dmem dout1[26] ) ( core dmem_doutb[26] ) + USE SIGNAL
+ ROUTED met2 ( 990610 1895500 ) ( * 1897370 )
NEW met1 ( 917470 1897370 ) ( 990610 * )
NEW met2 ( 917470 1897370 ) ( * 2748050 )
NEW met3 ( 990610 1895500 ) ( 1000500 * 0 )
NEW met2 ( 1503510 2748050 ) ( * 2748220 )
NEW met3 ( 1503470 2748220 ) ( 1503510 * )
NEW met4 ( 1503470 2747200 0 ) ( * 2748220 )
NEW met1 ( 917470 2748050 ) ( 1503510 * )
NEW met2 ( 990610 1895500 ) M2M3_PR
NEW met1 ( 990610 1897370 ) M1M2_PR
NEW met1 ( 917470 1897370 ) M1M2_PR
NEW met1 ( 917470 2748050 ) M1M2_PR
NEW met1 ( 1503510 2748050 ) M1M2_PR
NEW met2 ( 1503510 2748220 ) M2M3_PR
NEW met3 ( 1503470 2748220 ) M3M4_PR
NEW met3 ( 1503510 2748220 ) RECT ( 0 -150 580 150 ) ;
- dmem_doutb\[27\] ( dmem dout1[27] ) ( core dmem_doutb[27] ) + USE SIGNAL
+ ROUTED met2 ( 987850 1912500 ) ( * 1918110 )
NEW met1 ( 923910 1918110 ) ( 987850 * )
NEW met2 ( 923910 1918110 ) ( * 2756550 )
NEW met3 ( 987850 1912500 ) ( 1000500 * 0 )
NEW met2 ( 1509030 2756380 ) ( * 2756550 )
NEW met3 ( 1509030 2756380 ) ( 1509260 * )
NEW met4 ( 1509260 2748900 ) ( * 2756380 )
NEW met4 ( 1509260 2748900 ) ( 1509590 * )
NEW met4 ( 1509590 2747200 0 ) ( * 2748900 )
NEW met1 ( 923910 2756550 ) ( 1509030 * )
NEW met2 ( 987850 1912500 ) M2M3_PR
NEW met1 ( 987850 1918110 ) M1M2_PR
NEW met1 ( 923910 1918110 ) M1M2_PR
NEW met1 ( 923910 2756550 ) M1M2_PR
NEW met1 ( 1509030 2756550 ) M1M2_PR
NEW met2 ( 1509030 2756380 ) M2M3_PR
NEW met3 ( 1509260 2756380 ) M3M4_PR
NEW met3 ( 1509030 2756380 ) RECT ( -390 -150 0 150 ) ;
- dmem_doutb\[28\] ( dmem dout1[28] ) ( core dmem_doutb[28] ) + USE SIGNAL
+ ROUTED met2 ( 989690 1929500 ) ( * 1930350 )
NEW met1 ( 971750 1930350 ) ( 989690 * )
NEW met2 ( 971750 1930350 ) ( * 2767090 )
NEW met3 ( 989690 1929500 ) ( 1000500 * 0 )
NEW met2 ( 1511330 2766580 ) ( * 2767090 )
NEW met3 ( 1511330 2766580 ) ( 1515700 * )
NEW met4 ( 1515700 2748900 ) ( * 2766580 )
NEW met4 ( 1515700 2748900 ) ( 1516390 * )
NEW met4 ( 1516390 2747200 0 ) ( * 2748900 )
NEW met1 ( 971750 2767090 ) ( 1511330 * )
NEW met2 ( 989690 1929500 ) M2M3_PR
NEW met1 ( 989690 1930350 ) M1M2_PR
NEW met1 ( 971750 1930350 ) M1M2_PR
NEW met1 ( 971750 2767090 ) M1M2_PR
NEW met1 ( 1511330 2767090 ) M1M2_PR
NEW met2 ( 1511330 2766580 ) M2M3_PR
NEW met3 ( 1515700 2766580 ) M3M4_PR ;
- dmem_doutb\[29\] ( dmem dout1[29] ) ( core dmem_doutb[29] ) + USE SIGNAL
+ ROUTED met2 ( 990610 1946500 ) ( * 1951090 )
NEW met1 ( 979110 1951090 ) ( 990610 * )
NEW met2 ( 979110 1951090 ) ( * 2788170 )
NEW met3 ( 990610 1946500 ) ( 1000500 * 0 )
NEW met1 ( 979110 2788170 ) ( 1518230 * )
NEW met3 ( 1518230 2766580 ) ( 1521220 * )
NEW met4 ( 1521220 2748900 ) ( * 2766580 )
NEW met4 ( 1521220 2748900 ) ( 1521830 * )
NEW met4 ( 1521830 2747200 0 ) ( * 2748900 )
NEW met2 ( 1518230 2766580 ) ( * 2788170 )
NEW met2 ( 990610 1946500 ) M2M3_PR
NEW met1 ( 990610 1951090 ) M1M2_PR
NEW met1 ( 979110 1951090 ) M1M2_PR
NEW met1 ( 979110 2788170 ) M1M2_PR
NEW met1 ( 1518230 2788170 ) M1M2_PR
NEW met2 ( 1518230 2766580 ) M2M3_PR
NEW met3 ( 1521220 2766580 ) M3M4_PR ;
- dmem_doutb\[2\] ( dmem dout1[2] ) ( core dmem_doutb[2] ) + USE SIGNAL
+ ROUTED met2 ( 993370 1487500 ) ( * 1983390 )
NEW met2 ( 1352630 2760460 ) ( * 2761650 )
NEW met3 ( 1352630 2760460 ) ( 1352860 * )
NEW met4 ( 1352860 2748900 ) ( * 2760460 )
NEW met4 ( 1352860 2748900 ) ( 1353190 * )
NEW met4 ( 1353190 2747200 0 ) ( * 2748900 )
NEW met3 ( 993370 1487500 ) ( 1000500 * 0 )
NEW met1 ( 993370 1983390 ) ( 1004410 * )
NEW met2 ( 1004410 1983390 ) ( * 2761650 )
NEW met1 ( 1004410 2761650 ) ( 1352630 * )
NEW met2 ( 993370 1487500 ) M2M3_PR
NEW met1 ( 993370 1983390 ) M1M2_PR
NEW met1 ( 1352630 2761650 ) M1M2_PR
NEW met2 ( 1352630 2760460 ) M2M3_PR
NEW met3 ( 1352860 2760460 ) M3M4_PR
NEW met1 ( 1004410 1983390 ) M1M2_PR
NEW met1 ( 1004410 2761650 ) M1M2_PR
NEW met3 ( 1352630 2760460 ) RECT ( -390 -150 0 150 ) ;
- dmem_doutb\[30\] ( dmem dout1[30] ) ( core dmem_doutb[30] ) + USE SIGNAL
+ ROUTED met2 ( 985550 1963500 ) ( * 2787830 )
NEW met3 ( 985550 1963500 ) ( 1000500 * 0 )
NEW met1 ( 985550 2787830 ) ( 1525130 * )
NEW met3 ( 1525130 2766580 ) ( 1527660 * )
NEW met4 ( 1527660 2748900 ) ( * 2766580 )
NEW met4 ( 1527660 2748900 ) ( 1527950 * )
NEW met4 ( 1527950 2747200 0 ) ( * 2748900 )
NEW met2 ( 1525130 2766580 ) ( * 2787830 )
NEW met2 ( 985550 1963500 ) M2M3_PR
NEW met1 ( 985550 2787830 ) M1M2_PR
NEW met1 ( 1525130 2787830 ) M1M2_PR
NEW met2 ( 1525130 2766580 ) M2M3_PR
NEW met3 ( 1527660 2766580 ) M3M4_PR ;
- dmem_doutb\[31\] ( dmem dout1[31] ) ( core dmem_doutb[31] ) + USE SIGNAL
+ ROUTED met2 ( 992450 1980500 ) ( * 2760290 )
NEW met2 ( 1533410 2760290 ) ( * 2760460 )
NEW met3 ( 1533410 2760460 ) ( 1535020 * )
NEW met4 ( 1535020 2748900 ) ( * 2760460 )
NEW met4 ( 1534750 2748900 ) ( 1535020 * )
NEW met4 ( 1534750 2747200 0 ) ( * 2748900 )
NEW met3 ( 992450 1980500 ) ( 1000500 * 0 )
NEW met1 ( 992450 2760290 ) ( 1533410 * )
NEW met2 ( 992450 1980500 ) M2M3_PR
NEW met1 ( 992450 2760290 ) M1M2_PR
NEW met1 ( 1533410 2760290 ) M1M2_PR
NEW met2 ( 1533410 2760460 ) M2M3_PR
NEW met3 ( 1535020 2760460 ) M3M4_PR ;
- dmem_doutb\[3\] ( dmem dout1[3] ) ( core dmem_doutb[3] ) + USE SIGNAL
+ ROUTED met2 ( 992910 1504500 ) ( * 2011270 )
NEW met2 ( 1359990 2756380 ) ( * 2761990 )
NEW met3 ( 1359990 2756380 ) ( 1360220 * )
NEW met4 ( 1360220 2748900 ) ( * 2756380 )
NEW met4 ( 1359990 2748900 ) ( 1360220 * )
NEW met4 ( 1359990 2747200 0 ) ( * 2748900 )
NEW met3 ( 992910 1504500 ) ( 1000500 * 0 )
NEW met1 ( 992910 2011270 ) ( 1197150 * )
NEW met2 ( 1197150 2011270 ) ( * 2761990 )
NEW met1 ( 1197150 2761990 ) ( 1359990 * )
NEW met2 ( 992910 1504500 ) M2M3_PR
NEW met1 ( 992910 2011270 ) M1M2_PR
NEW met1 ( 1359990 2761990 ) M1M2_PR
NEW met2 ( 1359990 2756380 ) M2M3_PR
NEW met3 ( 1360220 2756380 ) M3M4_PR
NEW met1 ( 1197150 2011270 ) M1M2_PR
NEW met1 ( 1197150 2761990 ) M1M2_PR
NEW met3 ( 1359990 2756380 ) RECT ( -390 -150 0 150 ) ;
- dmem_doutb\[4\] ( dmem dout1[4] ) ( core dmem_doutb[4] ) + USE SIGNAL
+ ROUTED met2 ( 876070 1524730 ) ( * 2794970 )
NEW met2 ( 988770 1521500 ) ( * 1524730 )
NEW met3 ( 1359530 2766580 ) ( 1365740 * )
NEW met4 ( 1365740 2748900 ) ( * 2766580 )
NEW met4 ( 1365740 2748900 ) ( 1366110 * )
NEW met4 ( 1366110 2747200 0 ) ( * 2748900 )
NEW met2 ( 1359530 2766580 ) ( * 2794970 )
NEW met1 ( 876070 2794970 ) ( 1359530 * )
NEW met1 ( 876070 1524730 ) ( 988770 * )
NEW met3 ( 988770 1521500 ) ( 1000500 * 0 )
NEW met1 ( 876070 2794970 ) M1M2_PR
NEW met1 ( 1359530 2794970 ) M1M2_PR
NEW met1 ( 876070 1524730 ) M1M2_PR
NEW met2 ( 988770 1521500 ) M2M3_PR
NEW met1 ( 988770 1524730 ) M1M2_PR
NEW met2 ( 1359530 2766580 ) M2M3_PR
NEW met3 ( 1365740 2766580 ) M3M4_PR ;
- dmem_doutb\[5\] ( dmem dout1[5] ) ( core dmem_doutb[5] ) + USE SIGNAL
+ ROUTED met2 ( 986930 1538500 ) ( * 1538670 )
NEW met2 ( 882970 1538670 ) ( * 2794630 )
NEW met3 ( 1373100 2766580 ) ( 1373330 * )
NEW met4 ( 1373100 2748900 ) ( * 2766580 )
NEW met4 ( 1372910 2748900 ) ( 1373100 * )
NEW met4 ( 1372910 2747200 0 ) ( * 2748900 )
NEW met2 ( 1373330 2766580 ) ( * 2794630 )
NEW met1 ( 882970 1538670 ) ( 986930 * )
NEW met3 ( 986930 1538500 ) ( 1000500 * 0 )
NEW met1 ( 882970 2794630 ) ( 1373330 * )
NEW met1 ( 882970 1538670 ) M1M2_PR
NEW met1 ( 882970 2794630 ) M1M2_PR
NEW met2 ( 986930 1538500 ) M2M3_PR
NEW met1 ( 986930 1538670 ) M1M2_PR
NEW met1 ( 1373330 2794630 ) M1M2_PR
NEW met2 ( 1373330 2766580 ) M2M3_PR
NEW met3 ( 1373100 2766580 ) M3M4_PR
NEW met3 ( 1373330 2766580 ) RECT ( 0 -150 390 150 ) ;
- dmem_doutb\[6\] ( dmem dout1[6] ) ( core dmem_doutb[6] ) + USE SIGNAL
+ ROUTED met2 ( 986470 1555500 ) ( 986930 * )
NEW met2 ( 986470 1555500 ) ( * 2757910 )
NEW met2 ( 1378390 2757740 ) ( * 2757910 )
NEW met3 ( 1378390 2757740 ) ( 1378620 * )
NEW met4 ( 1378620 2748900 ) ( * 2757740 )
NEW met4 ( 1378620 2748900 ) ( 1379030 * )
NEW met4 ( 1379030 2747200 0 ) ( * 2748900 )
NEW met3 ( 986930 1555500 ) ( 1000500 * 0 )
NEW met1 ( 986470 2757910 ) ( 1378390 * )
NEW met2 ( 986930 1555500 ) M2M3_PR
NEW met1 ( 986470 2757910 ) M1M2_PR
NEW met1 ( 1378390 2757910 ) M1M2_PR
NEW met2 ( 1378390 2757740 ) M2M3_PR
NEW met3 ( 1378620 2757740 ) M3M4_PR
NEW met3 ( 1378390 2757740 ) RECT ( -390 -150 0 150 ) ;
- dmem_doutb\[7\] ( dmem dout1[7] ) ( core dmem_doutb[7] ) + USE SIGNAL
+ ROUTED met2 ( 986930 1572500 ) ( * 1573010 )
NEW met2 ( 903670 1573010 ) ( * 2788850 )
NEW met3 ( 1380230 2765900 ) ( 1385980 * )
NEW met4 ( 1385980 2748900 ) ( * 2765900 )
NEW met4 ( 1384470 2748900 ) ( 1385980 * )
NEW met4 ( 1384470 2747200 0 ) ( * 2748900 )
NEW met2 ( 1380230 2765900 ) ( * 2788850 )
NEW met1 ( 903670 1573010 ) ( 986930 * )
NEW met3 ( 986930 1572500 ) ( 1000500 * 0 )
NEW met1 ( 903670 2788850 ) ( 1380230 * )
NEW met1 ( 903670 1573010 ) M1M2_PR
NEW met1 ( 903670 2788850 ) M1M2_PR
NEW met2 ( 986930 1572500 ) M2M3_PR
NEW met1 ( 986930 1573010 ) M1M2_PR
NEW met1 ( 1380230 2788850 ) M1M2_PR
NEW met2 ( 1380230 2765900 ) M2M3_PR
NEW met3 ( 1385980 2765900 ) M3M4_PR ;
- dmem_doutb\[8\] ( dmem dout1[8] ) ( core dmem_doutb[8] ) + USE SIGNAL
+ ROUTED met2 ( 986930 1589500 ) ( * 1593750 )
NEW met2 ( 1386670 2748900 ) ( * 2765900 )
NEW met1 ( 910570 2788510 ) ( 1387130 * )
NEW met1 ( 910570 1593750 ) ( 986930 * )
NEW met2 ( 910570 1593750 ) ( * 2788510 )
NEW met3 ( 986930 1589500 ) ( 1000500 * 0 )
NEW met3 ( 1386670 2765900 ) ( 1386900 * )
NEW met3 ( 1386900 2765900 ) ( * 2766580 )
NEW met3 ( 1386900 2766580 ) ( 1387130 * )
NEW met4 ( 1391270 2747200 0 ) ( * 2748900 )
NEW met3 ( 1386670 2748900 ) ( 1391270 * )
NEW met2 ( 1387130 2766580 ) ( * 2788510 )
NEW met2 ( 986930 1589500 ) M2M3_PR
NEW met1 ( 986930 1593750 ) M1M2_PR
NEW met2 ( 1386670 2748900 ) M2M3_PR
NEW met2 ( 1386670 2765900 ) M2M3_PR
NEW met1 ( 910570 2788510 ) M1M2_PR
NEW met1 ( 1387130 2788510 ) M1M2_PR
NEW met1 ( 910570 1593750 ) M1M2_PR
NEW met2 ( 1387130 2766580 ) M2M3_PR
NEW met3 ( 1391270 2748900 ) M3M4_PR
NEW met3 ( 1386670 2748900 ) RECT ( -390 -150 0 150 ) ;
- dmem_doutb\[9\] ( dmem dout1[9] ) ( core dmem_doutb[9] ) + USE SIGNAL
+ ROUTED met2 ( 986010 1606500 ) ( * 2760970 )
NEW met3 ( 986010 1606500 ) ( 1000500 * 0 )
NEW met2 ( 1394030 2760460 ) ( * 2760970 )
NEW met3 ( 1394030 2760460 ) ( 1396100 * )
NEW met4 ( 1396100 2748900 ) ( * 2760460 )
NEW met4 ( 1396100 2748900 ) ( 1396710 * )
NEW met4 ( 1396710 2747200 0 ) ( * 2748900 )
NEW met1 ( 986010 2760970 ) ( 1394030 * )
NEW met2 ( 986010 1606500 ) M2M3_PR
NEW met1 ( 986010 2760970 ) M1M2_PR
NEW met1 ( 1394030 2760970 ) M1M2_PR
NEW met2 ( 1394030 2760460 ) M2M3_PR
NEW met3 ( 1396100 2760460 ) M3M4_PR ;
- dmem_ena ( dmem csb0 ) ( core dmem_ena ) + USE SIGNAL
+ ROUTED met2 ( 1193010 2052750 ) ( * 2377620 )
NEW met2 ( 1932230 1973190 ) ( * 2052750 )
NEW met1 ( 1193010 2052750 ) ( 1932230 * )
NEW met3 ( 1197380 2377620 ) ( * 2378070 )
NEW met3 ( 1197380 2378070 ) ( 1200140 * 0 )
NEW met3 ( 1193010 2377620 ) ( 1197380 * )
NEW met3 ( 1899340 1968940 0 ) ( 1911530 * )
NEW met2 ( 1911530 1968940 ) ( * 1973190 )
NEW met1 ( 1911530 1973190 ) ( 1932230 * )
NEW met1 ( 1193010 2052750 ) M1M2_PR
NEW met1 ( 1932230 2052750 ) M1M2_PR
NEW met2 ( 1193010 2377620 ) M2M3_PR
NEW met1 ( 1932230 1973190 ) M1M2_PR
NEW met2 ( 1911530 1968940 ) M2M3_PR
NEW met1 ( 1911530 1973190 ) M1M2_PR ;
- dmem_enb ( dmem csb1 ) ( core dmem_enb ) + USE SIGNAL
+ ROUTED met2 ( 1925790 1976590 ) ( * 2725610 )
NEW met2 ( 1697170 2725610 ) ( * 2731900 )
NEW met3 ( 1682220 2731900 ) ( 1697170 * )
NEW met3 ( 1682220 2731900 ) ( * 2732350 )
NEW met3 ( 1679460 2732350 0 ) ( 1682220 * )
NEW met1 ( 1697170 2725610 ) ( 1925790 * )
NEW met3 ( 1899340 1976420 0 ) ( 1911530 * )
NEW met2 ( 1911530 1976420 ) ( * 1976590 )
NEW met1 ( 1911530 1976590 ) ( 1925790 * )
NEW met1 ( 1925790 2725610 ) M1M2_PR
NEW met1 ( 1925790 1976590 ) M1M2_PR
NEW met1 ( 1697170 2725610 ) M1M2_PR
NEW met2 ( 1697170 2731900 ) M2M3_PR
NEW met2 ( 1911530 1976420 ) M2M3_PR
NEW met1 ( 1911530 1976590 ) M1M2_PR ;
- dmem_wea0 ( dmem web0 ) ( core dmem_wea0 ) + USE SIGNAL
+ ROUTED met2 ( 1186570 2066350 ) ( * 2385780 )
NEW met2 ( 1939590 1904170 ) ( * 2066350 )
NEW met3 ( 1197380 2385780 ) ( * 2386230 )
NEW met3 ( 1197380 2386230 ) ( 1200140 * 0 )
NEW met3 ( 1186570 2385780 ) ( 1197380 * )
NEW met3 ( 1899340 1901620 0 ) ( 1911530 * )
NEW met2 ( 1911530 1901620 ) ( * 1904170 )
NEW met1 ( 1911530 1904170 ) ( 1939590 * )
NEW met1 ( 1186570 2066350 ) ( 1939590 * )
NEW met1 ( 1186570 2066350 ) M1M2_PR
NEW met2 ( 1186570 2385780 ) M2M3_PR
NEW met1 ( 1939590 1904170 ) M1M2_PR
NEW met1 ( 1939590 2066350 ) M1M2_PR
NEW met2 ( 1911530 1901620 ) M2M3_PR
NEW met1 ( 1911530 1904170 ) M1M2_PR ;
- dmem_wea\[0\] ( dmem wmask0[0] ) ( core dmem_wea[0] ) + USE SIGNAL
+ ROUTED met4 ( 1283830 2347700 ) ( * 2350530 0 )
NEW met4 ( 1283830 2347700 ) ( 1283860 * )
NEW met4 ( 1283860 2338860 ) ( * 2347700 )
NEW met3 ( 1283860 2338860 ) ( 1286850 * )
NEW met2 ( 1286850 2332230 ) ( * 2338860 )
NEW met1 ( 1286850 2332230 ) ( 1307550 * )
NEW met1 ( 1307550 2239070 ) ( 1913370 * )
NEW met2 ( 1307550 2239070 ) ( * 2332230 )
NEW met3 ( 1899340 1909100 0 ) ( 1913370 * )
NEW met2 ( 1913370 1909100 ) ( * 2239070 )
NEW met3 ( 1283860 2338860 ) M3M4_PR
NEW met2 ( 1286850 2338860 ) M2M3_PR
NEW met1 ( 1286850 2332230 ) M1M2_PR
NEW met1 ( 1307550 2239070 ) M1M2_PR
NEW met1 ( 1307550 2332230 ) M1M2_PR
NEW met1 ( 1913370 2239070 ) M1M2_PR
NEW met2 ( 1913370 1909100 ) M2M3_PR ;
- dmem_wea\[1\] ( dmem wmask0[1] ) ( core dmem_wea[1] ) + USE SIGNAL
+ ROUTED met4 ( 1289270 2347700 ) ( * 2350530 0 )
NEW met4 ( 1289270 2347700 ) ( 1289380 * )
NEW met4 ( 1289380 2332740 ) ( * 2347700 )
NEW met3 ( 1289380 2332740 ) ( 1289610 * )
NEW met2 ( 1289610 2018070 ) ( * 2332740 )
NEW met3 ( 1899340 1924060 0 ) ( 1912450 * )
NEW met1 ( 1289610 2018070 ) ( 1912450 * )
NEW met2 ( 1912450 1924060 ) ( * 2018070 )
NEW met1 ( 1289610 2018070 ) M1M2_PR
NEW met3 ( 1289380 2332740 ) M3M4_PR
NEW met2 ( 1289610 2332740 ) M2M3_PR
NEW met2 ( 1912450 1924060 ) M2M3_PR
NEW met1 ( 1912450 2018070 ) M1M2_PR
NEW met3 ( 1289380 2332740 ) RECT ( -390 -150 0 150 ) ;
- dmem_wea\[2\] ( dmem wmask0[2] ) ( core dmem_wea[2] ) + USE SIGNAL
+ ROUTED met4 ( 1296070 2347700 ) ( * 2350530 0 )
NEW met4 ( 1295820 2347700 ) ( 1296070 * )
NEW met4 ( 1295820 2332740 ) ( * 2347700 )
NEW met3 ( 1295820 2332740 ) ( 1296970 * )
NEW met3 ( 1899340 1939020 0 ) ( 1911990 * )
NEW met1 ( 1296970 2245870 ) ( 1911990 * )
NEW met2 ( 1296970 2245870 ) ( * 2332740 )
NEW met2 ( 1911990 1939020 ) ( * 2245870 )
NEW met1 ( 1296970 2245870 ) M1M2_PR
NEW met3 ( 1295820 2332740 ) M3M4_PR
NEW met2 ( 1296970 2332740 ) M2M3_PR
NEW met2 ( 1911990 1939020 ) M2M3_PR
NEW met1 ( 1911990 2245870 ) M1M2_PR ;
- dmem_wea\[3\] ( dmem wmask0[3] ) ( core dmem_wea[3] ) + USE SIGNAL
+ ROUTED met4 ( 1300830 2347700 ) ( * 2350530 0 )
NEW met4 ( 1300830 2347700 ) ( 1301340 * )
NEW met4 ( 1301340 2332740 ) ( * 2347700 )
NEW met3 ( 1301340 2332740 ) ( 1303870 * )
NEW met3 ( 1899340 1953980 0 ) ( 1912910 * )
NEW met1 ( 1303870 2024870 ) ( 1912910 * )
NEW met2 ( 1303870 2024870 ) ( * 2332740 )
NEW met2 ( 1912910 1953980 ) ( * 2024870 )
NEW met1 ( 1303870 2024870 ) M1M2_PR
NEW met3 ( 1301340 2332740 ) M3M4_PR
NEW met2 ( 1303870 2332740 ) M2M3_PR
NEW met2 ( 1912910 1953980 ) M2M3_PR
NEW met1 ( 1912910 2024870 ) M1M2_PR ;
- dmem_web\[0\] ( core dmem_web[0] ) + USE SIGNAL ;
- dmem_web\[1\] ( core dmem_web[1] ) + USE SIGNAL ;
- dmem_web\[2\] ( core dmem_web[2] ) + USE SIGNAL ;
- dmem_web\[3\] ( core dmem_web[3] ) + USE SIGNAL ;
- imem_addr\[0\] ( imem addr1[0] ) ( core imem_addr[0] ) + USE SIGNAL
+ ROUTED met4 ( 1897310 896970 0 ) ( * 899300 )
NEW met4 ( 1897310 899300 ) ( 1897500 * )
NEW met2 ( 1014530 1400460 ) ( 1015450 * 0 )
NEW met2 ( 1014530 914090 ) ( * 1400460 )
NEW met2 ( 1897730 910180 ) ( * 914090 )
NEW met3 ( 1897500 910180 ) ( 1897730 * )
NEW met1 ( 1014530 914090 ) ( 1897730 * )
NEW met4 ( 1897500 899300 ) ( * 910180 )
NEW met1 ( 1014530 914090 ) M1M2_PR
NEW met1 ( 1897730 914090 ) M1M2_PR
NEW met2 ( 1897730 910180 ) M2M3_PR
NEW met3 ( 1897500 910180 ) M3M4_PR
NEW met3 ( 1897730 910180 ) RECT ( 0 -150 390 150 ) ;
- imem_addr\[10\] ( core imem_addr[10] ) + USE SIGNAL ;
- imem_addr\[11\] ( core imem_addr[11] ) + USE SIGNAL ;
- imem_addr\[12\] ( core imem_addr[12] ) + USE SIGNAL ;
- imem_addr\[13\] ( core imem_addr[13] ) + USE SIGNAL ;
- imem_addr\[14\] ( core imem_addr[14] ) + USE SIGNAL ;
- imem_addr\[15\] ( core imem_addr[15] ) + USE SIGNAL ;
- imem_addr\[16\] ( core imem_addr[16] ) + USE SIGNAL ;
- imem_addr\[17\] ( core imem_addr[17] ) + USE SIGNAL ;
- imem_addr\[18\] ( core imem_addr[18] ) + USE SIGNAL ;
- imem_addr\[19\] ( core imem_addr[19] ) + USE SIGNAL ;
- imem_addr\[1\] ( imem addr1[1] ) ( core imem_addr[1] ) + USE SIGNAL
+ ROUTED met3 ( 1979620 583150 0 ) ( 1980990 * )
NEW met2 ( 1028330 1400460 ) ( 1029250 * 0 )
NEW met2 ( 1028330 920890 ) ( * 1400460 )
NEW met1 ( 1028330 920890 ) ( 1980990 * )
NEW met2 ( 1980990 583150 ) ( * 920890 )
NEW met2 ( 1980990 583150 ) M2M3_PR
NEW met1 ( 1028330 920890 ) M1M2_PR
NEW met1 ( 1980990 920890 ) M1M2_PR ;
- imem_addr\[20\] ( core imem_addr[20] ) + USE SIGNAL ;
- imem_addr\[21\] ( core imem_addr[21] ) + USE SIGNAL ;
- imem_addr\[22\] ( core imem_addr[22] ) + USE SIGNAL ;
- imem_addr\[23\] ( core imem_addr[23] ) + USE SIGNAL ;
- imem_addr\[24\] ( core imem_addr[24] ) + USE SIGNAL ;
- imem_addr\[25\] ( core imem_addr[25] ) + USE SIGNAL ;
- imem_addr\[26\] ( core imem_addr[26] ) + USE SIGNAL ;
- imem_addr\[27\] ( core imem_addr[27] ) + USE SIGNAL ;
- imem_addr\[28\] ( core imem_addr[28] ) + USE SIGNAL ;
- imem_addr\[29\] ( core imem_addr[29] ) + USE SIGNAL ;
- imem_addr\[2\] ( imem addr1[2] ) ( core imem_addr[2] ) + USE SIGNAL
+ ROUTED met3 ( 1979620 574990 0 ) ( 1980530 * )
NEW met1 ( 1043050 1383290 ) ( 1980530 * )
NEW met2 ( 1043050 1383290 ) ( * 1400460 0 )
NEW met2 ( 1980530 574990 ) ( * 1383290 )
NEW met1 ( 1043050 1383290 ) M1M2_PR
NEW met2 ( 1980530 574990 ) M2M3_PR
NEW met1 ( 1980530 1383290 ) M1M2_PR ;
- imem_addr\[30\] ( core imem_addr[30] ) + USE SIGNAL ;
- imem_addr\[31\] ( core imem_addr[31] ) + USE SIGNAL ;
- imem_addr\[3\] ( imem addr1[3] ) ( core imem_addr[3] ) + USE SIGNAL
+ ROUTED met2 ( 1056850 1390090 ) ( * 1400460 0 )
NEW met2 ( 1466250 928030 ) ( * 1390090 )
NEW met3 ( 1983060 568140 ) ( 1987430 * )
NEW met3 ( 1983060 568140 ) ( * 568190 )
NEW met3 ( 1979620 568190 0 ) ( 1983060 * )
NEW met1 ( 1056850 1390090 ) ( 1466250 * )
NEW met1 ( 1466250 928030 ) ( 1987430 * )
NEW met2 ( 1987430 568140 ) ( * 928030 )
NEW met1 ( 1056850 1390090 ) M1M2_PR
NEW met1 ( 1466250 928030 ) M1M2_PR
NEW met1 ( 1466250 1390090 ) M1M2_PR
NEW met2 ( 1987430 568140 ) M2M3_PR
NEW met1 ( 1987430 928030 ) M1M2_PR ;
- imem_addr\[4\] ( imem addr1[4] ) ( core imem_addr[4] ) + USE SIGNAL
+ ROUTED met2 ( 1069730 1400460 ) ( 1070650 * 0 )
NEW met2 ( 1069730 448290 ) ( * 1400460 )
NEW met4 ( 1915670 498100 ) ( * 500530 0 )
NEW met4 ( 1915670 498100 ) ( 1915900 * )
NEW met4 ( 1915900 484500 ) ( * 498100 )
NEW met3 ( 1913370 484500 ) ( 1915900 * )
NEW met1 ( 1069730 448290 ) ( 1913370 * )
NEW met2 ( 1913370 448290 ) ( * 484500 )
NEW met1 ( 1069730 448290 ) M1M2_PR
NEW met3 ( 1915900 484500 ) M3M4_PR
NEW met2 ( 1913370 484500 ) M2M3_PR
NEW met1 ( 1913370 448290 ) M1M2_PR ;
- imem_addr\[5\] ( imem addr1[5] ) ( core imem_addr[5] ) + USE SIGNAL
+ ROUTED met2 ( 1084450 1390430 ) ( * 1400460 0 )
NEW met4 ( 1913630 498100 ) ( * 500530 0 )
NEW met4 ( 1913140 498100 ) ( 1913630 * )
NEW met4 ( 1913140 483140 ) ( * 498100 )
NEW met3 ( 1913140 482460 ) ( * 483140 )
NEW met3 ( 1411050 482460 ) ( 1913140 * )
NEW met1 ( 1084450 1390430 ) ( 1411050 * )
NEW met2 ( 1411050 482460 ) ( * 1390430 )
NEW met1 ( 1084450 1390430 ) M1M2_PR
NEW met2 ( 1411050 482460 ) M2M3_PR
NEW met3 ( 1913140 483140 ) M3M4_PR
NEW met1 ( 1411050 1390430 ) M1M2_PR ;
- imem_addr\[6\] ( imem addr1[6] ) ( core imem_addr[6] ) + USE SIGNAL
+ ROUTED met4 ( 1914310 498100 ) ( * 500530 0 )
NEW met4 ( 1914310 498100 ) ( 1914980 * )
NEW met4 ( 1914980 485180 ) ( * 498100 )
NEW met3 ( 1912450 485180 ) ( 1914980 * )
NEW met2 ( 1097330 1400460 ) ( 1098250 * 0 )
NEW met2 ( 1097330 455090 ) ( * 1400460 )
NEW met1 ( 1097330 455090 ) ( 1912450 * )
NEW met2 ( 1912450 455090 ) ( * 485180 )
NEW met3 ( 1914980 485180 ) M3M4_PR
NEW met2 ( 1912450 485180 ) M2M3_PR
NEW met1 ( 1097330 455090 ) M1M2_PR
NEW met1 ( 1912450 455090 ) M1M2_PR ;
- imem_addr\[7\] ( imem addr1[7] ) ( core imem_addr[7] ) + USE SIGNAL
+ ROUTED met4 ( 1914990 498780 ) ( * 500530 0 )
NEW met3 ( 1912220 498780 ) ( 1914990 * )
NEW met4 ( 1912220 484500 ) ( * 498780 )
NEW met3 ( 1911990 484500 ) ( 1912220 * )
NEW met2 ( 1112050 1390770 ) ( * 1400460 0 )
NEW met1 ( 1112050 1390770 ) ( 1390350 * )
NEW met2 ( 1390350 447950 ) ( * 1390770 )
NEW met1 ( 1390350 447950 ) ( 1911990 * )
NEW met2 ( 1911990 447950 ) ( * 484500 )
NEW met3 ( 1914990 498780 ) M3M4_PR
NEW met3 ( 1912220 498780 ) M3M4_PR
NEW met3 ( 1912220 484500 ) M3M4_PR
NEW met2 ( 1911990 484500 ) M2M3_PR
NEW met1 ( 1112050 1390770 ) M1M2_PR
NEW met1 ( 1390350 447950 ) M1M2_PR
NEW met1 ( 1390350 1390770 ) M1M2_PR
NEW met1 ( 1911990 447950 ) M1M2_PR
NEW met3 ( 1912220 484500 ) RECT ( 0 -150 390 150 ) ;
- imem_addr\[8\] ( core imem_addr[8] ) + USE SIGNAL ;
- imem_addr\[9\] ( core imem_addr[9] ) + USE SIGNAL ;
- imem_data\[0\] ( imem dout1[0] ) ( core imem_data[0] ) + USE SIGNAL
+ ROUTED met4 ( 1640950 896970 0 ) ( * 899300 )
NEW met4 ( 1640820 899300 ) ( 1640950 * )
NEW met2 ( 1456130 1400460 ) ( 1457050 * 0 )
NEW met2 ( 1456130 948770 ) ( * 1400460 )
NEW met2 ( 1635530 910180 ) ( * 948770 )
NEW met3 ( 1635530 910180 ) ( 1640820 * )
NEW met4 ( 1640820 899300 ) ( * 910180 )
NEW met1 ( 1456130 948770 ) ( 1635530 * )
NEW met1 ( 1456130 948770 ) M1M2_PR
NEW met1 ( 1635530 948770 ) M1M2_PR
NEW met2 ( 1635530 910180 ) M2M3_PR
NEW met3 ( 1640820 910180 ) M3M4_PR ;
- imem_data\[10\] ( imem dout1[10] ) ( core imem_data[10] ) + USE SIGNAL
+ ROUTED met4 ( 1703510 896970 0 ) ( * 899300 )
NEW met4 ( 1703380 899300 ) ( 1703510 * )
NEW met1 ( 1594130 955570 ) ( 1697630 * )
NEW met2 ( 1594130 1400460 ) ( 1595050 * 0 )
NEW met2 ( 1594130 955570 ) ( * 1400460 )
NEW met3 ( 1697630 910180 ) ( 1703380 * )
NEW met2 ( 1697630 910180 ) ( * 955570 )
NEW met4 ( 1703380 899300 ) ( * 910180 )
NEW met1 ( 1594130 955570 ) M1M2_PR
NEW met1 ( 1697630 955570 ) M1M2_PR
NEW met2 ( 1697630 910180 ) M2M3_PR
NEW met3 ( 1703380 910180 ) M3M4_PR ;
- imem_data\[11\] ( imem dout1[11] ) ( core imem_data[11] ) + USE SIGNAL
+ ROUTED met4 ( 1709630 896970 0 ) ( * 899300 )
NEW met4 ( 1709630 899300 ) ( 1709820 * )
NEW met1 ( 1618050 962370 ) ( 1704530 * )
NEW met1 ( 1608850 1393490 ) ( 1618050 * )
NEW met2 ( 1608850 1393490 ) ( * 1400460 0 )
NEW met2 ( 1618050 962370 ) ( * 1393490 )
NEW met3 ( 1704530 910180 ) ( 1709820 * )
NEW met2 ( 1704530 910180 ) ( * 962370 )
NEW met4 ( 1709820 899300 ) ( * 910180 )
NEW met1 ( 1618050 962370 ) M1M2_PR
NEW met1 ( 1704530 962370 ) M1M2_PR
NEW met1 ( 1618050 1393490 ) M1M2_PR
NEW met1 ( 1608850 1393490 ) M1M2_PR
NEW met2 ( 1704530 910180 ) M2M3_PR
NEW met3 ( 1709820 910180 ) M3M4_PR ;
- imem_data\[12\] ( imem dout1[12] ) ( core imem_data[12] ) + USE SIGNAL
+ ROUTED met4 ( 1716430 896970 0 ) ( * 899300 )
NEW met4 ( 1716260 899300 ) ( 1716430 * )
NEW met1 ( 1621730 969170 ) ( 1711430 * )
NEW met2 ( 1621730 1400460 ) ( 1622650 * 0 )
NEW met2 ( 1621730 969170 ) ( * 1400460 )
NEW met3 ( 1711430 910180 ) ( 1716260 * )
NEW met2 ( 1711430 910180 ) ( * 969170 )
NEW met4 ( 1716260 899300 ) ( * 910180 )
NEW met1 ( 1621730 969170 ) M1M2_PR
NEW met1 ( 1711430 969170 ) M1M2_PR
NEW met2 ( 1711430 910180 ) M2M3_PR
NEW met3 ( 1716260 910180 ) M3M4_PR ;
- imem_data\[13\] ( imem dout1[13] ) ( core imem_data[13] ) + USE SIGNAL
+ ROUTED met2 ( 1635530 1400460 ) ( 1636450 * 0 )
NEW met2 ( 1635530 949450 ) ( * 1400460 )
NEW met4 ( 1721870 896970 0 ) ( * 899300 )
NEW met4 ( 1721780 899300 ) ( 1721870 * )
NEW met2 ( 1718330 906780 ) ( * 949450 )
NEW met3 ( 1718330 906780 ) ( 1721780 * )
NEW met1 ( 1635530 949450 ) ( 1718330 * )
NEW met4 ( 1721780 899300 ) ( * 906780 )
NEW met1 ( 1635530 949450 ) M1M2_PR
NEW met1 ( 1718330 949450 ) M1M2_PR
NEW met2 ( 1718330 906780 ) M2M3_PR
NEW met3 ( 1721780 906780 ) M3M4_PR ;
- imem_data\[14\] ( imem dout1[14] ) ( core imem_data[14] ) + USE SIGNAL
+ ROUTED met4 ( 1727990 896970 0 ) ( * 899300 )
NEW met4 ( 1727990 899300 ) ( 1728220 * )
NEW met1 ( 1650250 1393490 ) ( 1659450 * )
NEW met2 ( 1650250 1393490 ) ( * 1400460 0 )
NEW met2 ( 1659450 976310 ) ( * 1393490 )
NEW met3 ( 1725230 910180 ) ( 1728220 * )
NEW met2 ( 1725230 910180 ) ( * 976310 )
NEW met4 ( 1728220 899300 ) ( * 910180 )
NEW met1 ( 1659450 976310 ) ( 1725230 * )
NEW met1 ( 1659450 976310 ) M1M2_PR
NEW met1 ( 1725230 976310 ) M1M2_PR
NEW met1 ( 1659450 1393490 ) M1M2_PR
NEW met1 ( 1650250 1393490 ) M1M2_PR
NEW met2 ( 1725230 910180 ) M2M3_PR
NEW met3 ( 1728220 910180 ) M3M4_PR ;
- imem_data\[15\] ( imem dout1[15] ) ( core imem_data[15] ) + USE SIGNAL
+ ROUTED met4 ( 1734790 896970 0 ) ( * 899300 )
NEW met4 ( 1734660 899300 ) ( 1734790 * )
NEW met2 ( 1663130 1400460 ) ( 1664050 * 0 )
NEW met2 ( 1663130 983110 ) ( * 1400460 )
NEW met3 ( 1732590 910180 ) ( 1734660 * )
NEW met2 ( 1732590 910180 ) ( * 983110 )
NEW met4 ( 1734660 899300 ) ( * 910180 )
NEW met1 ( 1663130 983110 ) ( 1732590 * )
NEW met1 ( 1663130 983110 ) M1M2_PR
NEW met1 ( 1732590 983110 ) M1M2_PR
NEW met2 ( 1732590 910180 ) M2M3_PR
NEW met3 ( 1734660 910180 ) M3M4_PR ;
- imem_data\[16\] ( imem dout1[16] ) ( core imem_data[16] ) + USE SIGNAL
+ ROUTED met4 ( 1740910 896970 0 ) ( * 899300 )
NEW met4 ( 1740910 899300 ) ( 1741100 * )
NEW met3 ( 1739030 906780 ) ( 1741100 * )
NEW met2 ( 1739030 906780 ) ( * 989910 )
NEW met4 ( 1741100 899300 ) ( * 906780 )
NEW met1 ( 1676930 989910 ) ( 1739030 * )
NEW met2 ( 1676930 1400460 ) ( 1677850 * 0 )
NEW met2 ( 1676930 989910 ) ( * 1400460 )
NEW met1 ( 1739030 989910 ) M1M2_PR
NEW met2 ( 1739030 906780 ) M2M3_PR
NEW met3 ( 1741100 906780 ) M3M4_PR
NEW met1 ( 1676930 989910 ) M1M2_PR ;
- imem_data\[17\] ( imem dout1[17] ) ( core imem_data[17] ) + USE SIGNAL
+ ROUTED met4 ( 1747710 896970 0 ) ( * 899300 )
NEW met4 ( 1747540 899300 ) ( 1747710 * )
NEW met3 ( 1745930 910180 ) ( 1747540 * )
NEW met2 ( 1745930 910180 ) ( * 955570 )
NEW met4 ( 1747540 899300 ) ( * 910180 )
NEW met1 ( 1707750 955570 ) ( 1745930 * )
NEW met1 ( 1691650 1393490 ) ( 1707750 * )
NEW met2 ( 1691650 1393490 ) ( * 1400460 0 )
NEW met2 ( 1707750 955570 ) ( * 1393490 )
NEW met1 ( 1745930 955570 ) M1M2_PR
NEW met2 ( 1745930 910180 ) M2M3_PR
NEW met3 ( 1747540 910180 ) M3M4_PR
NEW met1 ( 1707750 955570 ) M1M2_PR
NEW met1 ( 1707750 1393490 ) M1M2_PR
NEW met1 ( 1691650 1393490 ) M1M2_PR ;
- imem_data\[18\] ( imem dout1[18] ) ( core imem_data[18] ) + USE SIGNAL
+ ROUTED met4 ( 1753150 896970 0 ) ( * 899300 )
NEW met4 ( 1753060 899300 ) ( 1753150 * )
NEW met3 ( 1752830 910180 ) ( 1753060 * )
NEW met4 ( 1753060 899300 ) ( * 910180 )
NEW met2 ( 1752830 910180 ) ( * 1093610 )
NEW met1 ( 1714650 1093610 ) ( 1752830 * )
NEW met1 ( 1705450 1391450 ) ( 1714650 * )
NEW met2 ( 1705450 1391450 ) ( * 1400460 0 )
NEW met2 ( 1714650 1093610 ) ( * 1391450 )
NEW met1 ( 1752830 1093610 ) M1M2_PR
NEW met2 ( 1752830 910180 ) M2M3_PR
NEW met3 ( 1753060 910180 ) M3M4_PR
NEW met1 ( 1714650 1093610 ) M1M2_PR
NEW met1 ( 1714650 1391450 ) M1M2_PR
NEW met1 ( 1705450 1391450 ) M1M2_PR
NEW met3 ( 1752830 910180 ) RECT ( -390 -150 0 150 ) ;
- imem_data\[19\] ( imem dout1[19] ) ( core imem_data[19] ) + USE SIGNAL
+ ROUTED met4 ( 1759950 896970 0 ) ( * 899300 )
NEW met4 ( 1759950 899300 ) ( 1760420 * )
NEW met1 ( 1728450 945370 ) ( 1760190 * )
NEW met2 ( 1760190 910180 ) ( * 945370 )
NEW met3 ( 1760190 910180 ) ( 1760420 * )
NEW met4 ( 1760420 899300 ) ( * 910180 )
NEW met2 ( 1728450 945370 ) ( * 1388050 )
NEW met2 ( 1719250 1388050 ) ( * 1400460 0 )
NEW met1 ( 1719250 1388050 ) ( 1728450 * )
NEW met1 ( 1728450 945370 ) M1M2_PR
NEW met1 ( 1760190 945370 ) M1M2_PR
NEW met2 ( 1760190 910180 ) M2M3_PR
NEW met3 ( 1760420 910180 ) M3M4_PR
NEW met1 ( 1728450 1388050 ) M1M2_PR
NEW met1 ( 1719250 1388050 ) M1M2_PR
NEW met3 ( 1760190 910180 ) RECT ( -390 -150 0 150 ) ;
- imem_data\[1\] ( imem dout1[1] ) ( core imem_data[1] ) + USE SIGNAL
+ ROUTED met4 ( 1647750 896970 0 ) ( * 899300 )
NEW met4 ( 1647260 899300 ) ( 1647750 * )
NEW met1 ( 1470850 1393490 ) ( 1480050 * )
NEW met2 ( 1470850 1393490 ) ( * 1400460 0 )
NEW met2 ( 1480050 983110 ) ( * 1393490 )
NEW met3 ( 1642430 910180 ) ( 1647260 * )
NEW met2 ( 1642430 910180 ) ( * 983110 )
NEW met4 ( 1647260 899300 ) ( * 910180 )
NEW met1 ( 1480050 983110 ) ( 1642430 * )
NEW met1 ( 1480050 983110 ) M1M2_PR
NEW met1 ( 1642430 983110 ) M1M2_PR
NEW met1 ( 1480050 1393490 ) M1M2_PR
NEW met1 ( 1470850 1393490 ) M1M2_PR
NEW met2 ( 1642430 910180 ) M2M3_PR
NEW met3 ( 1647260 910180 ) M3M4_PR ;
- imem_data\[20\] ( imem dout1[20] ) ( core imem_data[20] ) + USE SIGNAL
+ ROUTED met4 ( 1765390 896970 0 ) ( * 899300 )
NEW met4 ( 1765020 899300 ) ( 1765390 * )
NEW met1 ( 1732130 965770 ) ( 1759730 * )
NEW met3 ( 1759730 906780 ) ( 1765020 * )
NEW met2 ( 1759730 906780 ) ( * 965770 )
NEW met4 ( 1765020 899300 ) ( * 906780 )
NEW met2 ( 1732130 1400460 ) ( 1733050 * 0 )
NEW met2 ( 1732130 965770 ) ( * 1400460 )
NEW met1 ( 1732130 965770 ) M1M2_PR
NEW met1 ( 1759730 965770 ) M1M2_PR
NEW met2 ( 1759730 906780 ) M2M3_PR
NEW met3 ( 1765020 906780 ) M3M4_PR ;
- imem_data\[21\] ( imem dout1[21] ) ( core imem_data[21] ) + USE SIGNAL
+ ROUTED met4 ( 1771510 896970 0 ) ( * 899300 )
NEW met4 ( 1771460 899300 ) ( 1771510 * )
NEW met1 ( 1745930 958970 ) ( 1766630 * )
NEW met3 ( 1766630 910180 ) ( 1771460 * )
NEW met2 ( 1766630 910180 ) ( * 958970 )
NEW met4 ( 1771460 899300 ) ( * 910180 )
NEW met2 ( 1745930 1400460 ) ( 1746850 * 0 )
NEW met2 ( 1745930 958970 ) ( * 1400460 )
NEW met1 ( 1745930 958970 ) M1M2_PR
NEW met1 ( 1766630 958970 ) M1M2_PR
NEW met2 ( 1766630 910180 ) M2M3_PR
NEW met3 ( 1771460 910180 ) M3M4_PR ;
- imem_data\[22\] ( imem dout1[22] ) ( core imem_data[22] ) + USE SIGNAL
+ ROUTED met1 ( 1760650 1393490 ) ( 1769850 * )
NEW met2 ( 1760650 1393490 ) ( * 1400460 0 )
NEW met2 ( 1769850 952510 ) ( * 1393490 )
NEW met4 ( 1778310 896970 0 ) ( * 899300 )
NEW met4 ( 1777900 899300 ) ( 1778310 * )
NEW met1 ( 1769850 952510 ) ( 1773990 * )
NEW met3 ( 1773990 910180 ) ( 1777900 * )
NEW met2 ( 1773990 910180 ) ( * 952510 )
NEW met4 ( 1777900 899300 ) ( * 910180 )
NEW met1 ( 1769850 952510 ) M1M2_PR
NEW met1 ( 1769850 1393490 ) M1M2_PR
NEW met1 ( 1760650 1393490 ) M1M2_PR
NEW met1 ( 1773990 952510 ) M1M2_PR
NEW met2 ( 1773990 910180 ) M2M3_PR
NEW met3 ( 1777900 910180 ) M3M4_PR ;
- imem_data\[23\] ( imem dout1[23] ) ( core imem_data[23] ) + USE SIGNAL
+ ROUTED met4 ( 1784430 896970 0 ) ( * 899300 )
NEW met4 ( 1784340 899300 ) ( 1784430 * )
NEW met1 ( 1773530 950470 ) ( 1780430 * )
NEW met2 ( 1780430 910180 ) ( * 950470 )
NEW met3 ( 1780430 910180 ) ( 1784340 * )
NEW met4 ( 1784340 899300 ) ( * 910180 )
NEW met2 ( 1773530 1400460 ) ( 1774450 * 0 )
NEW met2 ( 1773530 950470 ) ( * 1400460 )
NEW met1 ( 1773530 950470 ) M1M2_PR
NEW met1 ( 1780430 950470 ) M1M2_PR
NEW met2 ( 1780430 910180 ) M2M3_PR
NEW met3 ( 1784340 910180 ) M3M4_PR ;
- imem_data\[24\] ( imem dout1[24] ) ( core imem_data[24] ) + USE SIGNAL
+ ROUTED met4 ( 1791230 896970 0 ) ( * 899300 )
NEW met4 ( 1790780 899300 ) ( 1791230 * )
NEW met3 ( 1787330 910180 ) ( 1790780 * )
NEW met4 ( 1790780 899300 ) ( * 910180 )
NEW met2 ( 1787330 1400460 ) ( 1788250 * 0 )
NEW met2 ( 1787330 910180 ) ( * 1400460 )
NEW met2 ( 1787330 910180 ) M2M3_PR
NEW met3 ( 1790780 910180 ) M3M4_PR ;
- imem_data\[25\] ( imem dout1[25] ) ( core imem_data[25] ) + USE SIGNAL
+ ROUTED met4 ( 1796670 896970 0 ) ( * 899300 )
NEW met4 ( 1796670 899300 ) ( 1797220 * )
NEW met3 ( 1797220 910180 ) ( 1800670 * )
NEW met4 ( 1797220 899300 ) ( * 910180 )
NEW met2 ( 1800670 910180 ) ( * 1386900 )
NEW met2 ( 1800670 1386900 ) ( 1801130 * )
NEW met2 ( 1801130 1386900 ) ( * 1400460 )
NEW met2 ( 1801130 1400460 ) ( 1802050 * 0 )
NEW met3 ( 1797220 910180 ) M3M4_PR
NEW met2 ( 1800670 910180 ) M2M3_PR ;
- imem_data\[26\] ( imem dout1[26] ) ( core imem_data[26] ) + USE SIGNAL
+ ROUTED met4 ( 1803470 896970 0 ) ( * 899300 )
NEW met4 ( 1803470 899300 ) ( 1803660 * )
NEW met3 ( 1803660 910180 ) ( 1807570 * )
NEW met4 ( 1803660 899300 ) ( * 910180 )
NEW met1 ( 1807570 1393150 ) ( 1815850 * )
NEW met2 ( 1815850 1393150 ) ( * 1400460 0 )
NEW met2 ( 1807570 910180 ) ( * 1393150 )
NEW met3 ( 1803660 910180 ) M3M4_PR
NEW met2 ( 1807570 910180 ) M2M3_PR
NEW met1 ( 1807570 1393150 ) M1M2_PR
NEW met1 ( 1815850 1393150 ) M1M2_PR ;
- imem_data\[27\] ( imem dout1[27] ) ( core imem_data[27] ) + USE SIGNAL
+ ROUTED met2 ( 1829650 1387710 ) ( * 1400460 0 )
NEW met4 ( 1809590 896970 0 ) ( * 899300 )
NEW met4 ( 1809590 899300 ) ( 1810100 * )
NEW met3 ( 1810100 906780 ) ( 1814470 * )
NEW met2 ( 1814470 906780 ) ( * 945370 )
NEW met1 ( 1814470 945370 ) ( 1818150 * )
NEW met4 ( 1810100 899300 ) ( * 906780 )
NEW met2 ( 1818150 945370 ) ( * 1387710 )
NEW met1 ( 1818150 1387710 ) ( 1829650 * )
NEW met1 ( 1829650 1387710 ) M1M2_PR
NEW met3 ( 1810100 906780 ) M3M4_PR
NEW met2 ( 1814470 906780 ) M2M3_PR
NEW met1 ( 1814470 945370 ) M1M2_PR
NEW met1 ( 1818150 945370 ) M1M2_PR
NEW met1 ( 1818150 1387710 ) M1M2_PR ;
- imem_data\[28\] ( imem dout1[28] ) ( core imem_data[28] ) + USE SIGNAL
+ ROUTED met1 ( 1825050 1353030 ) ( 1843450 * )
NEW met2 ( 1825050 907630 ) ( * 1353030 )
NEW met2 ( 1843450 1353030 ) ( * 1400460 0 )
NEW met4 ( 1816390 896970 0 ) ( * 899300 )
NEW met4 ( 1816390 899300 ) ( 1816540 * )
NEW met3 ( 1816540 906100 ) ( 1818610 * )
NEW met2 ( 1818610 906100 ) ( * 907630 )
NEW met4 ( 1816540 899300 ) ( * 906100 )
NEW met1 ( 1818610 907630 ) ( 1825050 * )
NEW met1 ( 1825050 1353030 ) M1M2_PR
NEW met1 ( 1843450 1353030 ) M1M2_PR
NEW met1 ( 1825050 907630 ) M1M2_PR
NEW met3 ( 1816540 906100 ) M3M4_PR
NEW met2 ( 1818610 906100 ) M2M3_PR
NEW met1 ( 1818610 907630 ) M1M2_PR ;
- imem_data\[29\] ( imem dout1[29] ) ( core imem_data[29] ) + USE SIGNAL
+ ROUTED met4 ( 1821830 896970 0 ) ( * 899300 )
NEW met4 ( 1821830 899300 ) ( 1822060 * )
NEW met3 ( 1822060 910180 ) ( 1825970 * )
NEW met2 ( 1825970 910180 ) ( * 945370 )
NEW met1 ( 1825970 945370 ) ( 1838850 * )
NEW met4 ( 1822060 899300 ) ( * 910180 )
NEW met1 ( 1838850 1388730 ) ( 1857250 * )
NEW met2 ( 1857250 1388730 ) ( * 1400460 0 )
NEW met2 ( 1838850 945370 ) ( * 1388730 )
NEW met3 ( 1822060 910180 ) M3M4_PR
NEW met2 ( 1825970 910180 ) M2M3_PR
NEW met1 ( 1825970 945370 ) M1M2_PR
NEW met1 ( 1838850 945370 ) M1M2_PR
NEW met1 ( 1838850 1388730 ) M1M2_PR
NEW met1 ( 1857250 1388730 ) M1M2_PR ;
- imem_data\[2\] ( imem dout1[2] ) ( core imem_data[2] ) + USE SIGNAL
+ ROUTED met4 ( 1653190 896970 0 ) ( * 899300 )
NEW met4 ( 1652780 899300 ) ( 1653190 * )
NEW met3 ( 1649330 906780 ) ( 1652780 * )
NEW met2 ( 1649330 906780 ) ( * 976310 )
NEW met4 ( 1652780 899300 ) ( * 906780 )
NEW met1 ( 1483730 976310 ) ( 1649330 * )
NEW met2 ( 1483730 1400460 ) ( 1484650 * 0 )
NEW met2 ( 1483730 976310 ) ( * 1400460 )
NEW met1 ( 1649330 976310 ) M1M2_PR
NEW met2 ( 1649330 906780 ) M2M3_PR
NEW met3 ( 1652780 906780 ) M3M4_PR
NEW met1 ( 1483730 976310 ) M1M2_PR ;
- imem_data\[30\] ( imem dout1[30] ) ( core imem_data[30] ) + USE SIGNAL
+ ROUTED met4 ( 1827950 896970 0 ) ( * 899300 )
NEW met4 ( 1827580 899300 ) ( 1827950 * )
NEW met3 ( 1827580 910180 ) ( 1828270 * )
NEW met4 ( 1827580 899300 ) ( * 910180 )
NEW met2 ( 1828270 910180 ) ( * 962370 )
NEW met1 ( 1828270 962370 ) ( 1870130 * )
NEW met2 ( 1870130 1400460 ) ( 1871050 * 0 )
NEW met2 ( 1870130 962370 ) ( * 1400460 )
NEW met1 ( 1828270 962370 ) M1M2_PR
NEW met3 ( 1827580 910180 ) M3M4_PR
NEW met2 ( 1828270 910180 ) M2M3_PR
NEW met1 ( 1870130 962370 ) M1M2_PR ;
- imem_data\[31\] ( imem dout1[31] ) ( core imem_data[31] ) + USE SIGNAL
+ ROUTED met4 ( 1834750 896970 0 ) ( * 899300 )
NEW met4 ( 1834750 899300 ) ( 1834940 * )
NEW met3 ( 1834940 906780 ) ( 1835170 * )
NEW met4 ( 1834940 899300 ) ( * 906780 )
NEW met2 ( 1835170 906780 ) ( * 955570 )
NEW met1 ( 1835170 955570 ) ( 1883930 * )
NEW met2 ( 1883930 1400460 ) ( 1884850 * 0 )
NEW met2 ( 1883930 955570 ) ( * 1400460 )
NEW met1 ( 1835170 955570 ) M1M2_PR
NEW met3 ( 1834940 906780 ) M3M4_PR
NEW met2 ( 1835170 906780 ) M2M3_PR
NEW met1 ( 1883930 955570 ) M1M2_PR
NEW met3 ( 1834940 906780 ) RECT ( -390 -150 0 150 ) ;
- imem_data\[3\] ( imem dout1[3] ) ( core imem_data[3] ) + USE SIGNAL
+ ROUTED met4 ( 1659990 896970 0 ) ( * 899300 )
NEW met4 ( 1659990 899300 ) ( 1660140 * )
NEW met3 ( 1656230 910180 ) ( 1660140 * )
NEW met2 ( 1656230 910180 ) ( * 989910 )
NEW met4 ( 1660140 899300 ) ( * 910180 )
NEW met1 ( 1497530 989910 ) ( 1656230 * )
NEW met2 ( 1497530 1400460 ) ( 1498450 * 0 )
NEW met2 ( 1497530 989910 ) ( * 1400460 )
NEW met1 ( 1656230 989910 ) M1M2_PR
NEW met2 ( 1656230 910180 ) M2M3_PR
NEW met3 ( 1660140 910180 ) M3M4_PR
NEW met1 ( 1497530 989910 ) M1M2_PR ;
- imem_data\[4\] ( imem dout1[4] ) ( core imem_data[4] ) + USE SIGNAL
+ ROUTED met4 ( 1666110 896970 0 ) ( * 899300 )
NEW met4 ( 1665660 899300 ) ( 1666110 * )
NEW met3 ( 1663590 910180 ) ( 1665660 * )
NEW met2 ( 1663590 910180 ) ( * 997050 )
NEW met4 ( 1665660 899300 ) ( * 910180 )
NEW met1 ( 1528350 997050 ) ( 1663590 * )
NEW met1 ( 1512250 1393490 ) ( 1528350 * )
NEW met2 ( 1512250 1393490 ) ( * 1400460 0 )
NEW met2 ( 1528350 997050 ) ( * 1393490 )
NEW met1 ( 1663590 997050 ) M1M2_PR
NEW met2 ( 1663590 910180 ) M2M3_PR
NEW met3 ( 1665660 910180 ) M3M4_PR
NEW met1 ( 1528350 997050 ) M1M2_PR
NEW met1 ( 1528350 1393490 ) M1M2_PR
NEW met1 ( 1512250 1393490 ) M1M2_PR ;
- imem_data\[5\] ( imem dout1[5] ) ( core imem_data[5] ) + USE SIGNAL
+ ROUTED met4 ( 1672910 896970 0 ) ( * 899300 )
NEW met4 ( 1672910 899300 ) ( 1673020 * )
NEW met2 ( 1535250 1003850 ) ( * 1388050 )
NEW met3 ( 1670030 910180 ) ( 1673020 * )
NEW met4 ( 1673020 899300 ) ( * 910180 )
NEW met2 ( 1670030 910180 ) ( * 1003850 )
NEW met2 ( 1526050 1388050 ) ( * 1400460 0 )
NEW met1 ( 1526050 1388050 ) ( 1535250 * )
NEW met1 ( 1535250 1003850 ) ( 1670030 * )
NEW met1 ( 1535250 1003850 ) M1M2_PR
NEW met1 ( 1535250 1388050 ) M1M2_PR
NEW met2 ( 1670030 910180 ) M2M3_PR
NEW met3 ( 1673020 910180 ) M3M4_PR
NEW met1 ( 1670030 1003850 ) M1M2_PR
NEW met1 ( 1526050 1388050 ) M1M2_PR ;
- imem_data\[6\] ( imem dout1[6] ) ( core imem_data[6] ) + USE SIGNAL
+ ROUTED met1 ( 1539850 1393490 ) ( 1549050 * )
NEW met2 ( 1539850 1393490 ) ( * 1400460 0 )
NEW met2 ( 1549050 1010650 ) ( * 1393490 )
NEW met4 ( 1679030 896970 0 ) ( * 899300 )
NEW met4 ( 1678540 899300 ) ( 1679030 * )
NEW met3 ( 1677390 910180 ) ( 1678540 * )
NEW met4 ( 1678540 899300 ) ( * 910180 )
NEW met1 ( 1549050 1010650 ) ( 1677390 * )
NEW met2 ( 1677390 910180 ) ( * 1010650 )
NEW met1 ( 1549050 1010650 ) M1M2_PR
NEW met1 ( 1549050 1393490 ) M1M2_PR
NEW met1 ( 1539850 1393490 ) M1M2_PR
NEW met2 ( 1677390 910180 ) M2M3_PR
NEW met3 ( 1678540 910180 ) M3M4_PR
NEW met1 ( 1677390 1010650 ) M1M2_PR ;
- imem_data\[7\] ( imem dout1[7] ) ( core imem_data[7] ) + USE SIGNAL
+ ROUTED met2 ( 1552730 1400460 ) ( 1553650 * 0 )
NEW met2 ( 1552730 1017790 ) ( * 1400460 )
NEW met4 ( 1684470 896970 0 ) ( * 899300 )
NEW met4 ( 1684060 899300 ) ( 1684470 * )
NEW met3 ( 1683830 910180 ) ( 1684060 * )
NEW met4 ( 1684060 899300 ) ( * 910180 )
NEW met1 ( 1552730 1017790 ) ( 1683830 * )
NEW met2 ( 1683830 910180 ) ( * 1017790 )
NEW met1 ( 1552730 1017790 ) M1M2_PR
NEW met2 ( 1683830 910180 ) M2M3_PR
NEW met3 ( 1684060 910180 ) M3M4_PR
NEW met1 ( 1683830 1017790 ) M1M2_PR
NEW met3 ( 1683830 910180 ) RECT ( -390 -150 0 150 ) ;
- imem_data\[8\] ( imem dout1[8] ) ( core imem_data[8] ) + USE SIGNAL
+ ROUTED met2 ( 1566530 1400460 ) ( 1567450 * 0 )
NEW met2 ( 1566530 1024590 ) ( * 1400460 )
NEW met4 ( 1691270 896970 0 ) ( * 899300 )
NEW met4 ( 1691270 899300 ) ( 1691420 * )
NEW met3 ( 1691190 910180 ) ( 1691420 * )
NEW met4 ( 1691420 899300 ) ( * 910180 )
NEW met1 ( 1566530 1024590 ) ( 1691190 * )
NEW met2 ( 1691190 910180 ) ( * 1024590 )
NEW met1 ( 1566530 1024590 ) M1M2_PR
NEW met2 ( 1691190 910180 ) M2M3_PR
NEW met3 ( 1691420 910180 ) M3M4_PR
NEW met1 ( 1691190 1024590 ) M1M2_PR
NEW met3 ( 1691190 910180 ) RECT ( -390 -150 0 150 ) ;
- imem_data\[9\] ( imem dout1[9] ) ( core imem_data[9] ) + USE SIGNAL
+ ROUTED met4 ( 1696710 896970 0 ) ( * 899300 )
NEW met4 ( 1696710 899300 ) ( 1696940 * )
NEW met1 ( 1581250 1393490 ) ( 1590450 * )
NEW met2 ( 1581250 1393490 ) ( * 1400460 0 )
NEW met2 ( 1590450 1031390 ) ( * 1393490 )
NEW met3 ( 1690730 906780 ) ( 1696940 * )
NEW met4 ( 1696940 899300 ) ( * 906780 )
NEW met1 ( 1590450 1031390 ) ( 1690730 * )
NEW met2 ( 1690730 906780 ) ( * 1031390 )
NEW met1 ( 1590450 1031390 ) M1M2_PR
NEW met1 ( 1590450 1393490 ) M1M2_PR
NEW met1 ( 1581250 1393490 ) M1M2_PR
NEW met2 ( 1690730 906780 ) M2M3_PR
NEW met3 ( 1696940 906780 ) M3M4_PR
NEW met1 ( 1690730 1031390 ) M1M2_PR ;
- imem_rd_cs1 ( wbs_int imem_rd_cs1 ) ( imem csb1 ) + USE SIGNAL
+ ROUTED met2 ( 1009010 516460 ) ( * 516970 )
NEW met1 ( 1009010 516970 ) ( 1017750 * )
NEW met3 ( 999580 516460 0 ) ( 1009010 * )
NEW met2 ( 1987890 882300 ) ( * 898110 )
NEW met3 ( 1983060 882300 ) ( 1987890 * )
NEW met3 ( 1983060 882300 ) ( * 882350 )
NEW met3 ( 1979620 882350 0 ) ( 1983060 * )
NEW met1 ( 1017750 898110 ) ( 1987890 * )
NEW met2 ( 1017750 516970 ) ( * 898110 )
NEW met2 ( 1009010 516460 ) M2M3_PR
NEW met1 ( 1009010 516970 ) M1M2_PR
NEW met1 ( 1017750 516970 ) M1M2_PR
NEW met1 ( 1017750 898110 ) M1M2_PR
NEW met1 ( 1987890 898110 ) M1M2_PR
NEW met2 ( 1987890 882300 ) M2M3_PR ;
- io_in[0] ( PIN io_in[0] ) + USE SIGNAL ;
- io_in[10] ( PIN io_in[10] ) + USE SIGNAL ;
- io_in[11] ( PIN io_in[11] ) + USE SIGNAL ;
- io_in[12] ( PIN io_in[12] ) + USE SIGNAL ;
- io_in[13] ( PIN io_in[13] ) + USE SIGNAL ;
- io_in[14] ( PIN io_in[14] ) + USE SIGNAL ;
- io_in[15] ( PIN io_in[15] ) + USE SIGNAL ;
- io_in[16] ( PIN io_in[16] ) + USE SIGNAL ;
- io_in[17] ( PIN io_in[17] ) + USE SIGNAL ;
- io_in[18] ( PIN io_in[18] ) + USE SIGNAL ;
- io_in[19] ( PIN io_in[19] ) + USE SIGNAL ;
- io_in[1] ( PIN io_in[1] ) + USE SIGNAL ;
- io_in[20] ( PIN io_in[20] ) + USE SIGNAL ;
- io_in[21] ( PIN io_in[21] ) + USE SIGNAL ;
- io_in[22] ( PIN io_in[22] ) + USE SIGNAL ;
- io_in[23] ( PIN io_in[23] ) + USE SIGNAL ;
- io_in[24] ( PIN io_in[24] ) + USE SIGNAL ;
- io_in[25] ( PIN io_in[25] ) + USE SIGNAL ;
- io_in[26] ( PIN io_in[26] ) + USE SIGNAL ;
- io_in[27] ( PIN io_in[27] ) + USE SIGNAL ;
- io_in[28] ( PIN io_in[28] ) + USE SIGNAL ;
- io_in[29] ( PIN io_in[29] ) + USE SIGNAL ;
- io_in[2] ( PIN io_in[2] ) + USE SIGNAL ;
- io_in[30] ( PIN io_in[30] ) + USE SIGNAL ;
- io_in[31] ( PIN io_in[31] ) + USE SIGNAL ;
- io_in[32] ( PIN io_in[32] ) + USE SIGNAL ;
- io_in[33] ( PIN io_in[33] ) + USE SIGNAL ;
- io_in[34] ( PIN io_in[34] ) + USE SIGNAL ;
- io_in[35] ( PIN io_in[35] ) + USE SIGNAL ;
- io_in[36] ( PIN io_in[36] ) + USE SIGNAL ;
- io_in[37] ( PIN io_in[37] ) + USE SIGNAL ;
- io_in[3] ( PIN io_in[3] ) + USE SIGNAL ;
- io_in[4] ( PIN io_in[4] ) + USE SIGNAL ;
- io_in[5] ( PIN io_in[5] ) + USE SIGNAL ;
- io_in[6] ( PIN io_in[6] ) + USE SIGNAL ;
- io_in[7] ( PIN io_in[7] ) + USE SIGNAL ;
- io_in[8] ( PIN io_in[8] ) + USE SIGNAL ;
- io_in[9] ( PIN io_in[9] ) + USE SIGNAL ;
- io_oeb[0] ( PIN io_oeb[0] ) + USE SIGNAL ;
- io_oeb[10] ( PIN io_oeb[10] ) + USE SIGNAL ;
- io_oeb[11] ( PIN io_oeb[11] ) + USE SIGNAL ;
- io_oeb[12] ( PIN io_oeb[12] ) + USE SIGNAL ;
- io_oeb[13] ( PIN io_oeb[13] ) + USE SIGNAL ;
- io_oeb[14] ( PIN io_oeb[14] ) + USE SIGNAL ;
- io_oeb[15] ( PIN io_oeb[15] ) + USE SIGNAL ;
- io_oeb[16] ( PIN io_oeb[16] ) + USE SIGNAL ;
- io_oeb[17] ( PIN io_oeb[17] ) + USE SIGNAL ;
- io_oeb[18] ( PIN io_oeb[18] ) + USE SIGNAL ;
- io_oeb[19] ( PIN io_oeb[19] ) + USE SIGNAL ;
- io_oeb[1] ( PIN io_oeb[1] ) + USE SIGNAL ;
- io_oeb[20] ( PIN io_oeb[20] ) + USE SIGNAL ;
- io_oeb[21] ( PIN io_oeb[21] ) + USE SIGNAL ;
- io_oeb[22] ( PIN io_oeb[22] ) + USE SIGNAL ;
- io_oeb[23] ( PIN io_oeb[23] ) + USE SIGNAL ;
- io_oeb[24] ( PIN io_oeb[24] ) + USE SIGNAL ;
- io_oeb[25] ( PIN io_oeb[25] ) + USE SIGNAL ;
- io_oeb[26] ( PIN io_oeb[26] ) + USE SIGNAL ;
- io_oeb[27] ( PIN io_oeb[27] ) + USE SIGNAL ;
- io_oeb[28] ( PIN io_oeb[28] ) + USE SIGNAL ;
- io_oeb[29] ( PIN io_oeb[29] ) + USE SIGNAL ;
- io_oeb[2] ( PIN io_oeb[2] ) + USE SIGNAL ;
- io_oeb[30] ( PIN io_oeb[30] ) + USE SIGNAL ;
- io_oeb[31] ( PIN io_oeb[31] ) + USE SIGNAL ;
- io_oeb[32] ( PIN io_oeb[32] ) + USE SIGNAL ;
- io_oeb[33] ( PIN io_oeb[33] ) + USE SIGNAL ;
- io_oeb[34] ( PIN io_oeb[34] ) + USE SIGNAL ;
- io_oeb[35] ( PIN io_oeb[35] ) + USE SIGNAL ;
- io_oeb[36] ( PIN io_oeb[36] ) + USE SIGNAL ;
- io_oeb[37] ( PIN io_oeb[37] ) + USE SIGNAL ;
- io_oeb[3] ( PIN io_oeb[3] ) + USE SIGNAL ;
- io_oeb[4] ( PIN io_oeb[4] ) + USE SIGNAL ;
- io_oeb[5] ( PIN io_oeb[5] ) + USE SIGNAL ;
- io_oeb[6] ( PIN io_oeb[6] ) + USE SIGNAL ;
- io_oeb[7] ( PIN io_oeb[7] ) + USE SIGNAL ;
- io_oeb[8] ( PIN io_oeb[8] ) + USE SIGNAL ;
- io_oeb[9] ( PIN io_oeb[9] ) + USE SIGNAL ;
- io_out[0] ( PIN io_out[0] ) + USE SIGNAL ;
- io_out[10] ( PIN io_out[10] ) + USE SIGNAL ;
- io_out[11] ( PIN io_out[11] ) + USE SIGNAL ;
- io_out[12] ( PIN io_out[12] ) + USE SIGNAL ;
- io_out[13] ( PIN io_out[13] ) + USE SIGNAL ;
- io_out[14] ( PIN io_out[14] ) + USE SIGNAL ;
- io_out[15] ( PIN io_out[15] ) + USE SIGNAL ;
- io_out[16] ( PIN io_out[16] ) + USE SIGNAL ;
- io_out[17] ( PIN io_out[17] ) + USE SIGNAL ;
- io_out[18] ( PIN io_out[18] ) + USE SIGNAL ;
- io_out[19] ( PIN io_out[19] ) + USE SIGNAL ;
- io_out[1] ( PIN io_out[1] ) + USE SIGNAL ;
- io_out[20] ( PIN io_out[20] ) + USE SIGNAL ;
- io_out[21] ( PIN io_out[21] ) + USE SIGNAL ;
- io_out[22] ( PIN io_out[22] ) + USE SIGNAL ;
- io_out[23] ( PIN io_out[23] ) + USE SIGNAL ;
- io_out[24] ( PIN io_out[24] ) + USE SIGNAL ;
- io_out[25] ( PIN io_out[25] ) + USE SIGNAL ;
- io_out[26] ( PIN io_out[26] ) + USE SIGNAL ;
- io_out[27] ( PIN io_out[27] ) + USE SIGNAL ;
- io_out[28] ( PIN io_out[28] ) + USE SIGNAL ;
- io_out[29] ( PIN io_out[29] ) + USE SIGNAL ;
- io_out[2] ( PIN io_out[2] ) + USE SIGNAL ;
- io_out[30] ( PIN io_out[30] ) + USE SIGNAL ;
- io_out[31] ( PIN io_out[31] ) + USE SIGNAL ;
- io_out[32] ( PIN io_out[32] ) + USE SIGNAL ;
- io_out[33] ( PIN io_out[33] ) + USE SIGNAL ;
- io_out[34] ( PIN io_out[34] ) + USE SIGNAL ;
- io_out[35] ( PIN io_out[35] ) + USE SIGNAL ;
- io_out[36] ( PIN io_out[36] ) + USE SIGNAL ;
- io_out[37] ( PIN io_out[37] ) + USE SIGNAL ;
- io_out[3] ( PIN io_out[3] ) + USE SIGNAL ;
- io_out[4] ( PIN io_out[4] ) + USE SIGNAL ;
- io_out[5] ( PIN io_out[5] ) + USE SIGNAL ;
- io_out[6] ( PIN io_out[6] ) + USE SIGNAL ;
- io_out[7] ( PIN io_out[7] ) + USE SIGNAL ;
- io_out[8] ( PIN io_out[8] ) + USE SIGNAL ;
- io_out[9] ( PIN io_out[9] ) + USE SIGNAL ;
- la_data_in[0] ( PIN la_data_in[0] ) + USE SIGNAL ;
- la_data_in[100] ( PIN la_data_in[100] ) + USE SIGNAL ;
- la_data_in[101] ( PIN la_data_in[101] ) + USE SIGNAL ;
- la_data_in[102] ( PIN la_data_in[102] ) + USE SIGNAL ;
- la_data_in[103] ( PIN la_data_in[103] ) + USE SIGNAL ;
- la_data_in[104] ( PIN la_data_in[104] ) + USE SIGNAL ;
- la_data_in[105] ( PIN la_data_in[105] ) + USE SIGNAL ;
- la_data_in[106] ( PIN la_data_in[106] ) + USE SIGNAL ;
- la_data_in[107] ( PIN la_data_in[107] ) + USE SIGNAL ;
- la_data_in[108] ( PIN la_data_in[108] ) + USE SIGNAL ;
- la_data_in[109] ( PIN la_data_in[109] ) + USE SIGNAL ;
- la_data_in[10] ( PIN la_data_in[10] ) + USE SIGNAL ;
- la_data_in[110] ( PIN la_data_in[110] ) + USE SIGNAL ;
- la_data_in[111] ( PIN la_data_in[111] ) + USE SIGNAL ;
- la_data_in[112] ( PIN la_data_in[112] ) + USE SIGNAL ;
- la_data_in[113] ( PIN la_data_in[113] ) + USE SIGNAL ;
- la_data_in[114] ( PIN la_data_in[114] ) + USE SIGNAL ;
- la_data_in[115] ( PIN la_data_in[115] ) + USE SIGNAL ;
- la_data_in[116] ( PIN la_data_in[116] ) + USE SIGNAL ;
- la_data_in[117] ( PIN la_data_in[117] ) + USE SIGNAL ;
- la_data_in[118] ( PIN la_data_in[118] ) + USE SIGNAL ;
- la_data_in[119] ( PIN la_data_in[119] ) + USE SIGNAL ;
- la_data_in[11] ( PIN la_data_in[11] ) + USE SIGNAL ;
- la_data_in[120] ( PIN la_data_in[120] ) + USE SIGNAL ;
- la_data_in[121] ( PIN la_data_in[121] ) + USE SIGNAL ;
- la_data_in[122] ( PIN la_data_in[122] ) + USE SIGNAL ;
- la_data_in[123] ( PIN la_data_in[123] ) + USE SIGNAL ;
- la_data_in[124] ( PIN la_data_in[124] ) + USE SIGNAL ;
- la_data_in[125] ( PIN la_data_in[125] ) + USE SIGNAL ;
- la_data_in[126] ( PIN la_data_in[126] ) + USE SIGNAL ;
- la_data_in[127] ( PIN la_data_in[127] ) + USE SIGNAL ;
- la_data_in[12] ( PIN la_data_in[12] ) + USE SIGNAL ;
- la_data_in[13] ( PIN la_data_in[13] ) + USE SIGNAL ;
- la_data_in[14] ( PIN la_data_in[14] ) + USE SIGNAL ;
- la_data_in[15] ( PIN la_data_in[15] ) + USE SIGNAL ;
- la_data_in[16] ( PIN la_data_in[16] ) + USE SIGNAL ;
- la_data_in[17] ( PIN la_data_in[17] ) + USE SIGNAL ;
- la_data_in[18] ( PIN la_data_in[18] ) + USE SIGNAL ;
- la_data_in[19] ( PIN la_data_in[19] ) + USE SIGNAL ;
- la_data_in[1] ( PIN la_data_in[1] ) + USE SIGNAL ;
- la_data_in[20] ( PIN la_data_in[20] ) + USE SIGNAL ;
- la_data_in[21] ( PIN la_data_in[21] ) + USE SIGNAL ;
- la_data_in[22] ( PIN la_data_in[22] ) + USE SIGNAL ;
- la_data_in[23] ( PIN la_data_in[23] ) + USE SIGNAL ;
- la_data_in[24] ( PIN la_data_in[24] ) + USE SIGNAL ;
- la_data_in[25] ( PIN la_data_in[25] ) + USE SIGNAL ;
- la_data_in[26] ( PIN la_data_in[26] ) + USE SIGNAL ;
- la_data_in[27] ( PIN la_data_in[27] ) + USE SIGNAL ;
- la_data_in[28] ( PIN la_data_in[28] ) + USE SIGNAL ;
- la_data_in[29] ( PIN la_data_in[29] ) + USE SIGNAL ;
- la_data_in[2] ( PIN la_data_in[2] ) + USE SIGNAL ;
- la_data_in[30] ( PIN la_data_in[30] ) + USE SIGNAL ;
- la_data_in[31] ( PIN la_data_in[31] ) + USE SIGNAL ;
- la_data_in[32] ( PIN la_data_in[32] ) + USE SIGNAL ;
- la_data_in[33] ( PIN la_data_in[33] ) + USE SIGNAL ;
- la_data_in[34] ( PIN la_data_in[34] ) + USE SIGNAL ;
- la_data_in[35] ( PIN la_data_in[35] ) + USE SIGNAL ;
- la_data_in[36] ( PIN la_data_in[36] ) + USE SIGNAL ;
- la_data_in[37] ( PIN la_data_in[37] ) + USE SIGNAL ;
- la_data_in[38] ( PIN la_data_in[38] ) + USE SIGNAL ;
- la_data_in[39] ( PIN la_data_in[39] ) + USE SIGNAL ;
- la_data_in[3] ( PIN la_data_in[3] ) + USE SIGNAL ;
- la_data_in[40] ( PIN la_data_in[40] ) + USE SIGNAL ;
- la_data_in[41] ( PIN la_data_in[41] ) + USE SIGNAL ;
- la_data_in[42] ( PIN la_data_in[42] ) + USE SIGNAL ;
- la_data_in[43] ( PIN la_data_in[43] ) + USE SIGNAL ;
- la_data_in[44] ( PIN la_data_in[44] ) + USE SIGNAL ;
- la_data_in[45] ( PIN la_data_in[45] ) + USE SIGNAL ;
- la_data_in[46] ( PIN la_data_in[46] ) + USE SIGNAL ;
- la_data_in[47] ( PIN la_data_in[47] ) + USE SIGNAL ;
- la_data_in[48] ( PIN la_data_in[48] ) + USE SIGNAL ;
- la_data_in[49] ( PIN la_data_in[49] ) + USE SIGNAL ;
- la_data_in[4] ( PIN la_data_in[4] ) + USE SIGNAL ;
- la_data_in[50] ( PIN la_data_in[50] ) + USE SIGNAL ;
- la_data_in[51] ( PIN la_data_in[51] ) + USE SIGNAL ;
- la_data_in[52] ( PIN la_data_in[52] ) + USE SIGNAL ;
- la_data_in[53] ( PIN la_data_in[53] ) + USE SIGNAL ;
- la_data_in[54] ( PIN la_data_in[54] ) + USE SIGNAL ;
- la_data_in[55] ( PIN la_data_in[55] ) + USE SIGNAL ;
- la_data_in[56] ( PIN la_data_in[56] ) + USE SIGNAL ;
- la_data_in[57] ( PIN la_data_in[57] ) + USE SIGNAL ;
- la_data_in[58] ( PIN la_data_in[58] ) + USE SIGNAL ;
- la_data_in[59] ( PIN la_data_in[59] ) + USE SIGNAL ;
- la_data_in[5] ( PIN la_data_in[5] ) + USE SIGNAL ;
- la_data_in[60] ( PIN la_data_in[60] ) + USE SIGNAL ;
- la_data_in[61] ( PIN la_data_in[61] ) + USE SIGNAL ;
- la_data_in[62] ( PIN la_data_in[62] ) + USE SIGNAL ;
- la_data_in[63] ( PIN la_data_in[63] ) + USE SIGNAL ;
- la_data_in[64] ( PIN la_data_in[64] ) + USE SIGNAL ;
- la_data_in[65] ( PIN la_data_in[65] ) + USE SIGNAL ;
- la_data_in[66] ( PIN la_data_in[66] ) + USE SIGNAL ;
- la_data_in[67] ( PIN la_data_in[67] ) + USE SIGNAL ;
- la_data_in[68] ( PIN la_data_in[68] ) + USE SIGNAL ;
- la_data_in[69] ( PIN la_data_in[69] ) + USE SIGNAL ;
- la_data_in[6] ( PIN la_data_in[6] ) + USE SIGNAL ;
- la_data_in[70] ( PIN la_data_in[70] ) + USE SIGNAL ;
- la_data_in[71] ( PIN la_data_in[71] ) + USE SIGNAL ;
- la_data_in[72] ( PIN la_data_in[72] ) + USE SIGNAL ;
- la_data_in[73] ( PIN la_data_in[73] ) + USE SIGNAL ;
- la_data_in[74] ( PIN la_data_in[74] ) + USE SIGNAL ;
- la_data_in[75] ( PIN la_data_in[75] ) + USE SIGNAL ;
- la_data_in[76] ( PIN la_data_in[76] ) + USE SIGNAL ;
- la_data_in[77] ( PIN la_data_in[77] ) + USE SIGNAL ;
- la_data_in[78] ( PIN la_data_in[78] ) + USE SIGNAL ;
- la_data_in[79] ( PIN la_data_in[79] ) + USE SIGNAL ;
- la_data_in[7] ( PIN la_data_in[7] ) + USE SIGNAL ;
- la_data_in[80] ( PIN la_data_in[80] ) + USE SIGNAL ;
- la_data_in[81] ( PIN la_data_in[81] ) + USE SIGNAL ;
- la_data_in[82] ( PIN la_data_in[82] ) + USE SIGNAL ;
- la_data_in[83] ( PIN la_data_in[83] ) + USE SIGNAL ;
- la_data_in[84] ( PIN la_data_in[84] ) + USE SIGNAL ;
- la_data_in[85] ( PIN la_data_in[85] ) + USE SIGNAL ;
- la_data_in[86] ( PIN la_data_in[86] ) + USE SIGNAL ;
- la_data_in[87] ( PIN la_data_in[87] ) + USE SIGNAL ;
- la_data_in[88] ( PIN la_data_in[88] ) + USE SIGNAL ;
- la_data_in[89] ( PIN la_data_in[89] ) + USE SIGNAL ;
- la_data_in[8] ( PIN la_data_in[8] ) + USE SIGNAL ;
- la_data_in[90] ( PIN la_data_in[90] ) + USE SIGNAL ;
- la_data_in[91] ( PIN la_data_in[91] ) + USE SIGNAL ;
- la_data_in[92] ( PIN la_data_in[92] ) + USE SIGNAL ;
- la_data_in[93] ( PIN la_data_in[93] ) + USE SIGNAL ;
- la_data_in[94] ( PIN la_data_in[94] ) + USE SIGNAL ;
- la_data_in[95] ( PIN la_data_in[95] ) + USE SIGNAL ;
- la_data_in[96] ( PIN la_data_in[96] ) + USE SIGNAL ;
- la_data_in[97] ( PIN la_data_in[97] ) + USE SIGNAL ;
- la_data_in[98] ( PIN la_data_in[98] ) + USE SIGNAL ;
- la_data_in[99] ( PIN la_data_in[99] ) + USE SIGNAL ;
- la_data_in[9] ( PIN la_data_in[9] ) + USE SIGNAL ;
- la_data_out[0] ( PIN la_data_out[0] ) + USE SIGNAL ;
- la_data_out[100] ( PIN la_data_out[100] ) + USE SIGNAL ;
- la_data_out[101] ( PIN la_data_out[101] ) + USE SIGNAL ;
- la_data_out[102] ( PIN la_data_out[102] ) + USE SIGNAL ;
- la_data_out[103] ( PIN la_data_out[103] ) + USE SIGNAL ;
- la_data_out[104] ( PIN la_data_out[104] ) + USE SIGNAL ;
- la_data_out[105] ( PIN la_data_out[105] ) + USE SIGNAL ;
- la_data_out[106] ( PIN la_data_out[106] ) + USE SIGNAL ;
- la_data_out[107] ( PIN la_data_out[107] ) + USE SIGNAL ;
- la_data_out[108] ( PIN la_data_out[108] ) + USE SIGNAL ;
- la_data_out[109] ( PIN la_data_out[109] ) + USE SIGNAL ;
- la_data_out[10] ( PIN la_data_out[10] ) + USE SIGNAL ;
- la_data_out[110] ( PIN la_data_out[110] ) + USE SIGNAL ;
- la_data_out[111] ( PIN la_data_out[111] ) + USE SIGNAL ;
- la_data_out[112] ( PIN la_data_out[112] ) + USE SIGNAL ;
- la_data_out[113] ( PIN la_data_out[113] ) + USE SIGNAL ;
- la_data_out[114] ( PIN la_data_out[114] ) + USE SIGNAL ;
- la_data_out[115] ( PIN la_data_out[115] ) + USE SIGNAL ;
- la_data_out[116] ( PIN la_data_out[116] ) + USE SIGNAL ;
- la_data_out[117] ( PIN la_data_out[117] ) + USE SIGNAL ;
- la_data_out[118] ( PIN la_data_out[118] ) + USE SIGNAL ;
- la_data_out[119] ( PIN la_data_out[119] ) + USE SIGNAL ;
- la_data_out[11] ( PIN la_data_out[11] ) + USE SIGNAL ;
- la_data_out[120] ( PIN la_data_out[120] ) + USE SIGNAL ;
- la_data_out[121] ( PIN la_data_out[121] ) + USE SIGNAL ;
- la_data_out[122] ( PIN la_data_out[122] ) + USE SIGNAL ;
- la_data_out[123] ( PIN la_data_out[123] ) + USE SIGNAL ;
- la_data_out[124] ( PIN la_data_out[124] ) + USE SIGNAL ;
- la_data_out[125] ( PIN la_data_out[125] ) + USE SIGNAL ;
- la_data_out[126] ( PIN la_data_out[126] ) + USE SIGNAL ;
- la_data_out[127] ( PIN la_data_out[127] ) + USE SIGNAL ;
- la_data_out[12] ( PIN la_data_out[12] ) + USE SIGNAL ;
- la_data_out[13] ( PIN la_data_out[13] ) + USE SIGNAL ;
- la_data_out[14] ( PIN la_data_out[14] ) + USE SIGNAL ;
- la_data_out[15] ( PIN la_data_out[15] ) + USE SIGNAL ;
- la_data_out[16] ( PIN la_data_out[16] ) + USE SIGNAL ;
- la_data_out[17] ( PIN la_data_out[17] ) + USE SIGNAL ;
- la_data_out[18] ( PIN la_data_out[18] ) + USE SIGNAL ;
- la_data_out[19] ( PIN la_data_out[19] ) + USE SIGNAL ;
- la_data_out[1] ( PIN la_data_out[1] ) + USE SIGNAL ;
- la_data_out[20] ( PIN la_data_out[20] ) + USE SIGNAL ;
- la_data_out[21] ( PIN la_data_out[21] ) + USE SIGNAL ;
- la_data_out[22] ( PIN la_data_out[22] ) + USE SIGNAL ;
- la_data_out[23] ( PIN la_data_out[23] ) + USE SIGNAL ;
- la_data_out[24] ( PIN la_data_out[24] ) + USE SIGNAL ;
- la_data_out[25] ( PIN la_data_out[25] ) + USE SIGNAL ;
- la_data_out[26] ( PIN la_data_out[26] ) + USE SIGNAL ;
- la_data_out[27] ( PIN la_data_out[27] ) + USE SIGNAL ;
- la_data_out[28] ( PIN la_data_out[28] ) + USE SIGNAL ;
- la_data_out[29] ( PIN la_data_out[29] ) + USE SIGNAL ;
- la_data_out[2] ( PIN la_data_out[2] ) + USE SIGNAL ;
- la_data_out[30] ( PIN la_data_out[30] ) + USE SIGNAL ;
- la_data_out[31] ( PIN la_data_out[31] ) + USE SIGNAL ;
- la_data_out[32] ( PIN la_data_out[32] ) + USE SIGNAL ;
- la_data_out[33] ( PIN la_data_out[33] ) + USE SIGNAL ;
- la_data_out[34] ( PIN la_data_out[34] ) + USE SIGNAL ;
- la_data_out[35] ( PIN la_data_out[35] ) + USE SIGNAL ;
- la_data_out[36] ( PIN la_data_out[36] ) + USE SIGNAL ;
- la_data_out[37] ( PIN la_data_out[37] ) + USE SIGNAL ;
- la_data_out[38] ( PIN la_data_out[38] ) + USE SIGNAL ;
- la_data_out[39] ( PIN la_data_out[39] ) + USE SIGNAL ;
- la_data_out[3] ( PIN la_data_out[3] ) + USE SIGNAL ;
- la_data_out[40] ( PIN la_data_out[40] ) + USE SIGNAL ;
- la_data_out[41] ( PIN la_data_out[41] ) + USE SIGNAL ;
- la_data_out[42] ( PIN la_data_out[42] ) + USE SIGNAL ;
- la_data_out[43] ( PIN la_data_out[43] ) + USE SIGNAL ;
- la_data_out[44] ( PIN la_data_out[44] ) + USE SIGNAL ;
- la_data_out[45] ( PIN la_data_out[45] ) + USE SIGNAL ;
- la_data_out[46] ( PIN la_data_out[46] ) + USE SIGNAL ;
- la_data_out[47] ( PIN la_data_out[47] ) + USE SIGNAL ;
- la_data_out[48] ( PIN la_data_out[48] ) + USE SIGNAL ;
- la_data_out[49] ( PIN la_data_out[49] ) + USE SIGNAL ;
- la_data_out[4] ( PIN la_data_out[4] ) + USE SIGNAL ;
- la_data_out[50] ( PIN la_data_out[50] ) + USE SIGNAL ;
- la_data_out[51] ( PIN la_data_out[51] ) + USE SIGNAL ;
- la_data_out[52] ( PIN la_data_out[52] ) + USE SIGNAL ;
- la_data_out[53] ( PIN la_data_out[53] ) + USE SIGNAL ;
- la_data_out[54] ( PIN la_data_out[54] ) + USE SIGNAL ;
- la_data_out[55] ( PIN la_data_out[55] ) + USE SIGNAL ;
- la_data_out[56] ( PIN la_data_out[56] ) + USE SIGNAL ;
- la_data_out[57] ( PIN la_data_out[57] ) + USE SIGNAL ;
- la_data_out[58] ( PIN la_data_out[58] ) + USE SIGNAL ;
- la_data_out[59] ( PIN la_data_out[59] ) + USE SIGNAL ;
- la_data_out[5] ( PIN la_data_out[5] ) + USE SIGNAL ;
- la_data_out[60] ( PIN la_data_out[60] ) + USE SIGNAL ;
- la_data_out[61] ( PIN la_data_out[61] ) + USE SIGNAL ;
- la_data_out[62] ( PIN la_data_out[62] ) + USE SIGNAL ;
- la_data_out[63] ( PIN la_data_out[63] ) + USE SIGNAL ;
- la_data_out[64] ( PIN la_data_out[64] ) + USE SIGNAL ;
- la_data_out[65] ( PIN la_data_out[65] ) + USE SIGNAL ;
- la_data_out[66] ( PIN la_data_out[66] ) + USE SIGNAL ;
- la_data_out[67] ( PIN la_data_out[67] ) + USE SIGNAL ;
- la_data_out[68] ( PIN la_data_out[68] ) + USE SIGNAL ;
- la_data_out[69] ( PIN la_data_out[69] ) + USE SIGNAL ;
- la_data_out[6] ( PIN la_data_out[6] ) + USE SIGNAL ;
- la_data_out[70] ( PIN la_data_out[70] ) + USE SIGNAL ;
- la_data_out[71] ( PIN la_data_out[71] ) + USE SIGNAL ;
- la_data_out[72] ( PIN la_data_out[72] ) + USE SIGNAL ;
- la_data_out[73] ( PIN la_data_out[73] ) + USE SIGNAL ;
- la_data_out[74] ( PIN la_data_out[74] ) + USE SIGNAL ;
- la_data_out[75] ( PIN la_data_out[75] ) + USE SIGNAL ;
- la_data_out[76] ( PIN la_data_out[76] ) + USE SIGNAL ;
- la_data_out[77] ( PIN la_data_out[77] ) + USE SIGNAL ;
- la_data_out[78] ( PIN la_data_out[78] ) + USE SIGNAL ;
- la_data_out[79] ( PIN la_data_out[79] ) + USE SIGNAL ;
- la_data_out[7] ( PIN la_data_out[7] ) + USE SIGNAL ;
- la_data_out[80] ( PIN la_data_out[80] ) + USE SIGNAL ;
- la_data_out[81] ( PIN la_data_out[81] ) + USE SIGNAL ;
- la_data_out[82] ( PIN la_data_out[82] ) + USE SIGNAL ;
- la_data_out[83] ( PIN la_data_out[83] ) + USE SIGNAL ;
- la_data_out[84] ( PIN la_data_out[84] ) + USE SIGNAL ;
- la_data_out[85] ( PIN la_data_out[85] ) + USE SIGNAL ;
- la_data_out[86] ( PIN la_data_out[86] ) + USE SIGNAL ;
- la_data_out[87] ( PIN la_data_out[87] ) + USE SIGNAL ;
- la_data_out[88] ( PIN la_data_out[88] ) + USE SIGNAL ;
- la_data_out[89] ( PIN la_data_out[89] ) + USE SIGNAL ;
- la_data_out[8] ( PIN la_data_out[8] ) + USE SIGNAL ;
- la_data_out[90] ( PIN la_data_out[90] ) + USE SIGNAL ;
- la_data_out[91] ( PIN la_data_out[91] ) + USE SIGNAL ;
- la_data_out[92] ( PIN la_data_out[92] ) + USE SIGNAL ;
- la_data_out[93] ( PIN la_data_out[93] ) + USE SIGNAL ;
- la_data_out[94] ( PIN la_data_out[94] ) + USE SIGNAL ;
- la_data_out[95] ( PIN la_data_out[95] ) + USE SIGNAL ;
- la_data_out[96] ( PIN la_data_out[96] ) + USE SIGNAL ;
- la_data_out[97] ( PIN la_data_out[97] ) + USE SIGNAL ;
- la_data_out[98] ( PIN la_data_out[98] ) + USE SIGNAL ;
- la_data_out[99] ( PIN la_data_out[99] ) + USE SIGNAL ;
- la_data_out[9] ( PIN la_data_out[9] ) + USE SIGNAL ;
- la_oenb[0] ( PIN la_oenb[0] ) + USE SIGNAL ;
- la_oenb[100] ( PIN la_oenb[100] ) + USE SIGNAL ;
- la_oenb[101] ( PIN la_oenb[101] ) + USE SIGNAL ;
- la_oenb[102] ( PIN la_oenb[102] ) + USE SIGNAL ;
- la_oenb[103] ( PIN la_oenb[103] ) + USE SIGNAL ;
- la_oenb[104] ( PIN la_oenb[104] ) + USE SIGNAL ;
- la_oenb[105] ( PIN la_oenb[105] ) + USE SIGNAL ;
- la_oenb[106] ( PIN la_oenb[106] ) + USE SIGNAL ;
- la_oenb[107] ( PIN la_oenb[107] ) + USE SIGNAL ;
- la_oenb[108] ( PIN la_oenb[108] ) + USE SIGNAL ;
- la_oenb[109] ( PIN la_oenb[109] ) + USE SIGNAL ;
- la_oenb[10] ( PIN la_oenb[10] ) + USE SIGNAL ;
- la_oenb[110] ( PIN la_oenb[110] ) + USE SIGNAL ;
- la_oenb[111] ( PIN la_oenb[111] ) + USE SIGNAL ;
- la_oenb[112] ( PIN la_oenb[112] ) + USE SIGNAL ;
- la_oenb[113] ( PIN la_oenb[113] ) + USE SIGNAL ;
- la_oenb[114] ( PIN la_oenb[114] ) + USE SIGNAL ;
- la_oenb[115] ( PIN la_oenb[115] ) + USE SIGNAL ;
- la_oenb[116] ( PIN la_oenb[116] ) + USE SIGNAL ;
- la_oenb[117] ( PIN la_oenb[117] ) + USE SIGNAL ;
- la_oenb[118] ( PIN la_oenb[118] ) + USE SIGNAL ;
- la_oenb[119] ( PIN la_oenb[119] ) + USE SIGNAL ;
- la_oenb[11] ( PIN la_oenb[11] ) + USE SIGNAL ;
- la_oenb[120] ( PIN la_oenb[120] ) + USE SIGNAL ;
- la_oenb[121] ( PIN la_oenb[121] ) + USE SIGNAL ;
- la_oenb[122] ( PIN la_oenb[122] ) + USE SIGNAL ;
- la_oenb[123] ( PIN la_oenb[123] ) + USE SIGNAL ;
- la_oenb[124] ( PIN la_oenb[124] ) + USE SIGNAL ;
- la_oenb[125] ( PIN la_oenb[125] ) + USE SIGNAL ;
- la_oenb[126] ( PIN la_oenb[126] ) + USE SIGNAL ;
- la_oenb[127] ( PIN la_oenb[127] ) + USE SIGNAL ;
- la_oenb[12] ( PIN la_oenb[12] ) + USE SIGNAL ;
- la_oenb[13] ( PIN la_oenb[13] ) + USE SIGNAL ;
- la_oenb[14] ( PIN la_oenb[14] ) + USE SIGNAL ;
- la_oenb[15] ( PIN la_oenb[15] ) + USE SIGNAL ;
- la_oenb[16] ( PIN la_oenb[16] ) + USE SIGNAL ;
- la_oenb[17] ( PIN la_oenb[17] ) + USE SIGNAL ;
- la_oenb[18] ( PIN la_oenb[18] ) + USE SIGNAL ;
- la_oenb[19] ( PIN la_oenb[19] ) + USE SIGNAL ;
- la_oenb[1] ( PIN la_oenb[1] ) + USE SIGNAL ;
- la_oenb[20] ( PIN la_oenb[20] ) + USE SIGNAL ;
- la_oenb[21] ( PIN la_oenb[21] ) + USE SIGNAL ;
- la_oenb[22] ( PIN la_oenb[22] ) + USE SIGNAL ;
- la_oenb[23] ( PIN la_oenb[23] ) + USE SIGNAL ;
- la_oenb[24] ( PIN la_oenb[24] ) + USE SIGNAL ;
- la_oenb[25] ( PIN la_oenb[25] ) + USE SIGNAL ;
- la_oenb[26] ( PIN la_oenb[26] ) + USE SIGNAL ;
- la_oenb[27] ( PIN la_oenb[27] ) + USE SIGNAL ;
- la_oenb[28] ( PIN la_oenb[28] ) + USE SIGNAL ;
- la_oenb[29] ( PIN la_oenb[29] ) + USE SIGNAL ;
- la_oenb[2] ( PIN la_oenb[2] ) + USE SIGNAL ;
- la_oenb[30] ( PIN la_oenb[30] ) + USE SIGNAL ;
- la_oenb[31] ( PIN la_oenb[31] ) + USE SIGNAL ;
- la_oenb[32] ( PIN la_oenb[32] ) + USE SIGNAL ;
- la_oenb[33] ( PIN la_oenb[33] ) + USE SIGNAL ;
- la_oenb[34] ( PIN la_oenb[34] ) + USE SIGNAL ;
- la_oenb[35] ( PIN la_oenb[35] ) + USE SIGNAL ;
- la_oenb[36] ( PIN la_oenb[36] ) + USE SIGNAL ;
- la_oenb[37] ( PIN la_oenb[37] ) + USE SIGNAL ;
- la_oenb[38] ( PIN la_oenb[38] ) + USE SIGNAL ;
- la_oenb[39] ( PIN la_oenb[39] ) + USE SIGNAL ;
- la_oenb[3] ( PIN la_oenb[3] ) + USE SIGNAL ;
- la_oenb[40] ( PIN la_oenb[40] ) + USE SIGNAL ;
- la_oenb[41] ( PIN la_oenb[41] ) + USE SIGNAL ;
- la_oenb[42] ( PIN la_oenb[42] ) + USE SIGNAL ;
- la_oenb[43] ( PIN la_oenb[43] ) + USE SIGNAL ;
- la_oenb[44] ( PIN la_oenb[44] ) + USE SIGNAL ;
- la_oenb[45] ( PIN la_oenb[45] ) + USE SIGNAL ;
- la_oenb[46] ( PIN la_oenb[46] ) + USE SIGNAL ;
- la_oenb[47] ( PIN la_oenb[47] ) + USE SIGNAL ;
- la_oenb[48] ( PIN la_oenb[48] ) + USE SIGNAL ;
- la_oenb[49] ( PIN la_oenb[49] ) + USE SIGNAL ;
- la_oenb[4] ( PIN la_oenb[4] ) + USE SIGNAL ;
- la_oenb[50] ( PIN la_oenb[50] ) + USE SIGNAL ;
- la_oenb[51] ( PIN la_oenb[51] ) + USE SIGNAL ;
- la_oenb[52] ( PIN la_oenb[52] ) + USE SIGNAL ;
- la_oenb[53] ( PIN la_oenb[53] ) + USE SIGNAL ;
- la_oenb[54] ( PIN la_oenb[54] ) + USE SIGNAL ;
- la_oenb[55] ( PIN la_oenb[55] ) + USE SIGNAL ;
- la_oenb[56] ( PIN la_oenb[56] ) + USE SIGNAL ;
- la_oenb[57] ( PIN la_oenb[57] ) + USE SIGNAL ;
- la_oenb[58] ( PIN la_oenb[58] ) + USE SIGNAL ;
- la_oenb[59] ( PIN la_oenb[59] ) + USE SIGNAL ;
- la_oenb[5] ( PIN la_oenb[5] ) + USE SIGNAL ;
- la_oenb[60] ( PIN la_oenb[60] ) + USE SIGNAL ;
- la_oenb[61] ( PIN la_oenb[61] ) + USE SIGNAL ;
- la_oenb[62] ( PIN la_oenb[62] ) + USE SIGNAL ;
- la_oenb[63] ( PIN la_oenb[63] ) + USE SIGNAL ;
- la_oenb[64] ( PIN la_oenb[64] ) + USE SIGNAL ;
- la_oenb[65] ( PIN la_oenb[65] ) + USE SIGNAL ;
- la_oenb[66] ( PIN la_oenb[66] ) + USE SIGNAL ;
- la_oenb[67] ( PIN la_oenb[67] ) + USE SIGNAL ;
- la_oenb[68] ( PIN la_oenb[68] ) + USE SIGNAL ;
- la_oenb[69] ( PIN la_oenb[69] ) + USE SIGNAL ;
- la_oenb[6] ( PIN la_oenb[6] ) + USE SIGNAL ;
- la_oenb[70] ( PIN la_oenb[70] ) + USE SIGNAL ;
- la_oenb[71] ( PIN la_oenb[71] ) + USE SIGNAL ;
- la_oenb[72] ( PIN la_oenb[72] ) + USE SIGNAL ;
- la_oenb[73] ( PIN la_oenb[73] ) + USE SIGNAL ;
- la_oenb[74] ( PIN la_oenb[74] ) + USE SIGNAL ;
- la_oenb[75] ( PIN la_oenb[75] ) + USE SIGNAL ;
- la_oenb[76] ( PIN la_oenb[76] ) + USE SIGNAL ;
- la_oenb[77] ( PIN la_oenb[77] ) + USE SIGNAL ;
- la_oenb[78] ( PIN la_oenb[78] ) + USE SIGNAL ;
- la_oenb[79] ( PIN la_oenb[79] ) + USE SIGNAL ;
- la_oenb[7] ( PIN la_oenb[7] ) + USE SIGNAL ;
- la_oenb[80] ( PIN la_oenb[80] ) + USE SIGNAL ;
- la_oenb[81] ( PIN la_oenb[81] ) + USE SIGNAL ;
- la_oenb[82] ( PIN la_oenb[82] ) + USE SIGNAL ;
- la_oenb[83] ( PIN la_oenb[83] ) + USE SIGNAL ;
- la_oenb[84] ( PIN la_oenb[84] ) + USE SIGNAL ;
- la_oenb[85] ( PIN la_oenb[85] ) + USE SIGNAL ;
- la_oenb[86] ( PIN la_oenb[86] ) + USE SIGNAL ;
- la_oenb[87] ( PIN la_oenb[87] ) + USE SIGNAL ;
- la_oenb[88] ( PIN la_oenb[88] ) + USE SIGNAL ;
- la_oenb[89] ( PIN la_oenb[89] ) + USE SIGNAL ;
- la_oenb[8] ( PIN la_oenb[8] ) + USE SIGNAL ;
- la_oenb[90] ( PIN la_oenb[90] ) + USE SIGNAL ;
- la_oenb[91] ( PIN la_oenb[91] ) + USE SIGNAL ;
- la_oenb[92] ( PIN la_oenb[92] ) + USE SIGNAL ;
- la_oenb[93] ( PIN la_oenb[93] ) + USE SIGNAL ;
- la_oenb[94] ( PIN la_oenb[94] ) + USE SIGNAL ;
- la_oenb[95] ( PIN la_oenb[95] ) + USE SIGNAL ;
- la_oenb[96] ( PIN la_oenb[96] ) + USE SIGNAL ;
- la_oenb[97] ( PIN la_oenb[97] ) + USE SIGNAL ;
- la_oenb[98] ( PIN la_oenb[98] ) + USE SIGNAL ;
- la_oenb[99] ( PIN la_oenb[99] ) + USE SIGNAL ;
- la_oenb[9] ( PIN la_oenb[9] ) + USE SIGNAL ;
- processor_reset ( wbs_int processor_reset ) ( core reset ) + USE SIGNAL
+ ROUTED met2 ( 993370 815150 ) ( * 1436500 )
NEW met3 ( 999580 683060 0 ) ( 1007630 * )
NEW met3 ( 993370 1436500 ) ( 1000500 * 0 )
NEW met1 ( 993370 815150 ) ( 1007630 * )
NEW met2 ( 1007630 683060 ) ( * 815150 )
NEW met2 ( 993370 1436500 ) M2M3_PR
NEW met1 ( 993370 815150 ) M1M2_PR
NEW met2 ( 1007630 683060 ) M2M3_PR
NEW met1 ( 1007630 815150 ) M1M2_PR ;
- user_clock2 ( PIN user_clock2 ) + USE SIGNAL ;
- user_irq[0] ( PIN user_irq[0] ) + USE SIGNAL ;
- user_irq[1] ( PIN user_irq[1] ) + USE SIGNAL ;
- user_irq[2] ( PIN user_irq[2] ) + USE SIGNAL ;
- wb_clk_i ( PIN wb_clk_i ) ( wbs_int wb_clk_i ) ( imem clk1 ) ( imem clk0 ) ( dmem clk1 ) ( dmem clk0 ) ( core clk ) + USE SIGNAL
+ ROUTED met2 ( 690 1700 ) ( 2990 * 0 )
NEW met2 ( 1187030 2335630 ) ( * 2339030 )
NEW met4 ( 1950350 896970 0 ) ( * 899300 )
NEW met4 ( 1949940 899300 ) ( 1950350 * )
NEW met2 ( 230 82800 ) ( 690 * )
NEW met2 ( 690 1700 ) ( * 82800 )
NEW met2 ( 230 82800 ) ( * 500140 )
NEW met3 ( 793730 525300 ) ( 800860 * 0 )
NEW met3 ( 793500 525300 ) ( 793730 * )
NEW met4 ( 793500 500140 ) ( * 525300 )
NEW met2 ( 793730 525300 ) ( * 1417970 )
NEW met1 ( 965310 1421370 ) ( 986930 * )
NEW met2 ( 986930 1419500 ) ( * 1421370 )
NEW met2 ( 965310 1417970 ) ( * 1421370 )
NEW met2 ( 965310 1421370 ) ( * 2335630 )
NEW met2 ( 1193470 2339030 ) ( * 2761140 )
NEW met2 ( 1462570 499970 ) ( * 904230 )
NEW met4 ( 1650020 2748900 ) ( * 2761140 )
NEW met4 ( 1650020 2748900 ) ( 1650350 * )
NEW met4 ( 1650350 2747200 0 ) ( * 2748900 )
NEW met2 ( 1946030 904060 ) ( * 904230 )
NEW met3 ( 1946030 904060 ) ( 1949940 * )
NEW met4 ( 1949940 899300 ) ( * 904060 )
NEW met3 ( 230 500140 ) ( 807300 * )
NEW met3 ( 807300 500140 ) ( * 503540 )
NEW met1 ( 965310 2335630 ) ( 1187030 * )
NEW met4 ( 1229430 2347700 ) ( * 2350530 0 )
NEW met4 ( 1229430 2347700 ) ( 1229580 * )
NEW met4 ( 1229580 2338860 ) ( * 2347700 )
NEW met3 ( 1229350 2338860 ) ( 1229580 * )
NEW met2 ( 1229350 2338860 ) ( * 2339030 )
NEW met1 ( 1187030 2339030 ) ( 1229350 * )
NEW met3 ( 807300 503540 ) ( 1462570 * )
NEW met4 ( 1529430 498100 ) ( * 500530 0 )
NEW met4 ( 1529430 498100 ) ( 1529500 * )
NEW met4 ( 1529500 489260 ) ( * 498100 )
NEW met3 ( 1499370 489260 ) ( 1529500 * )
NEW met2 ( 1499370 489260 ) ( * 499970 )
NEW met1 ( 1462570 499970 ) ( 1499370 * )
NEW met1 ( 793730 1417970 ) ( 965310 * )
NEW met3 ( 986930 1419500 ) ( 1000500 * 0 )
NEW met3 ( 1193470 2761140 ) ( 1650020 * )
NEW met1 ( 1462570 904230 ) ( 1946030 * )
NEW met2 ( 230 500140 ) M2M3_PR
NEW met3 ( 793500 500140 ) M3M4_PR
NEW met1 ( 965310 2335630 ) M1M2_PR
NEW met1 ( 1187030 2339030 ) M1M2_PR
NEW met1 ( 1187030 2335630 ) M1M2_PR
NEW met1 ( 1193470 2339030 ) M1M2_PR
NEW met1 ( 1462570 499970 ) M1M2_PR
NEW met2 ( 1462570 503540 ) M2M3_PR
NEW met2 ( 793730 525300 ) M2M3_PR
NEW met3 ( 793500 525300 ) M3M4_PR
NEW met1 ( 793730 1417970 ) M1M2_PR
NEW met1 ( 965310 1421370 ) M1M2_PR
NEW met1 ( 986930 1421370 ) M1M2_PR
NEW met2 ( 986930 1419500 ) M2M3_PR
NEW met1 ( 965310 1417970 ) M1M2_PR
NEW met2 ( 1193470 2761140 ) M2M3_PR
NEW met1 ( 1462570 904230 ) M1M2_PR
NEW met3 ( 1650020 2761140 ) M3M4_PR
NEW met1 ( 1946030 904230 ) M1M2_PR
NEW met2 ( 1946030 904060 ) M2M3_PR
NEW met3 ( 1949940 904060 ) M3M4_PR
NEW met3 ( 1229580 2338860 ) M3M4_PR
NEW met2 ( 1229350 2338860 ) M2M3_PR
NEW met1 ( 1229350 2339030 ) M1M2_PR
NEW met3 ( 1529500 489260 ) M3M4_PR
NEW met2 ( 1499370 489260 ) M2M3_PR
NEW met1 ( 1499370 499970 ) M1M2_PR
NEW met3 ( 793500 500140 ) RECT ( -800 -150 0 150 )
NEW met1 ( 1193470 2339030 ) RECT ( -595 -70 0 70 )
NEW met2 ( 1462570 503540 ) RECT ( -70 -485 70 0 )
NEW met3 ( 793500 525300 ) RECT ( -570 -150 0 150 )
NEW met3 ( 1229580 2338860 ) RECT ( 0 -150 390 150 ) ;
- wb_rst_i ( PIN wb_rst_i ) ( wbs_int wb_rst_i ) + USE SIGNAL
+ ROUTED met2 ( 7130 1700 ) ( 8510 * 0 )
NEW met2 ( 7130 1700 ) ( * 513740 )
NEW met3 ( 765900 527340 ) ( 800860 * 0 )
NEW met4 ( 765900 513740 ) ( * 527340 )
NEW met3 ( 7130 513740 ) ( 765900 * )
NEW met2 ( 7130 513740 ) M2M3_PR
NEW met3 ( 765900 513740 ) M3M4_PR
NEW met3 ( 765900 527340 ) M3M4_PR ;
- wbs_ack_o ( PIN wbs_ack_o ) ( wbs_int wbs_ack_o ) + USE SIGNAL
+ ROUTED met2 ( 14490 1700 0 ) ( * 23970 )
NEW met2 ( 217350 23970 ) ( * 524450 )
NEW met2 ( 786830 524450 ) ( * 529380 )
NEW met3 ( 786830 529380 ) ( 800860 * 0 )
NEW met1 ( 14490 23970 ) ( 217350 * )
NEW met1 ( 217350 524450 ) ( 786830 * )
NEW met1 ( 14490 23970 ) M1M2_PR
NEW met1 ( 217350 23970 ) M1M2_PR
NEW met1 ( 217350 524450 ) M1M2_PR
NEW met1 ( 786830 524450 ) M1M2_PR
NEW met2 ( 786830 529380 ) M2M3_PR ;
- wbs_adr_i[0] ( PIN wbs_adr_i[0] ) ( wbs_int wbs_adr_i[0] ) + USE SIGNAL
+ ROUTED met2 ( 787750 531590 ) ( * 537540 )
NEW met3 ( 787750 537540 ) ( 800860 * 0 )
NEW met2 ( 34730 82800 ) ( 38410 * )
NEW met2 ( 38410 1700 0 ) ( * 82800 )
NEW met2 ( 34730 82800 ) ( * 531590 )
NEW met1 ( 34730 531590 ) ( 787750 * )
NEW met1 ( 787750 531590 ) M1M2_PR
NEW met2 ( 787750 537540 ) M2M3_PR
NEW met1 ( 34730 531590 ) M1M2_PR ;
- wbs_adr_i[10] ( PIN wbs_adr_i[10] ) ( wbs_int wbs_adr_i[10] ) + USE SIGNAL
+ ROUTED met2 ( 787290 586500 ) ( * 587010 )
NEW met3 ( 787290 586500 ) ( 800860 * 0 )
NEW met2 ( 693450 17170 ) ( * 587010 )
NEW met2 ( 239430 1700 0 ) ( * 17170 )
NEW met1 ( 239430 17170 ) ( 693450 * )
NEW met1 ( 693450 587010 ) ( 787290 * )
NEW met1 ( 693450 17170 ) M1M2_PR
NEW met1 ( 693450 587010 ) M1M2_PR
NEW met1 ( 787290 587010 ) M1M2_PR
NEW met2 ( 787290 586500 ) M2M3_PR
NEW met1 ( 239430 17170 ) M1M2_PR ;
- wbs_adr_i[11] ( PIN wbs_adr_i[11] ) ( wbs_int wbs_adr_i[11] ) + USE SIGNAL
+ ROUTED met3 ( 782460 590580 ) ( 800860 * 0 )
NEW met4 ( 782460 30940 ) ( * 590580 )
NEW met2 ( 256910 1700 0 ) ( * 30940 )
NEW met3 ( 256910 30940 ) ( 782460 * )
NEW met3 ( 782460 30940 ) M3M4_PR
NEW met3 ( 782460 590580 ) M3M4_PR
NEW met2 ( 256910 30940 ) M2M3_PR ;
- wbs_adr_i[12] ( PIN wbs_adr_i[12] ) ( wbs_int wbs_adr_i[12] ) + USE SIGNAL
+ ROUTED met3 ( 784530 594660 ) ( 800860 * 0 )
NEW met2 ( 784530 499970 ) ( * 594660 )
NEW met1 ( 269330 499970 ) ( 784530 * )
NEW met2 ( 269330 82800 ) ( 274850 * )
NEW met2 ( 274850 1700 0 ) ( * 82800 )
NEW met2 ( 269330 82800 ) ( * 499970 )
NEW met1 ( 784530 499970 ) M1M2_PR
NEW met2 ( 784530 594660 ) M2M3_PR
NEW met1 ( 269330 499970 ) M1M2_PR ;
- wbs_adr_i[13] ( PIN wbs_adr_i[13] ) ( wbs_int wbs_adr_i[13] ) + USE SIGNAL
+ ROUTED met1 ( 763830 593470 ) ( 787290 * )
NEW met2 ( 787290 593470 ) ( * 598740 )
NEW met3 ( 787290 598740 ) ( 800860 * 0 )
NEW met2 ( 290030 82800 ) ( 292330 * )
NEW met2 ( 292330 1700 0 ) ( * 82800 )
NEW met2 ( 290030 82800 ) ( * 493170 )
NEW met2 ( 763830 493170 ) ( * 593470 )
NEW met1 ( 290030 493170 ) ( 763830 * )
NEW met1 ( 290030 493170 ) M1M2_PR
NEW met1 ( 763830 493170 ) M1M2_PR
NEW met1 ( 763830 593470 ) M1M2_PR
NEW met1 ( 787290 593470 ) M1M2_PR
NEW met2 ( 787290 598740 ) M2M3_PR ;
- wbs_adr_i[14] ( PIN wbs_adr_i[14] ) ( wbs_int wbs_adr_i[14] ) + USE SIGNAL
+ ROUTED met2 ( 310270 1700 0 ) ( * 17510 )
NEW met1 ( 310270 17510 ) ( 320850 * )
NEW met3 ( 790970 602820 ) ( 800860 * 0 )
NEW met2 ( 320850 17510 ) ( * 486370 )
NEW met2 ( 790970 486370 ) ( * 602820 )
NEW met1 ( 320850 486370 ) ( 790970 * )
NEW met1 ( 310270 17510 ) M1M2_PR
NEW met1 ( 320850 17510 ) M1M2_PR
NEW met1 ( 320850 486370 ) M1M2_PR
NEW met1 ( 790970 486370 ) M1M2_PR
NEW met2 ( 790970 602820 ) M2M3_PR ;
- wbs_adr_i[15] ( PIN wbs_adr_i[15] ) ( wbs_int wbs_adr_i[15] ) + USE SIGNAL
+ ROUTED met1 ( 769810 601290 ) ( 786830 * )
NEW met2 ( 786830 601290 ) ( * 606900 )
NEW met3 ( 786830 606900 ) ( 800860 * 0 )
NEW met2 ( 769810 37910 ) ( * 601290 )
NEW met2 ( 327750 1700 0 ) ( * 37910 )
NEW met1 ( 327750 37910 ) ( 769810 * )
NEW met1 ( 769810 601290 ) M1M2_PR
NEW met1 ( 786830 601290 ) M1M2_PR
NEW met2 ( 786830 606900 ) M2M3_PR
NEW met1 ( 769810 37910 ) M1M2_PR
NEW met1 ( 327750 37910 ) M1M2_PR ;
- wbs_adr_i[16] ( PIN wbs_adr_i[16] ) ( wbs_int wbs_adr_i[16] ) + USE SIGNAL
+ ROUTED met3 ( 783150 610980 ) ( 800860 * 0 )
NEW met2 ( 783150 134470 ) ( * 610980 )
NEW met2 ( 345230 82800 ) ( 345690 * )
NEW met2 ( 345690 1700 0 ) ( * 82800 )
NEW met2 ( 345230 82800 ) ( * 134470 )
NEW met1 ( 345230 134470 ) ( 783150 * )
NEW met2 ( 783150 610980 ) M2M3_PR
NEW met1 ( 783150 134470 ) M1M2_PR
NEW met1 ( 345230 134470 ) M1M2_PR ;
- wbs_adr_i[17] ( PIN wbs_adr_i[17] ) ( wbs_int wbs_adr_i[17] ) + USE SIGNAL
+ ROUTED met2 ( 786830 614550 ) ( * 615060 )
NEW met3 ( 786830 615060 ) ( 800860 * 0 )
NEW met1 ( 359030 479570 ) ( 756930 * )
NEW met2 ( 359030 82800 ) ( 363170 * )
NEW met2 ( 363170 1700 0 ) ( * 82800 )
NEW met2 ( 359030 82800 ) ( * 479570 )
NEW met2 ( 756930 479570 ) ( * 614550 )
NEW met1 ( 756930 614550 ) ( 786830 * )
NEW met1 ( 786830 614550 ) M1M2_PR
NEW met2 ( 786830 615060 ) M2M3_PR
NEW met1 ( 359030 479570 ) M1M2_PR
NEW met1 ( 756930 479570 ) M1M2_PR
NEW met1 ( 756930 614550 ) M1M2_PR ;
- wbs_adr_i[18] ( PIN wbs_adr_i[18] ) ( wbs_int wbs_adr_i[18] ) + USE SIGNAL
+ ROUTED met2 ( 381110 1700 0 ) ( * 17510 )
NEW met1 ( 762910 524110 ) ( 791430 * )
NEW met2 ( 762910 17510 ) ( * 524110 )
NEW met3 ( 791430 619140 ) ( 800860 * 0 )
NEW met2 ( 791430 524110 ) ( * 619140 )
NEW met1 ( 381110 17510 ) ( 762910 * )
NEW met1 ( 381110 17510 ) M1M2_PR
NEW met1 ( 762910 17510 ) M1M2_PR
NEW met1 ( 762910 524110 ) M1M2_PR
NEW met1 ( 791430 524110 ) M1M2_PR
NEW met2 ( 791430 619140 ) M2M3_PR ;
- wbs_adr_i[19] ( PIN wbs_adr_i[19] ) ( wbs_int wbs_adr_i[19] ) + USE SIGNAL
+ ROUTED met2 ( 396290 1700 ) ( 398590 * 0 )
NEW met2 ( 393530 82800 ) ( 396290 * )
NEW met2 ( 396290 1700 ) ( * 82800 )
NEW met2 ( 393530 82800 ) ( * 472430 )
NEW met3 ( 784070 623220 ) ( 800860 * 0 )
NEW met2 ( 784070 472430 ) ( * 623220 )
NEW met1 ( 393530 472430 ) ( 784070 * )
NEW met1 ( 393530 472430 ) M1M2_PR
NEW met1 ( 784070 472430 ) M1M2_PR
NEW met2 ( 784070 623220 ) M2M3_PR ;
- wbs_adr_i[1] ( PIN wbs_adr_i[1] ) ( wbs_int wbs_adr_i[1] ) + USE SIGNAL
+ ROUTED met1 ( 776710 539070 ) ( 789130 * )
NEW met2 ( 789130 539070 ) ( * 543660 )
NEW met3 ( 789130 543660 ) ( 800860 * 0 )
NEW met2 ( 776710 306850 ) ( * 539070 )
NEW met2 ( 59570 1700 ) ( 61870 * 0 )
NEW met1 ( 55430 306850 ) ( 776710 * )
NEW met2 ( 55430 82800 ) ( 59570 * )
NEW met2 ( 59570 1700 ) ( * 82800 )
NEW met2 ( 55430 82800 ) ( * 306850 )
NEW met1 ( 776710 306850 ) M1M2_PR
NEW met1 ( 776710 539070 ) M1M2_PR
NEW met1 ( 789130 539070 ) M1M2_PR
NEW met2 ( 789130 543660 ) M2M3_PR
NEW met1 ( 55430 306850 ) M1M2_PR ;
- wbs_adr_i[20] ( PIN wbs_adr_i[20] ) ( wbs_int wbs_adr_i[20] ) + USE SIGNAL
+ ROUTED met2 ( 416530 1700 0 ) ( * 44710 )
NEW met1 ( 769350 621010 ) ( 787750 * )
NEW met2 ( 787750 621010 ) ( * 627300 )
NEW met3 ( 787750 627300 ) ( 800860 * 0 )
NEW met2 ( 769350 44710 ) ( * 621010 )
NEW met1 ( 416530 44710 ) ( 769350 * )
NEW met1 ( 416530 44710 ) M1M2_PR
NEW met1 ( 769350 44710 ) M1M2_PR
NEW met1 ( 769350 621010 ) M1M2_PR
NEW met1 ( 787750 621010 ) M1M2_PR
NEW met2 ( 787750 627300 ) M2M3_PR ;
- wbs_adr_i[21] ( PIN wbs_adr_i[21] ) ( wbs_int wbs_adr_i[21] ) + USE SIGNAL
+ ROUTED met1 ( 777630 628830 ) ( 789590 * )
NEW met2 ( 789590 628830 ) ( * 631380 )
NEW met3 ( 789590 631380 ) ( 800860 * 0 )
NEW met2 ( 777630 465630 ) ( * 628830 )
NEW met2 ( 432170 1700 ) ( 434470 * 0 )
NEW met2 ( 428030 82800 ) ( 432170 * )
NEW met2 ( 432170 1700 ) ( * 82800 )
NEW met2 ( 428030 82800 ) ( * 465630 )
NEW met1 ( 428030 465630 ) ( 777630 * )
NEW met1 ( 777630 465630 ) M1M2_PR
NEW met1 ( 777630 628830 ) M1M2_PR
NEW met1 ( 789590 628830 ) M1M2_PR
NEW met2 ( 789590 631380 ) M2M3_PR
NEW met1 ( 428030 465630 ) M1M2_PR ;
- wbs_adr_i[22] ( PIN wbs_adr_i[22] ) ( wbs_int wbs_adr_i[22] ) + USE SIGNAL
+ ROUTED met3 ( 790510 635460 ) ( 800860 * 0 )
NEW met2 ( 790510 458830 ) ( * 635460 )
NEW met2 ( 449650 1700 ) ( 451950 * 0 )
NEW met2 ( 448730 82800 ) ( 449650 * )
NEW met2 ( 449650 1700 ) ( * 82800 )
NEW met2 ( 448730 82800 ) ( * 458830 )
NEW met1 ( 448730 458830 ) ( 790510 * )
NEW met1 ( 790510 458830 ) M1M2_PR
NEW met2 ( 790510 635460 ) M2M3_PR
NEW met1 ( 448730 458830 ) M1M2_PR ;
- wbs_adr_i[23] ( PIN wbs_adr_i[23] ) ( wbs_int wbs_adr_i[23] ) + USE SIGNAL
+ ROUTED met2 ( 469430 82800 ) ( 469890 * )
NEW met2 ( 469890 1700 0 ) ( * 82800 )
NEW met2 ( 469430 82800 ) ( * 451690 )
NEW met1 ( 770730 635630 ) ( 787750 * )
NEW met2 ( 787750 635630 ) ( * 639540 )
NEW met3 ( 787750 639540 ) ( 800860 * 0 )
NEW met2 ( 770730 451690 ) ( * 635630 )
NEW met1 ( 469430 451690 ) ( 770730 * )
NEW met1 ( 469430 451690 ) M1M2_PR
NEW met1 ( 770730 451690 ) M1M2_PR
NEW met1 ( 770730 635630 ) M1M2_PR
NEW met1 ( 787750 635630 ) M1M2_PR
NEW met2 ( 787750 639540 ) M2M3_PR ;
- wbs_adr_i[24] ( PIN wbs_adr_i[24] ) ( wbs_int wbs_adr_i[24] ) + USE SIGNAL
+ ROUTED met2 ( 487370 1700 0 ) ( * 51510 )
NEW met1 ( 762450 642430 ) ( 787750 * )
NEW met2 ( 787750 642430 ) ( * 643620 )
NEW met3 ( 787750 643620 ) ( 800860 * 0 )
NEW met2 ( 762450 51510 ) ( * 642430 )
NEW met1 ( 487370 51510 ) ( 762450 * )
NEW met1 ( 487370 51510 ) M1M2_PR
NEW met1 ( 762450 51510 ) M1M2_PR
NEW met1 ( 762450 642430 ) M1M2_PR
NEW met1 ( 787750 642430 ) M1M2_PR
NEW met2 ( 787750 643620 ) M2M3_PR ;
- wbs_adr_i[25] ( PIN wbs_adr_i[25] ) ( wbs_int wbs_adr_i[25] ) + USE SIGNAL
+ ROUTED met2 ( 503930 1700 ) ( 505310 * 0 )
NEW met2 ( 503930 1700 ) ( * 58650 )
NEW met2 ( 787290 642090 ) ( * 647700 )
NEW met3 ( 787290 647700 ) ( 800860 * 0 )
NEW met1 ( 503930 58650 ) ( 748650 * )
NEW met2 ( 748650 58650 ) ( * 642090 )
NEW met1 ( 748650 642090 ) ( 787290 * )
NEW met1 ( 503930 58650 ) M1M2_PR
NEW met1 ( 787290 642090 ) M1M2_PR
NEW met2 ( 787290 647700 ) M2M3_PR
NEW met1 ( 748650 58650 ) M1M2_PR
NEW met1 ( 748650 642090 ) M1M2_PR ;
- wbs_adr_i[26] ( PIN wbs_adr_i[26] ) ( wbs_int wbs_adr_i[26] ) + USE SIGNAL
+ ROUTED met3 ( 783610 651780 ) ( 800860 * 0 )
NEW met2 ( 783610 444890 ) ( * 651780 )
NEW met2 ( 520490 1700 ) ( 522790 * 0 )
NEW met2 ( 517730 82800 ) ( 520490 * )
NEW met2 ( 520490 1700 ) ( * 82800 )
NEW met2 ( 517730 82800 ) ( * 444890 )
NEW met1 ( 517730 444890 ) ( 783610 * )
NEW met1 ( 783610 444890 ) M1M2_PR
NEW met2 ( 783610 651780 ) M2M3_PR
NEW met1 ( 517730 444890 ) M1M2_PR ;
- wbs_adr_i[27] ( PIN wbs_adr_i[27] ) ( wbs_int wbs_adr_i[27] ) + USE SIGNAL
+ ROUTED met1 ( 777170 656370 ) ( 787750 * )
NEW met2 ( 787750 655860 ) ( * 656370 )
NEW met3 ( 787750 655860 ) ( 800860 * 0 )
NEW met2 ( 777170 438090 ) ( * 656370 )
NEW met2 ( 538430 82800 ) ( 540730 * )
NEW met2 ( 540730 1700 0 ) ( * 82800 )
NEW met2 ( 538430 82800 ) ( * 438090 )
NEW met1 ( 538430 438090 ) ( 777170 * )
NEW met1 ( 777170 438090 ) M1M2_PR
NEW met1 ( 777170 656370 ) M1M2_PR
NEW met1 ( 787750 656370 ) M1M2_PR
NEW met2 ( 787750 655860 ) M2M3_PR
NEW met1 ( 538430 438090 ) M1M2_PR ;
- wbs_adr_i[28] ( PIN wbs_adr_i[28] ) ( wbs_int wbs_adr_i[28] ) + USE SIGNAL
+ ROUTED met3 ( 790050 659940 ) ( 800860 * 0 )
NEW met2 ( 790050 431290 ) ( * 659940 )
NEW met2 ( 558210 1700 0 ) ( * 17850 )
NEW met1 ( 552230 17850 ) ( 558210 * )
NEW met2 ( 552230 17850 ) ( * 431290 )
NEW met1 ( 552230 431290 ) ( 790050 * )
NEW met1 ( 790050 431290 ) M1M2_PR
NEW met2 ( 790050 659940 ) M2M3_PR
NEW met1 ( 558210 17850 ) M1M2_PR
NEW met1 ( 552230 17850 ) M1M2_PR
NEW met1 ( 552230 431290 ) M1M2_PR ;
- wbs_adr_i[29] ( PIN wbs_adr_i[29] ) ( wbs_int wbs_adr_i[29] ) + USE SIGNAL
+ ROUTED met2 ( 573850 1700 ) ( 576150 * 0 )
NEW met1 ( 763370 663170 ) ( 786830 * )
NEW met2 ( 786830 663170 ) ( * 664020 )
NEW met3 ( 786830 664020 ) ( 800860 * 0 )
NEW met2 ( 572930 82800 ) ( 573850 * )
NEW met2 ( 573850 1700 ) ( * 82800 )
NEW met2 ( 572930 82800 ) ( * 300050 )
NEW met2 ( 763370 300050 ) ( * 663170 )
NEW met1 ( 572930 300050 ) ( 763370 * )
NEW met1 ( 572930 300050 ) M1M2_PR
NEW met1 ( 763370 300050 ) M1M2_PR
NEW met1 ( 763370 663170 ) M1M2_PR
NEW met1 ( 786830 663170 ) M1M2_PR
NEW met2 ( 786830 664020 ) M2M3_PR ;
- wbs_adr_i[2] ( PIN wbs_adr_i[2] ) ( wbs_int wbs_adr_i[2] ) + USE SIGNAL
+ ROUTED met2 ( 83030 82800 ) ( 85330 * )
NEW met2 ( 85330 1700 0 ) ( * 82800 )
NEW met2 ( 83030 82800 ) ( * 423980 )
NEW met3 ( 783380 549780 ) ( 800860 * 0 )
NEW met4 ( 783380 423980 ) ( * 549780 )
NEW met3 ( 83030 423980 ) ( 783380 * )
NEW met2 ( 83030 423980 ) M2M3_PR
NEW met3 ( 783380 423980 ) M3M4_PR
NEW met3 ( 783380 549780 ) M3M4_PR ;
- wbs_adr_i[30] ( PIN wbs_adr_i[30] ) ( wbs_int wbs_adr_i[30] ) + USE SIGNAL
+ ROUTED met2 ( 787750 662830 ) ( * 668100 )
NEW met3 ( 787750 668100 ) ( 800860 * 0 )
NEW met2 ( 594090 1700 0 ) ( * 65450 )
NEW met1 ( 741750 662830 ) ( 787750 * )
NEW met1 ( 594090 65450 ) ( 741750 * )
NEW met2 ( 741750 65450 ) ( * 662830 )
NEW met1 ( 787750 662830 ) M1M2_PR
NEW met2 ( 787750 668100 ) M2M3_PR
NEW met1 ( 594090 65450 ) M1M2_PR
NEW met1 ( 741750 662830 ) M1M2_PR
NEW met1 ( 741750 65450 ) M1M2_PR ;
- wbs_adr_i[31] ( PIN wbs_adr_i[31] ) ( wbs_int wbs_adr_i[31] ) + USE SIGNAL
+ ROUTED met1 ( 770270 670310 ) ( 787750 * )
NEW met2 ( 787750 670310 ) ( * 672180 )
NEW met3 ( 787750 672180 ) ( 800860 * 0 )
NEW met2 ( 607430 82800 ) ( 611570 * )
NEW met2 ( 611570 1700 0 ) ( * 82800 )
NEW met2 ( 607430 82800 ) ( * 417350 )
NEW met2 ( 770270 417350 ) ( * 670310 )
NEW met1 ( 607430 417350 ) ( 770270 * )
NEW met1 ( 607430 417350 ) M1M2_PR
NEW met1 ( 770270 417350 ) M1M2_PR
NEW met1 ( 770270 670310 ) M1M2_PR
NEW met1 ( 787750 670310 ) M1M2_PR
NEW met2 ( 787750 672180 ) M2M3_PR ;
- wbs_adr_i[3] ( PIN wbs_adr_i[3] ) ( wbs_int wbs_adr_i[3] ) + USE SIGNAL
+ ROUTED met2 ( 103730 82800 ) ( 109250 * )
NEW met2 ( 109250 1700 0 ) ( * 82800 )
NEW met2 ( 103730 82800 ) ( * 410550 )
NEW met2 ( 786830 553010 ) ( * 555900 )
NEW met3 ( 786830 555900 ) ( 800860 * 0 )
NEW met1 ( 103730 410550 ) ( 756470 * )
NEW met2 ( 756470 410550 ) ( * 553010 )
NEW met1 ( 756470 553010 ) ( 786830 * )
NEW met1 ( 103730 410550 ) M1M2_PR
NEW met1 ( 786830 553010 ) M1M2_PR
NEW met2 ( 786830 555900 ) M2M3_PR
NEW met1 ( 756470 410550 ) M1M2_PR
NEW met1 ( 756470 553010 ) M1M2_PR ;
- wbs_adr_i[4] ( PIN wbs_adr_i[4] ) ( wbs_int wbs_adr_i[4] ) + USE SIGNAL
+ ROUTED met3 ( 762220 562020 ) ( 800860 * 0 )
NEW met4 ( 762220 403580 ) ( * 562020 )
NEW met2 ( 131330 1700 ) ( 132710 * 0 )
NEW met3 ( 131330 403580 ) ( 762220 * )
NEW met2 ( 131330 1700 ) ( * 403580 )
NEW met3 ( 762220 403580 ) M3M4_PR
NEW met3 ( 762220 562020 ) M3M4_PR
NEW met2 ( 131330 403580 ) M2M3_PR ;
- wbs_adr_i[5] ( PIN wbs_adr_i[5] ) ( wbs_int wbs_adr_i[5] ) + USE SIGNAL
+ ROUTED met3 ( 789820 566100 ) ( 800860 * 0 )
NEW met4 ( 789820 396780 ) ( * 566100 )
NEW met2 ( 150650 1700 0 ) ( * 15810 )
NEW met1 ( 150650 15810 ) ( 162150 * )
NEW met3 ( 162150 396780 ) ( 789820 * )
NEW met2 ( 162150 15810 ) ( * 396780 )
NEW met3 ( 789820 396780 ) M3M4_PR
NEW met3 ( 789820 566100 ) M3M4_PR
NEW met1 ( 150650 15810 ) M1M2_PR
NEW met1 ( 162150 15810 ) M1M2_PR
NEW met2 ( 162150 396780 ) M2M3_PR ;
- wbs_adr_i[6] ( PIN wbs_adr_i[6] ) ( wbs_int wbs_adr_i[6] ) + USE SIGNAL
+ ROUTED met1 ( 776250 567970 ) ( 787750 * )
NEW met2 ( 787750 567970 ) ( * 570180 )
NEW met3 ( 787750 570180 ) ( 800860 * 0 )
NEW met2 ( 776250 141270 ) ( * 567970 )
NEW met2 ( 165830 82800 ) ( 168130 * )
NEW met2 ( 168130 1700 0 ) ( * 82800 )
NEW met2 ( 165830 82800 ) ( * 141270 )
NEW met1 ( 165830 141270 ) ( 776250 * )
NEW met1 ( 776250 567970 ) M1M2_PR
NEW met1 ( 787750 567970 ) M1M2_PR
NEW met2 ( 787750 570180 ) M2M3_PR
NEW met1 ( 776250 141270 ) M1M2_PR
NEW met1 ( 165830 141270 ) M1M2_PR ;
- wbs_adr_i[7] ( PIN wbs_adr_i[7] ) ( wbs_int wbs_adr_i[7] ) + USE SIGNAL
+ ROUTED met2 ( 183770 1700 ) ( 186070 * 0 )
NEW met2 ( 786830 573070 ) ( * 574260 )
NEW met3 ( 786830 574260 ) ( 800860 * 0 )
NEW met2 ( 179630 82800 ) ( 183770 * )
NEW met2 ( 183770 1700 ) ( * 82800 )
NEW met2 ( 179630 82800 ) ( * 389810 )
NEW met1 ( 179630 389810 ) ( 750030 * )
NEW met1 ( 750030 573070 ) ( 786830 * )
NEW met2 ( 750030 389810 ) ( * 573070 )
NEW met1 ( 179630 389810 ) M1M2_PR
NEW met1 ( 786830 573070 ) M1M2_PR
NEW met2 ( 786830 574260 ) M2M3_PR
NEW met1 ( 750030 389810 ) M1M2_PR
NEW met1 ( 750030 573070 ) M1M2_PR ;
- wbs_adr_i[8] ( PIN wbs_adr_i[8] ) ( wbs_int wbs_adr_i[8] ) + USE SIGNAL
+ ROUTED met2 ( 201250 1700 ) ( 203550 * 0 )
NEW met2 ( 787290 573410 ) ( * 578340 )
NEW met3 ( 787290 578340 ) ( 800860 * 0 )
NEW met2 ( 200330 82800 ) ( 201250 * )
NEW met2 ( 201250 1700 ) ( * 82800 )
NEW met2 ( 200330 82800 ) ( * 293250 )
NEW met1 ( 200330 293250 ) ( 755550 * )
NEW met1 ( 755550 573410 ) ( 787290 * )
NEW met2 ( 755550 293250 ) ( * 573410 )
NEW met1 ( 200330 293250 ) M1M2_PR
NEW met1 ( 787290 573410 ) M1M2_PR
NEW met2 ( 787290 578340 ) M2M3_PR
NEW met1 ( 755550 293250 ) M1M2_PR
NEW met1 ( 755550 573410 ) M1M2_PR ;
- wbs_adr_i[9] ( PIN wbs_adr_i[9] ) ( wbs_int wbs_adr_i[9] ) + USE SIGNAL
+ ROUTED met2 ( 787290 580210 ) ( * 582420 )
NEW met3 ( 787290 582420 ) ( 800860 * 0 )
NEW met2 ( 221030 82800 ) ( 221490 * )
NEW met2 ( 221490 1700 0 ) ( * 82800 )
NEW met2 ( 221030 82800 ) ( * 272510 )
NEW met1 ( 742210 580210 ) ( 787290 * )
NEW met1 ( 221030 272510 ) ( 742210 * )
NEW met2 ( 742210 272510 ) ( * 580210 )
NEW met1 ( 787290 580210 ) M1M2_PR
NEW met2 ( 787290 582420 ) M2M3_PR
NEW met1 ( 221030 272510 ) M1M2_PR
NEW met1 ( 742210 580210 ) M1M2_PR
NEW met1 ( 742210 272510 ) M1M2_PR ;
- wbs_cyc_i ( PIN wbs_cyc_i ) ( wbs_int wbs_cyc_i ) + USE SIGNAL
+ ROUTED met2 ( 18170 1700 ) ( 20470 * 0 )
NEW met2 ( 14030 82800 ) ( 18170 * )
NEW met2 ( 18170 1700 ) ( * 82800 )
NEW met2 ( 14030 82800 ) ( * 382500 )
NEW met3 ( 768660 531420 ) ( 800860 * 0 )
NEW met4 ( 768660 382500 ) ( * 531420 )
NEW met3 ( 14030 382500 ) ( 768660 * )
NEW met2 ( 14030 382500 ) M2M3_PR
NEW met3 ( 768660 382500 ) M3M4_PR
NEW met3 ( 768660 531420 ) M3M4_PR ;
- wbs_dat_i[0] ( PIN wbs_dat_i[0] ) ( wbs_int wbs_dat_i[0] ) + USE SIGNAL
+ ROUTED met2 ( 786830 538730 ) ( * 539580 )
NEW met3 ( 786830 539580 ) ( 800860 * 0 )
NEW met1 ( 41630 375870 ) ( 736230 * )
NEW met2 ( 41630 82800 ) ( 43930 * )
NEW met2 ( 43930 1700 0 ) ( * 82800 )
NEW met2 ( 41630 82800 ) ( * 375870 )
NEW met2 ( 736230 375870 ) ( * 538730 )
NEW met1 ( 736230 538730 ) ( 786830 * )
NEW met1 ( 786830 538730 ) M1M2_PR
NEW met2 ( 786830 539580 ) M2M3_PR
NEW met1 ( 41630 375870 ) M1M2_PR
NEW met1 ( 736230 375870 ) M1M2_PR
NEW met1 ( 736230 538730 ) M1M2_PR ;
- wbs_dat_i[10] ( PIN wbs_dat_i[10] ) ( wbs_int wbs_dat_i[10] ) + USE SIGNAL
+ ROUTED met2 ( 786830 587350 ) ( * 588540 )
NEW met3 ( 786830 588540 ) ( 800860 * 0 )
NEW met2 ( 242650 1700 ) ( 244950 * 0 )
NEW met1 ( 734850 587350 ) ( 786830 * )
NEW met2 ( 242650 1700 ) ( * 79390 )
NEW met1 ( 242650 79390 ) ( 734850 * )
NEW met2 ( 734850 79390 ) ( * 587350 )
NEW met1 ( 786830 587350 ) M1M2_PR
NEW met2 ( 786830 588540 ) M2M3_PR
NEW met1 ( 734850 587350 ) M1M2_PR
NEW met1 ( 242650 79390 ) M1M2_PR
NEW met1 ( 734850 79390 ) M1M2_PR ;
- wbs_dat_i[11] ( PIN wbs_dat_i[11] ) ( wbs_int wbs_dat_i[11] ) + USE SIGNAL
+ ROUTED met2 ( 787750 586670 ) ( * 592620 )
NEW met3 ( 787750 592620 ) ( 800860 * 0 )
NEW met1 ( 262430 586670 ) ( 787750 * )
NEW met2 ( 262430 82800 ) ( 262890 * )
NEW met2 ( 262890 1700 0 ) ( * 82800 )
NEW met2 ( 262430 82800 ) ( * 586670 )
NEW met1 ( 787750 586670 ) M1M2_PR
NEW met2 ( 787750 592620 ) M2M3_PR
NEW met1 ( 262430 586670 ) M1M2_PR ;
- wbs_dat_i[12] ( PIN wbs_dat_i[12] ) ( wbs_int wbs_dat_i[12] ) + USE SIGNAL
+ ROUTED met2 ( 280370 1700 0 ) ( * 20910 )
NEW met1 ( 280370 20910 ) ( 286350 * )
NEW met2 ( 786830 594150 ) ( * 596700 )
NEW met3 ( 786830 596700 ) ( 800860 * 0 )
NEW met2 ( 286350 20910 ) ( * 594150 )
NEW met1 ( 286350 594150 ) ( 786830 * )
NEW met1 ( 280370 20910 ) M1M2_PR
NEW met1 ( 286350 20910 ) M1M2_PR
NEW met1 ( 286350 594150 ) M1M2_PR
NEW met1 ( 786830 594150 ) M1M2_PR
NEW met2 ( 786830 596700 ) M2M3_PR ;
- wbs_dat_i[13] ( PIN wbs_dat_i[13] ) ( wbs_int wbs_dat_i[13] ) + USE SIGNAL
+ ROUTED met2 ( 296930 1700 ) ( 298310 * 0 )
NEW met2 ( 786830 600610 ) ( * 600780 )
NEW met3 ( 786830 600780 ) ( 800860 * 0 )
NEW met2 ( 296930 1700 ) ( * 86190 )
NEW met1 ( 296930 86190 ) ( 714610 * )
NEW met1 ( 714610 600610 ) ( 786830 * )
NEW met2 ( 714610 86190 ) ( * 600610 )
NEW met1 ( 296930 86190 ) M1M2_PR
NEW met1 ( 786830 600610 ) M1M2_PR
NEW met2 ( 786830 600780 ) M2M3_PR
NEW met1 ( 714610 86190 ) M1M2_PR
NEW met1 ( 714610 600610 ) M1M2_PR ;
- wbs_dat_i[14] ( PIN wbs_dat_i[14] ) ( wbs_int wbs_dat_i[14] ) + USE SIGNAL
+ ROUTED met2 ( 787290 600950 ) ( * 604860 )
NEW met3 ( 787290 604860 ) ( 800860 * 0 )
NEW met2 ( 310730 82800 ) ( 316250 * )
NEW met2 ( 316250 1700 0 ) ( * 82800 )
NEW met2 ( 310730 82800 ) ( * 369070 )
NEW met1 ( 749570 600950 ) ( 787290 * )
NEW met1 ( 310730 369070 ) ( 749570 * )
NEW met2 ( 749570 369070 ) ( * 600950 )
NEW met1 ( 787290 600950 ) M1M2_PR
NEW met2 ( 787290 604860 ) M2M3_PR
NEW met1 ( 310730 369070 ) M1M2_PR
NEW met1 ( 749570 600950 ) M1M2_PR
NEW met1 ( 749570 369070 ) M1M2_PR ;
- wbs_dat_i[15] ( PIN wbs_dat_i[15] ) ( wbs_int wbs_dat_i[15] ) + USE SIGNAL
+ ROUTED met2 ( 786830 607750 ) ( * 608940 )
NEW met3 ( 786830 608940 ) ( 800860 * 0 )
NEW met2 ( 713230 603670 ) ( * 607750 )
NEW met1 ( 331430 603670 ) ( 713230 * )
NEW met1 ( 713230 607750 ) ( 786830 * )
NEW met2 ( 331430 82800 ) ( 333730 * )
NEW met2 ( 333730 1700 0 ) ( * 82800 )
NEW met2 ( 331430 82800 ) ( * 603670 )
NEW met1 ( 786830 607750 ) M1M2_PR
NEW met2 ( 786830 608940 ) M2M3_PR
NEW met1 ( 331430 603670 ) M1M2_PR
NEW met1 ( 713230 603670 ) M1M2_PR
NEW met1 ( 713230 607750 ) M1M2_PR ;
- wbs_dat_i[16] ( PIN wbs_dat_i[16] ) ( wbs_int wbs_dat_i[16] ) + USE SIGNAL
+ ROUTED met2 ( 787290 608090 ) ( * 613020 )
NEW met3 ( 787290 613020 ) ( 800860 * 0 )
NEW met2 ( 376050 20570 ) ( * 608090 )
NEW met2 ( 351670 1700 0 ) ( * 20570 )
NEW met1 ( 351670 20570 ) ( 376050 * )
NEW met1 ( 376050 608090 ) ( 787290 * )
NEW met1 ( 376050 20570 ) M1M2_PR
NEW met1 ( 376050 608090 ) M1M2_PR
NEW met1 ( 787290 608090 ) M1M2_PR
NEW met2 ( 787290 613020 ) M2M3_PR
NEW met1 ( 351670 20570 ) M1M2_PR ;
- wbs_dat_i[17] ( PIN wbs_dat_i[17] ) ( wbs_int wbs_dat_i[17] ) + USE SIGNAL
+ ROUTED met2 ( 410550 51510 ) ( * 614210 )
NEW met2 ( 787290 614210 ) ( * 617100 )
NEW met3 ( 787290 617100 ) ( 800860 * 0 )
NEW met2 ( 366850 1700 ) ( 369150 * 0 )
NEW met2 ( 366850 1700 ) ( * 51510 )
NEW met1 ( 366850 51510 ) ( 410550 * )
NEW met1 ( 410550 614210 ) ( 787290 * )
NEW met1 ( 410550 51510 ) M1M2_PR
NEW met1 ( 410550 614210 ) M1M2_PR
NEW met1 ( 787290 614210 ) M1M2_PR
NEW met2 ( 787290 617100 ) M2M3_PR
NEW met1 ( 366850 51510 ) M1M2_PR ;
- wbs_dat_i[18] ( PIN wbs_dat_i[18] ) ( wbs_int wbs_dat_i[18] ) + USE SIGNAL
+ ROUTED met2 ( 387090 1700 0 ) ( * 65450 )
NEW met2 ( 786830 621180 ) ( * 622030 )
NEW met3 ( 786830 621180 ) ( 800860 * 0 )
NEW met1 ( 387090 65450 ) ( 465750 * )
NEW met2 ( 465750 65450 ) ( * 622030 )
NEW met1 ( 465750 622030 ) ( 786830 * )
NEW met1 ( 387090 65450 ) M1M2_PR
NEW met1 ( 786830 622030 ) M1M2_PR
NEW met2 ( 786830 621180 ) M2M3_PR
NEW met1 ( 465750 65450 ) M1M2_PR
NEW met1 ( 465750 622030 ) M1M2_PR ;
- wbs_dat_i[19] ( PIN wbs_dat_i[19] ) ( wbs_int wbs_dat_i[19] ) + USE SIGNAL
+ ROUTED met2 ( 400430 82800 ) ( 404570 * )
NEW met2 ( 404570 1700 0 ) ( * 82800 )
NEW met2 ( 400430 82800 ) ( * 362270 )
NEW met2 ( 787290 621350 ) ( * 625260 )
NEW met3 ( 787290 625260 ) ( 800860 * 0 )
NEW met1 ( 400430 362270 ) ( 721510 * )
NEW met2 ( 721510 362270 ) ( * 621350 )
NEW met1 ( 721510 621350 ) ( 787290 * )
NEW met1 ( 400430 362270 ) M1M2_PR
NEW met1 ( 787290 621350 ) M1M2_PR
NEW met2 ( 787290 625260 ) M2M3_PR
NEW met1 ( 721510 362270 ) M1M2_PR
NEW met1 ( 721510 621350 ) M1M2_PR ;
- wbs_dat_i[1] ( PIN wbs_dat_i[1] ) ( wbs_int wbs_dat_i[1] ) + USE SIGNAL
+ ROUTED met3 ( 777860 545700 ) ( 800860 * 0 )
NEW met4 ( 777860 355300 ) ( * 545700 )
NEW met2 ( 62330 82800 ) ( 67850 * )
NEW met2 ( 67850 1700 0 ) ( * 82800 )
NEW met2 ( 62330 82800 ) ( * 355300 )
NEW met3 ( 62330 355300 ) ( 777860 * )
NEW met3 ( 777860 355300 ) M3M4_PR
NEW met3 ( 777860 545700 ) M3M4_PR
NEW met2 ( 62330 355300 ) M2M3_PR ;
- wbs_dat_i[20] ( PIN wbs_dat_i[20] ) ( wbs_int wbs_dat_i[20] ) + USE SIGNAL
+ ROUTED met2 ( 786830 628490 ) ( * 629340 )
NEW met3 ( 786830 629340 ) ( 800860 * 0 )
NEW met2 ( 421130 1700 ) ( 422510 * 0 )
NEW met2 ( 421130 1700 ) ( * 348330 )
NEW met1 ( 421130 348330 ) ( 735770 * )
NEW met2 ( 735770 348330 ) ( * 628490 )
NEW met1 ( 735770 628490 ) ( 786830 * )
NEW met1 ( 786830 628490 ) M1M2_PR
NEW met2 ( 786830 629340 ) M2M3_PR
NEW met1 ( 421130 348330 ) M1M2_PR
NEW met1 ( 735770 348330 ) M1M2_PR
NEW met1 ( 735770 628490 ) M1M2_PR ;
- wbs_dat_i[21] ( PIN wbs_dat_i[21] ) ( wbs_int wbs_dat_i[21] ) + USE SIGNAL
+ ROUTED met2 ( 787290 628150 ) ( * 633420 )
NEW met3 ( 787290 633420 ) ( 800860 * 0 )
NEW met2 ( 437690 1700 ) ( 439990 * 0 )
NEW met1 ( 437690 56270 ) ( 445050 * )
NEW met2 ( 437690 1700 ) ( * 56270 )
NEW met2 ( 445050 56270 ) ( * 628150 )
NEW met1 ( 445050 628150 ) ( 787290 * )
NEW met1 ( 787290 628150 ) M1M2_PR
NEW met2 ( 787290 633420 ) M2M3_PR
NEW met1 ( 437690 56270 ) M1M2_PR
NEW met1 ( 445050 56270 ) M1M2_PR
NEW met1 ( 445050 628150 ) M1M2_PR ;
- wbs_dat_i[22] ( PIN wbs_dat_i[22] ) ( wbs_int wbs_dat_i[22] ) + USE SIGNAL
+ ROUTED met2 ( 786830 635290 ) ( * 637500 )
NEW met3 ( 786830 637500 ) ( 800860 * 0 )
NEW met1 ( 455630 92990 ) ( 727950 * )
NEW met2 ( 455630 82800 ) ( * 92990 )
NEW met2 ( 455630 82800 ) ( 457930 * )
NEW met2 ( 457930 1700 0 ) ( * 82800 )
NEW met2 ( 727950 92990 ) ( * 635290 )
NEW met1 ( 727950 635290 ) ( 786830 * )
NEW met1 ( 786830 635290 ) M1M2_PR
NEW met2 ( 786830 637500 ) M2M3_PR
NEW met1 ( 455630 92990 ) M1M2_PR
NEW met1 ( 727950 92990 ) M1M2_PR
NEW met1 ( 727950 635290 ) M1M2_PR ;
- wbs_dat_i[23] ( PIN wbs_dat_i[23] ) ( wbs_int wbs_dat_i[23] ) + USE SIGNAL
+ ROUTED met2 ( 473570 1700 ) ( 475870 * 0 )
NEW met1 ( 473570 56610 ) ( 500250 * )
NEW met2 ( 473570 1700 ) ( * 56610 )
NEW met2 ( 500250 56610 ) ( * 634950 )
NEW met2 ( 787290 634950 ) ( * 641580 )
NEW met3 ( 787290 641580 ) ( 800860 * 0 )
NEW met1 ( 500250 634950 ) ( 787290 * )
NEW met1 ( 473570 56610 ) M1M2_PR
NEW met1 ( 500250 56610 ) M1M2_PR
NEW met1 ( 500250 634950 ) M1M2_PR
NEW met1 ( 787290 634950 ) M1M2_PR
NEW met2 ( 787290 641580 ) M2M3_PR ;
- wbs_dat_i[24] ( PIN wbs_dat_i[24] ) ( wbs_int wbs_dat_i[24] ) + USE SIGNAL
+ ROUTED met2 ( 491050 1700 ) ( 493350 * 0 )
NEW met2 ( 490130 82800 ) ( 491050 * )
NEW met2 ( 491050 1700 ) ( * 82800 )
NEW met2 ( 490130 82800 ) ( * 641750 )
NEW met2 ( 786830 641750 ) ( * 645660 )
NEW met3 ( 786830 645660 ) ( 800860 * 0 )
NEW met1 ( 490130 641750 ) ( 786830 * )
NEW met1 ( 490130 641750 ) M1M2_PR
NEW met1 ( 786830 641750 ) M1M2_PR
NEW met2 ( 786830 645660 ) M2M3_PR ;
- wbs_dat_i[25] ( PIN wbs_dat_i[25] ) ( wbs_int wbs_dat_i[25] ) + USE SIGNAL
+ ROUTED met2 ( 510830 82800 ) ( 511290 * )
NEW met2 ( 511290 1700 0 ) ( * 82800 )
NEW met2 ( 510830 82800 ) ( * 341530 )
NEW met2 ( 786830 649230 ) ( * 649740 )
NEW met3 ( 786830 649740 ) ( 800860 * 0 )
NEW met1 ( 510830 341530 ) ( 742670 * )
NEW met2 ( 742670 341530 ) ( * 649230 )
NEW met1 ( 742670 649230 ) ( 786830 * )
NEW met1 ( 510830 341530 ) M1M2_PR
NEW met1 ( 786830 649230 ) M1M2_PR
NEW met2 ( 786830 649740 ) M2M3_PR
NEW met1 ( 742670 341530 ) M1M2_PR
NEW met1 ( 742670 649230 ) M1M2_PR ;
- wbs_dat_i[26] ( PIN wbs_dat_i[26] ) ( wbs_int wbs_dat_i[26] ) + USE SIGNAL
+ ROUTED met2 ( 787290 649570 ) ( * 653820 )
NEW met3 ( 787290 653820 ) ( 800860 * 0 )
NEW met1 ( 528770 62390 ) ( 534750 * )
NEW met2 ( 528770 1700 0 ) ( * 62390 )
NEW met2 ( 534750 62390 ) ( * 649570 )
NEW met1 ( 534750 649570 ) ( 787290 * )
NEW met1 ( 787290 649570 ) M1M2_PR
NEW met2 ( 787290 653820 ) M2M3_PR
NEW met1 ( 528770 62390 ) M1M2_PR
NEW met1 ( 534750 62390 ) M1M2_PR
NEW met1 ( 534750 649570 ) M1M2_PR ;
- wbs_dat_i[27] ( PIN wbs_dat_i[27] ) ( wbs_int wbs_dat_i[27] ) + USE SIGNAL
+ ROUTED met2 ( 786830 656030 ) ( * 657900 )
NEW met3 ( 786830 657900 ) ( 800860 * 0 )
NEW met2 ( 545330 1700 ) ( 546710 * 0 )
NEW met2 ( 545330 1700 ) ( * 72250 )
NEW met1 ( 545330 72250 ) ( 721050 * )
NEW met2 ( 721050 72250 ) ( * 656030 )
NEW met1 ( 721050 656030 ) ( 786830 * )
NEW met1 ( 786830 656030 ) M1M2_PR
NEW met2 ( 786830 657900 ) M2M3_PR
NEW met1 ( 545330 72250 ) M1M2_PR
NEW met1 ( 721050 72250 ) M1M2_PR
NEW met1 ( 721050 656030 ) M1M2_PR ;
- wbs_dat_i[28] ( PIN wbs_dat_i[28] ) ( wbs_int wbs_dat_i[28] ) + USE SIGNAL
+ ROUTED met2 ( 589950 113730 ) ( * 655690 )
NEW met2 ( 787290 655690 ) ( * 661980 )
NEW met3 ( 787290 661980 ) ( 800860 * 0 )
NEW met2 ( 561890 1700 ) ( 564190 * 0 )
NEW met1 ( 559130 113730 ) ( 589950 * )
NEW met2 ( 559130 82800 ) ( * 113730 )
NEW met2 ( 559130 82800 ) ( 561890 * )
NEW met2 ( 561890 1700 ) ( * 82800 )
NEW met1 ( 589950 655690 ) ( 787290 * )
NEW met1 ( 589950 113730 ) M1M2_PR
NEW met1 ( 589950 655690 ) M1M2_PR
NEW met1 ( 787290 655690 ) M1M2_PR
NEW met2 ( 787290 661980 ) M2M3_PR
NEW met1 ( 559130 113730 ) M1M2_PR ;
- wbs_dat_i[29] ( PIN wbs_dat_i[29] ) ( wbs_int wbs_dat_i[29] ) + USE SIGNAL
+ ROUTED met2 ( 787290 662490 ) ( * 666060 )
NEW met3 ( 787290 666060 ) ( 800860 * 0 )
NEW met2 ( 579830 82800 ) ( 582130 * )
NEW met2 ( 582130 1700 0 ) ( * 82800 )
NEW met2 ( 579830 82800 ) ( * 662490 )
NEW met1 ( 579830 662490 ) ( 787290 * )
NEW met1 ( 579830 662490 ) M1M2_PR
NEW met1 ( 787290 662490 ) M1M2_PR
NEW met2 ( 787290 666060 ) M2M3_PR ;
- wbs_dat_i[2] ( PIN wbs_dat_i[2] ) ( wbs_int wbs_dat_i[2] ) + USE SIGNAL
+ ROUTED met2 ( 89930 1700 ) ( 91310 * 0 )
NEW met2 ( 89930 1700 ) ( * 334390 )
NEW met2 ( 787290 545530 ) ( * 551820 )
NEW met3 ( 787290 551820 ) ( 800860 * 0 )
NEW met1 ( 89930 334390 ) ( 756010 * )
NEW met2 ( 756010 334390 ) ( * 545530 )
NEW met1 ( 756010 545530 ) ( 787290 * )
NEW met1 ( 89930 334390 ) M1M2_PR
NEW met1 ( 787290 545530 ) M1M2_PR
NEW met2 ( 787290 551820 ) M2M3_PR
NEW met1 ( 756010 334390 ) M1M2_PR
NEW met1 ( 756010 545530 ) M1M2_PR ;
- wbs_dat_i[30] ( PIN wbs_dat_i[30] ) ( wbs_int wbs_dat_i[30] ) + USE SIGNAL
+ ROUTED met2 ( 599610 1700 0 ) ( * 17850 )
NEW met1 ( 593630 17850 ) ( 599610 * )
NEW met2 ( 786830 669970 ) ( * 670140 )
NEW met3 ( 786830 670140 ) ( 800860 * 0 )
NEW met2 ( 593630 17850 ) ( * 99790 )
NEW met1 ( 593630 99790 ) ( 714150 * )
NEW met1 ( 714150 669970 ) ( 786830 * )
NEW met2 ( 714150 99790 ) ( * 669970 )
NEW met1 ( 599610 17850 ) M1M2_PR
NEW met1 ( 593630 17850 ) M1M2_PR
NEW met1 ( 593630 99790 ) M1M2_PR
NEW met1 ( 786830 669970 ) M1M2_PR
NEW met2 ( 786830 670140 ) M2M3_PR
NEW met1 ( 714150 99790 ) M1M2_PR
NEW met1 ( 714150 669970 ) M1M2_PR ;
- wbs_dat_i[31] ( PIN wbs_dat_i[31] ) ( wbs_int wbs_dat_i[31] ) + USE SIGNAL
+ ROUTED met2 ( 787290 669630 ) ( * 674220 )
NEW met3 ( 787290 674220 ) ( 800860 * 0 )
NEW met2 ( 615250 1700 ) ( 617550 * 0 )
NEW met1 ( 614330 105570 ) ( 624450 * )
NEW met1 ( 624450 669630 ) ( 787290 * )
NEW met2 ( 614330 82800 ) ( * 105570 )
NEW met2 ( 614330 82800 ) ( 615250 * )
NEW met2 ( 615250 1700 ) ( * 82800 )
NEW met2 ( 624450 105570 ) ( * 669630 )
NEW met1 ( 787290 669630 ) M1M2_PR
NEW met2 ( 787290 674220 ) M2M3_PR
NEW met1 ( 614330 105570 ) M1M2_PR
NEW met1 ( 624450 105570 ) M1M2_PR
NEW met1 ( 624450 669630 ) M1M2_PR ;
- wbs_dat_i[3] ( PIN wbs_dat_i[3] ) ( wbs_int wbs_dat_i[3] ) + USE SIGNAL
+ ROUTED met2 ( 112930 1700 ) ( 115230 * 0 )
NEW met2 ( 110630 82800 ) ( 112930 * )
NEW met2 ( 112930 1700 ) ( * 82800 )
NEW met2 ( 110630 82800 ) ( * 327590 )
NEW met2 ( 787750 552670 ) ( * 557940 )
NEW met3 ( 787750 557940 ) ( 800860 * 0 )
NEW met1 ( 110630 327590 ) ( 728410 * )
NEW met2 ( 728410 327590 ) ( * 552670 )
NEW met1 ( 728410 552670 ) ( 787750 * )
NEW met1 ( 110630 327590 ) M1M2_PR
NEW met1 ( 787750 552670 ) M1M2_PR
NEW met2 ( 787750 557940 ) M2M3_PR
NEW met1 ( 728410 327590 ) M1M2_PR
NEW met1 ( 728410 552670 ) M1M2_PR ;
- wbs_dat_i[4] ( PIN wbs_dat_i[4] ) ( wbs_int wbs_dat_i[4] ) + USE SIGNAL
+ ROUTED met2 ( 787290 559470 ) ( * 564060 )
NEW met3 ( 787290 564060 ) ( 800860 * 0 )
NEW met1 ( 138230 120530 ) ( 735310 * )
NEW met2 ( 138230 82800 ) ( * 120530 )
NEW met2 ( 138230 82800 ) ( 138690 * )
NEW met2 ( 138690 1700 0 ) ( * 82800 )
NEW met2 ( 735310 120530 ) ( * 559470 )
NEW met1 ( 735310 559470 ) ( 787290 * )
NEW met1 ( 787290 559470 ) M1M2_PR
NEW met2 ( 787290 564060 ) M2M3_PR
NEW met1 ( 138230 120530 ) M1M2_PR
NEW met1 ( 735310 120530 ) M1M2_PR
NEW met1 ( 735310 559470 ) M1M2_PR ;
- wbs_dat_i[5] ( PIN wbs_dat_i[5] ) ( wbs_int wbs_dat_i[5] ) + USE SIGNAL
+ ROUTED met2 ( 786830 566270 ) ( * 568140 )
NEW met3 ( 786830 568140 ) ( 800860 * 0 )
NEW met2 ( 154330 1700 ) ( 156630 * 0 )
NEW met1 ( 152030 320790 ) ( 721970 * )
NEW met1 ( 721970 566270 ) ( 786830 * )
NEW met2 ( 152030 82800 ) ( 154330 * )
NEW met2 ( 154330 1700 ) ( * 82800 )
NEW met2 ( 152030 82800 ) ( * 320790 )
NEW met2 ( 721970 320790 ) ( * 566270 )
NEW met1 ( 786830 566270 ) M1M2_PR
NEW met2 ( 786830 568140 ) M2M3_PR
NEW met1 ( 152030 320790 ) M1M2_PR
NEW met1 ( 721970 320790 ) M1M2_PR
NEW met1 ( 721970 566270 ) M1M2_PR ;
- wbs_dat_i[6] ( PIN wbs_dat_i[6] ) ( wbs_int wbs_dat_i[6] ) + USE SIGNAL
+ ROUTED met2 ( 787290 565930 ) ( * 572220 )
NEW met3 ( 787290 572220 ) ( 800860 * 0 )
NEW met2 ( 172730 1700 ) ( 174110 * 0 )
NEW met1 ( 172730 565930 ) ( 787290 * )
NEW met2 ( 172730 1700 ) ( * 565930 )
NEW met1 ( 787290 565930 ) M1M2_PR
NEW met2 ( 787290 572220 ) M2M3_PR
NEW met1 ( 172730 565930 ) M1M2_PR ;
- wbs_dat_i[7] ( PIN wbs_dat_i[7] ) ( wbs_int wbs_dat_i[7] ) + USE SIGNAL
+ ROUTED met2 ( 192050 1700 0 ) ( * 17510 )
NEW met1 ( 192050 17510 ) ( 196650 * )
NEW met2 ( 787750 572730 ) ( * 576300 )
NEW met3 ( 787750 576300 ) ( 800860 * 0 )
NEW met2 ( 196650 17510 ) ( * 572730 )
NEW met1 ( 196650 572730 ) ( 787750 * )
NEW met1 ( 192050 17510 ) M1M2_PR
NEW met1 ( 196650 17510 ) M1M2_PR
NEW met1 ( 196650 572730 ) M1M2_PR
NEW met1 ( 787750 572730 ) M1M2_PR
NEW met2 ( 787750 576300 ) M2M3_PR ;
- wbs_dat_i[8] ( PIN wbs_dat_i[8] ) ( wbs_int wbs_dat_i[8] ) + USE SIGNAL
+ ROUTED met2 ( 786830 580380 ) ( * 580550 )
NEW met3 ( 786830 580380 ) ( 800860 * 0 )
NEW met2 ( 207230 82800 ) ( * 127670 )
NEW met2 ( 207230 82800 ) ( 209530 * )
NEW met2 ( 209530 1700 0 ) ( * 82800 )
NEW met1 ( 207230 127670 ) ( 749110 * )
NEW met1 ( 749110 580550 ) ( 786830 * )
NEW met2 ( 749110 127670 ) ( * 580550 )
NEW met1 ( 207230 127670 ) M1M2_PR
NEW met1 ( 786830 580550 ) M1M2_PR
NEW met2 ( 786830 580380 ) M2M3_PR
NEW met1 ( 749110 127670 ) M1M2_PR
NEW met1 ( 749110 580550 ) M1M2_PR ;
- wbs_dat_i[9] ( PIN wbs_dat_i[9] ) ( wbs_int wbs_dat_i[9] ) + USE SIGNAL
+ ROUTED met2 ( 227470 1700 0 ) ( * 20570 )
NEW met2 ( 787750 579870 ) ( * 584460 )
NEW met3 ( 787750 584460 ) ( 800860 * 0 )
NEW met1 ( 227470 20570 ) ( 231150 * )
NEW met1 ( 231150 579870 ) ( 787750 * )
NEW met2 ( 231150 20570 ) ( * 579870 )
NEW met1 ( 227470 20570 ) M1M2_PR
NEW met1 ( 787750 579870 ) M1M2_PR
NEW met2 ( 787750 584460 ) M2M3_PR
NEW met1 ( 231150 20570 ) M1M2_PR
NEW met1 ( 231150 579870 ) M1M2_PR ;
- wbs_dat_o[0] ( PIN wbs_dat_o[0] ) + USE SIGNAL ;
- wbs_dat_o[10] ( PIN wbs_dat_o[10] ) + USE SIGNAL ;
- wbs_dat_o[11] ( PIN wbs_dat_o[11] ) + USE SIGNAL ;
- wbs_dat_o[12] ( PIN wbs_dat_o[12] ) + USE SIGNAL ;
- wbs_dat_o[13] ( PIN wbs_dat_o[13] ) + USE SIGNAL ;
- wbs_dat_o[14] ( PIN wbs_dat_o[14] ) + USE SIGNAL ;
- wbs_dat_o[15] ( PIN wbs_dat_o[15] ) + USE SIGNAL ;
- wbs_dat_o[16] ( PIN wbs_dat_o[16] ) + USE SIGNAL ;
- wbs_dat_o[17] ( PIN wbs_dat_o[17] ) + USE SIGNAL ;
- wbs_dat_o[18] ( PIN wbs_dat_o[18] ) + USE SIGNAL ;
- wbs_dat_o[19] ( PIN wbs_dat_o[19] ) + USE SIGNAL ;
- wbs_dat_o[1] ( PIN wbs_dat_o[1] ) + USE SIGNAL ;
- wbs_dat_o[20] ( PIN wbs_dat_o[20] ) + USE SIGNAL ;
- wbs_dat_o[21] ( PIN wbs_dat_o[21] ) + USE SIGNAL ;
- wbs_dat_o[22] ( PIN wbs_dat_o[22] ) + USE SIGNAL ;
- wbs_dat_o[23] ( PIN wbs_dat_o[23] ) + USE SIGNAL ;
- wbs_dat_o[24] ( PIN wbs_dat_o[24] ) + USE SIGNAL ;
- wbs_dat_o[25] ( PIN wbs_dat_o[25] ) + USE SIGNAL ;
- wbs_dat_o[26] ( PIN wbs_dat_o[26] ) + USE SIGNAL ;
- wbs_dat_o[27] ( PIN wbs_dat_o[27] ) + USE SIGNAL ;
- wbs_dat_o[28] ( PIN wbs_dat_o[28] ) + USE SIGNAL ;
- wbs_dat_o[29] ( PIN wbs_dat_o[29] ) + USE SIGNAL ;
- wbs_dat_o[2] ( PIN wbs_dat_o[2] ) + USE SIGNAL ;
- wbs_dat_o[30] ( PIN wbs_dat_o[30] ) + USE SIGNAL ;
- wbs_dat_o[31] ( PIN wbs_dat_o[31] ) + USE SIGNAL ;
- wbs_dat_o[3] ( PIN wbs_dat_o[3] ) + USE SIGNAL ;
- wbs_dat_o[4] ( PIN wbs_dat_o[4] ) + USE SIGNAL ;
- wbs_dat_o[5] ( PIN wbs_dat_o[5] ) + USE SIGNAL ;
- wbs_dat_o[6] ( PIN wbs_dat_o[6] ) + USE SIGNAL ;
- wbs_dat_o[7] ( PIN wbs_dat_o[7] ) + USE SIGNAL ;
- wbs_dat_o[8] ( PIN wbs_dat_o[8] ) + USE SIGNAL ;
- wbs_dat_o[9] ( PIN wbs_dat_o[9] ) + USE SIGNAL ;
- wbs_sel_i[0] ( PIN wbs_sel_i[0] ) ( wbs_int wbs_sel_i[0] ) + USE SIGNAL
+ ROUTED met2 ( 787290 538390 ) ( * 541620 )
NEW met3 ( 787290 541620 ) ( 800860 * 0 )
NEW met2 ( 55890 1700 0 ) ( * 16490 )
NEW met1 ( 55890 16490 ) ( 72450 * )
NEW met2 ( 72450 16490 ) ( * 538390 )
NEW met1 ( 72450 538390 ) ( 787290 * )
NEW met1 ( 787290 538390 ) M1M2_PR
NEW met2 ( 787290 541620 ) M2M3_PR
NEW met1 ( 55890 16490 ) M1M2_PR
NEW met1 ( 72450 16490 ) M1M2_PR
NEW met1 ( 72450 538390 ) M1M2_PR ;
- wbs_sel_i[1] ( PIN wbs_sel_i[1] ) ( wbs_int wbs_sel_i[1] ) + USE SIGNAL
+ ROUTED met2 ( 786830 545190 ) ( * 547740 )
NEW met3 ( 786830 547740 ) ( 800860 * 0 )
NEW met2 ( 79810 1700 0 ) ( * 30770 )
NEW met1 ( 79810 30770 ) ( 251850 * )
NEW met2 ( 251850 30770 ) ( * 545190 )
NEW met1 ( 251850 545190 ) ( 786830 * )
NEW met1 ( 786830 545190 ) M1M2_PR
NEW met2 ( 786830 547740 ) M2M3_PR
NEW met1 ( 79810 30770 ) M1M2_PR
NEW met1 ( 251850 30770 ) M1M2_PR
NEW met1 ( 251850 545190 ) M1M2_PR ;
- wbs_sel_i[2] ( PIN wbs_sel_i[2] ) ( wbs_int wbs_sel_i[2] ) + USE SIGNAL
+ ROUTED met2 ( 103270 1700 0 ) ( * 44710 )
NEW met2 ( 787290 552330 ) ( * 553860 )
NEW met3 ( 787290 553860 ) ( 800860 * 0 )
NEW met1 ( 103270 44710 ) ( 341550 * )
NEW met2 ( 341550 44710 ) ( * 552330 )
NEW met1 ( 341550 552330 ) ( 787290 * )
NEW met1 ( 103270 44710 ) M1M2_PR
NEW met1 ( 787290 552330 ) M1M2_PR
NEW met2 ( 787290 553860 ) M2M3_PR
NEW met1 ( 341550 44710 ) M1M2_PR
NEW met1 ( 341550 552330 ) M1M2_PR ;
- wbs_sel_i[3] ( PIN wbs_sel_i[3] ) ( wbs_int wbs_sel_i[3] ) + USE SIGNAL
+ ROUTED met2 ( 124430 82800 ) ( 126730 * )
NEW met2 ( 126730 1700 0 ) ( * 82800 )
NEW met2 ( 124430 82800 ) ( * 559130 )
NEW met2 ( 786830 559130 ) ( * 559980 )
NEW met3 ( 786830 559980 ) ( 800860 * 0 )
NEW met1 ( 124430 559130 ) ( 786830 * )
NEW met1 ( 124430 559130 ) M1M2_PR
NEW met1 ( 786830 559130 ) M1M2_PR
NEW met2 ( 786830 559980 ) M2M3_PR ;
- wbs_stb_i ( PIN wbs_stb_i ) ( wbs_int wbs_stb_i ) + USE SIGNAL
+ ROUTED met2 ( 20930 82800 ) ( 26450 * )
NEW met2 ( 26450 1700 0 ) ( * 82800 )
NEW met2 ( 20930 82800 ) ( * 313990 )
NEW met2 ( 786830 532270 ) ( * 533460 )
NEW met3 ( 786830 533460 ) ( 800860 * 0 )
NEW met1 ( 20930 313990 ) ( 743130 * )
NEW met2 ( 743130 313990 ) ( * 532270 )
NEW met1 ( 743130 532270 ) ( 786830 * )
NEW met1 ( 20930 313990 ) M1M2_PR
NEW met1 ( 786830 532270 ) M1M2_PR
NEW met2 ( 786830 533460 ) M2M3_PR
NEW met1 ( 743130 313990 ) M1M2_PR
NEW met1 ( 743130 532270 ) M1M2_PR ;
- wbs_we_i ( PIN wbs_we_i ) ( wbs_int wbs_we_i ) + USE SIGNAL
+ ROUTED met2 ( 30130 1700 ) ( 32430 * 0 )
NEW met2 ( 30130 1700 ) ( * 51510 )
NEW met2 ( 787290 531930 ) ( * 535500 )
NEW met3 ( 787290 535500 ) ( 800860 * 0 )
NEW met1 ( 30130 51510 ) ( 355350 * )
NEW met2 ( 355350 51510 ) ( * 531930 )
NEW met1 ( 355350 531930 ) ( 787290 * )
NEW met1 ( 30130 51510 ) M1M2_PR
NEW met1 ( 787290 531930 ) M1M2_PR
NEW met2 ( 787290 535500 ) M2M3_PR
NEW met1 ( 355350 51510 ) M1M2_PR
NEW met1 ( 355350 531930 ) M1M2_PR ;
- web0 ( wbs_int web0 ) ( imem web0 ) + USE SIGNAL
+ ROUTED met2 ( 1014070 526660 ) ( * 531250 )
NEW met1 ( 1014070 531250 ) ( 1017750 * )
NEW met1 ( 1017750 531250 ) ( * 531590 )
NEW met3 ( 999580 526660 0 ) ( 1014070 * )
NEW met2 ( 1490170 531590 ) ( * 536180 )
NEW met3 ( 1490170 536180 ) ( 1497300 * )
NEW met3 ( 1497300 536180 ) ( * 536230 )
NEW met3 ( 1497300 536230 ) ( 1500060 * 0 )
NEW met1 ( 1017750 531590 ) ( 1490170 * )
NEW met2 ( 1014070 526660 ) M2M3_PR
NEW met1 ( 1014070 531250 ) M1M2_PR
NEW met1 ( 1490170 531590 ) M1M2_PR
NEW met2 ( 1490170 536180 ) M2M3_PR ;
- wmask0\[0\] ( wbs_int wmask0[0] ) ( imem wmask0[0] ) + USE SIGNAL
+ ROUTED met4 ( 1583830 498100 ) ( * 500530 0 )
NEW met4 ( 1582860 498100 ) ( 1583830 * )
NEW met4 ( 1582860 489260 ) ( * 498100 )
NEW met3 ( 1582630 489260 ) ( 1582860 * )
NEW met2 ( 1582630 489260 ) ( * 489770 )
NEW met1 ( 1019590 489770 ) ( 1582630 * )
NEW met2 ( 1007630 523430 ) ( * 530060 )
NEW met1 ( 1007630 523430 ) ( 1019590 * )
NEW met3 ( 999580 530060 0 ) ( 1007630 * )
NEW met2 ( 1019590 489770 ) ( * 523430 )
NEW met1 ( 1019590 489770 ) M1M2_PR
NEW met3 ( 1582860 489260 ) M3M4_PR
NEW met2 ( 1582630 489260 ) M2M3_PR
NEW met1 ( 1582630 489770 ) M1M2_PR
NEW met2 ( 1007630 530060 ) M2M3_PR
NEW met1 ( 1007630 523430 ) M1M2_PR
NEW met1 ( 1019590 523430 ) M1M2_PR
NEW met3 ( 1582860 489260 ) RECT ( 0 -150 390 150 ) ;
- wmask0\[1\] ( wbs_int wmask0[1] ) ( imem wmask0[1] ) + USE SIGNAL
+ ROUTED met2 ( 1446470 488750 ) ( * 531930 )
NEW met4 ( 1589270 498100 ) ( * 500530 0 )
NEW met4 ( 1589270 498100 ) ( 1589300 * )
NEW met4 ( 1589300 489260 ) ( * 498100 )
NEW met3 ( 1589070 489260 ) ( 1589300 * )
NEW met2 ( 1589070 488750 ) ( * 489260 )
NEW met1 ( 1446470 488750 ) ( 1589070 * )
NEW met2 ( 1014070 531930 ) ( * 533460 )
NEW met3 ( 999580 533460 0 ) ( 1014070 * )
NEW met1 ( 1014070 531930 ) ( 1446470 * )
NEW met1 ( 1446470 488750 ) M1M2_PR
NEW met1 ( 1446470 531930 ) M1M2_PR
NEW met3 ( 1589300 489260 ) M3M4_PR
NEW met2 ( 1589070 489260 ) M2M3_PR
NEW met1 ( 1589070 488750 ) M1M2_PR
NEW met2 ( 1014070 533460 ) M2M3_PR
NEW met1 ( 1014070 531930 ) M1M2_PR
NEW met3 ( 1589300 489260 ) RECT ( 0 -150 390 150 ) ;
- wmask0\[2\] ( wbs_int wmask0[2] ) ( imem wmask0[2] ) + USE SIGNAL
+ ROUTED met2 ( 1470850 488070 ) ( * 527850 )
NEW met4 ( 1596070 498100 ) ( * 500530 0 )
NEW met4 ( 1595740 498100 ) ( 1596070 * )
NEW met4 ( 1595740 489260 ) ( * 498100 )
NEW met3 ( 1595510 489260 ) ( 1595740 * )
NEW met2 ( 1595510 488070 ) ( * 489260 )
NEW met1 ( 1470850 488070 ) ( 1595510 * )
NEW met2 ( 1008550 527850 ) ( * 536860 )
NEW met3 ( 999580 536860 0 ) ( 1008550 * )
NEW met1 ( 1008550 527850 ) ( 1470850 * )
NEW met1 ( 1470850 488070 ) M1M2_PR
NEW met1 ( 1470850 527850 ) M1M2_PR
NEW met3 ( 1595740 489260 ) M3M4_PR
NEW met2 ( 1595510 489260 ) M2M3_PR
NEW met1 ( 1595510 488070 ) M1M2_PR
NEW met2 ( 1008550 536860 ) M2M3_PR
NEW met1 ( 1008550 527850 ) M1M2_PR
NEW met3 ( 1595740 489260 ) RECT ( 0 -150 390 150 ) ;
- wmask0\[3\] ( wbs_int wmask0[3] ) ( imem wmask0[3] ) + USE SIGNAL
+ ROUTED met4 ( 1600830 498100 ) ( * 500530 0 )
NEW met3 ( 1600830 498100 ) ( 1601030 * )
NEW met2 ( 1601030 494530 ) ( * 498100 )
NEW met1 ( 1431750 494530 ) ( 1601030 * )
NEW met2 ( 1014070 538390 ) ( * 540260 )
NEW met3 ( 999580 540260 0 ) ( 1014070 * )
NEW met1 ( 1014070 538390 ) ( 1431750 * )
NEW met2 ( 1431750 494530 ) ( * 538390 )
NEW met1 ( 1431750 494530 ) M1M2_PR
NEW met3 ( 1600830 498100 ) M3M4_PR
NEW met2 ( 1601030 498100 ) M2M3_PR
NEW met1 ( 1601030 494530 ) M1M2_PR
NEW met2 ( 1014070 540260 ) M2M3_PR
NEW met1 ( 1014070 538390 ) M1M2_PR
NEW met1 ( 1431750 538390 ) M1M2_PR
NEW met3 ( 1600830 498100 ) RECT ( -420 -150 0 150 ) ;
END NETS
END DESIGN