digital pll integration
diff --git a/gds/digital_pll.gds.gz b/gds/digital_pll.gds.gz
new file mode 100644
index 0000000..8c48880
--- /dev/null
+++ b/gds/digital_pll.gds.gz
Binary files differ
diff --git a/gds/pinmux.gds.gz b/gds/pinmux.gds.gz
index adb8b79..f862b68 100644
--- a/gds/pinmux.gds.gz
+++ b/gds/pinmux.gds.gz
Binary files differ
diff --git a/gds/uart_i2c_usb_spi_top.gds.gz b/gds/uart_i2c_usb_spi_top.gds.gz
index 16d07c1..38f9385 100644
--- a/gds/uart_i2c_usb_spi_top.gds.gz
+++ b/gds/uart_i2c_usb_spi_top.gds.gz
Binary files differ
diff --git a/gds/user_project_wrapper.gds.gz b/gds/user_project_wrapper.gds.gz
index 9fb0cb0..e3c51d8 100644
--- a/gds/user_project_wrapper.gds.gz
+++ b/gds/user_project_wrapper.gds.gz
Binary files differ
diff --git a/gds/wb_host.gds.gz b/gds/wb_host.gds.gz
index 304b95b..2d5ae33 100644
--- a/gds/wb_host.gds.gz
+++ b/gds/wb_host.gds.gz
Binary files differ
diff --git a/lef/digital_pll.lef.gz b/lef/digital_pll.lef.gz
new file mode 100644
index 0000000..4db3e99
--- /dev/null
+++ b/lef/digital_pll.lef.gz
Binary files differ
diff --git a/lef/pinmux.lef.gz b/lef/pinmux.lef.gz
index 32a0f96..12f295f 100644
--- a/lef/pinmux.lef.gz
+++ b/lef/pinmux.lef.gz
Binary files differ
diff --git a/lef/uart_i2c_usb_spi_top.lef.gz b/lef/uart_i2c_usb_spi_top.lef.gz
index e9cdd38..4608143 100644
--- a/lef/uart_i2c_usb_spi_top.lef.gz
+++ b/lef/uart_i2c_usb_spi_top.lef.gz
Binary files differ
diff --git a/lef/user_project_wrapper.lef.gz b/lef/user_project_wrapper.lef.gz
index 0bbe6b2..a212af6 100644
--- a/lef/user_project_wrapper.lef.gz
+++ b/lef/user_project_wrapper.lef.gz
Binary files differ
diff --git a/lef/wb_host.lef.gz b/lef/wb_host.lef.gz
index 6b506e5..4625ce5 100644
--- a/lef/wb_host.lef.gz
+++ b/lef/wb_host.lef.gz
Binary files differ
diff --git a/openlane/pinmux/pin_order.cfg b/openlane/pinmux/pin_order.cfg
index 46dd963..ae96bb8 100644
--- a/openlane/pinmux/pin_order.cfg
+++ b/openlane/pinmux/pin_order.cfg
@@ -93,6 +93,7 @@
 pinmux_debug\[29\]
 pinmux_debug\[30\]
 pinmux_debug\[31\]
+dbg_clk_mon
 
 #W
 
diff --git a/openlane/uart_i2cm_usb_spi_top/base.sdc b/openlane/uart_i2cm_usb_spi_top/base.sdc
index 69c2345..4a33fc5 100644
--- a/openlane/uart_i2cm_usb_spi_top/base.sdc
+++ b/openlane/uart_i2cm_usb_spi_top/base.sdc
@@ -7,8 +7,8 @@
 # Timing Constraints
 ###############################################################################
 create_clock -name app_clk -period 10.0000 [get_ports {app_clk}]
-create_clock -name uart0_baud_clk -period 100.0000 [get_pins {u_uart0_core.u_lineclk_buf.u_mux/X}]
-create_clock -name uart1_baud_clk -period 100.0000 [get_pins {u_uart1_core.u_lineclk_buf.u_mux/X}]
+create_clock -name uart0_baud_clk -period 100.0000 [get_pins {u_uart0_core.u_lineclk_buf.genblk1.u_mux/X}]
+create_clock -name uart1_baud_clk -period 100.0000 [get_pins {u_uart1_core.u_lineclk_buf.genblk1.u_mux/X}]
 create_clock -name usb_clk -period 100.0000 [get_ports {usb_clk}]
 
 set_clock_transition 0.1500 [all_clocks]
diff --git a/openlane/uart_i2cm_usb_spi_top/config.tcl b/openlane/uart_i2cm_usb_spi_top/config.tcl
index 9b0cbc5..a97080d 100644
--- a/openlane/uart_i2cm_usb_spi_top/config.tcl
+++ b/openlane/uart_i2cm_usb_spi_top/config.tcl
@@ -27,7 +27,7 @@
 
 # Timing configuration
 set ::env(CLOCK_PERIOD) "10"
-set ::env(CLOCK_PORT) "app_clk usb_clk u_uart_core.u_lineclk_buf.u_mux/X"
+set ::env(CLOCK_PORT) "app_clk usb_clk u_uart0_core.u_lineclk_buf.genblk1.u_mux/X u_uart1_core.u_lineclk_buf.genblk1.u_mux/X"
 
 set ::env(SYNTH_MAX_FANOUT) 4
 
diff --git a/openlane/user_project_wrapper/config.tcl b/openlane/user_project_wrapper/config.tcl
index 641005b..65abbfa 100644
--- a/openlane/user_project_wrapper/config.tcl
+++ b/openlane/user_project_wrapper/config.tcl
@@ -76,6 +76,7 @@
 	$proj_dir/../../verilog/gl/ycr_intf.v \
 	$proj_dir/../../verilog/gl/ycr_core_top.v \
 	$proj_dir/../../verilog/gl/ycr4_iconnect.v \
+	$proj_dir/../../verilog/gl/digital_pll.v \
 	$::env(PDK_ROOT)/sky130A/libs.ref/sky130_sram_macros/verilog/sky130_sram_2kbyte_1rw1r_32x512_8.v \
 	"
 
@@ -88,6 +89,7 @@
 	$lef_root/ycr_intf.lef \
 	$lef_root/ycr_core_top.lef \
 	$lef_root/ycr4_iconnect.lef \
+	$lef_root/digital_pll.lef \
 	$::env(PDK_ROOT)/sky130A/libs.ref/sky130_sram_macros/lef/sky130_sram_2kbyte_1rw1r_32x512_8.lef \
 	"
 
@@ -100,6 +102,7 @@
 	$gds_root/ycr_intf.gds \
 	$gds_root/ycr_core_top.gds \
 	$gds_root/ycr4_iconnect.gds \
+	$gds_root/digital_pll.gds \
 	$::env(PDK_ROOT)/sky130A/libs.ref/sky130_sram_macros/gds/sky130_sram_2kbyte_1rw1r_32x512_8.gds \
 	"
 
diff --git a/openlane/user_project_wrapper/macro.cfg b/openlane/user_project_wrapper/macro.cfg
index 602ee8d..a96d256 100644
--- a/openlane/user_project_wrapper/macro.cfg
+++ b/openlane/user_project_wrapper/macro.cfg
@@ -15,3 +15,4 @@
 
 u_intercon                  1850            650            N
 u_wb_host                   1750            100            N
+u_pll                       2200            100            N
diff --git a/openlane/wb_host/base.sdc b/openlane/wb_host/base.sdc
index 9d9cc79..a5b2325 100644
--- a/openlane/wb_host/base.sdc
+++ b/openlane/wb_host/base.sdc
@@ -8,7 +8,12 @@
 ###############################################################################
 create_clock -name wbm_clk_i -period 10.0000 [get_ports {wbm_clk_i}]
 create_clock -name wbs_clk_i -period 10.0000 [get_ports {wbs_clk_i}]
-create_clock -name uart_clk -period 100.0000 [get_pins {u_uart2wb.u_core.u_uart_clk.u_mux/X}]
+create_clock -name uart_clk -period 100.0000 [get_pins {u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X}]
+
+create_clock -name int_pll_clock -period 10.0000  [get_pins {u_clkbuf_pll.u_buf/X}]
+create_clock -name wbs_ref_clk   -period 10.0000  [get_pins {u_wbs_ref_clkbuf.u_buf/X}]
+create_clock -name cpu_ref_clk   -period 10.0000  [get_pins {u_cpu_ref_clkbuf.u_buf/X}]
+create_clock -name usb_ref_clk   -period 10.0000  [get_pins {u_usb_ref_clkbuf.u_buf/X}]
 
 set_clock_transition 0.1500 [all_clocks]
 set_clock_uncertainty -setup 0.2500 [all_clocks]
@@ -20,9 +25,14 @@
 set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}]
 
 set_clock_groups -name async_clock -asynchronous \
- -group [get_clocks {uart_clk}]  \
- -group [get_clocks {wbs_clk_i}] \
- -group [get_clocks {wbm_clk_i}] -comment {Async Clock group}
+ -group [get_clocks {uart_clk}]      \
+ -group [get_clocks {wbs_clk_i}]     \
+ -group [get_clocks {wbm_clk_i}]     \
+ -group [get_clocks {int_pll_clock}] \
+ -group [get_clocks {wbs_ref_clk}]   \
+ -group [get_clocks {cpu_ref_clk}]   \
+ -group [get_clocks {usb_ref_clk}]   \
+ -comment {Async Clock group}
 
 ### ClkSkew Adjust
 set_case_analysis 0 [get_ports {cfg_cska_wh[0]}]
diff --git a/openlane/wb_host/config.tcl b/openlane/wb_host/config.tcl
index 4ebb2bb..0d8ff81 100755
--- a/openlane/wb_host/config.tcl
+++ b/openlane/wb_host/config.tcl
@@ -26,7 +26,7 @@
 
 # Timing configuration
 set ::env(CLOCK_PERIOD) "10"
-set ::env(CLOCK_PORT) "wbm_clk_i wbs_clk_i u_uart2wb.u_core.u_uart_clk.u_mux/X"
+set ::env(CLOCK_PORT) "wbm_clk_i wbs_clk_i u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X"
 
 set ::env(SYNTH_MAX_FANOUT) 4
 
@@ -85,7 +85,7 @@
 
 
 set ::env(PL_TIME_DRIVEN) 1
-set ::env(PL_TARGET_DENSITY) "0.38"
+set ::env(PL_TARGET_DENSITY) "0.40"
 
 
 
diff --git a/openlane/wb_host/pin_order.cfg b/openlane/wb_host/pin_order.cfg
index 3448c6c..17b3e01 100644
--- a/openlane/wb_host/pin_order.cfg
+++ b/openlane/wb_host/pin_order.cfg
@@ -5,22 +5,6 @@
 
 #W
 usb_clk          0000 0 4
-cfg_clk_ctrl1\[31\]
-cfg_clk_ctrl1\[30\]
-cfg_clk_ctrl1\[29\]
-cfg_clk_ctrl1\[28\]
-cfg_clk_ctrl2\[27\]
-cfg_clk_ctrl2\[26\]
-cfg_clk_ctrl2\[25\]
-cfg_clk_ctrl2\[24\]
-cfg_clk_ctrl2\[23\]
-cfg_clk_ctrl2\[22\]
-cfg_clk_ctrl2\[21\]
-cfg_clk_ctrl2\[20\]
-cfg_clk_ctrl2\[19\]
-cfg_clk_ctrl2\[18\]
-cfg_clk_ctrl2\[17\]
-cfg_clk_ctrl2\[16\]
 
 cpu_clk               0100 0 2
 rtc_clk
@@ -159,33 +143,61 @@
 la_data_in\[17\]    
 
 #E
+cfg_dc_trim\[7\]   000 0 2
+cfg_dc_trim\[8\]
+cfg_dc_trim\[9\]
+cfg_dc_trim\[10\]
+cfg_dc_trim\[11\]
+cfg_dc_trim\[12\]
+cfg_dc_trim\[13\]
+cfg_dc_trim\[14\]
+cfg_dc_trim\[15\]
+cfg_dc_trim\[16\]
+cfg_dc_trim\[17\]
+cfg_dc_trim\[18\]
+cfg_dc_trim\[19\]
 
-uartm_rxd           100 0 2
+cfg_dc_trim\[25\]
+cfg_dc_trim\[24\]
+cfg_dc_trim\[23\]
+cfg_dc_trim\[22\]
+cfg_dc_trim\[21\]
+cfg_dc_trim\[20\]
+
+pll_clk_out\[0\]
+pll_clk_out\[1\]
+cfg_pll_fed_div\[0\]
+cfg_pll_fed_div\[1\]
+cfg_pll_fed_div\[2\]
+cfg_pll_fed_div\[3\]
+cfg_pll_fed_div\[4\]
+cfg_pll_enb
+cfg_dco_mode
+cfg_dc_trim\[0\]
+cfg_dc_trim\[1\]
+cfg_dc_trim\[2\]
+cfg_dc_trim\[3\]
+cfg_dc_trim\[4\]
+cfg_dc_trim\[5\]
+cfg_dc_trim\[6\]
+
+wbd_pll_rst_n 
+pll_ref_clk  
+
+
+
+uartm_rxd           300 0 2
 uartm_txd
 
+dbg_clk_mon
+
 
 #N
 wbd_int_rst_n         0100 0 2
-cfg_clk_ctrl2\[31\]
-cfg_clk_ctrl2\[30\]
-cfg_clk_ctrl2\[29\]
-cfg_clk_ctrl2\[28\]
-cfg_clk_ctrl2\[15\]
-cfg_clk_ctrl2\[14\]
-cfg_clk_ctrl2\[13\]
-cfg_clk_ctrl2\[12\]
-cfg_clk_ctrl2\[11\]
-cfg_clk_ctrl2\[10\]
-cfg_clk_ctrl2\[9\]
-cfg_clk_ctrl2\[8\]
-cfg_clk_ctrl2\[7\]
-cfg_clk_ctrl2\[6\]
-cfg_clk_ctrl2\[5\]
-cfg_clk_ctrl2\[4\]
-cfg_clk_ctrl2\[3\]
-cfg_clk_ctrl2\[2\]
-cfg_clk_ctrl2\[1\]
-cfg_clk_ctrl2\[0\]
+cfg_clk_ctrl1\[31\]
+cfg_clk_ctrl1\[30\]
+cfg_clk_ctrl1\[29\]
+cfg_clk_ctrl1\[28\]
 cfg_clk_ctrl1\[27\]
 cfg_clk_ctrl1\[26\]
 cfg_clk_ctrl1\[25\]
diff --git a/spef/digital_pll.spef.gz b/spef/digital_pll.spef.gz
new file mode 100644
index 0000000..33f2f51
--- /dev/null
+++ b/spef/digital_pll.spef.gz
Binary files differ
diff --git a/spef/pinmux.spef.gz b/spef/pinmux.spef.gz
index a9fe2d3..0149ba6 100644
--- a/spef/pinmux.spef.gz
+++ b/spef/pinmux.spef.gz
Binary files differ
diff --git a/spef/uart_i2c_usb_spi_top.spef.gz b/spef/uart_i2c_usb_spi_top.spef.gz
index 1a9c7c1..205e5d3 100644
--- a/spef/uart_i2c_usb_spi_top.spef.gz
+++ b/spef/uart_i2c_usb_spi_top.spef.gz
Binary files differ
diff --git a/spef/user_project_wrapper.spef.gz b/spef/user_project_wrapper.spef.gz
index c6cced6..1fdb966 100644
--- a/spef/user_project_wrapper.spef.gz
+++ b/spef/user_project_wrapper.spef.gz
Binary files differ
diff --git a/spef/wb_host.spef.gz b/spef/wb_host.spef.gz
index b2da7d6..9b162c0 100644
--- a/spef/wb_host.spef.gz
+++ b/spef/wb_host.spef.gz
Binary files differ
diff --git a/spi/lvs/pinmux.spice.gz b/spi/lvs/pinmux.spice.gz
index ac8e73b..c464f97 100644
--- a/spi/lvs/pinmux.spice.gz
+++ b/spi/lvs/pinmux.spice.gz
Binary files differ
diff --git a/spi/lvs/uart_i2c_usb_spi_top.spice.gz b/spi/lvs/uart_i2c_usb_spi_top.spice.gz
index 7d796c8..3d2207d 100644
--- a/spi/lvs/uart_i2c_usb_spi_top.spice.gz
+++ b/spi/lvs/uart_i2c_usb_spi_top.spice.gz
Binary files differ
diff --git a/spi/lvs/user_project_wrapper.spice.gz b/spi/lvs/user_project_wrapper.spice.gz
index 1e3836c..f2b1a48 100644
--- a/spi/lvs/user_project_wrapper.spice.gz
+++ b/spi/lvs/user_project_wrapper.spice.gz
Binary files differ
diff --git a/spi/lvs/wb_host.spice.gz b/spi/lvs/wb_host.spice.gz
index bf0c472..fc924d4 100644
--- a/spi/lvs/wb_host.spice.gz
+++ b/spi/lvs/wb_host.spice.gz
Binary files differ
diff --git a/sta/scripts/caravel_timing.tcl b/sta/scripts/caravel_timing.tcl
index d1d8819..e80b873 100644
--- a/sta/scripts/caravel_timing.tcl
+++ b/sta/scripts/caravel_timing.tcl
@@ -157,6 +157,7 @@
         read_spef -path mprj/u_uart_i2c_usb_spi               $::env(USER_ROOT)/spef/uart_i2c_usb_spi_top.spef
         read_spef -path mprj/u_wb_host                        $::env(USER_ROOT)/spef/wb_host.spef
         read_spef -path mprj/u_intercon                       $::env(USER_ROOT)/spef/wb_interconnect.spef
+	read_spef -path mprj/u_pll                            $::env(USER_ROOT)/spef/digital_pll.spef	
         read_spef -path mprj                                  $::env(USER_ROOT)/spef/user_project_wrapper.spef  
 
 
diff --git a/sta/sdc/caravel.sdc b/sta/sdc/caravel.sdc
index c9efeb9..02e4326 100644
--- a/sta/sdc/caravel.sdc
+++ b/sta/sdc/caravel.sdc
@@ -14,14 +14,24 @@
 #create_clock [get_pins  clocking/pll_clk90 ] -name "pll_clk90"  -period 25
 
 create_generated_clock -name wb_clk -add -source [get_ports {clock}] -master_clock [get_clocks clock] -divide_by 1 -comment {Wishbone User Clock} [get_pins mprj/wb_clk_i]
+create_clock -name int_pll_clock -period 5.0000  [get_pins {mprj/u_wb_host/u_clkbuf_pll.u_buf/X}]
+
+create_clock -name wbs_ref_clk -period 5.0000   [get_pins {mprj/u_wb_host/u_wbs_ref_clkbuf.u_buf/X}]
 create_clock -name wbs_clk_i   -period 10.0000  [get_pins {mprj/u_wb_host/wbs_clk_out}]
-create_clock -name cpu_ref_clk -period 10.0000  [get_pins {mprj/u_wb_host/u_cpu_ref_sel.u_mux/X}]
+
+create_clock -name cpu_ref_clk -period 5.0000   [get_pins {mprj/u_wb_host/u_cpu_ref_clkbuf.u_buf/X}]
 create_clock -name cpu_clk     -period 10.0000  [get_pins {mprj/u_wb_host/cpu_clk}]
+
 create_clock -name rtc_clk     -period 50.0000  [get_pins {mprj/u_wb_host/rtc_clk}]
+
+create_clock -name pll_ref_clk -period 20.0000  [get_pins {mprj/u_wb_host/pll_ref_clk}]
+create_clock -name pll_clk_0   -period 5.0000   [get_pins {mprj/u_pll/ringosc.ibufp01/Y}]
+
+create_clock -name usb_ref_clk -period 5.0000   [get_pins {mprj/u_wb_host/u_usb_ref_clkbuf.u_buf/X}]
 create_clock -name usb_clk     -period 20.0000  [get_pins {mprj/u_wb_host/usb_clk}]
-create_clock -name uarts0_clk  -period 100.0000 [get_pins {mprj/u_uart_i2c_usb_spi/u_uart0_core.u_lineclk_buf.u_mux/X}]
-create_clock -name uarts1_clk  -period 100.0000 [get_pins {mprj/u_uart_i2c_usb_spi/u_uart1_core.u_lineclk_buf.u_mux/X}]
-create_clock -name uartm_clk   -period 100.0000 [get_pins {mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.u_mux/X}]
+create_clock -name uarts0_clk  -period 100.0000 [get_pins {mprj/u_uart_i2c_usb_spi/u_uart0_core.u_lineclk_buf.genblk1.u_mux/X}]
+create_clock -name uarts1_clk  -period 100.0000 [get_pins {mprj/u_uart_i2c_usb_spi/u_uart1_core.u_lineclk_buf.genblk1.u_mux/X}]
+create_clock -name uartm_clk   -period 100.0000 [get_pins {mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X}]
 
 
 ## Case analysis
@@ -70,17 +80,22 @@
 set_case_analysis 0 [get_pins {mprj/u_riscv_top.u_connect/cfg_sram_lphase[1]}]
 
 #disable clock gating check at static clock select pins
-set_false_path -through [get_pins mprj/u_wb_host/u_wbs_clk_sel.u_mux/S]
+set_false_path -through [get_pins mprj/u_wb_host/u_wbs_clk_sel.genblk1.u_mux/S]
 
 set_propagated_clock [all_clocks]
 
 set_clock_groups -name async_clock -asynchronous \
  -group [get_clocks {clock wb_clk }]\
  -group [get_clocks {user_clk2}]\
+ -group [get_clocks {int_pll_clock}]\
  -group [get_clocks {wbs_clk_i}]\
+ -group [get_clocks {wbs_ref_clk}]\
  -group [get_clocks {cpu_clk}]\
  -group [get_clocks {cpu_ref_clk}]\
  -group [get_clocks {rtc_clk}]\
+ -group [get_clocks {usb_ref_clk}]\
+ -group [get_clocks {pll_ref_clk}]\
+ -group [get_clocks {pll_clk_0}]\
  -group [get_clocks {usb_clk}]\
  -group [get_clocks {uarts0_clk}]\
  -group [get_clocks {uarts1_clk}]\
@@ -149,8 +164,6 @@
 set_false_path -from [get_ports mprj_io[*]]
 set_false_path -from [get_ports gpio]
 
-## User Project static signals
-set_false_path -through [get_pins mprj/u_pinmux/bist_en]
 
 # TODO set this as parameter
 set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0]
diff --git a/verilog/dv/risc_boot/Makefile b/verilog/dv/risc_boot/Makefile
index 4422d7d..8d46511 100644
--- a/verilog/dv/risc_boot/Makefile
+++ b/verilog/dv/risc_boot/Makefile
@@ -23,6 +23,7 @@
 
 DESIGNS?=../../..
 CONFIG = caravel_user_project
+TOOLS?=/opt/riscv64i/
 
 ########################################################
 #include $(MCW_ROOT)/verilog/dv/make/env.makefile
@@ -139,7 +140,7 @@
 %.lst: %.elf
 	${GCC_PREFIX}-objdump -d -S $< > $@
 
-%.hex: %.elf
+%.hex: %.elf %.lst
 	${GCC_PREFIX}-objcopy -O verilog $< $@ 
 	# to fix flash base address
 	sed -ie 's/@10/@00/g' $@
@@ -163,26 +164,26 @@
 ## RTL
 ifeq ($(SIM),RTL)
    ifeq ($(DUMP),OFF)
-	iverilog -g2005-sv -Ttyp -DFUNCTIONAL -DSIM -DUSE_POWER_PINS -DUNIT_DELAY=#1 \
+	iverilog -g2005-sv -Ttyp -DFUNCTIONAL -DSIM -DUSE_POWER_PINS -DUNIT_DELAY=#0.1 \
         -f$(VERILOG_PATH)/includes/includes.rtl.caravel \
         -f$(USER_PROJECT_VERILOG)/includes/includes.rtl.$(CONFIG) -o $@ $<
     else  
-	iverilog -g2005-sv -DWFDUMP -Ttyp -DFUNCTIONAL -DSIM -DUSE_POWER_PINS -DUNIT_DELAY=#1 \
+	iverilog -g2005-sv -DWFDUMP -Ttyp -DFUNCTIONAL -DSIM -DUSE_POWER_PINS -DUNIT_DELAY=#0.1 \
         -f$(VERILOG_PATH)/includes/includes.rtl.caravel \
         -f$(USER_PROJECT_VERILOG)/includes/includes.rtl.$(CONFIG) -o $@ $<
    endif
-endif 
+endif
 
-## GL
+##GL
 ifeq ($(SIM),GL)
-    ifeq ($(CONFIG),caravel_user_project)
-		iverilog -Ttyp -DFUNCTIONAL -DGL -DUSE_POWER_PINS -DUNIT_DELAY=#1 \
+   ifeq ($(DUMP),OFF)
+	iverilog -g2005-sv -Ttyp -DFUNCTIONAL -DGL -DUSE_POWER_PINS -DUNIT_DELAY=#0.1 \
         -f$(VERILOG_PATH)/includes/includes.gl.caravel \
         -f$(USER_PROJECT_VERILOG)/includes/includes.gl.$(CONFIG) -o $@ $<
     else
-		iverilog -Ttyp -DFUNCTIONAL -DGL -DUSE_POWER_PINS -DUNIT_DELAY=#1 \
-        -f$(VERILOG_PATH)/includes/includes.gl.$(CONFIG) \
-		-f$(CARAVEL_PATH)/gl/__user_project_wrapper.v -o $@ $<
+	iverilog -g2005-sv -Ttyp -DWFDUMP -DFUNCTIONAL -DGL -DUSE_POWER_PINS -DUNIT_DELAY=#0.1 \
+        -f$(VERILOG_PATH)/includes/includes.gl.caravel \
+        -f$(USER_PROJECT_VERILOG)/includes/includes.gl.$(CONFIG) -o $@ $<
     endif
 endif 
 
diff --git a/verilog/dv/risc_boot/risc_boot.c b/verilog/dv/risc_boot/risc_boot.c
index 7de5444..00d308d 100644
--- a/verilog/dv/risc_boot/risc_boot.c
+++ b/verilog/dv/risc_boot/risc_boot.c
@@ -141,7 +141,7 @@
     reg_mprj_io_6 =  GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
     reg_mprj_io_5 =  GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
     reg_mprj_io_4 =  GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
-    reg_mprj_io_3 =  GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    //reg_mprj_io_3 =  GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
     reg_mprj_io_2 =  GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
     reg_mprj_io_1 =  GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
     reg_mprj_io_0 =  GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
@@ -150,6 +150,7 @@
     reg_mprj_xfer = 1;
     while (reg_mprj_xfer == 1);
 
+    reg_la0_data = 0x001; // Remove Soft Reset
     reg_la0_data = 0x000;
     reg_la0_data = 0x001; // Remove Soft Reset
 
diff --git a/verilog/dv/risc_boot/risc_boot_tb.v b/verilog/dv/risc_boot/risc_boot_tb.v
index e879f99..e9865d9 100644
--- a/verilog/dv/risc_boot/risc_boot_tb.v
+++ b/verilog/dv/risc_boot/risc_boot_tb.v
@@ -121,6 +121,9 @@
 		clock = 0;
 	end
 
+pullup(mprj_io[3]); 
+
+
 	`ifdef WFDUMP
         initial
         begin
@@ -130,7 +133,6 @@
            //$dumpvars(2,risc_boot_tb.uut);
            $dumpvars(1,risc_boot_tb.uut.mprj);
            $dumpvars(0,risc_boot_tb.uut.mprj.u_wb_host);
-           $dumpvars(1,risc_boot_tb.uut.mprj.u_riscv_top);
            //$dumpvars(0,risc_boot_tb.tb_uart);
            //$dumpvars(0,risc_boot_tb.u_user_spiflash);
 	   $display("Waveform Dump started");
diff --git a/verilog/dv/riscv_regress/Makefile b/verilog/dv/riscv_regress/Makefile
index f2e318f..15194cc 100644
--- a/verilog/dv/riscv_regress/Makefile
+++ b/verilog/dv/riscv_regress/Makefile
@@ -200,7 +200,7 @@
 
 
 # Targets
-.PHONY: tests run_iverilog run_iverilog_wf run_modelsim run_modelsim_wlf run_vcs run_ncsim run_verilator run_verilator_wf
+.PHONY: tests run_iverilog run_modelsim run_modelsim_wlf run_vcs run_ncsim run_verilator run_verilator_wf
 
 default: clean_test_list run_iverilog
 
@@ -272,10 +272,67 @@
 	printf "$$(cat $(test_results)) \n"
 
 run_iverilog: $(test_info)
+ifeq ($(SIM),RTL)
+   ifeq ($(DUMP),OFF)
 	cd $(bld_dir); \
 	iverilog -g2005-sv -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
 	-I $(UPRJ_TESTS_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.$(CONFIG) \
+       -f$(USER_PROJECT_VERILOG)/includes/includes.rtl.lib  \
+	$(sv_list) \
+	-o $(top_module).vvp; \
+	printf "" > $(test_results); \
+        iverilog-vpi ../../../vpi/system/system.c; \
+	vvp  -M. -msystem  $(top_module).vvp \
+	+risc_core_id=$(RISC_CORE) \
+	+test_info=$(test_info) \
+	+test_results=$(test_results) \
+	| tee $(sim_results)  ;\
+	printf "Simulation performed on $$(vvp -V) \n" ;\
+	printf "                          Test               | build | simulation \n" ; \
+	printf "$$(cat $(test_results)) \n"
+    else  
+	cd $(bld_dir); \
+	iverilog -g2005-sv -DWFDUMP -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
+	-I $(UPRJ_TESTS_PATH) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.$(CONFIG) \
+        -f$(USER_PROJECT_VERILOG)/includes/includes.rtl.lib  \
+	$(sv_list) \
+	-o $(top_module).vvp; \
+	printf "" > $(test_results); \
+        iverilog-vpi ../../../vpi/system/system.c; \
+	vvp  -M. -msystem  $(top_module).vvp \
+	+risc_core_id=$(RISC_CORE) \
+	+test_info=$(test_info) \
+	+test_results=$(test_results) \
+	| tee $(sim_results)  ;\
+	printf "Simulation performed on $$(vvp -V) \n" ;\
+	printf "                          Test               | build | simulation \n" ; \
+	printf "$$(cat $(test_results)) \n"
+   endif
+else  
+   ifeq ($(DUMP),OFF)
+	cd $(bld_dir); \
+	iverilog -g2005-sv -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
+	-I $(UPRJ_TESTS_PATH) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.$(CONFIG) \
+	$(sv_list) \
+	-o $(top_module).vvp; \
+	printf "" > $(test_results); \
+        iverilog-vpi ../../../vpi/system/system.c; \
+	vvp  -M. -msystem  $(top_module).vvp \
+	+risc_core_id=$(RISC_CORE) \
+	+test_info=$(test_info) \
+	+test_results=$(test_results) \
+	| tee $(sim_results)  ;\
+	printf "Simulation performed on $$(vvp -V) \n" ;\
+	printf "                          Test               | build | simulation \n" ; \
+	printf "$$(cat $(test_results)) \n"
+    else  
+	cd $(bld_dir); \
+	iverilog -g2005-sv -DWFDUMP -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
+	-I $(UPRJ_TESTS_PATH) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.$(CONFIG) \
 	$(sv_list) \
 	-o $(top_module).vvp; \
 	printf "" > $(test_results); \
@@ -289,23 +346,8 @@
 	printf "                          Test               | build | simulation \n" ; \
 	printf "$$(cat $(test_results)) \n"
 
-run_iverilog_wf: $(test_info)
-	cd $(bld_dir); \
-	iverilog -g2005-sv -DWFDUMP -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
-	-I $(UPRJ_TESTS_PATH) \
-	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.$(CONFIG) \
-	$(sv_list) \
-	-o $(top_module).vvp; \
-	printf "" > $(test_results); \
-        iverilog-vpi ../../../vpi/system/system.c; \
-	vvp  -M. -msystem  $(top_module).vvp \
-	+risc_core_id=$(RISC_CORE) \
-	+test_info=$(test_info) \
-	+test_results=$(test_results) \
-	| tee $(sim_results)  ;\
-	printf "Simulation performed on $$(vvp -V) \n" ;\
-	printf "                          Test               | build | simulation \n" ; \
-	printf "$$(cat $(test_results)) \n"
+   endif
+endif
 
 run_modelsim_wlf: $(test_info)
 	$(MAKE) -C $(root_dir)/sim build_modelsim_wlf SIM_CFG_DEF=$(SIM_CFG_DEF) SIM_TRACE_DEF=$(SIM_TRACE_DEF) SIM_BUILD_OPTS=$(SIM_BUILD_OPTS); \
diff --git a/verilog/dv/riscv_regress/user_risc_regress_tb.v b/verilog/dv/riscv_regress/user_risc_regress_tb.v
index 2fc3ce8..8d892af 100644
--- a/verilog/dv/riscv_regress/user_risc_regress_tb.v
+++ b/verilog/dv/riscv_regress/user_risc_regress_tb.v
@@ -480,6 +480,7 @@
   wbd_ext_cyc_i ='h1;  // strobe/request
   wbd_ext_stb_i ='h1;  // strobe/request
   wait(wbd_ext_ack_o == 1);
+  repeat (1) @(negedge clock);
   data  = wbd_ext_dat_o;  
   repeat (1) @(posedge clock);
   #1;
diff --git a/verilog/dv/uart_master/Makefile b/verilog/dv/uart_master/Makefile
index 0746413..3a63981 100644
--- a/verilog/dv/uart_master/Makefile
+++ b/verilog/dv/uart_master/Makefile
@@ -23,6 +23,7 @@
 
 DESIGNS?=../../..
 CONFIG = caravel_user_project
+TOOLS?=/opt/riscv64i/
 
 ########################################################
 #include $(MCW_ROOT)/verilog/dv/make/env.makefile
diff --git a/verilog/dv/user_basic/Makefile b/verilog/dv/user_basic/Makefile
index e8d50fd..c1ad8ae 100644
--- a/verilog/dv/user_basic/Makefile
+++ b/verilog/dv/user_basic/Makefile
@@ -50,20 +50,24 @@
    ifeq ($(DUMP),OFF)
 	iverilog -g2012 -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.lib  \
 	$< -o $@ 
     else  
 	iverilog -g2012 -DWFDUMP -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.lib  \
 	$< -o $@ 
    endif
 else  
    ifeq ($(DUMP),OFF)
 	iverilog -g2012 -DFUNCTIONAL -DUSE_POWER_PINS -DGL -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.lib \
 	$< -o $@ 
     else  
 	iverilog -g2012 -DWFDUMP -DFUNCTIONAL -DUSE_POWER_PINS -DGL -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.lib \
 	$< -o $@ 
    endif
 endif
diff --git a/verilog/dv/user_basic/user_basic_tb.v b/verilog/dv/user_basic/user_basic_tb.v
index e3e17d9..69ee65d 100644
--- a/verilog/dv/user_basic/user_basic_tb.v
+++ b/verilog/dv/user_basic/user_basic_tb.v
@@ -20,7 +20,6 @@
 ////                                                              ////
 ////  This file is part of the YIFive cores project               ////
 ////  https://github.com/dineshannayya/yifive_r0.git              ////
-////  http://www.opencores.org/cores/yifive/                      ////
 ////                                                              ////
 ////  Description                                                 ////
 ////   This is a standalone test bench to validate the            ////
@@ -152,6 +151,12 @@
 	   initial begin
 	   	$dumpfile("simx.vcd");
 	   	$dumpvars(0, user_basic_tb);
+	   	//$dumpvars(1, user_basic_tb.u_top);
+	   	//$dumpvars(0, user_basic_tb.u_top.u_pll);
+	   	//$dumpvars(1, user_basic_tb.u_top.u_wb_host);
+	   	//$dumpvars(1, user_basic_tb.u_top.u_intercon);
+	   	//$dumpvars(1, user_basic_tb.u_top.u_intercon);
+	   	//$dumpvars(1, user_basic_tb.u_top.u_pinmux);
 	   end
        `endif
 
@@ -160,6 +165,8 @@
 		#100;
 		wb_rst_i <= 1'b0;	    	// Release reset
 	end
+
+
 initial
 begin
 
@@ -173,80 +180,111 @@
    fork
       begin
 	  // Default Value Check
-          // cfg_glb_ctrl         = reg_0[6:0];
-          // uart_i2c_usb_sel     = reg_0[8:7];
-          // cfg_wb_clk_ctrl      = reg_0[11:9];
-          // cfg_rtc_clk_ctrl     = reg_0[19:12];
-          // cfg_cpu_clk_ctrl     = reg_0[23:20];
-          // cfg_usb_clk_ctrl     = reg_0[31:24];
-	  $display("Step-1, CPU: CLOCK1, RTC: CLOCK2 *2, USB: CLOCK2, WBS:CLOCK1");
+	  // cfg_wb_clk_ctrl      = cfg_clk_ctrl2[7:0];
+          // cfg_rtc_clk_ctrl     = cfg_clk_ctrl2[15:8];
+          // cfg_cpu_clk_ctrl     = cfg_clk_ctrl2[23:16];
+          // cfg_usb_clk_ctrl     = cfg_clk_ctrl2[31:24];
+
+
+	  $display("Step-1, CPU: CLOCK1, USB: CLOCK2,RTC: CLOCK2 *2, WBS:CLOCK1");
 	  test_step = 1;
-          wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_GLBL_CFG,{8'h0,4'h0,8'h0,4'h0,8'h00});
-	  clock_monitor(CLK1_PERIOD,CLK2_PERIOD*2,CLK2_PERIOD,CLK1_PERIOD);
+          wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_CLK_CTRL2,{8'h0,8'h0,8'h0,8'h0});
+	  clock_monitor(CLK1_PERIOD,CLK1_PERIOD,CLK2_PERIOD*2,CLK1_PERIOD);
 
-	  $display("Step-2, CPU: CLOCK2, RTC: CLOCK2/(2+1), USB: CLOCK2/2, WBS:CLOCK2");
+	  $display("Step-2, CPU: CLOCK2, USB: CLOCK2/2, RTC: CLOCK2/(2+1), WBS:CLOCK2");
 	  test_step = 2;
-          wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_GLBL_CFG,{8'h80,4'h8,8'h1,4'h8,8'h00});
-	  clock_monitor(CLK2_PERIOD,(3)*CLK2_PERIOD,2*CLK2_PERIOD,CLK2_PERIOD);
+          wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_CLK_CTRL2,{8'h40,8'h60,8'h1,8'h40});
+	  clock_monitor(CLK2_PERIOD,2*CLK2_PERIOD,(3)*CLK2_PERIOD,CLK2_PERIOD);
 
-	  $display("Step-3, CPU: CLOCK1/2, RTC: CLOCK2/(2+2), USB: CLOCK2/(2+1), WBS:CLOCK1/2");
+	  $display("Step-3, CPU: CLOCK1/2,USB: CLOCK2/(2+1), RTC: CLOCK2/(2+2), WBS:CLOCK1/2");
 	  test_step = 3;
-          wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_GLBL_CFG,{8'h81,4'h4,8'h2,4'h4,8'h00});
-	  clock_monitor(2*CLK1_PERIOD,(4)*CLK2_PERIOD,3*CLK2_PERIOD,2*CLK1_PERIOD);
+          wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_CLK_CTRL2,{8'h20,8'h61,8'h2,8'h20});
+	  clock_monitor(2*CLK1_PERIOD,(3)*CLK2_PERIOD,4*CLK2_PERIOD,2*CLK1_PERIOD);
 
-	  $display("Step-4, CPU: CLOCK1/3, RTC: CLOCK2/(2+3), USB: CLOCK2/(2+2), WBS:CLOCK1/3");
+	  $display("Step-4, CPU: CLOCK1/3, USB: CLOCK2/(2+2), RTC: CLOCK2/(2+3), WBS:CLOCK1/3");
 	  test_step = 4;
-          wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_GLBL_CFG,{8'h82,4'h5,8'h3,4'h5,8'h00});
-	  clock_monitor(3*CLK1_PERIOD,5*CLK2_PERIOD,4*CLK2_PERIOD,3*CLK1_PERIOD);
+          wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_CLK_CTRL2,{8'h21,8'h62,8'h3,8'h21});
+	  clock_monitor(3*CLK1_PERIOD,4*CLK2_PERIOD,5*CLK2_PERIOD,3*CLK1_PERIOD);
 
-	  $display("Step-5, CPU: CLOCK1/4, RTC: CLOCK2/(2+4), USB: CLOCK2/(2+3), WBS:CLOCK1/4");
+	  $display("Step-5, CPU: CLOCK1/4, USB: CLOCK2/(2+3), RTC: CLOCK2/(2+4), WBS:CLOCK1/4");
 	  test_step = 5;
-          wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_GLBL_CFG,{8'h83,4'h6,8'h4,4'h6,8'h00});
-	  clock_monitor(4*CLK1_PERIOD,6*CLK2_PERIOD,5*CLK2_PERIOD,4*CLK1_PERIOD);
+          wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_CLK_CTRL2,{8'h22,8'h63,8'h4,8'h22});
+	  clock_monitor(4*CLK1_PERIOD,5*CLK2_PERIOD,6*CLK2_PERIOD,4*CLK1_PERIOD);
 
-	  $display("Step-6, CPU: CLOCK1/(2+3), RTC: CLOCK2/(2+5), USB: CLOCK2/(2+4), WBS:CLOCK1/(2+3)");
+	  $display("Step-6, CPU: CLOCK1/(2+3),USB: CLOCK2/(2+4), RTC: CLOCK2/(2+5), WBS:CLOCK1/(2+3)");
 	  test_step = 6;
-          wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_GLBL_CFG,{8'h84,4'h7,8'h5,4'h7,8'h00});
-	  clock_monitor(5*CLK1_PERIOD,7*CLK2_PERIOD,6*CLK2_PERIOD,5*CLK1_PERIOD);
+          wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_CLK_CTRL2,{8'h23,8'h64,8'h5,8'h23});
+	  clock_monitor(5*CLK1_PERIOD,6*CLK2_PERIOD,7*CLK2_PERIOD,5*CLK1_PERIOD);
 
-	  $display("Step-7, CPU: CLOCK2/(2), RTC: CLOCK2/(2+6), USB: CLOCK2/(2+5), WBS:CLOCK2/(2)");
+	  $display("Step-7, CPU: CLOCK2/(2), USB: CLOCK2/(2+5), RTC: CLOCK2/(2+6), WBS:CLOCK2/(2)");
 	  test_step = 7;
-          wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_GLBL_CFG,{8'h85,4'hC,8'h6,4'hC,8'h00});
-	  clock_monitor(2*CLK2_PERIOD,8*CLK2_PERIOD,7*CLK2_PERIOD,2*CLK2_PERIOD);
+          wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_CLK_CTRL2,{8'h60,8'h65,8'h6,8'h60});
+	  clock_monitor(2*CLK2_PERIOD,7*CLK2_PERIOD,8*CLK2_PERIOD,2*CLK2_PERIOD);
 
-	  $display("Step-8, CPU: CLOCK2/3, RTC: CLOCK2/(2+7), USB: CLOCK2/(2+6), WBS:CLOCK2/3");
+	  $display("Step-8, CPU: CLOCK2/3, USB: CLOCK2/(2+6), RTC: CLOCK2/(2+7), WBS:CLOCK2/3");
 	  test_step = 8;
-          wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_GLBL_CFG,{8'h86,4'hD,8'h7,4'hD,8'h00});
-	  clock_monitor(3*CLK2_PERIOD,9*CLK2_PERIOD,8*CLK2_PERIOD,3*CLK2_PERIOD);
+          wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_CLK_CTRL2,{8'h61,8'h66,8'h7,8'h61});
+	  clock_monitor(3*CLK2_PERIOD,8*CLK2_PERIOD,9*CLK2_PERIOD,3*CLK2_PERIOD);
 
-	  $display("Step-9, CPU: CLOCK2/4, RTC: CLOCK2/(2+8), USB: CLOCK2/(2+7), WBS:CLOCK2/4");
+	  $display("Step-9, CPU: CLOCK2/4,USB: CLOCK2/(2+7), RTC: CLOCK2/(2+8), WBS:CLOCK2/4");
 	  test_step = 9;
-          wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_GLBL_CFG,{8'h87,4'hE,8'h8,4'hE,8'h00});
-	  clock_monitor(4*CLK2_PERIOD,10*CLK2_PERIOD,9*CLK2_PERIOD,4*CLK2_PERIOD);
+          wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_CLK_CTRL2,{8'h62,8'h67,8'h8,8'h62});
+	  clock_monitor(4*CLK2_PERIOD,9*CLK2_PERIOD,10*CLK2_PERIOD,4*CLK2_PERIOD);
 
-	  $display("Step-10, CPU: CLOCK2/(2+3), RTC: CLOCK2/(2+128), USB: CLOCK2/(2+8), WBS:CLOCK1/(2+3)");
+	  $display("Step-10, CPU: CLOCK2/(2+3), USB: CLOCK2/(2+8), RTC: CLOCK2/(2+128), WBS:CLOCK1/(2+3)");
 	  test_step = 10;
-          wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_GLBL_CFG,{8'h88,4'hF,8'h80,4'hF,8'h00});
-	  clock_monitor(5*CLK2_PERIOD,130*CLK2_PERIOD,10*CLK2_PERIOD,5*CLK2_PERIOD);
+          wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_CLK_CTRL2,{8'h63,8'h68,8'h80,8'h63});
+	  clock_monitor(5*CLK2_PERIOD,10*CLK2_PERIOD,130*CLK2_PERIOD,5*CLK2_PERIOD);
 
-	  $display("Step-10, CPU: CLOCK2/(2+3), RTC: CLOCK2/(2+255), USB: CLOCK2/(2+9), WBS:CLOCK2/(2+3)");
+	  $display("Step-11, CPU: CLOCK2/(2+3), USB: CLOCK2/(2+9), RTC: CLOCK2/(2+255), WBS:CLOCK2/(2+4)");
 	  test_step = 10;
-          wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_GLBL_CFG,{8'h89,4'hF,8'hFF,4'hF,8'h00});
-	  clock_monitor(5*CLK2_PERIOD,257*CLK2_PERIOD,11*CLK2_PERIOD,5*CLK2_PERIOD);
+          wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_CLK_CTRL2,{8'h63,8'h69,8'hFF,8'h64});
+	  clock_monitor(5*CLK2_PERIOD,11*CLK2_PERIOD,257*CLK2_PERIOD,6*CLK2_PERIOD);
+  
+         $display("###################################################");
+         $display("Monitor: Checking the PLL:");
+         $display("###################################################");
+	 test_step = 11;
+	 // Set PLL enable, no DCO mode ; Set PLL output divider to 0x03
+         wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_GLBL_CFG,{16'h0,1'b1,3'b100,4'b0000,8'h2});
+         wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_PLL_CTRL,{1'b0,5'h3,26'h00000});
+         repeat (100) @(posedge clock);
+	 pll_clock_monitor(5);
+
+	 test_step = 12;
+	 // Set PLL enable, DCO mode ; Set PLL output divider to 0x01
+         wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_GLBL_CFG,{16'h0,1'b1,3'b000,4'b0000,8'h2});
+         wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_PLL_CTRL,{1'b1,5'h0,26'h0000});
+         repeat (100) @(posedge clock);
+	 pll_clock_monitor(4);
 
          $display("###################################################");
+         $display("Monitor: Monitor Clock output:");
+         $display("###################################################");
+	 $display("Monitor: CPU: CLOCK2/(2+3), USB: CLOCK2/(2+9), RTC: CLOCK2/(2+255), WBS:CLOCK2/(2+4)");
+	 test_step = 13;
+         wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_CLK_CTRL2,{8'h63,8'h69,8'hFF,8'h64});
+
+	 // Set PLL enable, DCO mode ; Set PLL output divider to 0x01
+         wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_GLBL_CFG,{16'h0,1'b1,3'b000,4'b0000,8'h2});
+         wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_PLL_CTRL,{1'b1,5'h0,26'h0000});
+	 dbg_clk_monitor(79,60,5*CLK2_PERIOD,11*CLK2_PERIOD,257*CLK2_PERIOD,6*CLK2_PERIOD);
+         
+	 $display("###################################################");
          $display("Monitor: Checking the chip signature :");
+         $display("###################################################");
+	 test_step = 14;
          // Remove Wb/PinMux Reset
          wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_GLBL_CFG,'h1);
 
 	 wb_user_core_read_check(`ADDR_SPACE_PINMUX+`PINMUX_SOFT_REG_1,read_data,32'h8273_8343);
-	 wb_user_core_read_check(`ADDR_SPACE_PINMUX+`PINMUX_SOFT_REG_2,read_data,32'h2405_2022);
-	 wb_user_core_read_check(`ADDR_SPACE_PINMUX+`PINMUX_SOFT_REG_3,read_data,32'h0004_3000);
+	 wb_user_core_read_check(`ADDR_SPACE_PINMUX+`PINMUX_SOFT_REG_2,read_data,32'h2905_2022);
+	 wb_user_core_read_check(`ADDR_SPACE_PINMUX+`PINMUX_SOFT_REG_3,read_data,32'h0004_4000);
 
       end
    
       begin
-      repeat (20000) @(posedge clock);
+      repeat (30000) @(posedge clock);
    		// $display("+1000 cycles");
       test_fail = 1;
       end
@@ -324,28 +362,71 @@
 
 task clock_monitor;
 input [15:0] exp_cpu_period;
-input [15:0] exp_rtc_period;
 input [15:0] exp_usb_period;
+input [15:0] exp_rtc_period;
 input [15:0] exp_wbs_period;
 begin
    force clock_mon = u_top.u_wb_host.cpu_clk;
    check_clock_period("CPU CLock",exp_cpu_period);
    release clock_mon;
 
-   force clock_mon = u_top.u_wb_host.rtc_clk;
-   check_clock_period("RTC Clock",exp_rtc_period);
-   release clock_mon;
-
    force clock_mon = u_top.u_wb_host.usb_clk;
    check_clock_period("USB Clock",exp_usb_period);
    release clock_mon;
 
+   force clock_mon = u_top.u_wb_host.rtc_clk;
+   check_clock_period("RTC Clock",exp_rtc_period);
+   release clock_mon;
+
    force clock_mon = u_top.u_wb_host.wbs_clk_out;
    check_clock_period("WBS Clock",exp_wbs_period);
    release clock_mon;
 end
 endtask
 
+task pll_clock_monitor;
+input [15:0] exp_period;
+begin
+   force clock_mon = u_top.u_wb_host.pll_clk_out[0];
+   check_clock_period("PLL CLock",exp_period);
+   release clock_mon;
+end
+endtask
+
+
+wire dbg_clk_mon = io_out[33];
+
+task dbg_clk_monitor;
+input [15:0] exp_pll_div16_period;
+input [15:0] exp_pll_ref_period;
+input [15:0] exp_cpu_period;
+input [15:0] exp_usb_period;
+input [15:0] exp_rtc_period;
+input [15:0] exp_wbs_period;
+begin
+   force clock_mon = dbg_clk_mon;
+
+   wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_GLBL_CFG,{16'h0,1'b1,3'b100,4'b0000,8'h2});
+   check_clock_period("PLL CLock",exp_pll_div16_period);
+
+   wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_GLBL_CFG,{16'h0,1'b1,3'b100,4'b0001,8'h2});
+   check_clock_period("PLL REF Clock",exp_pll_ref_period);
+
+   wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_GLBL_CFG,{16'h0,1'b1,3'b100,4'b0010,8'h2});
+   check_clock_period("WBS Clock",exp_wbs_period);
+
+   wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_GLBL_CFG,{16'h0,1'b1,3'b100,4'b0011,8'h2});
+   check_clock_period("CPU CLock",exp_cpu_period);
+
+   wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_GLBL_CFG,{16'h0,1'b1,3'b100,4'b0100,8'h2});
+   check_clock_period("RTC Clock",exp_rtc_period);
+
+   wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_GLBL_CFG,{16'h0,1'b1,3'b100,4'b0101,8'h2});
+   check_clock_period("USB Clock",exp_usb_period);
+   release clock_mon;
+end
+endtask
+
 //----------------------------------
 // Check the clock period
 //----------------------------------
diff --git a/verilog/dv/user_i2cm/Makefile b/verilog/dv/user_i2cm/Makefile
index a801f55..f01c09d 100644
--- a/verilog/dv/user_i2cm/Makefile
+++ b/verilog/dv/user_i2cm/Makefile
@@ -24,8 +24,12 @@
 #######################################################################
 
 DESIGNS?=../../..
+TOOLS?=/opt/riscv64i/
 
 export USER_PROJECT_VERILOG ?=  $(DESIGNS)/verilog
+## YIFIVE FIRMWARE
+YIFIVE_FIRMWARE_PATH = $(USER_PROJECT_VERILOG)/dv/firmware
+GCC64_PREFIX?=riscv64-unknown-elf
 
 
 ## Simulation mode: RTL/GL
@@ -50,20 +54,24 @@
    ifeq ($(DUMP),OFF)
 	iverilog -g2012 -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.lib  \
 	$< -o $@ 
     else  
 	iverilog -g2012 -DWFDUMP -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.lib  \
 	$< -o $@ 
    endif
 else  
    ifeq ($(DUMP),OFF)
 	iverilog -g2012 -DFUNCTIONAL -DUSE_POWER_PINS -DGL -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.lib \
 	$< -o $@ 
     else  
 	iverilog -g2012 -DWFDUMP -DFUNCTIONAL -DUSE_POWER_PINS -DGL -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.lib \
 	$< -o $@ 
    endif
 endif
diff --git a/verilog/dv/user_i2cm/user_i2cm_tb.v b/verilog/dv/user_i2cm/user_i2cm_tb.v
index 0607308..e0f8219 100644
--- a/verilog/dv/user_i2cm/user_i2cm_tb.v
+++ b/verilog/dv/user_i2cm/user_i2cm_tb.v
@@ -444,13 +444,11 @@
   wbd_ext_we_i  ='h0;  // write
   wbd_ext_dat_i ='h0;  // data output
   wbd_ext_sel_i ='h0;  // byte enable
-  if(data === cmp_data) begin
-     $display("STATUS: DEBUG WB USER ACCESS READ Address : %x, Data : %x",address,data);
+  if(data !== cmp_data) begin
+     $display("ERROR : WB USER ACCESS READ  Address : 0x%x, Exd: 0x%x Rxd: 0x%x ",address,cmp_data,data);
+     test_fail = 1;
   end else begin
-     $display("ERROR: DEBUG WB USER ACCESS READ Address : %x, Exp Data : %x Rxd Data: ",address,cmp_data,data);
-     test_fail= 1;
-     #100
-     $finish;
+     $display("STATUS: WB USER ACCESS READ  Address : 0x%x, Data : 0x%x",address,data);
   end
   repeat (2) @(posedge clock);
 end
@@ -458,29 +456,21 @@
 
 `ifdef GL
 
-wire        wbd_spi_stb_i   = u_top.u_spi_master.wbd_stb_i;
-wire        wbd_spi_ack_o   = u_top.u_spi_master.wbd_ack_o;
-wire        wbd_spi_we_i    = u_top.u_spi_master.wbd_we_i;
-wire [31:0] wbd_spi_adr_i   = u_top.u_spi_master.wbd_adr_i;
-wire [31:0] wbd_spi_dat_i   = u_top.u_spi_master.wbd_dat_i;
-wire [31:0] wbd_spi_dat_o   = u_top.u_spi_master.wbd_dat_o;
-wire [3:0]  wbd_spi_sel_i   = u_top.u_spi_master.wbd_sel_i;
+wire        wbd_spi_stb_i   = u_top.u_qspi_master.wbd_stb_i;
+wire        wbd_spi_ack_o   = u_top.u_qspi_master.wbd_ack_o;
+wire        wbd_spi_we_i    = u_top.u_qspi_master.wbd_we_i;
+wire [31:0] wbd_spi_adr_i   = u_top.u_qspi_master.wbd_adr_i;
+wire [31:0] wbd_spi_dat_i   = u_top.u_qspi_master.wbd_dat_i;
+wire [31:0] wbd_spi_dat_o   = u_top.u_qspi_master.wbd_dat_o;
+wire [3:0]  wbd_spi_sel_i   = u_top.u_qspi_master.wbd_sel_i;
 
-wire        wbd_sdram_stb_i = u_top.u_sdram_ctrl.wb_stb_i;
-wire        wbd_sdram_ack_o = u_top.u_sdram_ctrl.wb_ack_o;
-wire        wbd_sdram_we_i  = u_top.u_sdram_ctrl.wb_we_i;
-wire [31:0] wbd_sdram_adr_i = u_top.u_sdram_ctrl.wb_addr_i;
-wire [31:0] wbd_sdram_dat_i = u_top.u_sdram_ctrl.wb_dat_i;
-wire [31:0] wbd_sdram_dat_o = u_top.u_sdram_ctrl.wb_dat_o;
-wire [3:0]  wbd_sdram_sel_i = u_top.u_sdram_ctrl.wb_sel_i;
-
-wire        wbd_uart_stb_i  = u_top.u_uart_i2c.u_uart_core.reg_cs;
-wire        wbd_uart_ack_o  = u_top.u_uart_i2c.u_uart_core.reg_ack;
-wire        wbd_uart_we_i   = u_top.u_uart_i2c.u_uart_core.reg_wr;
-wire [7:0]  wbd_uart_adr_i  = u_top.u_uart_i2c.u_uart_core.reg_addr;
-wire [7:0]  wbd_uart_dat_i  = u_top.u_uart_i2c.u_uart_core.reg_wdata;
-wire [7:0]  wbd_uart_dat_o  = u_top.u_uart_i2c.u_uart_core.reg_rdata;
-wire        wbd_uart_sel_i  = u_top.u_uart_i2c.u_uart_core.reg_be;
+wire        wbd_uart_stb_i  = u_top.u_uart_i2c_usb_spi.reg_cs;
+wire        wbd_uart_ack_o  = u_top.u_uart_i2c_usb_spi.reg_ack;
+wire        wbd_uart_we_i   = u_top.u_uart_i2c_usb_spi.reg_wr;
+wire [8:0]  wbd_uart_adr_i  = u_top.u_uart_i2c_usb_spi.reg_addr;
+wire [7:0]  wbd_uart_dat_i  = u_top.u_uart_i2c_usb_spi.reg_wdata;
+wire [7:0]  wbd_uart_dat_o  = u_top.u_uart_i2c_usb_spi.reg_rdata;
+wire        wbd_uart_sel_i  = u_top.u_uart_i2c_usb_spi.reg_be;
 
 `endif
 
diff --git a/verilog/dv/user_mcore/Makefile b/verilog/dv/user_mcore/Makefile
index a7d9f3f..a0795af 100644
--- a/verilog/dv/user_mcore/Makefile
+++ b/verilog/dv/user_mcore/Makefile
@@ -24,6 +24,7 @@
 #######################################################################
 
 DESIGNS?=../../..
+TOOLS?=/opt/riscv64i/
 
 export USER_PROJECT_VERILOG ?=  $(DESIGNS)/verilog
 ## YIFIVE FIRMWARE
@@ -61,20 +62,24 @@
    ifeq ($(DUMP),OFF)
 	iverilog -g2012 -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.lib  \
 	$< -o $@ 
     else  
 	iverilog -g2012 -DWFDUMP -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.lib  \
 	$< -o $@ 
    endif
 else  
    ifeq ($(DUMP),OFF)
 	iverilog -g2012 -DFUNCTIONAL -DUSE_POWER_PINS -DGL -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.lib \
 	$< -o $@ 
     else  
 	iverilog -g2012 -DWFDUMP -DFUNCTIONAL -DUSE_POWER_PINS -DGL -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.lib \
 	$< -o $@ 
    endif
 endif
diff --git a/verilog/dv/user_pwm/Makefile b/verilog/dv/user_pwm/Makefile
index 96815e2..c521c74 100644
--- a/verilog/dv/user_pwm/Makefile
+++ b/verilog/dv/user_pwm/Makefile
@@ -24,6 +24,7 @@
 #######################################################################
 
 DESIGNS?=../../..
+TOOLS?=/opt/riscv64i/
 
 export USER_PROJECT_VERILOG ?=  $(DESIGNS)/verilog
 ## YIFIVE FIRMWARE
@@ -54,16 +55,26 @@
    ifeq ($(DUMP),OFF)
 	iverilog -g2012 -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.lib  \
 	$< -o $@ 
     else  
 	iverilog -g2012 -DWFDUMP -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.lib  \
 	$< -o $@ 
    endif
 else  
-	iverilog -g2012 -DFUNCTIONAL -DSIM -DGL -I $(PDK_PATH) \
-	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.$(CONFIG) \
+   ifeq ($(DUMP),OFF)
+	iverilog -g2012 -DFUNCTIONAL -DUSE_POWER_PINS -DGL -I $(PDK_PATH) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.lib \
 	$< -o $@ 
+    else  
+	iverilog -g2012 -DWFDUMP -DFUNCTIONAL -DUSE_POWER_PINS -DGL -I $(PDK_PATH) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.lib \
+	$< -o $@ 
+   endif
 endif
 
 %.vcd: %.vvp
diff --git a/verilog/dv/user_pwm/user_pwm_tb.v b/verilog/dv/user_pwm/user_pwm_tb.v
index 43ba01f..e5222cc 100644
--- a/verilog/dv/user_pwm/user_pwm_tb.v
+++ b/verilog/dv/user_pwm/user_pwm_tb.v
@@ -20,7 +20,6 @@
 ////                                                              ////
 ////  This file is part of the YIFive cores project               ////
 ////  https://github.com/dineshannayya/yifive_r0.git              ////
-////  http://www.opencores.org/cores/yifive/                      ////
 ////                                                              ////
 ////  Description                                                 ////
 ////   This is a standalone test bench to validate the            ////
@@ -413,21 +412,21 @@
 
 `ifdef GL
 
-wire        wbd_spi_stb_i   = u_top.u_spi_master.wbd_stb_i;
-wire        wbd_spi_ack_o   = u_top.u_spi_master.wbd_ack_o;
-wire        wbd_spi_we_i    = u_top.u_spi_master.wbd_we_i;
-wire [31:0] wbd_spi_adr_i   = u_top.u_spi_master.wbd_adr_i;
-wire [31:0] wbd_spi_dat_i   = u_top.u_spi_master.wbd_dat_i;
-wire [31:0] wbd_spi_dat_o   = u_top.u_spi_master.wbd_dat_o;
-wire [3:0]  wbd_spi_sel_i   = u_top.u_spi_master.wbd_sel_i;
+wire        wbd_spi_stb_i   = u_top.u_qspi_master.wbd_stb_i;
+wire        wbd_spi_ack_o   = u_top.u_qspi_master.wbd_ack_o;
+wire        wbd_spi_we_i    = u_top.u_qspi_master.wbd_we_i;
+wire [31:0] wbd_spi_adr_i   = u_top.u_qspi_master.wbd_adr_i;
+wire [31:0] wbd_spi_dat_i   = u_top.u_qspi_master.wbd_dat_i;
+wire [31:0] wbd_spi_dat_o   = u_top.u_qspi_master.wbd_dat_o;
+wire [3:0]  wbd_spi_sel_i   = u_top.u_qspi_master.wbd_sel_i;
 
-wire        wbd_uart_stb_i  = u_top.u_uart_i2c_usb.reg_cs;
-wire        wbd_uart_ack_o  = u_top.u_uart_i2c_usb.reg_ack;
-wire        wbd_uart_we_i   = u_top.u_uart_i2c_usb.reg_wr;
-wire [7:0]  wbd_uart_adr_i  = u_top.u_uart_i2c_usb.reg_addr;
-wire [7:0]  wbd_uart_dat_i  = u_top.u_uart_i2c_usb.reg_wdata;
-wire [7:0]  wbd_uart_dat_o  = u_top.u_uart_i2c_usb.reg_rdata;
-wire        wbd_uart_sel_i  = u_top.u_uart_i2c_usb.reg_be;
+wire        wbd_uart_stb_i  = u_top.u_uart_i2c_usb_spi.reg_cs;
+wire        wbd_uart_ack_o  = u_top.u_uart_i2c_usb_spi.reg_ack;
+wire        wbd_uart_we_i   = u_top.u_uart_i2c_usb_spi.reg_wr;
+wire [8:0]  wbd_uart_adr_i  = u_top.u_uart_i2c_usb_spi.reg_addr;
+wire [7:0]  wbd_uart_dat_i  = u_top.u_uart_i2c_usb_spi.reg_wdata;
+wire [7:0]  wbd_uart_dat_o  = u_top.u_uart_i2c_usb_spi.reg_rdata;
+wire        wbd_uart_sel_i  = u_top.u_uart_i2c_usb_spi.reg_be;
 
 `endif
 
diff --git a/verilog/dv/user_qspi/Makefile b/verilog/dv/user_qspi/Makefile
index 90648dd..6b87fce 100644
--- a/verilog/dv/user_qspi/Makefile
+++ b/verilog/dv/user_qspi/Makefile
@@ -24,8 +24,12 @@
 #######################################################################
 
 DESIGNS?=../../..
+TOOLS?=/opt/riscv64i/
 
 export USER_PROJECT_VERILOG ?=  $(DESIGNS)/verilog
+## YIFIVE FIRMWARE
+YIFIVE_FIRMWARE_PATH = $(USER_PROJECT_VERILOG)/dv/firmware
+GCC64_PREFIX?=riscv64-unknown-elf
 
 
 ## Simulation mode: RTL/GL
@@ -51,20 +55,24 @@
    ifeq ($(DUMP),OFF)
 	iverilog -g2012 -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.lib  \
 	$< -o $@ 
     else  
 	iverilog -g2012 -DWFDUMP -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.lib  \
 	$< -o $@ 
    endif
 else  
    ifeq ($(DUMP),OFF)
 	iverilog -g2012 -DFUNCTIONAL -DUSE_POWER_PINS -DGL -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.lib \
 	$< -o $@ 
     else  
 	iverilog -g2012 -DWFDUMP -DFUNCTIONAL -DUSE_POWER_PINS -DGL -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.lib \
 	$< -o $@ 
    endif
 endif
diff --git a/verilog/dv/user_risc_boot/Makefile b/verilog/dv/user_risc_boot/Makefile
index 85751d6..da70cab 100644
--- a/verilog/dv/user_risc_boot/Makefile
+++ b/verilog/dv/user_risc_boot/Makefile
@@ -24,6 +24,7 @@
 #######################################################################
 
 DESIGNS?=../../..
+TOOLS?=/opt/riscv64i/
 
 export USER_PROJECT_VERILOG ?=  $(DESIGNS)/verilog
 ## YIFIVE FIRMWARE
@@ -60,20 +61,24 @@
    ifeq ($(DUMP),OFF)
 	iverilog -g2012 -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.lib  \
 	$< -o $@ 
     else  
 	iverilog -g2012 -DWFDUMP -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.lib  \
 	$< -o $@ 
    endif
 else  
    ifeq ($(DUMP),OFF)
 	iverilog -g2012 -DFUNCTIONAL -DUSE_POWER_PINS -DGL -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.lib \
 	$< -o $@ 
     else  
 	iverilog -g2012 -DWFDUMP -DFUNCTIONAL -DUSE_POWER_PINS -DGL -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.lib \
 	$< -o $@ 
    endif
 endif
diff --git a/verilog/dv/user_sram_exec/Makefile b/verilog/dv/user_sram_exec/Makefile
index b0f31fc..44f56ab 100644
--- a/verilog/dv/user_sram_exec/Makefile
+++ b/verilog/dv/user_sram_exec/Makefile
@@ -24,6 +24,7 @@
 #######################################################################
 
 DESIGNS?=../../..
+TOOLS?=/opt/riscv64i/
 
 export USER_PROJECT_VERILOG ?=  $(DESIGNS)/verilog
 ## YIFIVE FIRMWARE
@@ -60,20 +61,24 @@
    ifeq ($(DUMP),OFF)
 	iverilog -g2012 -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.lib  \
 	$< -o $@ 
     else  
 	iverilog -g2012 -DWFDUMP -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.lib  \
 	$< -o $@ 
    endif
 else  
    ifeq ($(DUMP),OFF)
 	iverilog -g2012 -DFUNCTIONAL -DUSE_POWER_PINS -DGL -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.lib \
 	$< -o $@ 
     else  
 	iverilog -g2012 -DWFDUMP -DFUNCTIONAL -DUSE_POWER_PINS -DGL -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.lib \
 	$< -o $@ 
    endif
 endif
diff --git a/verilog/dv/user_sspi/Makefile b/verilog/dv/user_sspi/Makefile
index 8a734e8..f16f2a7 100644
--- a/verilog/dv/user_sspi/Makefile
+++ b/verilog/dv/user_sspi/Makefile
@@ -24,8 +24,12 @@
 #######################################################################
 
 DESIGNS?=../../..
+TOOLS?=/opt/riscv64i/
 
 export USER_PROJECT_VERILOG ?=  $(DESIGNS)/verilog
+## YIFIVE FIRMWARE
+YIFIVE_FIRMWARE_PATH = $(USER_PROJECT_VERILOG)/dv/firmware
+GCC64_PREFIX?=riscv64-unknown-elf
 
 
 ## Simulation mode: RTL/GL
@@ -51,20 +55,24 @@
    ifeq ($(DUMP),OFF)
 	iverilog -g2012 -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.lib  \
 	$< -o $@ 
     else  
 	iverilog -g2012 -DWFDUMP -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.lib  \
 	$< -o $@ 
    endif
 else  
    ifeq ($(DUMP),OFF)
 	iverilog -g2012 -DFUNCTIONAL -DUSE_POWER_PINS -DGL -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.lib \
 	$< -o $@ 
     else  
 	iverilog -g2012 -DWFDUMP -DFUNCTIONAL -DUSE_POWER_PINS -DGL -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.lib \
 	$< -o $@ 
    endif
 endif
diff --git a/verilog/dv/user_timer/Makefile b/verilog/dv/user_timer/Makefile
index 6520c31..077652a 100644
--- a/verilog/dv/user_timer/Makefile
+++ b/verilog/dv/user_timer/Makefile
@@ -24,6 +24,7 @@
 #######################################################################
 
 DESIGNS?=../../..
+TOOLS?=/opt/riscv64i/
 
 export USER_PROJECT_VERILOG ?=  $(DESIGNS)/verilog
 ## YIFIVE FIRMWARE
@@ -54,16 +55,26 @@
    ifeq ($(DUMP),OFF)
 	iverilog -g2012 -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.lib  \
 	$< -o $@ 
     else  
 	iverilog -g2012 -DWFDUMP -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.lib  \
 	$< -o $@ 
    endif
 else  
-	iverilog -g2012 -DFUNCTIONAL -DSIM -DGL -I $(PDK_PATH) \
-	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.$(CONFIG) \
+   ifeq ($(DUMP),OFF)
+	iverilog -g2012 -DFUNCTIONAL -DUSE_POWER_PINS -DGL -I $(PDK_PATH) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.lib \
 	$< -o $@ 
+    else  
+	iverilog -g2012 -DWFDUMP -DFUNCTIONAL -DUSE_POWER_PINS -DGL -I $(PDK_PATH) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.lib \
+	$< -o $@ 
+   endif
 endif
 
 %.vcd: %.vvp
diff --git a/verilog/dv/user_timer/user_timer_tb.v b/verilog/dv/user_timer/user_timer_tb.v
index 8fced3c..b27d655 100644
--- a/verilog/dv/user_timer/user_timer_tb.v
+++ b/verilog/dv/user_timer/user_timer_tb.v
@@ -447,21 +447,21 @@
 
 `ifdef GL
 
-wire        wbd_spi_stb_i   = u_top.u_spi_master.wbd_stb_i;
-wire        wbd_spi_ack_o   = u_top.u_spi_master.wbd_ack_o;
-wire        wbd_spi_we_i    = u_top.u_spi_master.wbd_we_i;
-wire [31:0] wbd_spi_adr_i   = u_top.u_spi_master.wbd_adr_i;
-wire [31:0] wbd_spi_dat_i   = u_top.u_spi_master.wbd_dat_i;
-wire [31:0] wbd_spi_dat_o   = u_top.u_spi_master.wbd_dat_o;
-wire [3:0]  wbd_spi_sel_i   = u_top.u_spi_master.wbd_sel_i;
+wire        wbd_spi_stb_i   = u_top.u_qspi_master.wbd_stb_i;
+wire        wbd_spi_ack_o   = u_top.u_qspi_master.wbd_ack_o;
+wire        wbd_spi_we_i    = u_top.u_qspi_master.wbd_we_i;
+wire [31:0] wbd_spi_adr_i   = u_top.u_qspi_master.wbd_adr_i;
+wire [31:0] wbd_spi_dat_i   = u_top.u_qspi_master.wbd_dat_i;
+wire [31:0] wbd_spi_dat_o   = u_top.u_qspi_master.wbd_dat_o;
+wire [3:0]  wbd_spi_sel_i   = u_top.u_qspi_master.wbd_sel_i;
 
-wire        wbd_uart_stb_i  = u_top.u_uart_i2c_usb.reg_cs;
-wire        wbd_uart_ack_o  = u_top.u_uart_i2c_usb.reg_ack;
-wire        wbd_uart_we_i   = u_top.u_uart_i2c_usb.reg_wr;
-wire [7:0]  wbd_uart_adr_i  = u_top.u_uart_i2c_usb.reg_addr;
-wire [7:0]  wbd_uart_dat_i  = u_top.u_uart_i2c_usb.reg_wdata;
-wire [7:0]  wbd_uart_dat_o  = u_top.u_uart_i2c_usb.reg_rdata;
-wire        wbd_uart_sel_i  = u_top.u_uart_i2c_usb.reg_be;
+wire        wbd_uart_stb_i  = u_top.u_uart_i2c_usb_spi.reg_cs;
+wire        wbd_uart_ack_o  = u_top.u_uart_i2c_usb_spi.reg_ack;
+wire        wbd_uart_we_i   = u_top.u_uart_i2c_usb_spi.reg_wr;
+wire [8:0]  wbd_uart_adr_i  = u_top.u_uart_i2c_usb_spi.reg_addr;
+wire [7:0]  wbd_uart_dat_i  = u_top.u_uart_i2c_usb_spi.reg_wdata;
+wire [7:0]  wbd_uart_dat_o  = u_top.u_uart_i2c_usb_spi.reg_rdata;
+wire        wbd_uart_sel_i  = u_top.u_uart_i2c_usb_spi.reg_be;
 
 `endif
 
diff --git a/verilog/dv/user_uart/Makefile b/verilog/dv/user_uart/Makefile
index a97fa0f..0c74848 100644
--- a/verilog/dv/user_uart/Makefile
+++ b/verilog/dv/user_uart/Makefile
@@ -24,6 +24,7 @@
 #######################################################################
 
 DESIGNS?=../../..
+TOOLS?=/opt/riscv64i/
 
 export USER_PROJECT_VERILOG ?=  $(DESIGNS)/verilog
 ## YIFIVE FIRMWARE
@@ -60,20 +61,24 @@
    ifeq ($(DUMP),OFF)
 	iverilog -g2012 -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.lib  \
 	$< -o $@ 
     else  
 	iverilog -g2012 -DWFDUMP -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.lib  \
 	$< -o $@ 
    endif
 else  
    ifeq ($(DUMP),OFF)
 	iverilog -g2012 -DFUNCTIONAL -DUSE_POWER_PINS -DGL -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.lib \
 	$< -o $@ 
     else  
 	iverilog -g2012 -DWFDUMP -DFUNCTIONAL -DUSE_POWER_PINS -DGL -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.lib \
 	$< -o $@ 
    endif
 endif
diff --git a/verilog/dv/user_uart/user_uart_tb.v b/verilog/dv/user_uart/user_uart_tb.v
index 30fd1b8..e0656e9 100644
--- a/verilog/dv/user_uart/user_uart_tb.v
+++ b/verilog/dv/user_uart/user_uart_tb.v
@@ -191,7 +191,7 @@
 	$display("STATUS: Working with Risc core 2");
 	wb_user_core_write(`ADDR_SPACE_PINMUX+`PINMUX_GBL_CFG0,'h41F);
    end else if(d_risc_id == 3) begin
-	$display("STATUS: Working with Risc core 2");
+	$display("STATUS: Working with Risc core 3");
 	wb_user_core_write(`ADDR_SPACE_PINMUX+`PINMUX_GBL_CFG0,'h81F);
    end
 
diff --git a/verilog/dv/user_uart1/Makefile b/verilog/dv/user_uart1/Makefile
index 04737d0..7f299a1 100644
--- a/verilog/dv/user_uart1/Makefile
+++ b/verilog/dv/user_uart1/Makefile
@@ -24,6 +24,7 @@
 #######################################################################
 
 DESIGNS?=../../..
+TOOLS?=/opt/riscv64i/
 
 export USER_PROJECT_VERILOG ?=  $(DESIGNS)/verilog
 ## YIFIVE FIRMWARE
@@ -60,20 +61,24 @@
    ifeq ($(DUMP),OFF)
 	iverilog -g2012 -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.lib  \
 	$< -o $@ 
     else  
 	iverilog -g2012 -DWFDUMP -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.lib  \
 	$< -o $@ 
    endif
 else  
    ifeq ($(DUMP),OFF)
 	iverilog -g2012 -DFUNCTIONAL -DUSE_POWER_PINS -DGL -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.lib \
 	$< -o $@ 
     else  
 	iverilog -g2012 -DWFDUMP -DFUNCTIONAL -DUSE_POWER_PINS -DGL -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.lib \
 	$< -o $@ 
    endif
 endif
diff --git a/verilog/dv/user_uart_master/Makefile b/verilog/dv/user_uart_master/Makefile
index 5eccb5b..800f73a 100644
--- a/verilog/dv/user_uart_master/Makefile
+++ b/verilog/dv/user_uart_master/Makefile
@@ -53,20 +53,24 @@
    ifeq ($(DUMP),OFF)
 	iverilog -g2012 -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.lib  \
 	$< -o $@ 
     else  
 	iverilog -g2012 -DWFDUMP -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.lib  \
 	$< -o $@ 
    endif
 else  
    ifeq ($(DUMP),OFF)
 	iverilog -g2012 -DFUNCTIONAL -DUSE_POWER_PINS -DGL -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.lib \
 	$< -o $@ 
     else  
 	iverilog -g2012 -DWFDUMP -DFUNCTIONAL -DUSE_POWER_PINS -DGL -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.lib \
 	$< -o $@ 
    endif
 endif
diff --git a/verilog/dv/user_usb/Makefile b/verilog/dv/user_usb/Makefile
index 1684a0d..d8eee80 100644
--- a/verilog/dv/user_usb/Makefile
+++ b/verilog/dv/user_usb/Makefile
@@ -24,6 +24,7 @@
 #######################################################################
 
 DESIGNS?=../../..
+TOOLS?=/opt/riscv64i/
 
 export USER_PROJECT_VERILOG ?=  $(DESIGNS)/verilog
 ## YIFIVE FIRMWARE
@@ -54,20 +55,24 @@
    ifeq ($(DUMP),OFF)
 	iverilog -g2012 -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.lib  \
 	$< -o $@ 
     else  
 	iverilog -g2012 -DWFDUMP -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.rtl.lib  \
 	$< -o $@ 
    endif
 else  
    ifeq ($(DUMP),OFF)
 	iverilog -g2012 -DFUNCTIONAL -DUSE_POWER_PINS -DGL -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.lib \
 	$< -o $@ 
     else  
-	iverilog -g2012 -DWFDUMP -DFUNCTIONAL -DGL -I $(PDK_PATH) \
+	iverilog -g2012 -DWFDUMP -DFUNCTIONAL -DUSE_POWER_PINS -DGL -I $(PDK_PATH) \
 	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.$(CONFIG) \
+	-f$(USER_PROJECT_VERILOG)/includes/includes.gl.lib \
 	$< -o $@ 
    endif
 endif
diff --git a/verilog/dv/user_usb/user_usb_tb.v b/verilog/dv/user_usb/user_usb_tb.v
index 6d9f043..5974990 100644
--- a/verilog/dv/user_usb/user_usb_tb.v
+++ b/verilog/dv/user_usb/user_usb_tb.v
@@ -53,7 +53,7 @@
 module user_usb_tb;
 
 parameter  USB_HPER   = 10.4167; // 48Mhz Half cycle
-parameter  USER2_HPER = 2.6042; // 192Mhz Half cycle
+parameter  USER2_HPER = 2.7777; // 180Mhz Half cycle
 
 	reg clock;
 	reg user_clock2;
@@ -135,7 +135,12 @@
 	`ifdef WFDUMP
 	   initial begin
 	   	$dumpfile("simx.vcd");
-	   	$dumpvars(5, user_usb_tb);
+	   	$dumpvars(0, user_usb_tb);
+	   	//$dumpvars(1, user_usb_tb.u_top);
+	   	//$dumpvars(1, user_usb_tb.u_top.u_uart_i2c_usb_spi);
+	   	//$dumpvars(0, user_usb_tb.u_top.u_uart_i2c_usb_spi.u_usb_host);
+	   	//$dumpvars(0, user_usb_tb.u_top.u_intercon);
+	   	//$dumpvars(0, user_usb_tb.u_top.u_wb_host);
 	   end
        `endif
 
@@ -166,8 +171,9 @@
 	        repeat (10) @(posedge clock);
 		$display("Monitor: Standalone User Risc Boot Test Started");
 
-		// Remove Wb Reset
-		wb_user_core_write('h3080_0000,'h1);
+         
+		// Remove Wb/PinMux Reset
+                wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_GLBL_CFG,'h1);
 
                 // Enable SPI Multi Functional Ports
                 wb_user_core_write(`ADDR_SPACE_PINMUX+`PINMUX_GPIO_MULTI_FUNC,'h400);
@@ -175,8 +181,10 @@
 	        repeat (2) @(posedge clock);
 		#1;
          
-	        // Set USB clock : 192/4 = 48Mhz	
-                wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_GLBL_CFG,{8'h82,4'h0,8'h0,4'h0,8'h01});
+                // Remove Wb/PinMux Reset
+                wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_GLBL_CFG,'h1);
+	        // Set USB clock : 180/3 = 60Mhz	
+                wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_CLK_CTRL2,{8'h0,8'h61,8'h0,8'h0});
 
                 // Remove the reset
 		// Remove WB and SPI/UART Reset, Keep CORE under Reset
diff --git a/verilog/dv/wb_port/Makefile b/verilog/dv/wb_port/Makefile
index b7dd1b4..0a94555 100644
--- a/verilog/dv/wb_port/Makefile
+++ b/verilog/dv/wb_port/Makefile
@@ -23,6 +23,7 @@
 
 DESIGNS?=../../..
 CONFIG = caravel_user_project
+TOOLS?=/opt/riscv64i/
 
 ########################################################
 #include $(MCW_ROOT)/verilog/dv/make/env.makefile
@@ -50,7 +51,8 @@
 ## Compiler Information 
 #######################################################################
 
-export GCC_PATH?=      $(TOOLS)/bin
+export TOOLS     ?=  /opt/riscv64i 
+export GCC_PATH  ?=  $(TOOLS)/bin
 export GCC_PREFIX?=    riscv64-unknown-linux-gnu
 
 
@@ -160,18 +162,18 @@
         -f$(VERILOG_PATH)/includes/includes.rtl.caravel \
         -f$(USER_PROJECT_VERILOG)/includes/includes.rtl.$(CONFIG) -o $@ $<
    endif
-endif 
+endif
 
-## GL
+##GL
 ifeq ($(SIM),GL)
-    ifeq ($(CONFIG),caravel_user_project)
-		iverilog -Ttyp -DFUNCTIONAL -DGL -DUSE_POWER_PINS -DUNIT_DELAY=#0.1 \
+   ifeq ($(DUMP),OFF)
+	iverilog -Ttyp -DFUNCTIONAL -DGL -DUSE_POWER_PINS -DUNIT_DELAY=#0.1 \
         -f$(VERILOG_PATH)/includes/includes.gl.caravel \
         -f$(USER_PROJECT_VERILOG)/includes/includes.gl.$(CONFIG) -o $@ $<
     else
-		iverilog -Ttyp -DFUNCTIONAL -DGL -DUSE_POWER_PINS -DUNIT_DELAY=#0.1 \
-        -f$(VERILOG_PATH)/includes/includes.gl.$(CONFIG) \
-		-f$(CARAVEL_PATH)/gl/__user_project_wrapper.v -o $@ $<
+	iverilog -Ttyp -DWFDUMP -DFUNCTIONAL -DGL -DUSE_POWER_PINS -DUNIT_DELAY=#0.1 \
+        -f$(VERILOG_PATH)/includes/includes.gl.caravel \
+        -f$(USER_PROJECT_VERILOG)/includes/includes.gl.$(CONFIG) -o $@ $<
     endif
 endif 
 
diff --git a/verilog/dv/wb_port/wb_port.c b/verilog/dv/wb_port/wb_port.c
index d3d63fe..03a5799 100644
--- a/verilog/dv/wb_port/wb_port.c
+++ b/verilog/dv/wb_port/wb_port.c
@@ -57,7 +57,7 @@
 	/* Set up the housekeeping SPI to be connected internally so	*/
 	/* that external pin changes don't affect it.			*/
 
-    reg_spi_enable = 1;
+    reg_spi_enable = 0;
     reg_wb_enable = 1;
 	// reg_spimaster_config = 0xa002;	// Enable, prescaler = 2,
                                         // connect to housekeeping SPI
diff --git a/verilog/dv/wb_port/wb_port_tb.v b/verilog/dv/wb_port/wb_port_tb.v
index f2c62cb..ba2cae8 100644
--- a/verilog/dv/wb_port/wb_port_tb.v
+++ b/verilog/dv/wb_port/wb_port_tb.v
@@ -24,7 +24,7 @@
 	reg power1, power2;
 	reg power3, power4;
 
-	wire gpio;
+	tri gpio;
 	wire [37:0] mprj_io;
 	wire [7:0] mprj_io_0;
 	wire [15:0] checkbits;
@@ -43,13 +43,18 @@
 		clock = 0;
 	end
 
+pullup(mprj_io[3]); 
+
 	`ifdef WFDUMP
 	initial begin
 		$dumpfile("simx.vcd");
 		$dumpvars(1, wb_port_tb);
 		$dumpvars(1, wb_port_tb.uut);
 		$dumpvars(1, wb_port_tb.uut.mgmt_buffers);
+		$dumpvars(1, wb_port_tb.uut.housekeeping);
+		$dumpvars(1, wb_port_tb.uut.pll);
 		$dumpvars(1, wb_port_tb.uut.soc);
+		$dumpvars(1, wb_port_tb.uut.soc.core);
 		$dumpvars(1, wb_port_tb.uut.mprj);
 		$dumpvars(1, wb_port_tb.uut.mprj.u_wb_host);
 		//$dumpvars(2, wb_port_tb.uut.mprj.u_pinmux);
diff --git a/verilog/gl/digital_pll.v b/verilog/gl/digital_pll.v
new file mode 100644
index 0000000..a56dae6
--- /dev/null
+++ b/verilog/gl/digital_pll.v
@@ -0,0 +1,3853 @@
+module digital_pll (VGND,
+    VPWR,
+    dco,
+    enable,
+    osc,
+    resetb,
+    clockp,
+    div,
+    ext_trim);
+ input VGND;
+ input VPWR;
+ input dco;
+ input enable;
+ input osc;
+ input resetb;
+ output [1:0] clockp;
+ input [4:0] div;
+ input [25:0] ext_trim;
+
+ wire _000_;
+ wire _001_;
+ wire _002_;
+ wire _003_;
+ wire _004_;
+ wire _005_;
+ wire _006_;
+ wire _007_;
+ wire _008_;
+ wire _009_;
+ wire _010_;
+ wire _011_;
+ wire _012_;
+ wire _013_;
+ wire _014_;
+ wire _015_;
+ wire _016_;
+ wire _017_;
+ wire _018_;
+ wire _019_;
+ wire _020_;
+ wire _021_;
+ wire _022_;
+ wire _023_;
+ wire _024_;
+ wire _025_;
+ wire _026_;
+ wire _027_;
+ wire _028_;
+ wire _029_;
+ wire _030_;
+ wire _031_;
+ wire _032_;
+ wire _033_;
+ wire _034_;
+ wire _035_;
+ wire _036_;
+ wire _037_;
+ wire _038_;
+ wire _039_;
+ wire _040_;
+ wire _041_;
+ wire _042_;
+ wire _043_;
+ wire _044_;
+ wire _045_;
+ wire _046_;
+ wire _047_;
+ wire _048_;
+ wire _049_;
+ wire _050_;
+ wire _051_;
+ wire _052_;
+ wire _053_;
+ wire _054_;
+ wire _055_;
+ wire _056_;
+ wire _057_;
+ wire _058_;
+ wire _059_;
+ wire _060_;
+ wire _061_;
+ wire _062_;
+ wire _063_;
+ wire _064_;
+ wire _065_;
+ wire _066_;
+ wire _067_;
+ wire _068_;
+ wire _069_;
+ wire _070_;
+ wire _071_;
+ wire _072_;
+ wire _073_;
+ wire _074_;
+ wire _075_;
+ wire _076_;
+ wire _077_;
+ wire _078_;
+ wire _079_;
+ wire _080_;
+ wire _081_;
+ wire _082_;
+ wire _083_;
+ wire _084_;
+ wire _085_;
+ wire _086_;
+ wire _087_;
+ wire _088_;
+ wire _089_;
+ wire _090_;
+ wire _091_;
+ wire _092_;
+ wire _093_;
+ wire _094_;
+ wire _095_;
+ wire _096_;
+ wire _097_;
+ wire _098_;
+ wire _099_;
+ wire _100_;
+ wire _101_;
+ wire _102_;
+ wire _103_;
+ wire _104_;
+ wire _105_;
+ wire _106_;
+ wire _107_;
+ wire _108_;
+ wire _109_;
+ wire _110_;
+ wire _111_;
+ wire _112_;
+ wire _113_;
+ wire _114_;
+ wire _115_;
+ wire _116_;
+ wire _117_;
+ wire _118_;
+ wire _119_;
+ wire _120_;
+ wire _121_;
+ wire _122_;
+ wire _123_;
+ wire _124_;
+ wire _125_;
+ wire _126_;
+ wire _127_;
+ wire _128_;
+ wire _129_;
+ wire _130_;
+ wire _131_;
+ wire _132_;
+ wire _133_;
+ wire _134_;
+ wire _135_;
+ wire _136_;
+ wire _137_;
+ wire _138_;
+ wire _139_;
+ wire _140_;
+ wire _141_;
+ wire _142_;
+ wire _143_;
+ wire _144_;
+ wire _145_;
+ wire _146_;
+ wire _147_;
+ wire _148_;
+ wire _149_;
+ wire _150_;
+ wire _151_;
+ wire _152_;
+ wire _153_;
+ wire _154_;
+ wire _155_;
+ wire _156_;
+ wire _157_;
+ wire _158_;
+ wire _159_;
+ wire _160_;
+ wire _161_;
+ wire _162_;
+ wire _163_;
+ wire \pll_control.clock ;
+ wire \pll_control.count0[0] ;
+ wire \pll_control.count0[1] ;
+ wire \pll_control.count0[2] ;
+ wire \pll_control.count0[3] ;
+ wire \pll_control.count0[4] ;
+ wire \pll_control.count1[0] ;
+ wire \pll_control.count1[1] ;
+ wire \pll_control.count1[2] ;
+ wire \pll_control.count1[3] ;
+ wire \pll_control.count1[4] ;
+ wire \pll_control.oscbuf[0] ;
+ wire \pll_control.oscbuf[1] ;
+ wire \pll_control.oscbuf[2] ;
+ wire \pll_control.prep[0] ;
+ wire \pll_control.prep[1] ;
+ wire \pll_control.prep[2] ;
+ wire \pll_control.tint[0] ;
+ wire \pll_control.tint[1] ;
+ wire \pll_control.tint[2] ;
+ wire \pll_control.tint[3] ;
+ wire \pll_control.tint[4] ;
+ wire \pll_control.tval[0] ;
+ wire \pll_control.tval[1] ;
+ wire \ringosc.c[0] ;
+ wire \ringosc.c[1] ;
+ wire \ringosc.dstage[0].id.d0 ;
+ wire \ringosc.dstage[0].id.d1 ;
+ wire \ringosc.dstage[0].id.d2 ;
+ wire \ringosc.dstage[0].id.in ;
+ wire \ringosc.dstage[0].id.out ;
+ wire \ringosc.dstage[0].id.trim[0] ;
+ wire \ringosc.dstage[0].id.trim[1] ;
+ wire \ringosc.dstage[0].id.ts ;
+ wire \ringosc.dstage[10].id.d0 ;
+ wire \ringosc.dstage[10].id.d1 ;
+ wire \ringosc.dstage[10].id.d2 ;
+ wire \ringosc.dstage[10].id.in ;
+ wire \ringosc.dstage[10].id.out ;
+ wire \ringosc.dstage[10].id.trim[0] ;
+ wire \ringosc.dstage[10].id.trim[1] ;
+ wire \ringosc.dstage[10].id.ts ;
+ wire \ringosc.dstage[11].id.d0 ;
+ wire \ringosc.dstage[11].id.d1 ;
+ wire \ringosc.dstage[11].id.d2 ;
+ wire \ringosc.dstage[11].id.out ;
+ wire \ringosc.dstage[11].id.trim[0] ;
+ wire \ringosc.dstage[11].id.trim[1] ;
+ wire \ringosc.dstage[11].id.ts ;
+ wire \ringosc.dstage[1].id.d0 ;
+ wire \ringosc.dstage[1].id.d1 ;
+ wire \ringosc.dstage[1].id.d2 ;
+ wire \ringosc.dstage[1].id.out ;
+ wire \ringosc.dstage[1].id.trim[0] ;
+ wire \ringosc.dstage[1].id.trim[1] ;
+ wire \ringosc.dstage[1].id.ts ;
+ wire \ringosc.dstage[2].id.d0 ;
+ wire \ringosc.dstage[2].id.d1 ;
+ wire \ringosc.dstage[2].id.d2 ;
+ wire \ringosc.dstage[2].id.out ;
+ wire \ringosc.dstage[2].id.trim[0] ;
+ wire \ringosc.dstage[2].id.trim[1] ;
+ wire \ringosc.dstage[2].id.ts ;
+ wire \ringosc.dstage[3].id.d0 ;
+ wire \ringosc.dstage[3].id.d1 ;
+ wire \ringosc.dstage[3].id.d2 ;
+ wire \ringosc.dstage[3].id.out ;
+ wire \ringosc.dstage[3].id.trim[0] ;
+ wire \ringosc.dstage[3].id.trim[1] ;
+ wire \ringosc.dstage[3].id.ts ;
+ wire \ringosc.dstage[4].id.d0 ;
+ wire \ringosc.dstage[4].id.d1 ;
+ wire \ringosc.dstage[4].id.d2 ;
+ wire \ringosc.dstage[4].id.out ;
+ wire \ringosc.dstage[4].id.trim[0] ;
+ wire \ringosc.dstage[4].id.trim[1] ;
+ wire \ringosc.dstage[4].id.ts ;
+ wire \ringosc.dstage[5].id.d0 ;
+ wire \ringosc.dstage[5].id.d1 ;
+ wire \ringosc.dstage[5].id.d2 ;
+ wire \ringosc.dstage[5].id.out ;
+ wire \ringosc.dstage[5].id.trim[0] ;
+ wire \ringosc.dstage[5].id.trim[1] ;
+ wire \ringosc.dstage[5].id.ts ;
+ wire \ringosc.dstage[6].id.d0 ;
+ wire \ringosc.dstage[6].id.d1 ;
+ wire \ringosc.dstage[6].id.d2 ;
+ wire \ringosc.dstage[6].id.out ;
+ wire \ringosc.dstage[6].id.trim[0] ;
+ wire \ringosc.dstage[6].id.trim[1] ;
+ wire \ringosc.dstage[6].id.ts ;
+ wire \ringosc.dstage[7].id.d0 ;
+ wire \ringosc.dstage[7].id.d1 ;
+ wire \ringosc.dstage[7].id.d2 ;
+ wire \ringosc.dstage[7].id.out ;
+ wire \ringosc.dstage[7].id.trim[0] ;
+ wire \ringosc.dstage[7].id.trim[1] ;
+ wire \ringosc.dstage[7].id.ts ;
+ wire \ringosc.dstage[8].id.d0 ;
+ wire \ringosc.dstage[8].id.d1 ;
+ wire \ringosc.dstage[8].id.d2 ;
+ wire \ringosc.dstage[8].id.out ;
+ wire \ringosc.dstage[8].id.trim[0] ;
+ wire \ringosc.dstage[8].id.trim[1] ;
+ wire \ringosc.dstage[8].id.ts ;
+ wire \ringosc.dstage[9].id.d0 ;
+ wire \ringosc.dstage[9].id.d1 ;
+ wire \ringosc.dstage[9].id.d2 ;
+ wire \ringosc.dstage[9].id.trim[0] ;
+ wire \ringosc.dstage[9].id.trim[1] ;
+ wire \ringosc.dstage[9].id.ts ;
+ wire \ringosc.iss.ctrl0 ;
+ wire \ringosc.iss.d0 ;
+ wire \ringosc.iss.d1 ;
+ wire \ringosc.iss.d2 ;
+ wire \ringosc.iss.one ;
+ wire \ringosc.iss.reset ;
+ wire \ringosc.iss.trim[0] ;
+ wire \ringosc.iss.trim[1] ;
+
+ sky130_fd_sc_hd__diode_2 ANTENNA__177__A (.DIODE(div[0]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__181__A (.DIODE(enable),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__181__B (.DIODE(resetb),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__182__A (.DIODE(dco),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__201__A1 (.DIODE(div[3]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__201__B1 (.DIODE(div[2]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__202__A (.DIODE(div[3]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__204__A1 (.DIODE(div[2]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__207__A (.DIODE(div[1]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__210__A1 (.DIODE(div[1]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__210__B1 (.DIODE(div[0]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__211__A1 (.DIODE(div[1]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__216__A (.DIODE(div[4]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__218__B1 (.DIODE(div[4]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__330__A1 (.DIODE(ext_trim[11]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__330__S (.DIODE(dco),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__331__A1 (.DIODE(ext_trim[24]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__331__S (.DIODE(dco),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__332__A1 (.DIODE(ext_trim[10]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__332__S (.DIODE(dco),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__333__A1 (.DIODE(ext_trim[23]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__333__S (.DIODE(dco),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__334__A1 (.DIODE(ext_trim[9]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__334__S (.DIODE(dco),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__335__A1 (.DIODE(ext_trim[22]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__335__S (.DIODE(dco),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__336__A1 (.DIODE(ext_trim[8]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__336__S (.DIODE(dco),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__337__A1 (.DIODE(ext_trim[21]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__337__S (.DIODE(dco),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__338__A1 (.DIODE(ext_trim[7]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__338__S (.DIODE(dco),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__339__A1 (.DIODE(ext_trim[20]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__339__S (.DIODE(dco),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__340__A1 (.DIODE(ext_trim[6]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__340__S (.DIODE(dco),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__341__A1 (.DIODE(ext_trim[19]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__341__S (.DIODE(dco),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__342__A1 (.DIODE(ext_trim[5]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__342__S (.DIODE(dco),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__343__A1 (.DIODE(ext_trim[18]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__343__S (.DIODE(dco),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__344__A1 (.DIODE(ext_trim[4]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__344__S (.DIODE(dco),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__345__A1 (.DIODE(ext_trim[17]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__345__S (.DIODE(dco),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__346__A1 (.DIODE(ext_trim[3]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__346__S (.DIODE(dco),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__347__A1 (.DIODE(ext_trim[16]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__347__S (.DIODE(dco),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__348__A1 (.DIODE(ext_trim[2]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__348__S (.DIODE(dco),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__349__A1 (.DIODE(ext_trim[15]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__349__S (.DIODE(dco),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__350__A1 (.DIODE(ext_trim[1]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__350__S (.DIODE(dco),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__351__A1 (.DIODE(ext_trim[14]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__351__S (.DIODE(dco),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__352__A1 (.DIODE(ext_trim[0]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__352__S (.DIODE(dco),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__353__A1 (.DIODE(ext_trim[13]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__353__S (.DIODE(dco),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__354__A1 (.DIODE(ext_trim[12]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__354__S (.DIODE(dco),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__355__A1 (.DIODE(ext_trim[25]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__355__S (.DIODE(dco),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__diode_2 ANTENNA__356__D (.DIODE(osc),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_6 FILLER_0_10 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_4 FILLER_0_105 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_4 FILLER_0_19 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_0_3 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_6 FILLER_0_37 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_0_52 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_4 FILLER_0_62 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_0_66 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_12 FILLER_0_70 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_2 FILLER_0_82 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_12 FILLER_0_93 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_6 FILLER_10_10 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_10_104 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 FILLER_10_19 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_10_27 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_4 FILLER_10_36 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_10_7 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_2 FILLER_10_94 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_11_101 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_11_111 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_11_130 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_2 FILLER_11_23 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_2 FILLER_11_32 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_12 FILLER_11_38 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_6 FILLER_11_50 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_11_57 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_2 FILLER_11_77 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_12_101 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_12_105 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_12_19 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_12_27 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_2 FILLER_12_39 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_2 FILLER_12_48 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_2 FILLER_12_68 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_12_80 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_2 FILLER_13_134 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_2 FILLER_13_34 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_2 FILLER_13_54 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_2 FILLER_14_112 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_14_13 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_14_3 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_12 FILLER_14_43 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_4 FILLER_14_63 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 FILLER_14_81 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_2 FILLER_14_85 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 FILLER_15_109 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_15_24 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_15_39 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_15_5 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 FILLER_15_53 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_4 FILLER_15_67 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_2 FILLER_15_76 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_8 FILLER_15_93 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 FILLER_16_115 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_16_135 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_4 FILLER_16_23 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_16_27 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_4 FILLER_16_32 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 FILLER_16_59 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_12 FILLER_16_7 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_16_72 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_4 FILLER_16_94 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_16_98 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_17_100 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_4 FILLER_17_12 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_4 FILLER_17_30 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_18_112 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_2 FILLER_18_134 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_2 FILLER_18_26 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_18_49 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_18_75 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_18_96 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_19_100 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_19_120 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_19_135 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_19_28 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_19_55 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_19_68 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_19_87 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_1_111 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_2 FILLER_1_134 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_2 FILLER_1_54 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_1_78 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_20_112 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_2 FILLER_20_134 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_20_38 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_6 FILLER_20_5 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_21_104 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_21_135 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_21_21 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_21_55 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_2 FILLER_21_69 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 FILLER_22_133 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_4 FILLER_22_3 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_4 FILLER_22_31 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_2 FILLER_22_42 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_22_7 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_12 FILLER_22_72 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_2 FILLER_2_120 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_2 FILLER_2_134 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_2_18 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_2_5 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 FILLER_2_78 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_3_111 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_2 FILLER_3_113 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_2 FILLER_3_28 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_3_3 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_4 FILLER_3_33 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_3_37 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_3_48 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_3_57 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_2 FILLER_3_71 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_3_94 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 FILLER_4_120 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_6 FILLER_4_129 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_4_135 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_2 FILLER_4_58 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 FILLER_4_81 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_5_103 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_2 FILLER_5_134 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_5_19 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 FILLER_5_32 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_5_57 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_5_69 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 FILLER_6_100 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_12 FILLER_6_122 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_2 FILLER_6_134 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_6_17 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_6_27 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_6_3 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 FILLER_6_38 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 FILLER_6_53 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_4 FILLER_6_62 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_12 FILLER_6_71 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_6_83 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_6_88 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_7_103 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_8 FILLER_7_116 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_8 FILLER_7_127 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_7_135 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 FILLER_7_39 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_2 FILLER_7_81 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_6 FILLER_7_90 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_7_96 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_8_27 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_8_29 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_12 FILLER_8_51 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_6 FILLER_8_63 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_8_69 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_2 FILLER_9_113 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_9_27 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_2 FILLER_9_47 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_9_5 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_9_52 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__fill_1 FILLER_9_78 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 FILLER_9_87 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_0 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_1 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_10 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_11 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_12 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_13 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_14 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_15 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_16 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_17 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_18 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_19 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_2 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_20 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_21 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_22 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_23 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_24 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_25 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_26 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_27 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_28 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_29 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_3 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_30 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_31 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_32 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_33 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_34 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_35 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_36 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_37 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_38 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_39 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_4 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_40 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_41 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_42 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_43 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_44 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_45 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_5 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_6 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_7 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_8 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_9 (.VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_46 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_47 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_48 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_49 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_50 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_51 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_52 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_53 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_54 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_55 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_56 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_57 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_58 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_59 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_60 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_61 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_62 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_63 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_64 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_65 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_66 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_67 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_68 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_69 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_70 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_71 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_72 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_73 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_74 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_75 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_76 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_77 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_78 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_79 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_80 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_81 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_82 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_83 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_84 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_85 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_86 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_87 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_88 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_89 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_90 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_91 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_92 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_93 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_94 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_95 (.VGND(VGND),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__inv_2 _164_ (.A(\pll_control.count0[4] ),
+    .Y(_072_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__inv_2 _165_ (.A(\pll_control.count1[4] ),
+    .Y(_073_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__inv_2 _166_ (.A(\pll_control.count0[2] ),
+    .Y(_074_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__inv_2 _167_ (.A(\pll_control.count0[1] ),
+    .Y(_075_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__inv_2 _168_ (.A(\pll_control.count0[0] ),
+    .Y(_076_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__inv_2 _169_ (.A(\pll_control.count1[0] ),
+    .Y(_077_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__inv_2 _170_ (.A(\pll_control.tint[4] ),
+    .Y(_078_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__inv_2 _171_ (.A(\pll_control.tint[3] ),
+    .Y(_079_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__inv_2 _172_ (.A(\pll_control.tint[2] ),
+    .Y(_080_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__inv_2 _173_ (.A(\pll_control.tint[1] ),
+    .Y(_081_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__inv_2 _174_ (.A(\pll_control.tint[0] ),
+    .Y(_082_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__inv_2 _175_ (.A(\pll_control.tval[1] ),
+    .Y(_083_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__inv_2 _176_ (.A(\pll_control.tval[0] ),
+    .Y(_084_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__inv_2 _177_ (.A(div[0]),
+    .Y(_085_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__a2bb2o_2 _178_ (.A1_N(\pll_control.oscbuf[1] ),
+    .A2_N(\pll_control.oscbuf[2] ),
+    .B1(\pll_control.oscbuf[1] ),
+    .B2(\pll_control.oscbuf[2] ),
+    .X(_086_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__inv_2 _179_ (.A(_086_),
+    .Y(_087_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__a22o_2 _180_ (.A1(\pll_control.count1[4] ),
+    .A2(_086_),
+    .B1(\pll_control.count0[4] ),
+    .B2(_087_),
+    .X(_071_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__nand2_2 _181_ (.A(enable),
+    .B(resetb),
+    .Y(\ringosc.iss.reset ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__nor2_2 _182_ (.A(dco),
+    .B(\ringosc.iss.reset ),
+    .Y(_050_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__a22o_2 _183_ (.A1(\pll_control.count1[3] ),
+    .A2(_086_),
+    .B1(\pll_control.count0[3] ),
+    .B2(_087_),
+    .X(_070_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__a22o_2 _184_ (.A1(\pll_control.count1[2] ),
+    .A2(_086_),
+    .B1(\pll_control.count0[2] ),
+    .B2(_087_),
+    .X(_069_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__a22o_2 _185_ (.A1(\pll_control.count1[1] ),
+    .A2(_086_),
+    .B1(\pll_control.count0[1] ),
+    .B2(_087_),
+    .X(_068_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__a22o_2 _186_ (.A1(\pll_control.count1[0] ),
+    .A2(_086_),
+    .B1(\pll_control.count0[0] ),
+    .B2(_087_),
+    .X(_067_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__a22o_2 _187_ (.A1(\pll_control.prep[1] ),
+    .A2(_087_),
+    .B1(\pll_control.prep[2] ),
+    .B2(_086_),
+    .X(_066_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__a22o_2 _188_ (.A1(\pll_control.prep[1] ),
+    .A2(_086_),
+    .B1(\pll_control.prep[0] ),
+    .B2(_087_),
+    .X(_065_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__or2_2 _189_ (.A(\pll_control.prep[0] ),
+    .B(_087_),
+    .X(_064_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__nor2_2 _190_ (.A(\pll_control.count0[3] ),
+    .B(\pll_control.count1[3] ),
+    .Y(_088_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__a21o_2 _191_ (.A1(\pll_control.count0[3] ),
+    .A2(\pll_control.count1[3] ),
+    .B1(_088_),
+    .X(_089_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__nor2_2 _192_ (.A(\pll_control.count0[2] ),
+    .B(\pll_control.count1[2] ),
+    .Y(_090_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__nor2_2 _193_ (.A(_076_),
+    .B(_077_),
+    .Y(_091_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o2bb2a_2 _194_ (.A1_N(\pll_control.count0[1] ),
+    .A2_N(\pll_control.count1[1] ),
+    .B1(\pll_control.count0[1] ),
+    .B2(\pll_control.count1[1] ),
+    .X(_092_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__a22o_2 _195_ (.A1(\pll_control.count0[1] ),
+    .A2(\pll_control.count1[1] ),
+    .B1(_091_),
+    .B2(_092_),
+    .X(_093_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__inv_2 _196_ (.A(_093_),
+    .Y(_094_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o2bb2a_2 _197_ (.A1_N(\pll_control.count0[2] ),
+    .A2_N(\pll_control.count1[2] ),
+    .B1(_090_),
+    .B2(_094_),
+    .X(_095_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__a2bb2o_2 _198_ (.A1_N(_089_),
+    .A2_N(_095_),
+    .B1(_089_),
+    .B2(_095_),
+    .X(_096_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__a21oi_2 _199_ (.A1(\pll_control.count0[2] ),
+    .A2(\pll_control.count1[2] ),
+    .B1(_090_),
+    .Y(_097_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__a2bb2o_2 _200_ (.A1_N(_093_),
+    .A2_N(_097_),
+    .B1(_093_),
+    .B2(_097_),
+    .X(_098_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__a22oi_2 _201_ (.A1(div[3]),
+    .A2(_096_),
+    .B1(div[2]),
+    .B2(_098_),
+    .Y(_099_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__or2_2 _202_ (.A(div[3]),
+    .B(_096_),
+    .X(_100_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__inv_2 _203_ (.A(_100_),
+    .Y(_101_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o211a_2 _204_ (.A1(div[2]),
+    .A2(_098_),
+    .B1(_100_),
+    .C1(_099_),
+    .X(_102_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__inv_2 _205_ (.A(_102_),
+    .Y(_103_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o2bb2ai_2 _206_ (.A1_N(_091_),
+    .A2_N(_092_),
+    .B1(_091_),
+    .B2(_092_),
+    .Y(_104_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__nand2_2 _207_ (.A(div[1]),
+    .B(_104_),
+    .Y(_105_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__a21oi_2 _208_ (.A1(_076_),
+    .A2(_077_),
+    .B1(_091_),
+    .Y(_106_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__inv_2 _209_ (.A(_106_),
+    .Y(_107_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o221a_2 _210_ (.A1(div[1]),
+    .A2(_104_),
+    .B1(div[0]),
+    .B2(_107_),
+    .C1(_105_),
+    .X(_108_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__a21oi_2 _211_ (.A1(div[1]),
+    .A2(_104_),
+    .B1(_108_),
+    .Y(_109_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__a22o_2 _212_ (.A1(\pll_control.count0[4] ),
+    .A2(\pll_control.count1[4] ),
+    .B1(_072_),
+    .B2(_073_),
+    .X(_110_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o2bb2a_2 _213_ (.A1_N(\pll_control.count0[3] ),
+    .A2_N(\pll_control.count1[3] ),
+    .B1(_088_),
+    .B2(_095_),
+    .X(_111_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__or2_2 _214_ (.A(_110_),
+    .B(_111_),
+    .X(_112_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__a21bo_2 _215_ (.A1(_110_),
+    .A2(_111_),
+    .B1_N(_112_),
+    .X(_113_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__nand2_2 _216_ (.A(div[4]),
+    .B(_113_),
+    .Y(_114_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o221a_2 _217_ (.A1(_099_),
+    .A2(_101_),
+    .B1(_103_),
+    .B2(_109_),
+    .C1(_114_),
+    .X(_115_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o221ai_2 _218_ (.A1(_072_),
+    .A2(_073_),
+    .B1(div[4]),
+    .B2(_113_),
+    .C1(_112_),
+    .Y(_116_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__or2_2 _219_ (.A(_115_),
+    .B(_116_),
+    .X(_117_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__inv_2 _220_ (.A(_117_),
+    .Y(_118_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__or2_2 _221_ (.A(_081_),
+    .B(_082_),
+    .X(_119_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__inv_2 _222_ (.A(_119_),
+    .Y(_120_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__or3_2 _223_ (.A(_079_),
+    .B(_080_),
+    .C(_119_),
+    .X(_015_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__or3_2 _224_ (.A(_083_),
+    .B(_084_),
+    .C(_015_),
+    .X(_121_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o2111ai_2 _225_ (.A1(_085_),
+    .A2(_106_),
+    .B1(_108_),
+    .C1(_102_),
+    .D1(_114_),
+    .Y(_122_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__and4_2 _226_ (.A(\pll_control.prep[1] ),
+    .B(_087_),
+    .C(\pll_control.prep[2] ),
+    .D(\pll_control.prep[0] ),
+    .X(_123_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__or2_2 _227_ (.A(\pll_control.tint[1] ),
+    .B(\pll_control.tint[0] ),
+    .X(_124_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__inv_2 _228_ (.A(_124_),
+    .Y(_125_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__or2_2 _229_ (.A(\pll_control.tint[3] ),
+    .B(\pll_control.tint[2] ),
+    .X(_126_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__inv_2 _230_ (.A(_126_),
+    .Y(_127_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__or2_2 _231_ (.A(_124_),
+    .B(_126_),
+    .X(_000_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__or2_2 _232_ (.A(\pll_control.tint[4] ),
+    .B(_000_),
+    .X(_001_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__or3_2 _233_ (.A(\pll_control.tval[1] ),
+    .B(\pll_control.tval[0] ),
+    .C(_001_),
+    .X(_128_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o221a_2 _234_ (.A1(_116_),
+    .A2(_122_),
+    .B1(_117_),
+    .B2(_128_),
+    .C1(_123_),
+    .X(_129_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o31a_2 _235_ (.A1(_078_),
+    .A2(_118_),
+    .A3(_121_),
+    .B1(_129_),
+    .X(_130_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__inv_2 _236_ (.A(_130_),
+    .Y(_131_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__a22o_2 _237_ (.A1(\pll_control.tval[1] ),
+    .A2(_118_),
+    .B1(_083_),
+    .B2(_117_),
+    .X(_132_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o22a_2 _238_ (.A1(_083_),
+    .A2(_117_),
+    .B1(_084_),
+    .B2(_132_),
+    .X(_133_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__nor2_2 _239_ (.A(_120_),
+    .B(_125_),
+    .Y(_134_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__a22o_2 _240_ (.A1(\pll_control.tint[0] ),
+    .A2(_118_),
+    .B1(_082_),
+    .B2(_117_),
+    .X(_135_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o32a_2 _241_ (.A1(_134_),
+    .A2(_135_),
+    .A3(_133_),
+    .B1(_117_),
+    .B2(_125_),
+    .X(_136_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__a22o_2 _242_ (.A1(\pll_control.tint[2] ),
+    .A2(_118_),
+    .B1(_080_),
+    .B2(_117_),
+    .X(_137_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o22a_2 _243_ (.A1(_079_),
+    .A2(_118_),
+    .B1(\pll_control.tint[3] ),
+    .B2(_117_),
+    .X(_138_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__inv_2 _244_ (.A(_138_),
+    .Y(_139_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o32a_2 _245_ (.A1(_137_),
+    .A2(_138_),
+    .A3(_136_),
+    .B1(_117_),
+    .B2(_127_),
+    .X(_140_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__inv_2 _246_ (.A(_140_),
+    .Y(_141_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o22a_2 _247_ (.A1(\pll_control.tint[4] ),
+    .A2(_118_),
+    .B1(_078_),
+    .B2(_117_),
+    .X(_142_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__inv_2 _248_ (.A(_142_),
+    .Y(_143_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__a221o_2 _249_ (.A1(_141_),
+    .A2(_142_),
+    .B1(_140_),
+    .B2(_143_),
+    .C1(_131_),
+    .X(_144_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o21ai_2 _250_ (.A1(_078_),
+    .A2(_130_),
+    .B1(_144_),
+    .Y(_063_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__or2_2 _251_ (.A(_136_),
+    .B(_137_),
+    .X(_145_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o21ai_2 _252_ (.A1(_080_),
+    .A2(_117_),
+    .B1(_145_),
+    .Y(_146_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__inv_2 _253_ (.A(_146_),
+    .Y(_147_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__a221o_2 _254_ (.A1(_139_),
+    .A2(_146_),
+    .B1(_138_),
+    .B2(_147_),
+    .C1(_131_),
+    .X(_148_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o21ai_2 _255_ (.A1(_079_),
+    .A2(_130_),
+    .B1(_148_),
+    .Y(_062_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__nand2_2 _256_ (.A(_136_),
+    .B(_137_),
+    .Y(_149_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__a32o_2 _257_ (.A1(_130_),
+    .A2(_145_),
+    .A3(_149_),
+    .B1(\pll_control.tint[2] ),
+    .B2(_131_),
+    .X(_061_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__or2_2 _258_ (.A(_133_),
+    .B(_135_),
+    .X(_150_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__mux2_1 _259_ (.A0(\pll_control.tint[0] ),
+    .A1(_118_),
+    .S(_133_),
+    .X(_151_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o211a_2 _260_ (.A1(_082_),
+    .A2(_117_),
+    .B1(_130_),
+    .C1(_151_),
+    .X(_152_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o2bb2a_2 _261_ (.A1_N(\pll_control.tint[1] ),
+    .A2_N(_152_),
+    .B1(\pll_control.tint[1] ),
+    .B2(_152_),
+    .X(_060_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__nand2_2 _262_ (.A(_133_),
+    .B(_135_),
+    .Y(_153_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__a32o_2 _263_ (.A1(_130_),
+    .A2(_150_),
+    .A3(_153_),
+    .B1(\pll_control.tint[0] ),
+    .B2(_131_),
+    .X(_059_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__a2bb2o_2 _264_ (.A1_N(_084_),
+    .A2_N(_132_),
+    .B1(_084_),
+    .B2(_132_),
+    .X(_154_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o22ai_2 _265_ (.A1(_083_),
+    .A2(_130_),
+    .B1(_131_),
+    .B2(_154_),
+    .Y(_058_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o22a_2 _266_ (.A1(\pll_control.tval[0] ),
+    .A2(_130_),
+    .B1(_084_),
+    .B2(_131_),
+    .X(_057_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__or3_2 _267_ (.A(_075_),
+    .B(_076_),
+    .C(_074_),
+    .X(_155_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__inv_2 _268_ (.A(_155_),
+    .Y(_156_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__nand2_2 _269_ (.A(\pll_control.count0[3] ),
+    .B(_156_),
+    .Y(_157_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__a21oi_2 _270_ (.A1(_072_),
+    .A2(_157_),
+    .B1(_087_),
+    .Y(_056_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o221a_2 _271_ (.A1(\pll_control.count0[3] ),
+    .A2(_156_),
+    .B1(\pll_control.count0[4] ),
+    .B2(_157_),
+    .C1(_086_),
+    .X(_055_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__and4_2 _272_ (.A(\pll_control.count0[3] ),
+    .B(_156_),
+    .C(\pll_control.count0[4] ),
+    .D(_086_),
+    .X(_158_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o21ai_2 _273_ (.A1(_075_),
+    .A2(_076_),
+    .B1(_074_),
+    .Y(_159_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__a31o_2 _274_ (.A1(_086_),
+    .A2(_155_),
+    .A3(_159_),
+    .B1(_158_),
+    .X(_054_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o221a_2 _275_ (.A1(_075_),
+    .A2(_076_),
+    .B1(\pll_control.count0[1] ),
+    .B2(\pll_control.count0[0] ),
+    .C1(_086_),
+    .X(_160_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__or2_2 _276_ (.A(_158_),
+    .B(_160_),
+    .X(_053_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__a311o_2 _277_ (.A1(\pll_control.count0[3] ),
+    .A2(_156_),
+    .A3(\pll_control.count0[4] ),
+    .B1(_076_),
+    .C1(_087_),
+    .X(_052_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__or2_2 _278_ (.A(\pll_control.tint[4] ),
+    .B(_126_),
+    .X(_004_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__or2_2 _279_ (.A(\pll_control.tint[1] ),
+    .B(_004_),
+    .X(_007_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__or2_2 _280_ (.A(\pll_control.tint[3] ),
+    .B(_080_),
+    .X(_161_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o31a_2 _281_ (.A1(_124_),
+    .A2(_161_),
+    .A3(\pll_control.tint[4] ),
+    .B1(_004_),
+    .X(_009_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o31a_2 _282_ (.A1(\pll_control.tint[4] ),
+    .A2(_161_),
+    .A3(\pll_control.tint[1] ),
+    .B1(_004_),
+    .X(_013_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o31a_2 _283_ (.A1(\pll_control.tint[4] ),
+    .A2(_161_),
+    .A3(_120_),
+    .B1(_004_),
+    .X(_006_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o21a_2 _284_ (.A1(\pll_control.tint[4] ),
+    .A2(_161_),
+    .B1(_004_),
+    .X(_003_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o41a_2 _285_ (.A1(_079_),
+    .A2(\pll_control.tint[2] ),
+    .A3(\pll_control.tint[4] ),
+    .A4(_124_),
+    .B1(_003_),
+    .X(_010_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o41a_2 _286_ (.A1(_079_),
+    .A2(\pll_control.tint[2] ),
+    .A3(\pll_control.tint[4] ),
+    .A4(\pll_control.tint[1] ),
+    .B1(_003_),
+    .X(_005_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o41a_2 _287_ (.A1(_079_),
+    .A2(\pll_control.tint[2] ),
+    .A3(\pll_control.tint[4] ),
+    .A4(_120_),
+    .B1(_003_),
+    .X(_012_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__or2_2 _288_ (.A(_120_),
+    .B(_004_),
+    .X(_011_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o31a_2 _289_ (.A1(_079_),
+    .A2(\pll_control.tint[2] ),
+    .A3(\pll_control.tint[4] ),
+    .B1(_003_),
+    .X(_002_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__a31o_2 _290_ (.A1(\pll_control.tint[3] ),
+    .A2(\pll_control.tint[2] ),
+    .A3(_124_),
+    .B1(\pll_control.tint[4] ),
+    .X(_008_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__a31o_2 _291_ (.A1(\pll_control.tint[3] ),
+    .A2(\pll_control.tint[2] ),
+    .A3(\pll_control.tint[1] ),
+    .B1(\pll_control.tint[4] ),
+    .X(_014_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__nand2_2 _292_ (.A(_078_),
+    .B(_015_),
+    .Y(_022_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o311a_2 _293_ (.A1(\pll_control.tint[1] ),
+    .A2(_082_),
+    .A3(_126_),
+    .B1(\pll_control.tint[4] ),
+    .C1(_000_),
+    .X(_024_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__or3_2 _294_ (.A(_124_),
+    .B(_161_),
+    .C(_078_),
+    .X(_162_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o311a_2 _295_ (.A1(_078_),
+    .A2(_126_),
+    .A3(_081_),
+    .B1(_162_),
+    .C1(_024_),
+    .X(_017_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o41a_2 _296_ (.A1(\pll_control.tint[1] ),
+    .A2(_082_),
+    .A3(_161_),
+    .A4(_078_),
+    .B1(_017_),
+    .X(_025_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__or4_2 _297_ (.A(_079_),
+    .B(\pll_control.tint[2] ),
+    .C(_124_),
+    .D(_078_),
+    .X(_163_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o311a_2 _298_ (.A1(_078_),
+    .A2(_161_),
+    .A3(_081_),
+    .B1(_163_),
+    .C1(_025_),
+    .X(_016_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__and2_2 _299_ (.A(_018_),
+    .B(_022_),
+    .X(_019_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o41a_2 _300_ (.A1(_081_),
+    .A2(\pll_control.tint[0] ),
+    .A3(_078_),
+    .A4(_161_),
+    .B1(_025_),
+    .X(_020_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__nor2_2 _301_ (.A(_078_),
+    .B(_127_),
+    .Y(_021_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__and3_2 _302_ (.A(\pll_control.tint[4] ),
+    .B(_126_),
+    .C(_161_),
+    .X(_027_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o31a_2 _303_ (.A1(_079_),
+    .A2(\pll_control.tint[2] ),
+    .A3(\pll_control.tint[1] ),
+    .B1(_027_),
+    .X(_023_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__o311a_2 _304_ (.A1(_120_),
+    .A2(_125_),
+    .A3(_126_),
+    .B1(\pll_control.tint[4] ),
+    .C1(_000_),
+    .X(_028_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__buf_1 _305_ (.A(_050_),
+    .X(_049_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__buf_1 _306_ (.A(_050_),
+    .X(_048_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__buf_1 _307_ (.A(_050_),
+    .X(_047_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__buf_1 _308_ (.A(_050_),
+    .X(_046_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__buf_1 _309_ (.A(_050_),
+    .X(_045_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__buf_1 _310_ (.A(_050_),
+    .X(_044_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__buf_1 _311_ (.A(_050_),
+    .X(_043_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__buf_1 _312_ (.A(_050_),
+    .X(_042_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__buf_1 _313_ (.A(_050_),
+    .X(_041_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__buf_1 _314_ (.A(_050_),
+    .X(_040_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__buf_1 _315_ (.A(_050_),
+    .X(_039_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__buf_1 _316_ (.A(_050_),
+    .X(_038_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__buf_1 _317_ (.A(_050_),
+    .X(_037_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__buf_1 _318_ (.A(_050_),
+    .X(_036_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__buf_1 _319_ (.A(_050_),
+    .X(_035_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__buf_1 _320_ (.A(_050_),
+    .X(_034_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__buf_1 _321_ (.A(_050_),
+    .X(_033_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__buf_1 _322_ (.A(_050_),
+    .X(_032_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__buf_1 _323_ (.A(_050_),
+    .X(_031_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__buf_1 _324_ (.A(_050_),
+    .X(_030_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__buf_1 _325_ (.A(_050_),
+    .X(_029_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__buf_1 _326_ (.A(\pll_control.tint[4] ),
+    .X(_026_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__buf_1 _327_ (.A(_050_),
+    .X(_051_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__buf_2 _328_ (.A(\pll_control.clock ),
+    .X(clockp[0]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__mux2_1 _329_ (.A0(_015_),
+    .A1(_000_),
+    .S(\pll_control.tint[4] ),
+    .X(_018_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__mux2_1 _330_ (.A0(_012_),
+    .A1(ext_trim[11]),
+    .S(dco),
+    .X(\ringosc.dstage[11].id.trim[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__mux2_1 _331_ (.A0(_027_),
+    .A1(ext_trim[24]),
+    .S(dco),
+    .X(\ringosc.dstage[11].id.trim[1] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__mux2_1 _332_ (.A0(_011_),
+    .A1(ext_trim[10]),
+    .S(dco),
+    .X(\ringosc.dstage[10].id.trim[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__mux2_1 _333_ (.A0(_026_),
+    .A1(ext_trim[23]),
+    .S(dco),
+    .X(\ringosc.dstage[10].id.trim[1] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__mux2_1 _334_ (.A0(_010_),
+    .A1(ext_trim[9]),
+    .S(dco),
+    .X(\ringosc.dstage[9].id.trim[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__mux2_1 _335_ (.A0(_025_),
+    .A1(ext_trim[22]),
+    .S(dco),
+    .X(\ringosc.dstage[9].id.trim[1] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__mux2_1 _336_ (.A0(_009_),
+    .A1(ext_trim[8]),
+    .S(dco),
+    .X(\ringosc.dstage[8].id.trim[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__mux2_1 _337_ (.A0(_024_),
+    .A1(ext_trim[21]),
+    .S(dco),
+    .X(\ringosc.dstage[8].id.trim[1] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__mux2_1 _338_ (.A0(_008_),
+    .A1(ext_trim[7]),
+    .S(dco),
+    .X(\ringosc.dstage[7].id.trim[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__mux2_1 _339_ (.A0(_023_),
+    .A1(ext_trim[20]),
+    .S(dco),
+    .X(\ringosc.dstage[7].id.trim[1] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__mux2_1 _340_ (.A0(_007_),
+    .A1(ext_trim[6]),
+    .S(dco),
+    .X(\ringosc.dstage[6].id.trim[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__mux2_1 _341_ (.A0(_022_),
+    .A1(ext_trim[19]),
+    .S(dco),
+    .X(\ringosc.dstage[6].id.trim[1] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__mux2_1 _342_ (.A0(_006_),
+    .A1(ext_trim[5]),
+    .S(dco),
+    .X(\ringosc.dstage[5].id.trim[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__mux2_1 _343_ (.A0(_021_),
+    .A1(ext_trim[18]),
+    .S(dco),
+    .X(\ringosc.dstage[5].id.trim[1] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__mux2_1 _344_ (.A0(_005_),
+    .A1(ext_trim[4]),
+    .S(dco),
+    .X(\ringosc.dstage[4].id.trim[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__mux2_1 _345_ (.A0(_020_),
+    .A1(ext_trim[17]),
+    .S(dco),
+    .X(\ringosc.dstage[4].id.trim[1] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__mux2_1 _346_ (.A0(_004_),
+    .A1(ext_trim[3]),
+    .S(dco),
+    .X(\ringosc.dstage[3].id.trim[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__mux2_1 _347_ (.A0(_019_),
+    .A1(ext_trim[16]),
+    .S(dco),
+    .X(\ringosc.dstage[3].id.trim[1] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__mux2_1 _348_ (.A0(_003_),
+    .A1(ext_trim[2]),
+    .S(dco),
+    .X(\ringosc.dstage[2].id.trim[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__mux2_1 _349_ (.A0(_017_),
+    .A1(ext_trim[15]),
+    .S(dco),
+    .X(\ringosc.dstage[2].id.trim[1] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__mux2_1 _350_ (.A0(_002_),
+    .A1(ext_trim[1]),
+    .S(dco),
+    .X(\ringosc.dstage[1].id.trim[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__mux2_1 _351_ (.A0(_016_),
+    .A1(ext_trim[14]),
+    .S(dco),
+    .X(\ringosc.dstage[1].id.trim[1] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__mux2_1 _352_ (.A0(_001_),
+    .A1(ext_trim[0]),
+    .S(dco),
+    .X(\ringosc.dstage[0].id.trim[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__mux2_1 _353_ (.A0(_014_),
+    .A1(ext_trim[13]),
+    .S(dco),
+    .X(\ringosc.dstage[0].id.trim[1] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__mux2_1 _354_ (.A0(_013_),
+    .A1(ext_trim[12]),
+    .S(dco),
+    .X(\ringosc.iss.trim[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__mux2_1 _355_ (.A0(_028_),
+    .A1(ext_trim[25]),
+    .S(dco),
+    .X(\ringosc.iss.trim[1] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__dfrtp_2 _356_ (.D(osc),
+    .Q(\pll_control.oscbuf[0] ),
+    .RESET_B(_029_),
+    .CLK(\pll_control.clock ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__dfrtp_2 _357_ (.D(\pll_control.oscbuf[0] ),
+    .Q(\pll_control.oscbuf[1] ),
+    .RESET_B(_030_),
+    .CLK(\pll_control.clock ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__dfrtp_2 _358_ (.D(\pll_control.oscbuf[1] ),
+    .Q(\pll_control.oscbuf[2] ),
+    .RESET_B(_031_),
+    .CLK(\pll_control.clock ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__dfrtp_2 _359_ (.D(_052_),
+    .Q(\pll_control.count0[0] ),
+    .RESET_B(_032_),
+    .CLK(\pll_control.clock ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__dfrtp_2 _360_ (.D(_053_),
+    .Q(\pll_control.count0[1] ),
+    .RESET_B(_033_),
+    .CLK(\pll_control.clock ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__dfrtp_2 _361_ (.D(_054_),
+    .Q(\pll_control.count0[2] ),
+    .RESET_B(_034_),
+    .CLK(\pll_control.clock ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__dfrtp_2 _362_ (.D(_055_),
+    .Q(\pll_control.count0[3] ),
+    .RESET_B(_035_),
+    .CLK(\pll_control.clock ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__dfrtp_2 _363_ (.D(_056_),
+    .Q(\pll_control.count0[4] ),
+    .RESET_B(_036_),
+    .CLK(\pll_control.clock ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__dfrtp_2 _364_ (.D(_057_),
+    .Q(\pll_control.tval[0] ),
+    .RESET_B(_037_),
+    .CLK(\pll_control.clock ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__dfrtp_2 _365_ (.D(_058_),
+    .Q(\pll_control.tval[1] ),
+    .RESET_B(_038_),
+    .CLK(\pll_control.clock ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__dfrtp_2 _366_ (.D(_059_),
+    .Q(\pll_control.tint[0] ),
+    .RESET_B(_039_),
+    .CLK(\pll_control.clock ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__dfrtp_2 _367_ (.D(_060_),
+    .Q(\pll_control.tint[1] ),
+    .RESET_B(_040_),
+    .CLK(\pll_control.clock ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__dfrtp_2 _368_ (.D(_061_),
+    .Q(\pll_control.tint[2] ),
+    .RESET_B(_041_),
+    .CLK(\pll_control.clock ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__dfrtp_2 _369_ (.D(_062_),
+    .Q(\pll_control.tint[3] ),
+    .RESET_B(_042_),
+    .CLK(\pll_control.clock ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__dfrtp_2 _370_ (.D(_063_),
+    .Q(\pll_control.tint[4] ),
+    .RESET_B(_043_),
+    .CLK(\pll_control.clock ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__dfrtp_2 _371_ (.D(_064_),
+    .Q(\pll_control.prep[0] ),
+    .RESET_B(_044_),
+    .CLK(\pll_control.clock ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__dfrtp_2 _372_ (.D(_065_),
+    .Q(\pll_control.prep[1] ),
+    .RESET_B(_045_),
+    .CLK(\pll_control.clock ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__dfrtp_2 _373_ (.D(_066_),
+    .Q(\pll_control.prep[2] ),
+    .RESET_B(_046_),
+    .CLK(\pll_control.clock ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__dfrtp_2 _374_ (.D(_067_),
+    .Q(\pll_control.count1[0] ),
+    .RESET_B(_047_),
+    .CLK(\pll_control.clock ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__dfrtp_2 _375_ (.D(_068_),
+    .Q(\pll_control.count1[1] ),
+    .RESET_B(_048_),
+    .CLK(\pll_control.clock ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__dfrtp_2 _376_ (.D(_069_),
+    .Q(\pll_control.count1[2] ),
+    .RESET_B(_049_),
+    .CLK(\pll_control.clock ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__dfrtp_2 _377_ (.D(_070_),
+    .Q(\pll_control.count1[3] ),
+    .RESET_B(_050_),
+    .CLK(\pll_control.clock ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__dfrtp_2 _378_ (.D(_071_),
+    .Q(\pll_control.count1[4] ),
+    .RESET_B(_051_),
+    .CLK(\pll_control.clock ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[0].id.delaybuf0  (.A(\ringosc.dstage[0].id.in ),
+    .X(\ringosc.dstage[0].id.ts ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[0].id.delaybuf1  (.A(\ringosc.dstage[0].id.ts ),
+    .X(\ringosc.dstage[0].id.d0 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[0].id.delayen0  (.A(\ringosc.dstage[0].id.d2 ),
+    .TE(\ringosc.dstage[0].id.trim[0] ),
+    .Z(\ringosc.dstage[0].id.out ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[0].id.delayen1  (.A(\ringosc.dstage[0].id.d0 ),
+    .TE(\ringosc.dstage[0].id.trim[1] ),
+    .Z(\ringosc.dstage[0].id.d1 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[0].id.delayenb0  (.A(\ringosc.dstage[0].id.ts ),
+    .TE_B(\ringosc.dstage[0].id.trim[0] ),
+    .Z(\ringosc.dstage[0].id.out ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[0].id.delayenb1  (.A(\ringosc.dstage[0].id.ts ),
+    .TE_B(\ringosc.dstage[0].id.trim[1] ),
+    .Z(\ringosc.dstage[0].id.d1 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[0].id.delayint0  (.A(\ringosc.dstage[0].id.d1 ),
+    .Y(\ringosc.dstage[0].id.d2 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[10].id.delaybuf0  (.A(\ringosc.dstage[10].id.in ),
+    .X(\ringosc.dstage[10].id.ts ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[10].id.delaybuf1  (.A(\ringosc.dstage[10].id.ts ),
+    .X(\ringosc.dstage[10].id.d0 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[10].id.delayen0  (.A(\ringosc.dstage[10].id.d2 ),
+    .TE(\ringosc.dstage[10].id.trim[0] ),
+    .Z(\ringosc.dstage[10].id.out ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[10].id.delayen1  (.A(\ringosc.dstage[10].id.d0 ),
+    .TE(\ringosc.dstage[10].id.trim[1] ),
+    .Z(\ringosc.dstage[10].id.d1 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[10].id.delayenb0  (.A(\ringosc.dstage[10].id.ts ),
+    .TE_B(\ringosc.dstage[10].id.trim[0] ),
+    .Z(\ringosc.dstage[10].id.out ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[10].id.delayenb1  (.A(\ringosc.dstage[10].id.ts ),
+    .TE_B(\ringosc.dstage[10].id.trim[1] ),
+    .Z(\ringosc.dstage[10].id.d1 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[10].id.delayint0  (.A(\ringosc.dstage[10].id.d1 ),
+    .Y(\ringosc.dstage[10].id.d2 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[11].id.delaybuf0  (.A(\ringosc.dstage[10].id.out ),
+    .X(\ringosc.dstage[11].id.ts ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[11].id.delaybuf1  (.A(\ringosc.dstage[11].id.ts ),
+    .X(\ringosc.dstage[11].id.d0 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[11].id.delayen0  (.A(\ringosc.dstage[11].id.d2 ),
+    .TE(\ringosc.dstage[11].id.trim[0] ),
+    .Z(\ringosc.dstage[11].id.out ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[11].id.delayen1  (.A(\ringosc.dstage[11].id.d0 ),
+    .TE(\ringosc.dstage[11].id.trim[1] ),
+    .Z(\ringosc.dstage[11].id.d1 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[11].id.delayenb0  (.A(\ringosc.dstage[11].id.ts ),
+    .TE_B(\ringosc.dstage[11].id.trim[0] ),
+    .Z(\ringosc.dstage[11].id.out ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[11].id.delayenb1  (.A(\ringosc.dstage[11].id.ts ),
+    .TE_B(\ringosc.dstage[11].id.trim[1] ),
+    .Z(\ringosc.dstage[11].id.d1 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[11].id.delayint0  (.A(\ringosc.dstage[11].id.d1 ),
+    .Y(\ringosc.dstage[11].id.d2 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[1].id.delaybuf0  (.A(\ringosc.dstage[0].id.out ),
+    .X(\ringosc.dstage[1].id.ts ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[1].id.delaybuf1  (.A(\ringosc.dstage[1].id.ts ),
+    .X(\ringosc.dstage[1].id.d0 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[1].id.delayen0  (.A(\ringosc.dstage[1].id.d2 ),
+    .TE(\ringosc.dstage[1].id.trim[0] ),
+    .Z(\ringosc.dstage[1].id.out ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[1].id.delayen1  (.A(\ringosc.dstage[1].id.d0 ),
+    .TE(\ringosc.dstage[1].id.trim[1] ),
+    .Z(\ringosc.dstage[1].id.d1 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[1].id.delayenb0  (.A(\ringosc.dstage[1].id.ts ),
+    .TE_B(\ringosc.dstage[1].id.trim[0] ),
+    .Z(\ringosc.dstage[1].id.out ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[1].id.delayenb1  (.A(\ringosc.dstage[1].id.ts ),
+    .TE_B(\ringosc.dstage[1].id.trim[1] ),
+    .Z(\ringosc.dstage[1].id.d1 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[1].id.delayint0  (.A(\ringosc.dstage[1].id.d1 ),
+    .Y(\ringosc.dstage[1].id.d2 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[2].id.delaybuf0  (.A(\ringosc.dstage[1].id.out ),
+    .X(\ringosc.dstage[2].id.ts ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[2].id.delaybuf1  (.A(\ringosc.dstage[2].id.ts ),
+    .X(\ringosc.dstage[2].id.d0 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[2].id.delayen0  (.A(\ringosc.dstage[2].id.d2 ),
+    .TE(\ringosc.dstage[2].id.trim[0] ),
+    .Z(\ringosc.dstage[2].id.out ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[2].id.delayen1  (.A(\ringosc.dstage[2].id.d0 ),
+    .TE(\ringosc.dstage[2].id.trim[1] ),
+    .Z(\ringosc.dstage[2].id.d1 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[2].id.delayenb0  (.A(\ringosc.dstage[2].id.ts ),
+    .TE_B(\ringosc.dstage[2].id.trim[0] ),
+    .Z(\ringosc.dstage[2].id.out ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[2].id.delayenb1  (.A(\ringosc.dstage[2].id.ts ),
+    .TE_B(\ringosc.dstage[2].id.trim[1] ),
+    .Z(\ringosc.dstage[2].id.d1 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[2].id.delayint0  (.A(\ringosc.dstage[2].id.d1 ),
+    .Y(\ringosc.dstage[2].id.d2 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[3].id.delaybuf0  (.A(\ringosc.dstage[2].id.out ),
+    .X(\ringosc.dstage[3].id.ts ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[3].id.delaybuf1  (.A(\ringosc.dstage[3].id.ts ),
+    .X(\ringosc.dstage[3].id.d0 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[3].id.delayen0  (.A(\ringosc.dstage[3].id.d2 ),
+    .TE(\ringosc.dstage[3].id.trim[0] ),
+    .Z(\ringosc.dstage[3].id.out ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[3].id.delayen1  (.A(\ringosc.dstage[3].id.d0 ),
+    .TE(\ringosc.dstage[3].id.trim[1] ),
+    .Z(\ringosc.dstage[3].id.d1 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[3].id.delayenb0  (.A(\ringosc.dstage[3].id.ts ),
+    .TE_B(\ringosc.dstage[3].id.trim[0] ),
+    .Z(\ringosc.dstage[3].id.out ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[3].id.delayenb1  (.A(\ringosc.dstage[3].id.ts ),
+    .TE_B(\ringosc.dstage[3].id.trim[1] ),
+    .Z(\ringosc.dstage[3].id.d1 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[3].id.delayint0  (.A(\ringosc.dstage[3].id.d1 ),
+    .Y(\ringosc.dstage[3].id.d2 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[4].id.delaybuf0  (.A(\ringosc.dstage[3].id.out ),
+    .X(\ringosc.dstage[4].id.ts ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[4].id.delaybuf1  (.A(\ringosc.dstage[4].id.ts ),
+    .X(\ringosc.dstage[4].id.d0 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[4].id.delayen0  (.A(\ringosc.dstage[4].id.d2 ),
+    .TE(\ringosc.dstage[4].id.trim[0] ),
+    .Z(\ringosc.dstage[4].id.out ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[4].id.delayen1  (.A(\ringosc.dstage[4].id.d0 ),
+    .TE(\ringosc.dstage[4].id.trim[1] ),
+    .Z(\ringosc.dstage[4].id.d1 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[4].id.delayenb0  (.A(\ringosc.dstage[4].id.ts ),
+    .TE_B(\ringosc.dstage[4].id.trim[0] ),
+    .Z(\ringosc.dstage[4].id.out ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[4].id.delayenb1  (.A(\ringosc.dstage[4].id.ts ),
+    .TE_B(\ringosc.dstage[4].id.trim[1] ),
+    .Z(\ringosc.dstage[4].id.d1 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[4].id.delayint0  (.A(\ringosc.dstage[4].id.d1 ),
+    .Y(\ringosc.dstage[4].id.d2 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[5].id.delaybuf0  (.A(\ringosc.dstage[4].id.out ),
+    .X(\ringosc.dstage[5].id.ts ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[5].id.delaybuf1  (.A(\ringosc.dstage[5].id.ts ),
+    .X(\ringosc.dstage[5].id.d0 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[5].id.delayen0  (.A(\ringosc.dstage[5].id.d2 ),
+    .TE(\ringosc.dstage[5].id.trim[0] ),
+    .Z(\ringosc.dstage[5].id.out ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[5].id.delayen1  (.A(\ringosc.dstage[5].id.d0 ),
+    .TE(\ringosc.dstage[5].id.trim[1] ),
+    .Z(\ringosc.dstage[5].id.d1 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[5].id.delayenb0  (.A(\ringosc.dstage[5].id.ts ),
+    .TE_B(\ringosc.dstage[5].id.trim[0] ),
+    .Z(\ringosc.dstage[5].id.out ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[5].id.delayenb1  (.A(\ringosc.dstage[5].id.ts ),
+    .TE_B(\ringosc.dstage[5].id.trim[1] ),
+    .Z(\ringosc.dstage[5].id.d1 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[5].id.delayint0  (.A(\ringosc.dstage[5].id.d1 ),
+    .Y(\ringosc.dstage[5].id.d2 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[6].id.delaybuf0  (.A(\ringosc.dstage[5].id.out ),
+    .X(\ringosc.dstage[6].id.ts ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[6].id.delaybuf1  (.A(\ringosc.dstage[6].id.ts ),
+    .X(\ringosc.dstage[6].id.d0 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[6].id.delayen0  (.A(\ringosc.dstage[6].id.d2 ),
+    .TE(\ringosc.dstage[6].id.trim[0] ),
+    .Z(\ringosc.dstage[6].id.out ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[6].id.delayen1  (.A(\ringosc.dstage[6].id.d0 ),
+    .TE(\ringosc.dstage[6].id.trim[1] ),
+    .Z(\ringosc.dstage[6].id.d1 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[6].id.delayenb0  (.A(\ringosc.dstage[6].id.ts ),
+    .TE_B(\ringosc.dstage[6].id.trim[0] ),
+    .Z(\ringosc.dstage[6].id.out ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[6].id.delayenb1  (.A(\ringosc.dstage[6].id.ts ),
+    .TE_B(\ringosc.dstage[6].id.trim[1] ),
+    .Z(\ringosc.dstage[6].id.d1 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[6].id.delayint0  (.A(\ringosc.dstage[6].id.d1 ),
+    .Y(\ringosc.dstage[6].id.d2 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[7].id.delaybuf0  (.A(\ringosc.dstage[6].id.out ),
+    .X(\ringosc.dstage[7].id.ts ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[7].id.delaybuf1  (.A(\ringosc.dstage[7].id.ts ),
+    .X(\ringosc.dstage[7].id.d0 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[7].id.delayen0  (.A(\ringosc.dstage[7].id.d2 ),
+    .TE(\ringosc.dstage[7].id.trim[0] ),
+    .Z(\ringosc.dstage[7].id.out ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[7].id.delayen1  (.A(\ringosc.dstage[7].id.d0 ),
+    .TE(\ringosc.dstage[7].id.trim[1] ),
+    .Z(\ringosc.dstage[7].id.d1 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[7].id.delayenb0  (.A(\ringosc.dstage[7].id.ts ),
+    .TE_B(\ringosc.dstage[7].id.trim[0] ),
+    .Z(\ringosc.dstage[7].id.out ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[7].id.delayenb1  (.A(\ringosc.dstage[7].id.ts ),
+    .TE_B(\ringosc.dstage[7].id.trim[1] ),
+    .Z(\ringosc.dstage[7].id.d1 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[7].id.delayint0  (.A(\ringosc.dstage[7].id.d1 ),
+    .Y(\ringosc.dstage[7].id.d2 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[8].id.delaybuf0  (.A(\ringosc.dstage[7].id.out ),
+    .X(\ringosc.dstage[8].id.ts ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[8].id.delaybuf1  (.A(\ringosc.dstage[8].id.ts ),
+    .X(\ringosc.dstage[8].id.d0 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[8].id.delayen0  (.A(\ringosc.dstage[8].id.d2 ),
+    .TE(\ringosc.dstage[8].id.trim[0] ),
+    .Z(\ringosc.dstage[8].id.out ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[8].id.delayen1  (.A(\ringosc.dstage[8].id.d0 ),
+    .TE(\ringosc.dstage[8].id.trim[1] ),
+    .Z(\ringosc.dstage[8].id.d1 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[8].id.delayenb0  (.A(\ringosc.dstage[8].id.ts ),
+    .TE_B(\ringosc.dstage[8].id.trim[0] ),
+    .Z(\ringosc.dstage[8].id.out ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[8].id.delayenb1  (.A(\ringosc.dstage[8].id.ts ),
+    .TE_B(\ringosc.dstage[8].id.trim[1] ),
+    .Z(\ringosc.dstage[8].id.d1 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[8].id.delayint0  (.A(\ringosc.dstage[8].id.d1 ),
+    .Y(\ringosc.dstage[8].id.d2 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[9].id.delaybuf0  (.A(\ringosc.dstage[8].id.out ),
+    .X(\ringosc.dstage[9].id.ts ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[9].id.delaybuf1  (.A(\ringosc.dstage[9].id.ts ),
+    .X(\ringosc.dstage[9].id.d0 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[9].id.delayen0  (.A(\ringosc.dstage[9].id.d2 ),
+    .TE(\ringosc.dstage[9].id.trim[0] ),
+    .Z(\ringosc.dstage[10].id.in ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[9].id.delayen1  (.A(\ringosc.dstage[9].id.d0 ),
+    .TE(\ringosc.dstage[9].id.trim[1] ),
+    .Z(\ringosc.dstage[9].id.d1 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[9].id.delayenb0  (.A(\ringosc.dstage[9].id.ts ),
+    .TE_B(\ringosc.dstage[9].id.trim[0] ),
+    .Z(\ringosc.dstage[10].id.in ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[9].id.delayenb1  (.A(\ringosc.dstage[9].id.ts ),
+    .TE_B(\ringosc.dstage[9].id.trim[1] ),
+    .Z(\ringosc.dstage[9].id.d1 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[9].id.delayint0  (.A(\ringosc.dstage[9].id.d1 ),
+    .Y(\ringosc.dstage[9].id.d2 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkinv_2 \ringosc.ibufp00  (.A(\ringosc.dstage[0].id.in ),
+    .Y(\ringosc.c[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkinv_8 \ringosc.ibufp01  (.A(\ringosc.c[0] ),
+    .Y(\pll_control.clock ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkinv_2 \ringosc.ibufp10  (.A(\ringosc.dstage[5].id.out ),
+    .Y(\ringosc.c[1] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkinv_8 \ringosc.ibufp11  (.A(\ringosc.c[1] ),
+    .Y(clockp[1]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__conb_1 \ringosc.iss.const1  (.HI(\ringosc.iss.one ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__or2_2 \ringosc.iss.ctrlen0  (.A(\ringosc.iss.reset ),
+    .B(\ringosc.iss.trim[0] ),
+    .X(\ringosc.iss.ctrl0 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.iss.delaybuf0  (.A(\ringosc.dstage[11].id.out ),
+    .X(\ringosc.iss.d0 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvp_2 \ringosc.iss.delayen0  (.A(\ringosc.iss.d2 ),
+    .TE(\ringosc.iss.trim[0] ),
+    .Z(\ringosc.dstage[0].id.in ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvp_2 \ringosc.iss.delayen1  (.A(\ringosc.iss.d0 ),
+    .TE(\ringosc.iss.trim[1] ),
+    .Z(\ringosc.iss.d1 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvn_8 \ringosc.iss.delayenb0  (.A(\ringosc.dstage[11].id.out ),
+    .TE_B(\ringosc.iss.ctrl0 ),
+    .Z(\ringosc.dstage[0].id.in ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvn_4 \ringosc.iss.delayenb1  (.A(\ringosc.dstage[11].id.out ),
+    .TE_B(\ringosc.iss.trim[1] ),
+    .Z(\ringosc.iss.d1 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.iss.delayint0  (.A(\ringosc.iss.d1 ),
+    .Y(\ringosc.iss.d2 ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+ sky130_fd_sc_hd__einvp_1 \ringosc.iss.reseten0  (.A(\ringosc.iss.one ),
+    .TE(\ringosc.iss.reset ),
+    .Z(\ringosc.dstage[0].id.in ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR));
+endmodule
diff --git a/verilog/gl/pinmux.v b/verilog/gl/pinmux.v
index 756873d..f59f808 100644
--- a/verilog/gl/pinmux.v
+++ b/verilog/gl/pinmux.v
@@ -1,4 +1,5 @@
 module pinmux (cpu_intf_rst_n,
+    dbg_clk_mon,
     h_reset_n,
     i2cm_clk_i,
     i2cm_clk_o,
@@ -55,6 +56,7 @@
     uart_txd,
     user_irq);
  output cpu_intf_rst_n;
+ input dbg_clk_mon;
  input h_reset_n;
  output i2cm_clk_i;
  input i2cm_clk_o;
@@ -112,14 +114,13 @@
  output [2:0] user_irq;
 
  wire net329;
- wire net285;
  wire net286;
  wire net287;
  wire net288;
  wire net289;
  wire net290;
- wire net330;
  wire net291;
+ wire net330;
  wire net292;
  wire net293;
  wire net294;
@@ -4903,6 +4904,29 @@
  wire _04744_;
  wire _04745_;
  wire _04746_;
+ wire _04747_;
+ wire _04748_;
+ wire _04749_;
+ wire _04750_;
+ wire _04751_;
+ wire _04752_;
+ wire _04753_;
+ wire _04754_;
+ wire _04755_;
+ wire _04756_;
+ wire _04757_;
+ wire _04758_;
+ wire _04759_;
+ wire _04760_;
+ wire _04761_;
+ wire _04762_;
+ wire _04763_;
+ wire _04764_;
+ wire _04765_;
+ wire _04766_;
+ wire _04767_;
+ wire _04768_;
+ wire _04769_;
  wire clknet_0_mclk;
  wire clknet_1_0_0_mclk;
  wire clknet_1_0_1_mclk;
@@ -5005,7 +5029,11 @@
  wire clknet_leaf_15_mclk;
  wire clknet_leaf_160_mclk;
  wire clknet_leaf_161_mclk;
+ wire clknet_leaf_162_mclk;
+ wire clknet_leaf_163_mclk;
+ wire clknet_leaf_164_mclk;
  wire clknet_leaf_16_mclk;
+ wire clknet_leaf_17_mclk;
  wire clknet_leaf_18_mclk;
  wire clknet_leaf_19_mclk;
  wire clknet_leaf_1_mclk;
@@ -5303,6 +5331,7 @@
  wire net282;
  wire net283;
  wire net284;
+ wire net285;
  wire net29;
  wire net3;
  wire net30;
@@ -5460,12 +5489,7 @@
  wire net464;
  wire net465;
  wire net466;
- wire net467;
- wire net468;
- wire net469;
  wire net47;
- wire net470;
- wire net471;
  wire net48;
  wire net49;
  wire net5;
@@ -6701,167 +6725,27 @@
  wire \u_timer_2.timer_hit ;
  wire \u_timer_2.timer_hit_s1 ;
 
- sky130_fd_sc_hd__diode_2 ANTENNA__04748__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[19] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04771__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[19] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04749__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04772__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[18] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04750__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[17] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04773__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[17] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04751__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[16] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04774__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[16] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04752__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[8] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04753__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[31] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04754__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[15] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04755__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[14] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04756__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[22] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04757__A (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04758__A (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[10] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04760__A1 (.DIODE(_01098_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04760__A2 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[11] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04761__A (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[6] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04761__B (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[9] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04761__C_N (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[26] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04762__A (.DIODE(_01101_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04763__A (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[8] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04764__A (.DIODE(_01102_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04764__B_N (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[24] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04766__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[19] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04766__B (.DIODE(\u_gpio_intr.cfg_gpio_out_data[19] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04768__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[18] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04768__B (.DIODE(\u_gpio_intr.cfg_gpio_out_data[18] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04769__A (.DIODE(_01105_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04770__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[17] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04770__B (.DIODE(\u_gpio_intr.cfg_gpio_out_data[17] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04771__A (.DIODE(_01106_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04772__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[16] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04772__B (.DIODE(\u_gpio_intr.cfg_gpio_out_data[16] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04773__A (.DIODE(_01107_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04774__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[8] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04774__B (.DIODE(\u_gpio_intr.cfg_gpio_out_data[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04775__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -6871,1972 +6755,2342 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04776__B (.DIODE(\u_gpio_intr.cfg_gpio_out_data[31] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04777__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04778__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04778__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04778__B (.DIODE(\u_gpio_intr.cfg_gpio_out_data[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04779__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[22] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04780__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04781__A (.DIODE(_01098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04780__B (.DIODE(\u_gpio_intr.cfg_gpio_out_data[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04784__A1 (.DIODE(_01099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04782__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[26] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04784__B1_N (.DIODE(_01101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04782__B (.DIODE(\u_gpio_intr.cfg_gpio_out_data[26] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04785__A (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04784__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[24] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04785__B (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04784__B (.DIODE(\u_gpio_intr.cfg_gpio_out_data[24] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04785__C_N (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[26] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04786__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[22] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04786__A (.DIODE(_01102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04786__B (.DIODE(\u_gpio_intr.cfg_gpio_out_data[22] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04787__A (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04790__B (.DIODE(net15),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04788__B_N (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[24] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04791__A (.DIODE(_01117_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04789__A (.DIODE(_01104_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04792__B (.DIODE(net14),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04790__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[19] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04793__A (.DIODE(_01118_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04790__B (.DIODE(\u_gpio_intr.cfg_gpio_out_data[19] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04795__A (.DIODE(_01119_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04792__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[18] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04795__B (.DIODE(net6),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04792__B (.DIODE(\u_gpio_intr.cfg_gpio_out_data[18] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04796__A (.DIODE(_01120_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04794__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[17] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04797__A (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04794__B (.DIODE(\u_gpio_intr.cfg_gpio_out_data[17] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04798__A (.DIODE(_01121_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04796__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[16] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04798__B (.DIODE(net24),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04796__B (.DIODE(\u_gpio_intr.cfg_gpio_out_data[16] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04799__A (.DIODE(_01122_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04798__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04800__A (.DIODE(_01102_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04798__B (.DIODE(\u_gpio_intr.cfg_gpio_out_data[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04801__A (.DIODE(_01123_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04800__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[31] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04803__A (.DIODE(_01124_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04800__B (.DIODE(\u_gpio_intr.cfg_gpio_out_data[31] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04805__A (.DIODE(_01125_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04802__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04807__A (.DIODE(_01126_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04802__B (.DIODE(\u_gpio_intr.cfg_gpio_out_data[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04809__A (.DIODE(_01127_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04804__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04811__A (.DIODE(_01128_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04804__B (.DIODE(\u_gpio_intr.cfg_gpio_out_data[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04812__A (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[1].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04806__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[26] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04813__A (.DIODE(_01129_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04806__B (.DIODE(\u_gpio_intr.cfg_gpio_out_data[26] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04814__A (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[2].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04807__A (.DIODE(_01113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04815__A (.DIODE(_01130_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04808__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[24] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04816__A (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04808__B (.DIODE(\u_gpio_intr.cfg_gpio_out_data[24] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04817__A (.DIODE(_01131_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04809__A (.DIODE(_01114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04818__A (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[4].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04810__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[22] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04818__B (.DIODE(\u_pinmux_reg.reg_3[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04810__B (.DIODE(\u_gpio_intr.cfg_gpio_out_data[22] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04819__A (.DIODE(_01132_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04812__A (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04820__A (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[5].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04813__A (.DIODE(_01116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04821__A (.DIODE(_01133_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04814__A (.DIODE(_01117_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04822__A (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[6].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04814__B (.DIODE(net16),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04822__B (.DIODE(\u_pinmux_reg.reg_3[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04816__A (.DIODE(_01117_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04823__A (.DIODE(_01134_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04816__B (.DIODE(net15),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04824__A (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[7].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04819__B (.DIODE(net7),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04824__B (.DIODE(\u_pinmux_reg.reg_3[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04820__A (.DIODE(_01121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04825__A (.DIODE(_01135_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04821__A (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04827__A (.DIODE(_01136_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04822__A (.DIODE(_01122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04829__A (.DIODE(_01137_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04822__B (.DIODE(net25),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04830__B (.DIODE(\u_pinmux_reg.reg_3[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04823__A (.DIODE(_01123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04831__A (.DIODE(_01138_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04824__B (.DIODE(net12),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04832__B (.DIODE(\u_pinmux_reg.reg_3[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04825__A (.DIODE(_01124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04833__A (.DIODE(_01139_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04826__A (.DIODE(\u_pinmux_reg.u_reg4_be2.gen_bit_reg[1].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04834__A (.DIODE(\u_pinmux_reg.u_reg4_be1.gen_bit_reg[4].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04827__A (.DIODE(_01125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04834__B (.DIODE(\u_pinmux_reg.reg_3[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04828__A (.DIODE(\u_pinmux_reg.u_reg4_be2.gen_bit_reg[2].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04835__A (.DIODE(_01140_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04829__A (.DIODE(_01126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04836__A (.DIODE(\u_pinmux_reg.u_reg4_be1.gen_bit_reg[5].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04831__A (.DIODE(_01127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04837__A (.DIODE(_01141_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04833__A (.DIODE(_01128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04838__B (.DIODE(\u_pinmux_reg.reg_3[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04835__A (.DIODE(_01129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04839__A (.DIODE(_01142_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04836__B (.DIODE(\u_pinmux_reg.reg_3[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04840__B (.DIODE(\u_pinmux_reg.reg_3[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04837__A (.DIODE(_01130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04841__A (.DIODE(_01143_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04839__A (.DIODE(_01131_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04862__A (.DIODE(\u_pinmux_reg.cfg_pulse_1us[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04840__A (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[3].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04865__A (.DIODE(\u_pinmux_reg.cfg_pulse_1us[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04840__B (.DIODE(\u_pinmux_reg.reg_3[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04866__B2 (.DIODE(\u_pinmux_reg.cfg_pulse_1us[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04841__A (.DIODE(_01132_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04869__A (.DIODE(\u_pinmux_reg.cfg_pulse_1us[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04842__A (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[4].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04870__A1_N (.DIODE(\u_pinmux_reg.cfg_pulse_1us[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04842__B (.DIODE(\u_pinmux_reg.reg_3[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04871__A1 (.DIODE(\u_pinmux_reg.cfg_pulse_1us[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04843__A (.DIODE(_01133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04871__B2 (.DIODE(\u_pinmux_reg.cfg_pulse_1us[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04844__A (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[5].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04872__A (.DIODE(\u_pinmux_reg.cfg_pulse_1us[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04844__B (.DIODE(\u_pinmux_reg.reg_3[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04873__A (.DIODE(\u_pinmux_reg.cfg_pulse_1us[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04845__A (.DIODE(_01134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04874__A (.DIODE(\u_pinmux_reg.cfg_pulse_1us[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04846__A (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[6].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04875__A1 (.DIODE(\u_pinmux_reg.cfg_pulse_1us[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04846__B (.DIODE(\u_pinmux_reg.reg_3[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04876__A (.DIODE(\u_pinmux_reg.cfg_pulse_1us[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04847__A (.DIODE(_01135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04877__A1 (.DIODE(\u_pinmux_reg.cfg_pulse_1us[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04848__B (.DIODE(\u_pinmux_reg.reg_3[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04882__A (.DIODE(net212),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04849__A (.DIODE(_01136_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04882__B_N (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04850__A (.DIODE(\u_pinmux_reg.u_reg4_be1.gen_bit_reg[0].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04883__A (.DIODE(_01180_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04850__B (.DIODE(\u_pinmux_reg.reg_3[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04886__A (.DIODE(_01183_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04851__A (.DIODE(_01137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04887__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[21] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04852__A (.DIODE(\u_pinmux_reg.u_reg4_be1.gen_bit_reg[1].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04888__A1 (.DIODE(net33),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04852__B (.DIODE(\u_pinmux_reg.reg_3[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04889__A (.DIODE(_01185_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04853__A (.DIODE(_01138_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04891__A1 (.DIODE(net35),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04854__A (.DIODE(\u_pinmux_reg.u_reg4_be1.gen_bit_reg[2].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04892__A (.DIODE(_01187_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04855__A (.DIODE(_01139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04894__B (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04856__A (.DIODE(\u_pinmux_reg.u_reg4_be1.gen_bit_reg[3].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04896__A (.DIODE(_01188_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04856__B (.DIODE(\u_pinmux_reg.reg_3[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04897__A (.DIODE(_01189_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04857__A (.DIODE(_01140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04897__C (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04858__A (.DIODE(\u_pinmux_reg.u_reg4_be1.gen_bit_reg[4].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04898__A (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04858__B (.DIODE(\u_pinmux_reg.reg_3[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04899__A (.DIODE(_01190_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04859__A (.DIODE(_01141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04899__B (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04860__A (.DIODE(\u_pinmux_reg.u_reg4_be1.gen_bit_reg[5].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04901__A (.DIODE(_01192_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04860__B (.DIODE(\u_pinmux_reg.reg_3[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04903__A (.DIODE(_01193_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04861__A (.DIODE(_01142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04905__B (.DIODE(_01195_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04862__A (.DIODE(\u_pinmux_reg.u_reg4_be1.gen_bit_reg[6].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04905__C (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[30] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04863__A (.DIODE(_01143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04907__A (.DIODE(_01196_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04864__A (.DIODE(\u_pinmux_reg.u_reg4_be1.gen_bit_reg[7].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04909__B (.DIODE(_01198_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04865__A (.DIODE(_01144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04909__C (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[29] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04888__A (.DIODE(\u_pinmux_reg.cfg_pulse_1us[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04910__A (.DIODE(_01121_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04889__A (.DIODE(\u_pinmux_reg.cfg_pulse_1us[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04910__B (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[28] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04892__A (.DIODE(\u_pinmux_reg.cfg_pulse_1us[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04911__A (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04893__B2 (.DIODE(\u_pinmux_reg.cfg_pulse_1us[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04913__A (.DIODE(_01200_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04895__A (.DIODE(\u_pinmux_reg.cfg_pulse_1us[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04914__A2 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[27] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04896__A (.DIODE(\u_pinmux_reg.cfg_pulse_1us[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04914__B1 (.DIODE(_01201_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04898__A1_N (.DIODE(\u_pinmux_reg.cfg_pulse_1us[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04915__A (.DIODE(_01102_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04898__B2 (.DIODE(\u_pinmux_reg.cfg_pulse_1us[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04915__B (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[25] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04899__A1 (.DIODE(\u_pinmux_reg.cfg_pulse_1us[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04900__A (.DIODE(\u_pinmux_reg.cfg_pulse_1us[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04902__A (.DIODE(\u_pinmux_reg.cfg_pulse_1us[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04904__A (.DIODE(\u_pinmux_reg.cfg_pulse_1us[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04905__A1 (.DIODE(\u_pinmux_reg.cfg_pulse_1us[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04906__A1 (.DIODE(\u_pinmux_reg.cfg_pulse_1us[8] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04906__B2 (.DIODE(\u_pinmux_reg.cfg_pulse_1us[9] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04911__A (.DIODE(net214),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04911__B_N (.DIODE(net47),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04912__A (.DIODE(_01186_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04914__A (.DIODE(_01188_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04915__A (.DIODE(_01189_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04917__A1 (.DIODE(net34),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04917__S (.DIODE(_01117_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04918__A (.DIODE(_01191_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04920__A1 (.DIODE(net36),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04920__S (.DIODE(_01116_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04921__A (.DIODE(_01193_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04922__A (.DIODE(_01101_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04922__B (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[13] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04923__A (.DIODE(_01101_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04925__A (.DIODE(_01194_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04926__A (.DIODE(_01195_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04926__B (.DIODE(_01101_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04926__C (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[10] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04928__A (.DIODE(_01196_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04929__A (.DIODE(_01197_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04929__B (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[11] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04930__A_N (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[9] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04933__A (.DIODE(_01200_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04934__A (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[12] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04935__A (.DIODE(_01201_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04935__B (.DIODE(_01202_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04935__C (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[30] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04937__A (.DIODE(_01203_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04938__A (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[13] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04939__B (.DIODE(_01205_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04939__C (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[29] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04940__A (.DIODE(_01122_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04940__B (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[28] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04941__A (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04943__A (.DIODE(_01207_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04944__A2 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[27] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04944__B1 (.DIODE(_01208_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04945__B (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[25] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04947__A1 (.DIODE(net33),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04947__S (.DIODE(_01116_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04948__A (.DIODE(_01210_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04950__A1 (.DIODE(net35),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04950__S (.DIODE(_01116_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04951__A (.DIODE(_01212_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04952__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[13] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04953__A1 (.DIODE(net95),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04954__A (.DIODE(_01214_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04955__B (.DIODE(\u_gpio_intr.cfg_gpio_out_data[12] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04956__A1 (.DIODE(net94),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04957__A (.DIODE(_01216_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04958__B (.DIODE(\u_gpio_intr.cfg_gpio_out_data[11] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04960__A1 (.DIODE(_01218_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04960__S (.DIODE(_01099_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04961__A (.DIODE(_01219_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04963__B (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[10] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04963__C (.DIODE(\u_gpio_intr.cfg_gpio_out_data[10] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04964__A2 (.DIODE(net96),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04964__B1 (.DIODE(_01195_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04965__A1_N (.DIODE(_01195_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04965__A2_N (.DIODE(_01220_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04966__A (.DIODE(_01197_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04968__A1 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[9] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04968__A2 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[9] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04968__B1 (.DIODE(_01224_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04968__B2 (.DIODE(_01197_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04969__A2 (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[11] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04969__A3 (.DIODE(net97),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04971__A_N (.DIODE(_01202_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04916__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[21] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04971__B (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[30] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04917__A1 (.DIODE(net32),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04971__C (.DIODE(\u_gpio_intr.cfg_gpio_out_data[30] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04918__A (.DIODE(_01203_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04972__A1 (.DIODE(_01202_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04920__A1 (.DIODE(net34),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04972__A2 (.DIODE(net98),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04921__A (.DIODE(_01205_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04972__B1 (.DIODE(_01201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04923__A1 (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04973__A1_N (.DIODE(_01201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04924__A (.DIODE(_01207_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04973__A2_N (.DIODE(_01226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04925__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04975__A_N (.DIODE(_01205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04925__B (.DIODE(\u_gpio_intr.cfg_gpio_out_data[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04975__B (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[29] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04926__A1 (.DIODE(net93),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04975__C (.DIODE(\u_gpio_intr.cfg_gpio_out_data[29] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04927__A (.DIODE(_01209_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04976__A1 (.DIODE(_01205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04928__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04976__A2 (.DIODE(net99),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04930__A1 (.DIODE(_01211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04977__A2_N (.DIODE(_01229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04930__S (.DIODE(_01098_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04978__A (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04931__A (.DIODE(_01212_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04979__A (.DIODE(_01122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04933__A_N (.DIODE(_01119_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04979__B (.DIODE(net101),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04933__B (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04980__A2 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[28] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04933__C (.DIODE(\u_gpio_intr.cfg_gpio_out_data[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04981__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[27] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04934__A1 (.DIODE(_01119_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04981__B (.DIODE(\u_gpio_intr.cfg_gpio_out_data[27] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04934__A2 (.DIODE(net95),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04983__S (.DIODE(_01208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04934__B1 (.DIODE(_01189_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04984__A (.DIODE(_01236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04935__A1_N (.DIODE(_01189_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04985__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[25] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04935__A2_N (.DIODE(_01213_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04985__B (.DIODE(\u_gpio_intr.cfg_gpio_out_data[25] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04936__A (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04986__A1 (.DIODE(net100),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04938__B1 (.DIODE(_01217_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04986__S (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04938__B2 (.DIODE(_01190_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04987__A (.DIODE(_01238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04939__A2 (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05033__A (.DIODE(net78),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04939__A3 (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05034__A (.DIODE(_01274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04941__A_N (.DIODE(_01195_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05035__A (.DIODE(net44),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04941__B (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[30] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05036__A (.DIODE(_01276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04941__C (.DIODE(\u_gpio_intr.cfg_gpio_out_data[30] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05037__A (.DIODE(net80),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04942__A1 (.DIODE(_01195_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05037__B (.DIODE(net47),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04942__A2 (.DIODE(net97),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05039__A (.DIODE(_01279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04945__A_N (.DIODE(_01198_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05040__A (.DIODE(net42),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04945__B (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[29] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05041__A (.DIODE(net39),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04945__C (.DIODE(\u_gpio_intr.cfg_gpio_out_data[29] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05043__A (.DIODE(net41),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04946__A1 (.DIODE(_01198_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05044__A (.DIODE(net40),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04946__A2 (.DIODE(net98),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05046__A (.DIODE(net38),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04948__A (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05050__A (.DIODE(_01290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04949__A (.DIODE(_01121_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05051__B (.DIODE(_01291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04949__B (.DIODE(net100),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05052__B (.DIODE(_01292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04950__A1 (.DIODE(_01225_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05053__A (.DIODE(_01293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04950__A2 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[28] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05054__A0 (.DIODE(_01275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04950__A3 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[28] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05054__A1 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04951__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[27] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05056__A (.DIODE(net79),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04954__A1 (.DIODE(_01229_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05057__A (.DIODE(_01296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04954__S (.DIODE(_01201_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05058__A0 (.DIODE(_01297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04955__A (.DIODE(_01230_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05058__A1 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04956__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[25] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05060__A (.DIODE(net49),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04956__B (.DIODE(\u_gpio_intr.cfg_gpio_out_data[25] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05061__A (.DIODE(_01299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04957__A1 (.DIODE(net99),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05062__A0 (.DIODE(_01300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04957__S (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05062__A1 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04958__A (.DIODE(_01232_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05064__A (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05006__A (.DIODE(net77),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05065__A (.DIODE(_01302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05007__A (.DIODE(_01270_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05066__A0 (.DIODE(_01303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05008__A (.DIODE(net43),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05066__A1 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05010__A (.DIODE(net41),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05068__A (.DIODE(net51),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05015__A (.DIODE(net79),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05069__A (.DIODE(_01305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05015__B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05070__A (.DIODE(_01293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05016__A (.DIODE(_01279_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05071__A0 (.DIODE(_01306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05017__A (.DIODE(net38),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05073__A (.DIODE(net52),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05019__A (.DIODE(net40),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05074__A (.DIODE(_01309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05020__A (.DIODE(net39),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05077__A (.DIODE(net53),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05021__A (.DIODE(net37),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05078__A (.DIODE(_01312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05024__A (.DIODE(_01278_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05081__A (.DIODE(net54),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05024__C (.DIODE(_01287_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05082__A (.DIODE(_01315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05025__A (.DIODE(_01273_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05083__A0 (.DIODE(_01316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05025__B (.DIODE(_01288_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05085__A (.DIODE(net55),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05026__A (.DIODE(_01289_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05087__A (.DIODE(net45),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05027__A1 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05089__B (.DIODE(_01292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05029__A (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05091__S (.DIODE(_01323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05030__A (.DIODE(_01292_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05093__A (.DIODE(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05031__A1 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05095__S (.DIODE(_01323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05033__A (.DIODE(net48),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05097__A (.DIODE(net57),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05035__A1 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05098__A (.DIODE(_01328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05037__A (.DIODE(net49),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05099__S (.DIODE(_01323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05038__A (.DIODE(_01298_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05101__A (.DIODE(net58),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05039__A1 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05102__A (.DIODE(_01331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05041__A (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05103__A0 (.DIODE(_01332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05043__A (.DIODE(_01289_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05103__S (.DIODE(_01323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05044__A0 (.DIODE(_01302_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05105__A (.DIODE(net60),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05046__A (.DIODE(net51),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05107__S (.DIODE(_01335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05048__A0 (.DIODE(_01306_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05109__A (.DIODE(net61),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05050__A (.DIODE(net52),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05110__S (.DIODE(_01335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05054__A (.DIODE(net53),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05112__A (.DIODE(net62),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05058__A (.DIODE(net54),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05113__S (.DIODE(_01335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05060__A (.DIODE(net44),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05115__A (.DIODE(net63),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05061__A (.DIODE(_01316_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05116__S (.DIODE(_01335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05062__B (.DIODE(_01288_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05118__A (.DIODE(net64),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05064__S (.DIODE(_01319_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05119__A (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05066__A (.DIODE(net55),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05121__B (.DIODE(_01292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05068__S (.DIODE(_01319_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05123__S (.DIODE(_01347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05070__A (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05125__A (.DIODE(net65),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05071__A (.DIODE(_01324_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05126__S (.DIODE(_01347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05072__S (.DIODE(_01319_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05128__A (.DIODE(net66),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05074__A (.DIODE(net57),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05129__A1 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[26] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05075__A (.DIODE(_01327_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05129__S (.DIODE(_01347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05076__S (.DIODE(_01319_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05131__A (.DIODE(net67),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05078__A (.DIODE(net59),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05132__S (.DIODE(_01347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05080__S (.DIODE(_01331_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05134__A (.DIODE(net68),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05082__A (.DIODE(net60),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05136__S (.DIODE(_01356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05083__S (.DIODE(_01331_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05138__A (.DIODE(net69),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05085__A (.DIODE(net61),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05139__A0 (.DIODE(_01358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05086__S (.DIODE(_01331_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05139__S (.DIODE(_01356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05088__A (.DIODE(net62),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05141__A (.DIODE(net71),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05089__S (.DIODE(_01331_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05142__A0 (.DIODE(_01360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05091__A (.DIODE(net63),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05142__S (.DIODE(_01356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05092__A (.DIODE(net45),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05144__A (.DIODE(net72),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05094__B (.DIODE(_01288_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05145__A0 (.DIODE(_01362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05096__A0 (.DIODE(_01339_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05145__S (.DIODE(_01356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05096__S (.DIODE(_01343_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05147__A (.DIODE(net64),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05098__A (.DIODE(net64),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05148__A (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05099__S (.DIODE(_01343_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05152__A (.DIODE(net42),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05101__A (.DIODE(net65),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05153__A (.DIODE(net39),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05102__S (.DIODE(_01343_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05154__A (.DIODE(net40),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05104__A (.DIODE(net66),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05155__A (.DIODE(net38),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05105__S (.DIODE(_01343_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05159__A (.DIODE(_01375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05107__A (.DIODE(net67),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05161__B (.DIODE(_01368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05109__A0 (.DIODE(_01351_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05161__C (.DIODE(_01377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05109__S (.DIODE(_01352_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05162__A (.DIODE(_01378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05111__A (.DIODE(net68),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05163__A0 (.DIODE(\u_pinmux_reg.reg_29[24] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05112__A0 (.DIODE(_01354_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05165__A (.DIODE(net65),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05112__S (.DIODE(_01352_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05166__A0 (.DIODE(\u_pinmux_reg.reg_29[25] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05114__A (.DIODE(net70),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05168__A (.DIODE(net66),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05115__A0 (.DIODE(_01356_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05171__A (.DIODE(net67),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05115__S (.DIODE(_01352_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05172__A0 (.DIODE(\u_pinmux_reg.reg_29[27] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05117__A (.DIODE(net71),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05174__A (.DIODE(net68),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05118__S (.DIODE(_01352_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05175__A (.DIODE(_01378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05120__A (.DIODE(net63),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05176__S (.DIODE(_01388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05121__A (.DIODE(net45),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05178__A (.DIODE(net69),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05122__A (.DIODE(_01361_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05179__S (.DIODE(_01388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05123__A (.DIODE(_01279_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05181__A (.DIODE(net71),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05125__A (.DIODE(net41),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05182__S (.DIODE(_01388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05127__A (.DIODE(net38),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05184__A (.DIODE(net72),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05128__A (.DIODE(net40),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05185__S (.DIODE(_01388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05129__A (.DIODE(net39),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05187__A (.DIODE(net64),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05133__A (.DIODE(_01372_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05188__A (.DIODE(_01396_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05135__B (.DIODE(_01364_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05191__A (.DIODE(net41),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05135__C (.DIODE(_01374_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05196__A (.DIODE(_01404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05136__A (.DIODE(_01375_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05197__A (.DIODE(_01405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05137__A1 (.DIODE(_01360_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05198__B (.DIODE(_01399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05137__S (.DIODE(_01376_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05198__C (.DIODE(_01406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05139__A (.DIODE(net64),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05199__A (.DIODE(_01407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05140__A0 (.DIODE(\u_pinmux_reg.reg_29[25] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05200__A1 (.DIODE(_01397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05140__S (.DIODE(_01376_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05202__A (.DIODE(net65),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05142__A (.DIODE(net65),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05203__A (.DIODE(_01410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05143__A1 (.DIODE(_01380_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05204__A1 (.DIODE(_01411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05143__S (.DIODE(_01376_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05206__A (.DIODE(net66),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05145__A (.DIODE(net66),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05207__A (.DIODE(_01413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05146__A1 (.DIODE(_01382_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05210__A (.DIODE(net67),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05146__S (.DIODE(_01376_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05211__A (.DIODE(_01416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05148__A (.DIODE(net67),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05212__A1 (.DIODE(_01417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05149__A (.DIODE(_01375_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05214__A (.DIODE(net68),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05152__A (.DIODE(net68),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05215__A (.DIODE(_01419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05155__A (.DIODE(net70),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05216__A (.DIODE(_01407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05158__A (.DIODE(net71),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05217__A0 (.DIODE(\u_pinmux_reg.reg_28[28] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05161__A (.DIODE(net63),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05219__A (.DIODE(net69),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05162__A (.DIODE(_01393_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05220__A (.DIODE(_01423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05164__A (.DIODE(net37),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05221__A1 (.DIODE(_01424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05168__A (.DIODE(_01399_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05223__A (.DIODE(net71),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05169__A (.DIODE(_01400_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05224__A (.DIODE(_01426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05170__B (.DIODE(_01364_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05227__A (.DIODE(net72),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05171__A (.DIODE(_01402_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05228__A (.DIODE(_01429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05172__A1 (.DIODE(_01394_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05231__A (.DIODE(net78),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05174__A (.DIODE(net64),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05232__A (.DIODE(_01432_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05175__A (.DIODE(_01405_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05233__A (.DIODE(net44),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05178__A (.DIODE(net65),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05237__A (.DIODE(_01437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05179__A (.DIODE(_01408_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05239__B (.DIODE(_01399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05180__A1 (.DIODE(_01409_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05239__C (.DIODE(_01439_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05182__A (.DIODE(net66),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05241__A1 (.DIODE(_01433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05183__A (.DIODE(_01411_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05243__A (.DIODE(net79),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05186__A (.DIODE(net67),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05244__A (.DIODE(_01443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05188__A (.DIODE(_01402_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05245__A1 (.DIODE(_01444_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05191__A (.DIODE(net68),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05247__A (.DIODE(net49),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05192__A (.DIODE(_01418_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05248__A (.DIODE(_01446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05195__A (.DIODE(net70),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05249__A1 (.DIODE(_01447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05197__A1 (.DIODE(_01422_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05251__A (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05199__A (.DIODE(net71),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05252__A (.DIODE(_01449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05200__A (.DIODE(_01424_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05253__A1 (.DIODE(_01450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05203__A (.DIODE(net77),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05255__A (.DIODE(net51),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05204__A (.DIODE(_01427_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05258__A1 (.DIODE(_01453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05205__A (.DIODE(net43),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05260__A (.DIODE(net52),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05210__A (.DIODE(_01433_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05262__A1 (.DIODE(_01457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05212__C (.DIODE(_01435_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05264__A (.DIODE(net53),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05213__A (.DIODE(_01436_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05266__A1 (.DIODE(_01460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05216__A (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05268__A (.DIODE(net54),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05217__A (.DIODE(_01439_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05270__A1 (.DIODE(_01463_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05218__A1 (.DIODE(_01440_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05272__A (.DIODE(_01276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05220__A (.DIODE(net48),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05273__A (.DIODE(_01404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05222__A1 (.DIODE(_01443_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05274__A (.DIODE(_01466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05224__A (.DIODE(net49),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05275__B (.DIODE(_01399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05226__A1 (.DIODE(_01446_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05275__C (.DIODE(_01467_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05228__A (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05276__A (.DIODE(_01468_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05230__A (.DIODE(_01436_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05277__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05233__A (.DIODE(net51),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05277__A1 (.DIODE(_01433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05237__A (.DIODE(net52),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05279__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05238__A (.DIODE(_01455_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05279__A1 (.DIODE(_01444_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05241__A (.DIODE(net53),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05281__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05242__A (.DIODE(_01458_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05281__A1 (.DIODE(_01447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05247__A (.DIODE(_01462_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05283__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05248__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05283__A1 (.DIODE(_01450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05250__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05285__A (.DIODE(_01468_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05250__A1 (.DIODE(_01440_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05286__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05252__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05286__A1 (.DIODE(_01453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05252__A1 (.DIODE(_01443_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05286__S (.DIODE(_01474_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05254__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05288__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05254__A1 (.DIODE(_01446_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05288__A1 (.DIODE(_01457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05256__A (.DIODE(_01462_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05288__S (.DIODE(_01474_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05257__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05290__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05259__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05290__A1 (.DIODE(_01460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05261__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05290__S (.DIODE(_01474_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05263__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05292__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05265__A (.DIODE(net54),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05292__A1 (.DIODE(_01463_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05267__A (.DIODE(net44),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05292__S (.DIODE(_01474_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05270__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[16] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05294__A (.DIODE(net55),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05270__A1 (.DIODE(_01474_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05295__A (.DIODE(_01479_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05272__A (.DIODE(net55),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05296__A (.DIODE(net45),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05274__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[17] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05297__B (.DIODE(_01399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05274__A1 (.DIODE(_01480_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05297__C (.DIODE(_01467_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05276__A (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05298__A (.DIODE(_01482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05277__A (.DIODE(_01482_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05299__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[16] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05278__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05299__A1 (.DIODE(_01480_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05280__A (.DIODE(net57),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05299__S (.DIODE(_01483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05282__A1 (.DIODE(_01486_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05301__A (.DIODE(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05284__A (.DIODE(net59),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05302__A (.DIODE(_01485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05286__S (.DIODE(_01489_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05303__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[17] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05288__A (.DIODE(net60),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05303__A1 (.DIODE(_01486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05289__S (.DIODE(_01489_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05303__S (.DIODE(_01483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05291__A (.DIODE(net61),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05305__A (.DIODE(net57),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05292__S (.DIODE(_01489_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05306__A (.DIODE(_01488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05294__A (.DIODE(net62),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05307__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[18] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05295__S (.DIODE(_01489_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05307__A1 (.DIODE(_01489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05300__B (.DIODE(_01499_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05307__S (.DIODE(_01483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05301__A (.DIODE(_01500_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05309__A (.DIODE(net58),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05302__A (.DIODE(_01501_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05310__A (.DIODE(_01491_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05303__C (.DIODE(_01502_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05311__A1 (.DIODE(_01492_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05304__A (.DIODE(_01503_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05311__S (.DIODE(_01483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05307__A1 (.DIODE(_01440_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05313__A (.DIODE(net60),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05309__A0 (.DIODE(\u_pinmux_reg.reg_3[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05314__A (.DIODE(_01482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05309__A1 (.DIODE(_01443_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05315__A0 (.DIODE(\u_pinmux_reg.reg_28[20] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05311__A0 (.DIODE(\u_pinmux_reg.reg_3[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05315__S (.DIODE(_01495_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05311__A1 (.DIODE(_01446_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05317__A (.DIODE(net61),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05313__A (.DIODE(_01503_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05318__S (.DIODE(_01495_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05314__A0 (.DIODE(\u_pinmux_reg.reg_3[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05320__A (.DIODE(net62),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05318__A0 (.DIODE(\u_pinmux_reg.reg_3[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05321__S (.DIODE(_01495_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05320__A0 (.DIODE(\u_pinmux_reg.reg_3[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05323__A (.DIODE(net63),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05322__A (.DIODE(_01279_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05324__A0 (.DIODE(\u_pinmux_reg.reg_28[23] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05323__A (.DIODE(_01514_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05324__S (.DIODE(_01495_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05325__C (.DIODE(_01374_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05327__A_N (.DIODE(net42),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05326__A (.DIODE(_01517_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05327__B (.DIODE(net38),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05327__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05327__C (.DIODE(net39),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05329__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05330__A (.DIODE(_01506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05329__A1 (.DIODE(_01440_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05332__C (.DIODE(_01508_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05331__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05334__A0 (.DIODE(\u_pinmux_reg.reg_3[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05331__A1 (.DIODE(_01443_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05334__A1 (.DIODE(_01433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05333__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05336__A0 (.DIODE(\u_pinmux_reg.reg_3[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05333__A1 (.DIODE(_01446_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05336__A1 (.DIODE(_01444_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05335__A (.DIODE(_01517_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05338__A1 (.DIODE(_01447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05336__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05340__A0 (.DIODE(\u_pinmux_reg.reg_3[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05338__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05340__A1 (.DIODE(_01450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05340__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05343__A0 (.DIODE(\u_pinmux_reg.reg_3[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05342__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05343__A1 (.DIODE(_01453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05344__A (.DIODE(_01316_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05345__A0 (.DIODE(\u_pinmux_reg.reg_3[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05345__A (.DIODE(_01528_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05345__A1 (.DIODE(_01457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05345__C (.DIODE(_01374_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05347__A1 (.DIODE(_01460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05346__A (.DIODE(_01529_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05349__A1 (.DIODE(_01463_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05347__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[16] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05351__C (.DIODE(_01377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05347__A1 (.DIODE(_01474_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05352__A (.DIODE(_01520_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05349__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[17] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05353__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05349__A1 (.DIODE(_01480_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05353__A1 (.DIODE(_01433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05351__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05355__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05353__A1 (.DIODE(_01486_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05355__A1 (.DIODE(_01444_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05355__A (.DIODE(net59),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05357__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05356__A (.DIODE(_01535_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05357__A1 (.DIODE(_01447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05357__A (.DIODE(_01529_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05359__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05358__S (.DIODE(_01537_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05359__A1 (.DIODE(_01450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05360__A (.DIODE(net60),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05361__A (.DIODE(_01520_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05362__A1 (.DIODE(_01540_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05362__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05362__S (.DIODE(_01537_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05362__A1 (.DIODE(_01453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05364__A (.DIODE(net61),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05364__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05366__A1 (.DIODE(_01543_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05364__A1 (.DIODE(_01457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05366__S (.DIODE(_01537_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05366__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05368__A (.DIODE(net62),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05366__A1 (.DIODE(_01460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05369__A (.DIODE(_01545_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05368__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05370__S (.DIODE(_01537_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05368__A1 (.DIODE(_01463_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05372__A (.DIODE(_01528_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05373__A (.DIODE(_01533_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05372__C (.DIODE(_01502_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05374__A (.DIODE(_01532_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05373__A (.DIODE(_01548_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05374__C (.DIODE(_01534_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05374__A1 (.DIODE(_01474_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05376__A0 (.DIODE(_01531_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -8846,4917 +9100,5332 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05380__A1 (.DIODE(_01486_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05376__S (.DIODE(_01536_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05382__A (.DIODE(_01548_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05378__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[17] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05383__S (.DIODE(_01554_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05378__A1 (.DIODE(_01486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05385__A1 (.DIODE(_01540_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05378__S (.DIODE(_01536_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05385__S (.DIODE(_01554_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05380__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[18] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05387__A1 (.DIODE(_01543_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05380__A1 (.DIODE(_01489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05387__S (.DIODE(_01554_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05380__S (.DIODE(_01536_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05389__S (.DIODE(_01554_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05382__A1 (.DIODE(_01492_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05391__C (.DIODE(_01502_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05382__S (.DIODE(_01536_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05392__A (.DIODE(_01559_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05384__A (.DIODE(net60),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05393__A1 (.DIODE(_01394_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05385__A (.DIODE(_01541_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05395__A0 (.DIODE(\u_pinmux_reg.reg_3[25] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05387__S (.DIODE(_01543_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05397__A1 (.DIODE(_01409_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05389__A (.DIODE(net61),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05401__A (.DIODE(_01559_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05390__A (.DIODE(_01545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05406__A1 (.DIODE(_01422_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05391__S (.DIODE(_01543_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05408__A0 (.DIODE(\u_pinmux_reg.reg_3[31] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05393__A (.DIODE(net62),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05410__A (.DIODE(_01514_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05394__A (.DIODE(_01548_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05411__A_N (.DIODE(net40),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05395__S (.DIODE(_01543_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05411__B (.DIODE(net39),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05397__A (.DIODE(net63),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05417__B (.DIODE(_01576_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05399__S (.DIODE(_01543_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05418__B (.DIODE(_01577_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05401__A (.DIODE(_01532_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05419__A (.DIODE(_01578_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05401__C (.DIODE(_01508_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05420__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[16] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05403__A1 (.DIODE(_01480_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05422__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[17] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05405__A1 (.DIODE(_01486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05424__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05407__A1 (.DIODE(_01489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05426__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[19] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05409__A1 (.DIODE(_01492_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05428__A (.DIODE(_01578_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05412__S (.DIODE(_01560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05429__S (.DIODE(_01584_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05414__S (.DIODE(_01560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05431__S (.DIODE(_01584_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05416__S (.DIODE(_01560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05433__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[22] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05418__S (.DIODE(_01560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05433__S (.DIODE(_01584_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05421__B (.DIODE(_01565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05435__S (.DIODE(_01584_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05421__C (.DIODE(_01508_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05438__A (.DIODE(_01589_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05422__A (.DIODE(_01566_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05439__A (.DIODE(net42),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05423__A1 (.DIODE(_01397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05444__A (.DIODE(_01595_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05425__A1 (.DIODE(_01411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05445__A (.DIODE(_01596_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05429__A1 (.DIODE(_01417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05446__B (.DIODE(_01592_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05431__A (.DIODE(_01566_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05446__C (.DIODE(_01597_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05432__A0 (.DIODE(\u_pinmux_reg.reg_3[28] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05447__A (.DIODE(_01598_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05434__A1 (.DIODE(_01424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05448__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05438__A0 (.DIODE(\u_pinmux_reg.reg_3[31] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05448__A1 (.DIODE(_01590_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05440__A (.DIODE(net42),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05451__A (.DIODE(_01601_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05447__A (.DIODE(_01583_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05452__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05448__B (.DIODE(_01584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05452__A1 (.DIODE(_01602_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05449__B (.DIODE(_01585_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05455__A (.DIODE(_01604_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05451__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[16] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05456__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05451__S (.DIODE(_01587_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05456__A1 (.DIODE(_01605_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05453__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[17] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05459__A (.DIODE(_01607_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05453__S (.DIODE(_01587_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05460__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05455__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[18] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05460__A1 (.DIODE(_01608_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05455__S (.DIODE(_01587_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05463__A (.DIODE(_01610_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05457__A0 (.DIODE(_01332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05464__A (.DIODE(_01598_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05457__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[19] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05465__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05457__S (.DIODE(_01587_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05465__A1 (.DIODE(_01611_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05460__S (.DIODE(_01592_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05468__A (.DIODE(_01614_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05462__S (.DIODE(_01592_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05469__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05464__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[22] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05469__A1 (.DIODE(_01615_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05464__S (.DIODE(_01592_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05472__A (.DIODE(_01617_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05466__S (.DIODE(_01592_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05473__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05468__A (.DIODE(net48),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05473__A1 (.DIODE(_01618_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05470__A (.DIODE(net43),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05476__A (.DIODE(_01620_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05474__A (.DIODE(_01602_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05477__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05475__A (.DIODE(_01603_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05477__A1 (.DIODE(_01621_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05476__B (.DIODE(_01565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05480__B (.DIODE(_01592_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05476__C (.DIODE(_01604_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05480__C (.DIODE(_01597_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05477__A (.DIODE(_01605_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05481__A (.DIODE(_01624_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05478__A1 (.DIODE(_01598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05482__A1 (.DIODE(_01394_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05480__A (.DIODE(net59),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05486__A1 (.DIODE(_01409_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05482__A1 (.DIODE(_01609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05490__A (.DIODE(_01624_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05484__A (.DIODE(net70),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05495__A1 (.DIODE(_01422_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05486__A1 (.DIODE(_01612_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05499__B (.DIODE(_01577_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05488__A (.DIODE(net73),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05501__A0 (.DIODE(_01339_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05490__A1 (.DIODE(_01615_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05501__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[24] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05492__A (.DIODE(net74),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05501__S (.DIODE(_01636_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05494__A (.DIODE(_01605_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05503__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[25] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05495__A1 (.DIODE(_01618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05503__S (.DIODE(_01636_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05497__A (.DIODE(net75),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05505__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[26] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05499__A1 (.DIODE(_01622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05505__S (.DIODE(_01636_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05501__A (.DIODE(net76),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05507__S (.DIODE(_01636_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05505__A (.DIODE(net77),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05510__A0 (.DIODE(_01351_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05507__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05510__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[28] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05507__A1 (.DIODE(_01628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05512__A0 (.DIODE(_01354_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05510__A (.DIODE(_01602_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05512__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[29] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05511__A (.DIODE(_01631_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05514__A0 (.DIODE(_01356_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05512__A (.DIODE(_01630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05514__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[30] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05512__B (.DIODE(_01565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05516__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[31] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05512__C (.DIODE(_01632_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05518__A (.DIODE(_01427_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05513__A (.DIODE(_01633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05519__B (.DIODE(_01592_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05514__A1 (.DIODE(_01397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05519__C (.DIODE(_01597_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05516__A1 (.DIODE(_01411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05520__A (.DIODE(_01647_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05520__A1 (.DIODE(_01417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05521__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05522__A (.DIODE(_01633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05521__S (.DIODE(_01648_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05523__A0 (.DIODE(\u_pinmux_reg.reg_30[28] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05523__A (.DIODE(_01439_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05525__A1 (.DIODE(_01424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05524__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05531__B (.DIODE(_01585_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05524__S (.DIODE(_01648_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05533__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[24] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05527__A1 (.DIODE(_01652_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05533__S (.DIODE(_01645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05527__S (.DIODE(_01648_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05535__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[25] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05530__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05535__S (.DIODE(_01645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05530__A1 (.DIODE(_01654_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05537__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[26] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05530__S (.DIODE(_01648_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05537__S (.DIODE(_01645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05533__A (.DIODE(_01647_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05539__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[27] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05534__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05539__S (.DIODE(_01645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05534__A1 (.DIODE(_01656_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05542__S (.DIODE(_01650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05537__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05544__A0 (.DIODE(_01358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05537__A1 (.DIODE(_01659_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05544__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[29] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05539__A (.DIODE(_01455_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05544__S (.DIODE(_01650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05540__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05546__A0 (.DIODE(_01360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05540__A1 (.DIODE(_01661_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05546__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[30] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05542__A (.DIODE(_01458_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05546__S (.DIODE(_01650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05543__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05548__A0 (.DIODE(_01362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05543__A1 (.DIODE(_01663_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05548__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[31] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05547__A (.DIODE(_01666_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05548__S (.DIODE(_01650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05548__B (.DIODE(_01667_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05550__A (.DIODE(_01432_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05549__B (.DIODE(_01668_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05551__B (.DIODE(_01565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05551__A0 (.DIODE(_01360_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05551__C (.DIODE(_01632_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05551__S (.DIODE(_01670_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05553__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05553__S (.DIODE(_01670_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05553__A1 (.DIODE(_01655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05555__A0 (.DIODE(_01380_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05555__A (.DIODE(_01443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05555__S (.DIODE(_01670_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05556__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05557__A0 (.DIODE(_01382_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05556__A1 (.DIODE(_01659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05557__S (.DIODE(_01670_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05558__A (.DIODE(_01446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05570__A (.DIODE(_01681_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05559__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05571__A (.DIODE(_01682_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05559__A1 (.DIODE(_01661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05572__B (.DIODE(_01592_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05561__A (.DIODE(_01449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05572__C (.DIODE(_01683_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05562__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05573__A (.DIODE(_01684_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05562__A1 (.DIODE(_01663_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05574__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[24] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05566__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05574__A1 (.DIODE(_01394_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05566__A1 (.DIODE(_01665_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05576__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[25] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05569__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05578__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[26] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05569__A1 (.DIODE(_01668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05578__A1 (.DIODE(_01409_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05572__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05580__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[27] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05572__A1 (.DIODE(_01670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05582__A (.DIODE(_01684_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05575__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05583__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[28] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05575__A1 (.DIODE(_01672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05585__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[29] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05579__A (.DIODE(_01675_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05587__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[30] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05580__B (.DIODE(_01676_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05587__A1 (.DIODE(_01422_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05581__B (.DIODE(_01677_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05589__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[31] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05582__A (.DIODE(_01678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05591__A (.DIODE(_01427_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05583__A1 (.DIODE(\u_pinmux_reg.cfg_gpio_out_type[24] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05592__A (.DIODE(_01273_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05587__A1 (.DIODE(\u_pinmux_reg.cfg_gpio_out_type[26] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05592__B (.DIODE(_01577_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05589__A1 (.DIODE(\u_pinmux_reg.cfg_gpio_out_type[27] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05593__A (.DIODE(_01696_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05591__A (.DIODE(_01678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05594__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05592__S (.DIODE(_01684_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05596__A (.DIODE(_01439_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05594__S (.DIODE(_01684_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05600__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05596__S (.DIODE(_01684_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05606__A (.DIODE(_01696_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05598__S (.DIODE(_01684_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05607__A0 (.DIODE(_01705_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05600__A (.DIODE(_01279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05607__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05604__A (.DIODE(_01692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05610__A0 (.DIODE(_01708_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05605__A (.DIODE(_01693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05612__A (.DIODE(_01455_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05606__A (.DIODE(_01630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05613__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05606__C (.DIODE(_01694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05615__A (.DIODE(_01458_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05607__A (.DIODE(_01695_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05616__A0 (.DIODE(_01712_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05608__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[24] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05616__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05608__A1 (.DIODE(_01397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05620__A (.DIODE(_01714_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05608__S (.DIODE(_01696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05620__B (.DIODE(_01715_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05610__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[25] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05620__C (.DIODE(_01683_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05610__A1 (.DIODE(_01411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05622__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05610__S (.DIODE(_01696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05626__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05612__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[26] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05626__A1 (.DIODE(_01652_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05612__S (.DIODE(_01696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05628__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05614__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[27] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05628__A1 (.DIODE(_01654_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05614__A1 (.DIODE(_01417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05631__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05614__S (.DIODE(_01696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05631__A1 (.DIODE(_01656_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05616__A (.DIODE(_01695_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05633__A1 (.DIODE(_01659_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05617__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[28] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05635__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05619__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[29] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05635__A1 (.DIODE(_01661_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05619__A1 (.DIODE(_01424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05637__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05621__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[30] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05637__A1 (.DIODE(_01663_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05623__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[31] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05639__A (.DIODE(_01682_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05625__A (.DIODE(_01432_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05640__A (.DIODE(_01528_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05626__B (.DIODE(_01585_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05640__B (.DIODE(_01715_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05627__A (.DIODE(_01707_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05640__C (.DIODE(_01727_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05628__A0 (.DIODE(_01706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05642__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[16] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05628__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05642__A1 (.DIODE(_01474_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05630__A (.DIODE(_01443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05642__S (.DIODE(_01729_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05631__A0 (.DIODE(_01710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05644__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[17] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05631__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05644__A1 (.DIODE(_01480_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05633__A (.DIODE(_01446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05644__S (.DIODE(_01729_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05634__A0 (.DIODE(_01712_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05646__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05634__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05646__S (.DIODE(_01729_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05636__A (.DIODE(_01449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05648__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[19] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05637__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05648__A1 (.DIODE(_01486_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05640__A (.DIODE(_01707_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05648__S (.DIODE(_01729_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05641__A0 (.DIODE(_01716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05653__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[21] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05641__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05653__A1 (.DIODE(_01540_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05644__A0 (.DIODE(_01719_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05655__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[22] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05647__A0 (.DIODE(_01721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05655__A1 (.DIODE(_01543_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05647__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05659__A (.DIODE(_01273_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05650__A0 (.DIODE(_01723_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05659__B (.DIODE(_01668_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05650__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05660__A (.DIODE(_01739_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05652__A (.DIODE(_01276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05669__A (.DIODE(_01739_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05653__C (.DIODE(_01694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05670__A0 (.DIODE(_01705_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05655__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05672__A0 (.DIODE(_01708_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05655__A1 (.DIODE(_01655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05676__A0 (.DIODE(_01712_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05657__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05679__B (.DIODE(_01668_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05657__A1 (.DIODE(_01659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05681__A0 (.DIODE(_01750_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05659__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05681__S (.DIODE(_01752_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05659__A1 (.DIODE(_01661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05684__A0 (.DIODE(_01754_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05661__A1 (.DIODE(_01663_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05684__S (.DIODE(_01752_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05664__A1 (.DIODE(_01665_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05686__A (.DIODE(_01482_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05666__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05687__S (.DIODE(_01752_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05666__A1 (.DIODE(_01668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05690__A0 (.DIODE(_01758_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05668__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05690__S (.DIODE(_01752_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05668__A1 (.DIODE(_01670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05693__S (.DIODE(_01760_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05670__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05695__S (.DIODE(_01760_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05670__A1 (.DIODE(_01672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05697__S (.DIODE(_01760_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05672__A (.DIODE(_01693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05699__S (.DIODE(_01760_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05673__A (.DIODE(_01532_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05702__A (.DIODE(\u_pinmux_reg.cfg_timer2[17] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05673__C (.DIODE(_01737_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05702__B (.DIODE(\u_pinmux_reg.cfg_timer2[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05674__A (.DIODE(_01738_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05704__D_N (.DIODE(\u_pulse_1ms.trigger ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05675__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[16] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05707__A (.DIODE(_01770_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05675__A1 (.DIODE(_01480_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05708__A (.DIODE(\u_pulse_1ms.trigger ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05675__S (.DIODE(_01739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05712__A1 (.DIODE(_01773_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05677__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[17] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05712__A2 (.DIODE(_01774_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05677__A1 (.DIODE(_01486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05712__A3 (.DIODE(_01775_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05677__S (.DIODE(_01739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05712__B1 (.DIODE(\u_pinmux_reg.cfg_timer2[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05679__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[18] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05713__A2 (.DIODE(_01771_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05679__A1 (.DIODE(_01489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05714__A (.DIODE(\u_pinmux_reg.cfg_timer2[16] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05679__S (.DIODE(_01739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05715__A2 (.DIODE(_01770_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05681__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[19] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05717__A (.DIODE(net212),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05681__A1 (.DIODE(_01492_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05717__B (.DIODE(net79),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05681__S (.DIODE(_01739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05717__C (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05683__A (.DIODE(_01738_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05719__A (.DIODE(_01596_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05684__S (.DIODE(_01744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05720__C1 (.DIODE(_01783_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05686__S (.DIODE(_01744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05725__B1 (.DIODE(_01783_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05688__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[22] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05727__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05688__S (.DIODE(_01744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05735__B2 (.DIODE(\u_pinmux_reg.cfg_timer2[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05690__S (.DIODE(_01744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05737__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05692__B (.DIODE(_01677_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05744__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05694__A0 (.DIODE(_01706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05744__S (.DIODE(_01804_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05696__A0 (.DIODE(_01710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05747__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05698__A0 (.DIODE(_01712_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05747__S (.DIODE(_01804_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05703__A0 (.DIODE(_01716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05752__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05705__A0 (.DIODE(_01719_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05752__S (.DIODE(_01804_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05707__A0 (.DIODE(_01721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05756__A1 (.DIODE(\u_pinmux_reg.cfg_timer2[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05709__A0 (.DIODE(_01723_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05759__B2 (.DIODE(\u_pinmux_reg.cfg_timer2[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05711__A (.DIODE(_01479_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05765__A1 (.DIODE(\u_pinmux_reg.cfg_timer2[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05712__B (.DIODE(_01677_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05769__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05713__A (.DIODE(_01761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05769__S (.DIODE(_01804_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05714__A1 (.DIODE(\u_pinmux_reg.cfg_gpio_out_type[16] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05779__A1 (.DIODE(\u_pinmux_reg.cfg_timer2[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05716__A (.DIODE(_01485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05783__A1 (.DIODE(\u_pinmux_reg.cfg_timer2[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05717__A0 (.DIODE(_01764_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05785__A (.DIODE(\u_pinmux_reg.cfg_timer2[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05717__A1 (.DIODE(\u_pinmux_reg.cfg_gpio_out_type[17] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05792__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05719__A (.DIODE(_01488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05795__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05720__A0 (.DIODE(_01766_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05806__A (.DIODE(_01278_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05720__A1 (.DIODE(\u_pinmux_reg.cfg_gpio_out_type[18] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05808__A (.DIODE(_01855_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05722__A (.DIODE(_01491_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05810__A_N (.DIODE(\u_gpio_intr.gpio_prev_indata[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05725__A (.DIODE(_01761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05811__A3 (.DIODE(\u_gpio_intr.gpio_prev_indata[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05726__A1 (.DIODE(\u_pinmux_reg.cfg_gpio_out_type[20] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05812__B1 (.DIODE(_01856_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05726__S (.DIODE(_01770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05813__A (.DIODE(\u_pulse_1ms.trigger ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05728__S (.DIODE(_01770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05814__A (.DIODE(_01860_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05730__S (.DIODE(_01770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05857__A (.DIODE(_01860_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05732__A1 (.DIODE(\u_pinmux_reg.cfg_gpio_out_type[23] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05882__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05732__S (.DIODE(_01770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05882__B2 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05734__A (.DIODE(\u_pinmux_reg.cfg_timer2[17] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05887__A (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05734__B (.DIODE(\u_pinmux_reg.cfg_timer2[18] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05887__B (.DIODE(_01923_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05737__D_N (.DIODE(\u_pulse_1ms.trigger ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05892__A (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05742__A (.DIODE(\u_pulse_1ms.trigger ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05892__B (.DIODE(_01927_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05743__A (.DIODE(_01783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05901__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05747__A1 (.DIODE(_01785_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05908__B2 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05747__A2 (.DIODE(_01786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05912__B2 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05747__A3 (.DIODE(_01787_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05913__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05747__B1 (.DIODE(\u_pinmux_reg.cfg_timer2[18] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05918__B2 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05748__A2 (.DIODE(_01782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05919__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05748__C1 (.DIODE(_01788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05923__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05749__A (.DIODE(net214),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05924__A (.DIODE(\u_pinmux_reg.cfg_pwm5_high[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05750__A (.DIODE(_01790_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05926__A (.DIODE(\u_pinmux_reg.cfg_pwm5_low[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05750__C (.DIODE(_01603_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05930__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05751__A2 (.DIODE(_01789_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05934__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05751__B1 (.DIODE(_01791_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05937__B2 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05755__A (.DIODE(\u_pinmux_reg.cfg_timer2[16] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05945__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05756__A2 (.DIODE(_01782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05946__B2 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05756__D1 (.DIODE(_01788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05950__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05757__A (.DIODE(_01797_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05951__B2 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05778__A (.DIODE(_01797_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05956__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05797__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05956__A2 (.DIODE(_01211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05802__A1 (.DIODE(\u_pinmux_reg.cfg_timer2[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05958__B2 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05806__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05961__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05810__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05962__B2 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05812__D (.DIODE(_01797_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05967__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05814__B1 (.DIODE(\u_pinmux_reg.cfg_timer2[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05967__A2 (.DIODE(_01211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05817__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05969__B2 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05822__B1 (.DIODE(\u_pinmux_reg.cfg_timer2[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05972__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05826__A1 (.DIODE(\u_pinmux_reg.cfg_timer2[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05973__B2 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05827__B (.DIODE(\u_pinmux_reg.cfg_timer2[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05978__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05828__A2 (.DIODE(_01791_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05979__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05829__A (.DIODE(_01790_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05982__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05829__B (.DIODE(net80),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05982__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05829__C (.DIODE(net47),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05988__A (.DIODE(\u_pinmux_reg.cfg_pwm5_low[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05830__A (.DIODE(_01854_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05989__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05833__B (.DIODE(_01857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05991__A (.DIODE(\u_pinmux_reg.cfg_timer0[17] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05836__A (.DIODE(_01297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05991__B (.DIODE(\u_pinmux_reg.cfg_timer0[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05840__D (.DIODE(_01854_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05992__A (.DIODE(_01860_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05842__A (.DIODE(_01866_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05993__A1 (.DIODE(_01773_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05844__A_N (.DIODE(\u_gpio_intr.gpio_prev_indata[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05993__A2 (.DIODE(_01774_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05845__A1 (.DIODE(\u_gpio_intr.cfg_gpio_negedge_int_sel[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05993__A3 (.DIODE(_01775_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05845__A3 (.DIODE(\u_gpio_intr.gpio_prev_indata[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05993__B1 (.DIODE(\u_pinmux_reg.cfg_timer0[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05846__A1 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[9].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05994__A (.DIODE(\u_pinmux_reg.cfg_timer0[16] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05846__B1 (.DIODE(_01867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05995__A1 (.DIODE(_01770_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05846__B2 (.DIODE(_01297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05996__A (.DIODE(_02016_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05847__A (.DIODE(\u_pulse_1ms.trigger ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06000__A1 (.DIODE(_02020_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05860__A (.DIODE(_01783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06001__A (.DIODE(_01399_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05863__A (.DIODE(_01783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06002__A (.DIODE(_02022_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05891__A (.DIODE(_01783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06003__B1 (.DIODE(_02023_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05910__A (.DIODE(_01218_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06005__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05915__B2 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06011__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05917__A (.DIODE(_01930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06013__A (.DIODE(_02016_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05918__A (.DIODE(_01098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06016__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05918__B (.DIODE(_01931_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06025__A1 (.DIODE(\u_pinmux_reg.cfg_timer0[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05923__B (.DIODE(_01935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06029__B1 (.DIODE(\u_pinmux_reg.cfg_timer0[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05931__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06034__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05932__A (.DIODE(_01098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06039__A1 (.DIODE(\u_pinmux_reg.cfg_timer0[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05932__B (.DIODE(_01935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06041__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05943__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06045__D (.DIODE(_02016_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05949__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06048__A1 (.DIODE(\u_pinmux_reg.cfg_timer0[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05951__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06052__B1 (.DIODE(\u_pinmux_reg.cfg_timer0[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05953__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06056__A1 (.DIODE(\u_pinmux_reg.cfg_timer0[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05956__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06060__B1 (.DIODE(\u_pinmux_reg.cfg_timer0[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05961__A (.DIODE(_01969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06064__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05962__A (.DIODE(_01970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06067__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05963__A (.DIODE(_01099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06071__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05963__B (.DIODE(_01971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06075__D_N (.DIODE(_02023_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05964__B1 (.DIODE(_01972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06076__A1 (.DIODE(\u_pinmux_reg.cfg_timer0[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05965__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06078__A (.DIODE(\u_pinmux_reg.cfg_timer1[17] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05965__B2 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06078__B (.DIODE(\u_pinmux_reg.cfg_timer1[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05968__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06079__A (.DIODE(_01860_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05971__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06080__A1 (.DIODE(_01773_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05977__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06080__A2 (.DIODE(_01774_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05978__B2 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06080__A3 (.DIODE(_01775_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05982__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06080__B1 (.DIODE(\u_pinmux_reg.cfg_timer1[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05984__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06081__A1 (.DIODE(_01771_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05985__A (.DIODE(\u_pinmux_reg.cfg_pwm5_high[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06084__A1 (.DIODE(\u_timer_1.timer_hit ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05986__A (.DIODE(_01990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06084__A2 (.DIODE(_02086_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05989__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06084__B1 (.DIODE(_02088_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05989__C1 (.DIODE(_01972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06088__A (.DIODE(\u_pinmux_reg.cfg_timer1[16] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05994__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06089__A1 (.DIODE(_01770_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05996__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06090__A (.DIODE(_02094_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05998__A (.DIODE(_02000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06092__A (.DIODE(_02094_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06003__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06095__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06003__C1 (.DIODE(_01972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06101__A1 (.DIODE(\u_pinmux_reg.cfg_timer1[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06008__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06103__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06010__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06108__A1 (.DIODE(\u_pinmux_reg.cfg_timer1[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06013__B2 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06113__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06014__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06116__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06019__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06120__D (.DIODE(_02094_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06019__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06122__A1 (.DIODE(\u_pinmux_reg.cfg_timer1[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06019__S (.DIODE(_01218_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06125__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06021__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06128__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06022__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06133__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06024__A (.DIODE(\u_pinmux_reg.cfg_timer0[17] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06142__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06024__B (.DIODE(\u_pinmux_reg.cfg_timer0[18] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06144__D (.DIODE(_02094_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06025__A (.DIODE(\u_pulse_1ms.trigger ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06146__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06026__A1 (.DIODE(_01785_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06149__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06026__A2 (.DIODE(_01786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06155__A1 (.DIODE(\u_pinmux_reg.cfg_timer1[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06026__A3 (.DIODE(_01787_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06157__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06026__B1 (.DIODE(\u_pinmux_reg.cfg_timer0[18] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06160__A (.DIODE(\u_timer_1.timer_hit ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06027__A (.DIODE(\u_pinmux_reg.cfg_timer0[16] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06160__B (.DIODE(_02088_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06028__A1 (.DIODE(_01782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06161__A1 (.DIODE(\u_pinmux_reg.cfg_timer1[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06029__A (.DIODE(_02026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06162__A (.DIODE(_01393_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06031__A (.DIODE(_02026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06166__A (.DIODE(_02154_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06034__A (.DIODE(_01467_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06167__B (.DIODE(_01715_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06034__B (.DIODE(_01854_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06168__A (.DIODE(_02156_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06036__A1 (.DIODE(_02033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06169__A1 (.DIODE(_02151_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06037__D (.DIODE(_02034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06171__A (.DIODE(_01405_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06038__A (.DIODE(_02032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06172__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06040__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06172__A1 (.DIODE(_02159_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06044__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06174__A (.DIODE(_01408_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06046__A (.DIODE(_02026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06175__A1 (.DIODE(_02161_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06050__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06177__A (.DIODE(_01411_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06053__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06178__A1 (.DIODE(_02163_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06058__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06181__A (.DIODE(_02156_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06060__A (.DIODE(_02032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06182__A1 (.DIODE(_02165_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06064__A (.DIODE(_02032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06184__A (.DIODE(_01418_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06070__A1 (.DIODE(\u_pinmux_reg.cfg_timer0[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06185__A1 (.DIODE(_02168_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06073__A (.DIODE(_02032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06188__A1 (.DIODE(_02170_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06075__B1 (.DIODE(\u_pinmux_reg.cfg_timer0[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06190__A (.DIODE(_01424_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06080__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06191__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06083__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06191__A1 (.DIODE(_02172_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06085__D (.DIODE(_02026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06194__A (.DIODE(_01528_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06088__B1 (.DIODE(\u_pinmux_reg.cfg_timer0[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06194__B (.DIODE(_01715_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06093__B1 (.DIODE(\u_pinmux_reg.cfg_timer0[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06196__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06098__B1_N (.DIODE(\u_pinmux_reg.cfg_timer0[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06196__A1 (.DIODE(_02174_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06102__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06199__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06107__A1 (.DIODE(\u_pinmux_reg.cfg_timer0[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06199__A1 (.DIODE(_02178_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06110__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06201__A (.DIODE(_01482_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06112__A (.DIODE(\u_pinmux_reg.cfg_timer0[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06202__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06118__A (.DIODE(\u_pinmux_reg.cfg_timer1[17] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06202__A1 (.DIODE(_02180_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06118__B (.DIODE(\u_pinmux_reg.cfg_timer1[18] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06205__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06120__A1 (.DIODE(_01785_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06120__A2 (.DIODE(_01786_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06120__A3 (.DIODE(_01787_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06120__B1 (.DIODE(\u_pinmux_reg.cfg_timer1[18] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06121__A1 (.DIODE(_01969_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06122__A (.DIODE(_02103_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06127__A1 (.DIODE(_01935_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06128__A1 (.DIODE(_01534_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06128__A2 (.DIODE(_01854_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06128__B1 (.DIODE(_02109_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06135__A (.DIODE(_02103_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06143__A1 (.DIODE(_01790_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06143__A2 (.DIODE(_02122_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06143__A3 (.DIODE(_01534_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06143__B1 (.DIODE(_02109_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06145__S (.DIODE(_02124_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06160__S (.DIODE(_02124_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06163__A1 (.DIODE(\u_pinmux_reg.cfg_timer1[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06163__S (.DIODE(_02124_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06173__A1 (.DIODE(\u_pinmux_reg.cfg_timer1[8] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06175__D (.DIODE(_02103_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06177__A1 (.DIODE(\u_pinmux_reg.cfg_timer1[9] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06180__B1 (.DIODE(\u_pinmux_reg.cfg_timer1[10] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06185__A1 (.DIODE(\u_pinmux_reg.cfg_timer1[11] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06185__S (.DIODE(_02124_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06189__A1 (.DIODE(_01531_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06189__A3 (.DIODE(_02109_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06190__A1 (.DIODE(\u_pinmux_reg.cfg_timer1[12] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06195__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[13] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06199__A1 (.DIODE(\u_pinmux_reg.cfg_timer1[14] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06202__A (.DIODE(_01533_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06203__A (.DIODE(_02169_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06205__A1 (.DIODE(_01790_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06205__A2 (.DIODE(_01368_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06205__A3 (.DIODE(_02170_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06207__A1 (.DIODE(\u_pinmux_reg.cfg_timer1[15] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06208__A (.DIODE(_01396_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06210__A (.DIODE(_02175_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06211__A (.DIODE(_02176_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06212__A (.DIODE(_01630_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06212__C (.DIODE(_02177_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06213__A (.DIODE(_02178_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06214__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[8] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06216__A (.DIODE(_01410_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06217__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[9] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06219__A (.DIODE(_01413_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06220__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[10] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06222__A (.DIODE(_01416_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06223__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[11] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06225__A (.DIODE(_01419_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06226__A (.DIODE(_02178_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06227__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[12] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06229__A (.DIODE(_01423_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06230__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[13] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06232__A (.DIODE(_01426_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06233__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[14] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06235__A (.DIODE(_01429_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06236__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[15] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06236__A1 (.DIODE(_02194_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06238__A (.DIODE(_01479_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06240__A (.DIODE(_01532_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06240__B (.DIODE(_02197_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06240__C (.DIODE(_02177_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06241__A (.DIODE(_02198_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06242__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06242__A1 (.DIODE(_02196_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06242__S (.DIODE(_02199_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06244__A (.DIODE(_01485_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06245__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06245__S (.DIODE(_02199_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06247__A (.DIODE(_01488_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06248__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06248__A1 (.DIODE(_02203_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06248__S (.DIODE(_02199_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06250__A (.DIODE(_01491_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06251__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06251__A1 (.DIODE(_02205_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06251__S (.DIODE(_02199_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06253__A (.DIODE(_02198_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06254__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06254__S (.DIODE(_02207_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06256__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06256__S (.DIODE(_02207_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06258__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06205__A1 (.DIODE(_02182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06258__S (.DIODE(_02207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06208__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06260__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06210__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06260__S (.DIODE(_02207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06210__A1 (.DIODE(_01540_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06262__A (.DIODE(_02175_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06212__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06263__A (.DIODE(_02212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06212__A1 (.DIODE(_01543_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06264__B (.DIODE(_02197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06214__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06264__C (.DIODE(_02213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06217__A (.DIODE(_01714_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06265__A (.DIODE(_02214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06218__A (.DIODE(_02190_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06266__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06219__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06266__A1 (.DIODE(_01655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06221__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06268__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06223__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06268__A1 (.DIODE(_01659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06223__A1 (.DIODE(_01652_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06270__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06225__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06270__A1 (.DIODE(_01661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06225__A1 (.DIODE(_01654_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06272__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06227__A (.DIODE(_02190_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06272__A1 (.DIODE(_01663_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06228__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06274__A (.DIODE(_02214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06228__A1 (.DIODE(_01656_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06275__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06230__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06275__A1 (.DIODE(_01665_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06230__A1 (.DIODE(_01659_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06277__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06232__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06277__A1 (.DIODE(_01668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06232__A1 (.DIODE(_01661_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06279__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06234__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06279__A1 (.DIODE(_01670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06234__A1 (.DIODE(_01663_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06281__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06238__A (.DIODE(_02202_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06281__A1 (.DIODE(_01672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06239__A (.DIODE(_02203_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06286__A (.DIODE(_02227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06240__C (.DIODE(_02204_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06287__A (.DIODE(_02228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06242__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06288__A (.DIODE(_01630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06242__A1 (.DIODE(_02151_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06288__B (.DIODE(_02197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06244__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06288__C (.DIODE(_02229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06244__A1 (.DIODE(_02159_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06289__A (.DIODE(_02230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06246__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06290__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06246__A1 (.DIODE(_02161_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06292__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06248__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06294__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06248__A1 (.DIODE(_02163_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06296__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06251__A1 (.DIODE(_02165_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06298__A (.DIODE(_02230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06253__A1 (.DIODE(_02168_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06299__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06255__A1 (.DIODE(_02170_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06301__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06257__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06303__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06257__A1 (.DIODE(_02172_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06305__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06259__A (.DIODE(_01316_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06305__A1 (.DIODE(_02194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06260__A (.DIODE(_02203_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06308__A (.DIODE(_02241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06261__A (.DIODE(_02216_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06308__B (.DIODE(_02197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06261__C (.DIODE(_02217_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06308__C (.DIODE(_02229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06262__A (.DIODE(_02218_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06310__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06263__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06310__A1 (.DIODE(_02196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06263__A1 (.DIODE(_02174_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06312__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06265__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06314__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06265__A1 (.DIODE(_02178_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06314__A1 (.DIODE(_02203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06267__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06316__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06267__A1 (.DIODE(_02180_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06316__A1 (.DIODE(_02205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06269__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06318__A (.DIODE(_01541_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06269__A1 (.DIODE(_02182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06320__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06271__A (.DIODE(_01535_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06320__A1 (.DIODE(_02248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06272__A (.DIODE(_02218_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06322__A (.DIODE(_01545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06273__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06323__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06276__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06323__A1 (.DIODE(_02251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06279__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06325__A (.DIODE(_01548_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06281__A (.DIODE(_01545_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06326__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06282__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06326__A1 (.DIODE(_02253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06284__A (.DIODE(_01714_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06329__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06284__C (.DIODE(_02217_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06329__A1 (.DIODE(_02255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06285__A (.DIODE(_02233_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06332__B (.DIODE(_02257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06286__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06332__C (.DIODE(_02229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06288__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06333__A (.DIODE(_02258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06290__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06334__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06290__A1 (.DIODE(_01652_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06334__A1 (.DIODE(_01655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06292__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06336__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06292__A1 (.DIODE(_01654_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06336__A1 (.DIODE(_01659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06294__A (.DIODE(_02233_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06338__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06295__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06338__A1 (.DIODE(_01661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06295__A1 (.DIODE(_01656_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06340__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06297__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06340__A1 (.DIODE(_01663_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06297__A1 (.DIODE(_01659_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06342__A (.DIODE(_02258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06299__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06343__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06299__A1 (.DIODE(_01661_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06343__A1 (.DIODE(_01665_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06301__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06345__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06301__A1 (.DIODE(_01663_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06345__A1 (.DIODE(_01668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06303__A (.DIODE(_01361_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06347__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06304__A (.DIODE(_01514_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06347__A1 (.DIODE(_01670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06307__A (.DIODE(_02247_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06349__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06308__A (.DIODE(_02248_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06349__A1 (.DIODE(_01672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06309__A (.DIODE(_02249_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06354__A (.DIODE(_02271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06310__C (.DIODE(_02250_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06356__A (.DIODE(_02269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06311__A (.DIODE(_02251_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06356__B (.DIODE(_02257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06312__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06356__C (.DIODE(_02273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06312__A1 (.DIODE(_02151_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06357__A (.DIODE(_02274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06314__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06358__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06314__A1 (.DIODE(_02159_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06360__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06316__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06362__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06316__A1 (.DIODE(_02161_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06364__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06318__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06366__A (.DIODE(_02274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06318__A1 (.DIODE(_02163_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06367__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06320__A (.DIODE(_02251_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06369__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06321__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06371__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06321__A1 (.DIODE(_02165_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06373__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06323__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06373__A1 (.DIODE(_02194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06323__A1 (.DIODE(_02168_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06375__A (.DIODE(_02241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06325__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06375__B (.DIODE(_02257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06325__A1 (.DIODE(_02170_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06375__C (.DIODE(_02273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06327__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06376__A (.DIODE(_02285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06327__A1 (.DIODE(_02172_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06377__A1 (.DIODE(_02196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06329__A (.DIODE(_02249_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06379__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06330__A (.DIODE(_02216_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06381__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06330__C (.DIODE(_02262_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06381__A1 (.DIODE(_02203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06331__A (.DIODE(_02263_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06383__A1 (.DIODE(_02205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06332__A1 (.DIODE(_02174_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06385__A (.DIODE(_02285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06334__A1 (.DIODE(_02178_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06386__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06336__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06386__A1 (.DIODE(_02248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06336__A1 (.DIODE(_02180_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06388__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06338__A1 (.DIODE(_02182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06388__A1 (.DIODE(_02251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06340__A (.DIODE(_02263_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06390__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06341__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06390__A1 (.DIODE(_02253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06343__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06392__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06345__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06392__A1 (.DIODE(_02255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06347__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06394__A (.DIODE(_01274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06349__A (.DIODE(_01270_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06395__B (.DIODE(_02257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06350__A (.DIODE(_01714_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06395__C (.DIODE(_02273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06350__C (.DIODE(_02262_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06396__A (.DIODE(_02297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06352__A1 (.DIODE(_02274_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06397__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06354__A (.DIODE(_01292_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06397__A1 (.DIODE(_02296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06355__A1 (.DIODE(_02278_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06399__A (.DIODE(_01296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06358__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06400__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06358__A1 (.DIODE(_02280_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06400__A1 (.DIODE(_02300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06360__A (.DIODE(_01298_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06402__A (.DIODE(_01299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06361__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06403__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06361__A1 (.DIODE(_02282_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06403__A1 (.DIODE(_02302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06365__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06405__A (.DIODE(_01302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06365__A1 (.DIODE(_02284_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06406__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06368__A1 (.DIODE(_02287_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06406__A1 (.DIODE(_02304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06371__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06408__A (.DIODE(_01305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06371__A1 (.DIODE(_02289_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06409__A (.DIODE(_02297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06374__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06410__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06374__A1 (.DIODE(_02291_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06410__A1 (.DIODE(_02306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06377__A (.DIODE(_01278_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06412__A (.DIODE(_01309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06377__C (.DIODE(_02293_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06413__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06378__B (.DIODE(_02294_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06413__A1 (.DIODE(_02309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06380__A0 (.DIODE(_01360_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06415__A (.DIODE(_01312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06380__A1 (.DIODE(\u_pinmux_reg.reg_2[24] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06416__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06382__A1 (.DIODE(\u_pinmux_reg.reg_2[25] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06416__A1 (.DIODE(_02311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06384__A0 (.DIODE(_01380_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06418__A (.DIODE(_01315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06384__A1 (.DIODE(\u_pinmux_reg.reg_2[26] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06419__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06386__A0 (.DIODE(_01382_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06419__A1 (.DIODE(_02313_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06386__A1 (.DIODE(\u_pinmux_reg.reg_2[27] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06422__B (.DIODE(_02315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06389__A1 (.DIODE(\u_pinmux_reg.reg_2[28] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06423__A (.DIODE(_02316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06391__A1 (.DIODE(\u_pinmux_reg.reg_2[29] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06424__A1 (.DIODE(\u_pinmux_reg.reg_2[24] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06393__A1 (.DIODE(\u_pinmux_reg.reg_2[30] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06426__A1 (.DIODE(\u_pinmux_reg.reg_2[25] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06395__A1 (.DIODE(\u_pinmux_reg.reg_2[31] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06428__A1 (.DIODE(\u_pinmux_reg.reg_2[26] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06397__B (.DIODE(_02294_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06430__A1 (.DIODE(\u_pinmux_reg.reg_2[27] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06398__A (.DIODE(_02306_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06432__A (.DIODE(_02316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06399__A0 (.DIODE(_01750_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06433__A1 (.DIODE(\u_pinmux_reg.reg_2[28] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06399__A1 (.DIODE(\u_pinmux_reg.reg_2[16] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06435__A1 (.DIODE(\u_pinmux_reg.reg_2[29] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06401__A0 (.DIODE(_01754_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06437__A1 (.DIODE(\u_pinmux_reg.reg_2[30] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06401__A1 (.DIODE(\u_pinmux_reg.reg_2[17] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06439__A1 (.DIODE(\u_pinmux_reg.reg_2[31] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06403__A1 (.DIODE(\u_pinmux_reg.reg_2[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06441__B (.DIODE(_02315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06405__A0 (.DIODE(_01758_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06442__A (.DIODE(_02327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06405__A1 (.DIODE(\u_pinmux_reg.reg_2[19] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06443__A1 (.DIODE(\u_pinmux_reg.reg_2[16] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06407__A (.DIODE(_02306_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06445__A0 (.DIODE(_01764_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06408__A1 (.DIODE(\u_pinmux_reg.reg_2[20] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06445__A1 (.DIODE(\u_pinmux_reg.reg_2[17] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06410__A1 (.DIODE(\u_pinmux_reg.reg_2[21] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06447__A0 (.DIODE(_01766_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06412__A1 (.DIODE(\u_pinmux_reg.reg_2[22] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06447__A1 (.DIODE(\u_pinmux_reg.reg_2[18] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06414__A1 (.DIODE(\u_pinmux_reg.reg_2[23] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06449__A1 (.DIODE(\u_pinmux_reg.reg_2[19] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06416__A (.DIODE(_01273_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06451__A (.DIODE(_02327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06416__B (.DIODE(_02294_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06452__A1 (.DIODE(\u_pinmux_reg.reg_2[20] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06417__A (.DIODE(_02317_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06452__S (.DIODE(_02333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06418__A1 (.DIODE(\u_pinmux_reg.cfg_pulse_1us[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06454__S (.DIODE(_02333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06420__A1 (.DIODE(\u_pinmux_reg.cfg_pulse_1us[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06456__A1 (.DIODE(\u_pinmux_reg.reg_2[22] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06422__A1 (.DIODE(\u_pinmux_reg.reg_2[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06456__S (.DIODE(_02333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06424__A1 (.DIODE(\u_pinmux_reg.reg_2[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06458__A1 (.DIODE(\u_pinmux_reg.reg_2[23] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06426__A (.DIODE(_02317_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06458__S (.DIODE(_02333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06427__A0 (.DIODE(_01705_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06460__B (.DIODE(_02315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06429__A0 (.DIODE(_01708_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06462__A0 (.DIODE(_01706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06433__A0 (.DIODE(_01712_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06462__A1 (.DIODE(\u_pinmux_reg.cfg_pulse_1us[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06438__A (.DIODE(_02330_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06462__S (.DIODE(_02339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06439__C (.DIODE(_02331_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06464__A0 (.DIODE(_01710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06440__A (.DIODE(_02332_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06464__A1 (.DIODE(\u_pinmux_reg.cfg_pulse_1us[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06441__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06464__S (.DIODE(_02339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06441__A1 (.DIODE(_02151_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06466__A0 (.DIODE(_01712_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06443__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06466__A1 (.DIODE(\u_pinmux_reg.reg_2[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06443__A1 (.DIODE(_02159_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06466__S (.DIODE(_02339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06445__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06468__S (.DIODE(_02339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06445__A1 (.DIODE(_02161_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06471__A0 (.DIODE(_01716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06447__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06471__S (.DIODE(_02344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06447__A1 (.DIODE(_02163_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06473__A0 (.DIODE(_01719_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06449__A (.DIODE(_02332_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06473__S (.DIODE(_02344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06450__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06475__A0 (.DIODE(_01721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06450__A1 (.DIODE(_02165_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06475__S (.DIODE(_02344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06452__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06477__A0 (.DIODE(_01723_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06452__A1 (.DIODE(_02168_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06477__S (.DIODE(_02344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06454__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06483__A (.DIODE(_02352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06454__A1 (.DIODE(_02170_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06484__A (.DIODE(_02353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06456__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06485__A (.DIODE(_02269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06456__A1 (.DIODE(_02172_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06485__B (.DIODE(_02349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06459__A (.DIODE(_02216_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06485__C (.DIODE(_02354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06459__C (.DIODE(_02331_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06486__A (.DIODE(_02355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06461__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06487__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06461__A1 (.DIODE(_02174_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06489__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06463__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06491__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06463__A1 (.DIODE(_02178_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06493__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06465__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06495__A (.DIODE(_02355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06465__A1 (.DIODE(_02180_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06496__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06467__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06498__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06467__A1 (.DIODE(_02182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06500__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06470__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06502__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06472__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06502__A1 (.DIODE(_02194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06474__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06504__A (.DIODE(_02241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06476__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06504__B (.DIODE(_02349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06480__A (.DIODE(_02355_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06504__C (.DIODE(_02354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06480__C (.DIODE(_02356_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06506__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06482__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06506__A1 (.DIODE(_02196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06482__A1 (.DIODE(_02274_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06508__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06484__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06510__A1 (.DIODE(_02203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06484__A1 (.DIODE(_02278_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06512__A1 (.DIODE(_02205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06486__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06515__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06486__A1 (.DIODE(_02280_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06515__A1 (.DIODE(_02248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06488__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06517__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06488__A1 (.DIODE(_02282_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06517__A1 (.DIODE(_02251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06491__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06519__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06491__A1 (.DIODE(_02284_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06519__A1 (.DIODE(_02253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06493__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06521__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06493__A1 (.DIODE(_02287_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06521__A1 (.DIODE(_02255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06495__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06523__A (.DIODE(_01276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06495__A1 (.DIODE(_02289_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06524__A (.DIODE(_02352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06497__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06525__A (.DIODE(_02377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06497__A1 (.DIODE(_02291_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06525__B (.DIODE(_02349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06499__A (.DIODE(_01393_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06525__C (.DIODE(_02378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06502__A (.DIODE(_02370_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06526__A (.DIODE(_02379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06503__C (.DIODE(_02371_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06527__A1 (.DIODE(_02296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06504__A (.DIODE(_02372_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06529__A1 (.DIODE(_02300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06505__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06531__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06505__A1 (.DIODE(_02368_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06531__A1 (.DIODE(_02302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06507__A (.DIODE(_01405_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06533__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06508__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06533__A1 (.DIODE(_02304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06508__A1 (.DIODE(_02375_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06535__A (.DIODE(_02379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06510__A (.DIODE(_01408_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06536__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06511__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06536__A1 (.DIODE(_02306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06511__A1 (.DIODE(_02377_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06538__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06513__A (.DIODE(_01411_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06538__A1 (.DIODE(_02309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06514__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06540__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06514__A1 (.DIODE(_02379_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06540__A1 (.DIODE(_02311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06517__A (.DIODE(_02372_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06542__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06518__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06542__A1 (.DIODE(_02313_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06518__A1 (.DIODE(_02381_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06544__A (.DIODE(_01396_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06520__A (.DIODE(_01418_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06547__A (.DIODE(_02392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06521__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06548__A (.DIODE(_02269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06521__A1 (.DIODE(_02384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06548__B (.DIODE(_02349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06524__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06548__C (.DIODE(_02393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06524__A1 (.DIODE(_02386_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06549__A (.DIODE(_02394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06526__A (.DIODE(_01424_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06550__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06527__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06552__A (.DIODE(_01410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06527__A1 (.DIODE(_02388_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06553__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06530__A (.DIODE(_02216_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06555__A (.DIODE(_01413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06530__C (.DIODE(_02371_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06556__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06531__A (.DIODE(_02391_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06556__A1 (.DIODE(_02399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06532__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06558__A (.DIODE(_01416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06535__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06559__A1 (.DIODE(_02401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06537__A (.DIODE(_01324_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06561__A (.DIODE(_01419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06538__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06562__A (.DIODE(_02394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06538__A1 (.DIODE(_02396_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06563__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06540__A (.DIODE(_01327_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06563__A1 (.DIODE(_02403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06541__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06565__A (.DIODE(_01423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06543__A (.DIODE(_02391_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06566__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06544__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06566__A1 (.DIODE(_02406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06546__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06568__A (.DIODE(_01426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06548__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06569__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06550__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06569__A1 (.DIODE(_02408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06553__A (.DIODE(_02355_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06571__A (.DIODE(_01429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06553__B (.DIODE(_02405_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06572__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06553__C (.DIODE(_02371_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06572__A1 (.DIODE(_02410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06555__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06575__A (.DIODE(_01279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06555__A1 (.DIODE(_02274_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06577__A (.DIODE(_02241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06557__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06577__B (.DIODE(_02414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06557__A1 (.DIODE(_02278_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06577__C (.DIODE(_02393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06559__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06579__A1 (.DIODE(_02412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06559__A1 (.DIODE(_02280_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06582__A1 (.DIODE(_02418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06561__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06584__A (.DIODE(_01328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06561__A1 (.DIODE(_02282_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06585__A1 (.DIODE(_02420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06564__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06587__A (.DIODE(_01331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06564__A1 (.DIODE(_02284_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06588__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06566__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06591__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06566__A1 (.DIODE(_02287_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06591__A1 (.DIODE(_02248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06568__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06593__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06568__A1 (.DIODE(_02289_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06593__A1 (.DIODE(_02251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06570__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06595__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06570__A1 (.DIODE(_02291_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06595__A1 (.DIODE(_02253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06573__A (.DIODE(_02417_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06597__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06574__A (.DIODE(_02418_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06597__A1 (.DIODE(_02255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06575__B (.DIODE(_02405_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06600__A (.DIODE(_02429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06575__C (.DIODE(_02419_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06601__A (.DIODE(_02377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06576__A (.DIODE(_02420_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06601__B (.DIODE(_02414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06577__A0 (.DIODE(\u_pinmux_reg.reg_22[24] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06601__C (.DIODE(_02430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06577__A1 (.DIODE(_02368_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06602__A (.DIODE(_02431_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06579__A0 (.DIODE(\u_pinmux_reg.reg_22[25] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06603__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06579__A1 (.DIODE(_02375_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06603__A1 (.DIODE(_02296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06581__A0 (.DIODE(\u_pinmux_reg.reg_22[26] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06605__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06581__A1 (.DIODE(_02377_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06605__A1 (.DIODE(_02300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06583__A0 (.DIODE(\u_pinmux_reg.reg_22[27] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06607__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06583__A1 (.DIODE(_02379_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06607__A1 (.DIODE(_02302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06585__A (.DIODE(_02420_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06609__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06586__A1 (.DIODE(_02381_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06609__A1 (.DIODE(_02304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06586__S (.DIODE(_02426_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06611__A (.DIODE(_02431_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06588__A1 (.DIODE(_02384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06612__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06588__S (.DIODE(_02426_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06612__A1 (.DIODE(_02306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06590__A1 (.DIODE(_02386_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06614__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06590__S (.DIODE(_02426_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06614__A1 (.DIODE(_02309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06592__A1 (.DIODE(_02388_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06616__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06592__S (.DIODE(_02426_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06616__A1 (.DIODE(_02311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06594__A (.DIODE(_01316_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06618__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06595__B (.DIODE(_02405_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06618__A1 (.DIODE(_02313_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06595__C (.DIODE(_02419_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06622__A (.DIODE(_02443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06601__A0 (.DIODE(\u_pinmux_reg.reg_22[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06623__A (.DIODE(_02269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06601__A1 (.DIODE(_02396_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06623__B (.DIODE(_02414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06605__A (.DIODE(_01535_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06623__C (.DIODE(_02444_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06607__A0 (.DIODE(\u_pinmux_reg.reg_22[20] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06625__S (.DIODE(_02446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06615__A (.DIODE(_01545_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06627__S (.DIODE(_02446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06618__A (.DIODE(_02355_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06629__A1 (.DIODE(_02399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06618__B (.DIODE(_02405_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06629__S (.DIODE(_02446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06618__C (.DIODE(_02419_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06631__A1 (.DIODE(_02401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06620__A1 (.DIODE(_02274_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06631__S (.DIODE(_02446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06620__S (.DIODE(_02448_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06634__A1 (.DIODE(_02403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06622__A1 (.DIODE(_02278_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06634__S (.DIODE(_02451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06622__S (.DIODE(_02448_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06636__A1 (.DIODE(_02406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06624__A1 (.DIODE(_02280_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06636__S (.DIODE(_02451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06624__S (.DIODE(_02448_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06638__A1 (.DIODE(_02408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06626__A1 (.DIODE(_02282_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06638__S (.DIODE(_02451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06626__S (.DIODE(_02448_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06640__A1 (.DIODE(_02410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06629__A1 (.DIODE(_02284_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06640__S (.DIODE(_02451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06629__S (.DIODE(_02453_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06643__A (.DIODE(_02443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06631__A1 (.DIODE(_02287_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06644__B (.DIODE(_02414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06631__S (.DIODE(_02453_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06644__C (.DIODE(_02457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06633__A1 (.DIODE(_02289_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06645__A (.DIODE(_02458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06633__S (.DIODE(_02453_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06646__A1 (.DIODE(_02412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06635__A1 (.DIODE(_02291_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06646__S (.DIODE(_02459_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06635__S (.DIODE(_02453_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06648__A1 (.DIODE(_02418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06637__A (.DIODE(_01361_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06648__S (.DIODE(_02459_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06640__A (.DIODE(_02460_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06650__A1 (.DIODE(_02420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06642__C (.DIODE(_02462_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06650__S (.DIODE(_02459_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06643__A (.DIODE(_02463_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06652__S (.DIODE(_02459_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06644__A1 (.DIODE(_02368_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06654__A (.DIODE(_01541_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06646__A1 (.DIODE(_02375_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06655__A (.DIODE(_02458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06648__A1 (.DIODE(_02377_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06656__S (.DIODE(_02465_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06650__A1 (.DIODE(_02379_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06658__A (.DIODE(_01545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06652__A (.DIODE(_02463_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06659__S (.DIODE(_02465_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06653__A1 (.DIODE(_02381_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06661__A (.DIODE(_01548_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06655__A1 (.DIODE(_02384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06662__S (.DIODE(_02465_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06657__A1 (.DIODE(_02386_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06665__S (.DIODE(_02465_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06659__A1 (.DIODE(_02388_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06668__A (.DIODE(_02377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06661__C (.DIODE(_02462_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06668__B (.DIODE(_02473_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06663__A0 (.DIODE(\u_pinmux_reg.reg_23[16] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06668__C (.DIODE(_02457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06663__S (.DIODE(_02475_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06669__A (.DIODE(_02474_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06665__A0 (.DIODE(\u_pinmux_reg.reg_23[17] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06670__A1 (.DIODE(_02296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06665__S (.DIODE(_02475_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06672__A1 (.DIODE(_02300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06667__A0 (.DIODE(\u_pinmux_reg.reg_23[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06674__A1 (.DIODE(_02302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06667__A1 (.DIODE(_02396_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06676__A1 (.DIODE(_02304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06667__S (.DIODE(_02475_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06678__A (.DIODE(_02474_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06669__S (.DIODE(_02475_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06679__A1 (.DIODE(_02306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06680__A (.DIODE(_01270_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06681__A1 (.DIODE(_02309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06682__A (.DIODE(_02355_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06683__A1 (.DIODE(_02311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06682__C (.DIODE(_02486_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06685__A1 (.DIODE(_02313_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06683__A (.DIODE(_02487_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06690__A (.DIODE(_02487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06684__A1 (.DIODE(_02485_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06691__B (.DIODE(_02473_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06686__A (.DIODE(_01292_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06691__C (.DIODE(_02488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06687__A1 (.DIODE(_02490_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06693__S (.DIODE(_02490_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06690__A1 (.DIODE(_02492_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06695__S (.DIODE(_02490_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06692__A (.DIODE(_01298_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06697__A1 (.DIODE(_02399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06693__A1 (.DIODE(_02494_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06697__S (.DIODE(_02490_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06696__A (.DIODE(_02487_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06699__A1 (.DIODE(_02401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06697__A1 (.DIODE(_02496_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06699__S (.DIODE(_02490_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06700__A1 (.DIODE(_02499_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06702__A1 (.DIODE(_02403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06703__A1 (.DIODE(_02501_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06704__A1 (.DIODE(_02406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06706__A1 (.DIODE(_02503_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06706__A1 (.DIODE(_02408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06709__A (.DIODE(_02505_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06708__A1 (.DIODE(_02410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06710__A (.DIODE(_02506_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06710__B (.DIODE(_02473_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06711__C (.DIODE(_02507_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06710__C (.DIODE(_02488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06712__A (.DIODE(_02508_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06711__A (.DIODE(_02500_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06713__A1 (.DIODE(_02368_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06712__A1 (.DIODE(_02412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06715__A0 (.DIODE(\u_pinmux_reg.reg_24[25] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06714__A1 (.DIODE(_02418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06715__A1 (.DIODE(_02375_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06716__A1 (.DIODE(_02420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06717__A1 (.DIODE(_02377_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06720__A (.DIODE(_02500_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06719__A1 (.DIODE(_02379_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06721__S (.DIODE(_02506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06721__A (.DIODE(_02508_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06723__S (.DIODE(_02506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06722__A1 (.DIODE(_02381_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06725__S (.DIODE(_02506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06724__A1 (.DIODE(_02384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06727__S (.DIODE(_02506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06726__A1 (.DIODE(_02386_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06729__A (.DIODE(_01274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06728__A0 (.DIODE(\u_pinmux_reg.reg_24[31] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06730__A (.DIODE(_02377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06728__A1 (.DIODE(_02388_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06730__B (.DIODE(_02473_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06730__A (.DIODE(_01514_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06730__C (.DIODE(_02488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06731__A (.DIODE(_02519_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06731__A (.DIODE(_02512_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06732__B (.DIODE(_02520_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06732__A0 (.DIODE(\u_pinmux_reg.reg_23[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06732__C (.DIODE(_02507_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06734__A (.DIODE(_01296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06738__A0 (.DIODE(\u_pinmux_reg.reg_24[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06735__A0 (.DIODE(\u_pinmux_reg.reg_23[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06738__A1 (.DIODE(_02396_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06737__A (.DIODE(_01299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06745__A0 (.DIODE(\u_pinmux_reg.reg_24[21] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06738__A0 (.DIODE(\u_pinmux_reg.reg_23[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06747__A0 (.DIODE(\u_pinmux_reg.reg_24[22] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06740__A (.DIODE(_01302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06749__A0 (.DIODE(\u_pinmux_reg.reg_24[23] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06741__A0 (.DIODE(\u_pinmux_reg.reg_23[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06751__A (.DIODE(net43),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06743__A (.DIODE(_01305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06752__B (.DIODE(_02520_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06744__A (.DIODE(_02512_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06752__C (.DIODE(_02507_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06747__A (.DIODE(_01309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06753__A (.DIODE(_02533_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06750__A (.DIODE(_01312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06754__A1 (.DIODE(_02485_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06753__A (.DIODE(_01315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06756__A1 (.DIODE(_02490_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06760__B (.DIODE(_02530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06758__A1 (.DIODE(_02492_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06760__C (.DIODE(_02533_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06760__A1 (.DIODE(_02494_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06762__S (.DIODE(_02535_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06762__A (.DIODE(_02533_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06764__S (.DIODE(_02535_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06763__A1 (.DIODE(_02496_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06766__A1 (.DIODE(_02399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06765__A1 (.DIODE(_02499_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06766__S (.DIODE(_02535_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06767__A1 (.DIODE(_02501_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06768__A1 (.DIODE(_02401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06769__A1 (.DIODE(_02503_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06768__S (.DIODE(_02535_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06771__A (.DIODE(_01393_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06771__A1 (.DIODE(_02403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06774__A (.DIODE(_02546_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06773__A1 (.DIODE(_02406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06775__B (.DIODE(_02520_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06775__A1 (.DIODE(_02408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06775__C (.DIODE(_02547_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06777__A1 (.DIODE(_02410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06776__A (.DIODE(_02548_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06779__B (.DIODE(_02530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06779__A (.DIODE(_01405_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06779__C (.DIODE(_02533_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06780__A0 (.DIODE(\u_pinmux_reg.reg_25[25] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06780__A (.DIODE(_02545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06782__A (.DIODE(_01408_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06781__A1 (.DIODE(_02412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06783__A0 (.DIODE(\u_pinmux_reg.reg_25[26] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06781__S (.DIODE(_02546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06785__A (.DIODE(_01411_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06783__A1 (.DIODE(_02418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06786__A0 (.DIODE(\u_pinmux_reg.reg_25[27] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06783__S (.DIODE(_02546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06789__A (.DIODE(_02548_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06785__A1 (.DIODE(_02420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06792__A (.DIODE(_01418_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06785__S (.DIODE(_02546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06798__A (.DIODE(_01424_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06787__S (.DIODE(_02546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06799__A0 (.DIODE(\u_pinmux_reg.reg_25[31] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06789__A (.DIODE(_02545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06802__B (.DIODE(_02520_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06790__S (.DIODE(_02551_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06802__C (.DIODE(_02547_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06792__S (.DIODE(_02551_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06804__A1 (.DIODE(_02566_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06794__S (.DIODE(_02551_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06807__A1 (.DIODE(_02570_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06796__S (.DIODE(_02551_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06809__A (.DIODE(_01324_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06798__A (.DIODE(net44),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06810__A0 (.DIODE(\u_pinmux_reg.reg_25[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06799__A (.DIODE(_02556_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06810__A1 (.DIODE(_02572_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06799__B (.DIODE(_02530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06812__A (.DIODE(_01327_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06799__C (.DIODE(_02533_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06816__A0 (.DIODE(\u_pinmux_reg.reg_25[20] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06800__A (.DIODE(_02557_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06824__A (.DIODE(net44),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06809__A (.DIODE(_02557_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06825__A (.DIODE(_02519_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06810__A0 (.DIODE(\u_pinmux_reg.reg_24[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06827__A (.DIODE(_02583_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06814__A0 (.DIODE(\u_pinmux_reg.reg_24[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06828__A (.DIODE(_02584_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06818__A (.DIODE(_01396_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06829__A (.DIODE(_02581_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06819__B (.DIODE(_01857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06829__C (.DIODE(_02585_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06820__A (.DIODE(_02569_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06831__A1 (.DIODE(_02566_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06821__A (.DIODE(_02570_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06833__A1 (.DIODE(_02570_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06822__B (.DIODE(_02530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06835__A0 (.DIODE(\u_pinmux_reg.reg_26[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06822__C (.DIODE(_02571_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06835__A1 (.DIODE(_02572_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06823__A (.DIODE(_02572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06839__A (.DIODE(_01535_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06824__A1 (.DIODE(_02568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06841__A1 (.DIODE(_02592_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06826__A (.DIODE(_01410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06849__A (.DIODE(_01545_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06827__A1 (.DIODE(_02575_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06852__C (.DIODE(_02585_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06829__A (.DIODE(_01413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06853__A (.DIODE(_02601_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06830__A1 (.DIODE(_02577_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06856__A0 (.DIODE(\u_pinmux_reg.reg_26[25] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06832__A (.DIODE(_01416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06858__A0 (.DIODE(\u_pinmux_reg.reg_26[26] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06833__A1 (.DIODE(_02579_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06862__A (.DIODE(_02601_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06835__A (.DIODE(_01419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06869__A0 (.DIODE(\u_pinmux_reg.reg_26[31] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06836__A (.DIODE(_02572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06871__C (.DIODE(_02547_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06837__A0 (.DIODE(\u_pinmux_reg.reg_25[28] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06872__A (.DIODE(_02612_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06839__A (.DIODE(_01423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06873__A1 (.DIODE(_02485_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06842__A (.DIODE(_01426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06875__A1 (.DIODE(_02490_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06845__A (.DIODE(_01429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06877__A1 (.DIODE(_02492_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06846__A0 (.DIODE(\u_pinmux_reg.reg_25[31] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06879__A1 (.DIODE(_02494_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06850__A (.DIODE(_02569_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06881__A (.DIODE(_02612_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06851__B (.DIODE(_02591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06882__A1 (.DIODE(_02496_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06851__C (.DIODE(_02592_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06884__A1 (.DIODE(_02499_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06852__A (.DIODE(_02593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06886__A1 (.DIODE(_02501_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06858__A (.DIODE(_01328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06888__A1 (.DIODE(_02503_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06861__A (.DIODE(_01331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06890__A (.DIODE(_01361_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06864__A (.DIODE(_02593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06891__C (.DIODE(_01435_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06865__S (.DIODE(_02602_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06892__A (.DIODE(_02624_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06867__S (.DIODE(_02602_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06895__A0 (.DIODE(\u_pinmux_reg.reg_27[25] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06869__S (.DIODE(_02602_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06901__A (.DIODE(_02624_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06871__S (.DIODE(_02602_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06902__A0 (.DIODE(\u_pinmux_reg.reg_27[28] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06873__A (.DIODE(net45),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06908__A0 (.DIODE(\u_pinmux_reg.reg_27[31] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06875__A (.DIODE(_02608_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06910__A (.DIODE(_02519_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06877__B (.DIODE(_02591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06911__C (.DIODE(_02585_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06877__C (.DIODE(_02610_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06912__A (.DIODE(_02636_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06878__A (.DIODE(_02611_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06913__A1 (.DIODE(_02485_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06887__A (.DIODE(_01541_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06915__A1 (.DIODE(_02490_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06888__A (.DIODE(_02611_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06917__A1 (.DIODE(_02492_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06889__S (.DIODE(_02618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06919__A1 (.DIODE(_02494_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06891__A (.DIODE(_01545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06921__A (.DIODE(_02636_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06892__S (.DIODE(_02618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06922__A1 (.DIODE(_02496_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06894__A (.DIODE(_01548_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06924__A1 (.DIODE(_02499_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06895__S (.DIODE(_02618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06926__A1 (.DIODE(_02501_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06898__S (.DIODE(_02618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06928__A1 (.DIODE(_02503_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06900__B (.DIODE(_02591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06930__A (.DIODE(_01200_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06900__C (.DIODE(_02610_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06930__B (.DIODE(_01923_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06901__A (.DIODE(_02626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06947__B (.DIODE(_02663_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06902__A1 (.DIODE(_02568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06950__B1 (.DIODE(_01229_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06904__A1 (.DIODE(_02575_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06964__A (.DIODE(_02020_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06906__A1 (.DIODE(_02577_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06965__A (.DIODE(_02020_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06908__A1 (.DIODE(_02579_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06968__A (.DIODE(_02020_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06910__A (.DIODE(_02626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06970__A (.DIODE(_01774_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06911__A0 (.DIODE(\u_pinmux_reg.reg_26[28] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06972__A (.DIODE(_01771_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06913__A0 (.DIODE(\u_pinmux_reg.reg_26[29] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06993__B (.DIODE(_02700_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06915__A0 (.DIODE(\u_pinmux_reg.reg_26[30] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06994__B2 (.DIODE(_02700_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06917__A0 (.DIODE(\u_pinmux_reg.reg_26[31] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06996__A (.DIODE(_01923_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06919__A (.DIODE(_02556_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06998__A1 (.DIODE(_02703_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06919__B (.DIODE(_02591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07000__A (.DIODE(_02705_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06919__C (.DIODE(_02592_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07002__A (.DIODE(_02581_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06920__A (.DIODE(_02637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07002__C (.DIODE(_02707_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06921__A0 (.DIODE(\u_pinmux_reg.reg_25[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07003__A (.DIODE(_02708_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06929__A (.DIODE(_02637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07004__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06939__A (.DIODE(_01279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07004__A1 (.DIODE(_02566_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06940__A (.DIODE(_02649_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07006__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06941__A (.DIODE(_02648_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07006__A1 (.DIODE(_02570_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06941__B (.DIODE(_02650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07008__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06941__C (.DIODE(_01439_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07008__A1 (.DIODE(_02572_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06942__A (.DIODE(_02651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07010__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06943__A1 (.DIODE(_02568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07012__A (.DIODE(_02708_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06945__A0 (.DIODE(\u_pinmux_reg.reg_27[25] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07013__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06945__A1 (.DIODE(_02575_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07013__A1 (.DIODE(_02592_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06947__A1 (.DIODE(_02577_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07015__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06949__A1 (.DIODE(_02579_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07017__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06951__A (.DIODE(_02651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07019__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06958__A0 (.DIODE(\u_pinmux_reg.reg_27[31] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07021__A (.DIODE(_01270_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06961__A (.DIODE(_02556_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07022__C (.DIODE(_02707_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06961__B (.DIODE(_02650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07023__A (.DIODE(_02720_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06961__C (.DIODE(_02662_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07024__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06992__A (.DIODE(_01935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07024__A1 (.DIODE(_02719_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06993__A (.DIODE(_01207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07026__A (.DIODE(_01292_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06993__B (.DIODE(_02686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07027__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06994__A2 (.DIODE(_02687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07030__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06995__A1 (.DIODE(_01208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07030__A1 (.DIODE(_02725_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06995__A2 (.DIODE(_01931_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07032__A (.DIODE(_01298_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06996__A (.DIODE(_02033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07033__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07011__A (.DIODE(_01786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07036__A (.DIODE(_02720_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07018__A (.DIODE(_01971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07037__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07031__B (.DIODE(_01969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07037__A1 (.DIODE(_02729_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07045__A (.DIODE(_02727_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07040__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07046__A (.DIODE(_02728_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07040__A1 (.DIODE(_02732_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07047__B (.DIODE(_02650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07043__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07047__C (.DIODE(_02729_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07043__A1 (.DIODE(_02734_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07048__A (.DIODE(_02730_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07046__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07049__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07046__A1 (.DIODE(_02736_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07051__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07049__C (.DIODE(_02738_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07053__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07050__A (.DIODE(_02739_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07055__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07051__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07057__A (.DIODE(_02730_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07053__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07058__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07055__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07058__S (.DIODE(_02736_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07057__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07060__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07059__A (.DIODE(_02739_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07060__S (.DIODE(_02736_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07060__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07062__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07062__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07062__S (.DIODE(_02736_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07064__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07064__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07066__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07064__S (.DIODE(_02736_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07068__A (.DIODE(net42),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07066__A (.DIODE(_01274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07069__A (.DIODE(_02750_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07067__A (.DIODE(_02728_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07070__A (.DIODE(_02519_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07068__A (.DIODE(_02556_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07071__A (.DIODE(_02751_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07068__B (.DIODE(_02650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07071__B (.DIODE(_02752_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07068__C (.DIODE(_02742_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07071__C (.DIODE(_01502_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07069__A (.DIODE(_02743_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07072__A (.DIODE(_02753_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07070__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07073__A1 (.DIODE(_01590_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07070__A1 (.DIODE(_02741_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07075__A1 (.DIODE(_01602_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07072__A (.DIODE(_01296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07077__A1 (.DIODE(_01605_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07073__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07079__A1 (.DIODE(_01608_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07073__A1 (.DIODE(_02746_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07081__A (.DIODE(_02753_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07075__A (.DIODE(_01299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07082__A0 (.DIODE(\u_pinmux_reg.reg_3[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07076__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07082__A1 (.DIODE(_01611_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07078__A (.DIODE(_01302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07084__A1 (.DIODE(_01615_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07079__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07086__A0 (.DIODE(\u_pinmux_reg.reg_3[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07081__A (.DIODE(_01305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07086__A1 (.DIODE(_01618_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07082__A (.DIODE(_02743_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07088__A0 (.DIODE(\u_pinmux_reg.reg_3[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07083__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07088__A1 (.DIODE(_01621_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07083__A1 (.DIODE(_02752_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07090__A (.DIODE(_02022_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07085__A (.DIODE(_01309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07091__A (.DIODE(_02751_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07086__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07091__B (.DIODE(_02752_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07086__A1 (.DIODE(_02755_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07091__C (.DIODE(_02764_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07088__A (.DIODE(_01312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07092__A (.DIODE(_02765_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07089__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07093__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07091__A (.DIODE(_01315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07093__A1 (.DIODE(_01590_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07092__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07095__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07094__A (.DIODE(_02649_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07095__A1 (.DIODE(_01602_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07095__A (.DIODE(_02648_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07097__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07095__B (.DIODE(_02761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07097__A1 (.DIODE(_01605_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07095__C (.DIODE(_02742_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07099__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07096__A (.DIODE(_02762_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07099__A1 (.DIODE(_01608_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07097__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07101__A (.DIODE(_02765_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07097__A1 (.DIODE(_02568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07102__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07099__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07102__A1 (.DIODE(_01611_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07099__A1 (.DIODE(_02575_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07104__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07101__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07104__A1 (.DIODE(_01615_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07101__A1 (.DIODE(_02577_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07106__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07103__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07106__A1 (.DIODE(_01618_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07103__A1 (.DIODE(_02579_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07108__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07105__A (.DIODE(_02762_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07108__A1 (.DIODE(_01621_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07106__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07111__A (.DIODE(_02751_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07108__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07111__B (.DIODE(_02752_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07110__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07112__A (.DIODE(_02777_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07112__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07113__A1 (.DIODE(_01590_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07114__A (.DIODE(net43),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07115__A1 (.DIODE(_01602_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07116__B (.DIODE(_02761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07117__A1 (.DIODE(_01605_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07116__C (.DIODE(_01508_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07119__A1 (.DIODE(_01608_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07118__A1 (.DIODE(_01598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07121__A (.DIODE(_02777_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07120__A0 (.DIODE(\u_pinmux_reg.reg_3[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07122__A0 (.DIODE(\u_pinmux_reg.reg_27[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07120__A1 (.DIODE(_01609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07122__A1 (.DIODE(_01611_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07122__A1 (.DIODE(_01612_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07124__A0 (.DIODE(\u_pinmux_reg.reg_27[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07124__A0 (.DIODE(\u_pinmux_reg.reg_3[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -13766,11757 +14435,11882 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07126__A0 (.DIODE(\u_pinmux_reg.reg_27[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07127__A0 (.DIODE(\u_pinmux_reg.reg_3[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07126__A1 (.DIODE(_01618_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07127__A1 (.DIODE(_01618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07128__A1 (.DIODE(_01621_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07129__A0 (.DIODE(\u_pinmux_reg.reg_3[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07130__A (.DIODE(_01589_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07129__A1 (.DIODE(_01622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07131__A (.DIODE(_02584_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07131__A0 (.DIODE(\u_pinmux_reg.reg_3[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07132__A (.DIODE(_02751_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07133__A0 (.DIODE(\u_pinmux_reg.reg_3[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07132__B (.DIODE(_02752_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07133__A1 (.DIODE(_01628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07132__C (.DIODE(_02789_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07135__B (.DIODE(_02761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07133__A (.DIODE(_02790_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07135__C (.DIODE(_01467_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07134__A0 (.DIODE(\u_pinmux_reg.reg_26[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07136__A (.DIODE(_02786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07136__A (.DIODE(_01601_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07137__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07139__A (.DIODE(_01604_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07137__A1 (.DIODE(_01598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07142__A (.DIODE(_01607_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07139__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07145__A (.DIODE(_01610_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07139__A1 (.DIODE(_01609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07146__A (.DIODE(_02790_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07141__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07149__A (.DIODE(_01614_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07141__A1 (.DIODE(_01612_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07152__A (.DIODE(_01617_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07143__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07155__A (.DIODE(_01620_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07143__A1 (.DIODE(_01615_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07158__A (.DIODE(_02750_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07145__A (.DIODE(_02786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07159__A (.DIODE(_01279_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07146__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07161__C (.DIODE(_02547_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07146__A1 (.DIODE(_01618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07162__A (.DIODE(_02811_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07148__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07163__A0 (.DIODE(\u_pinmux_reg.reg_25[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07148__A1 (.DIODE(_01622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07165__A0 (.DIODE(\u_pinmux_reg.reg_25[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07150__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07167__A0 (.DIODE(\u_pinmux_reg.reg_25[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07152__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07169__A0 (.DIODE(\u_pinmux_reg.reg_25[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07152__A1 (.DIODE(_01628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07171__A (.DIODE(_02811_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07155__B (.DIODE(_02761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07172__A0 (.DIODE(\u_pinmux_reg.reg_25[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07155__C (.DIODE(_02797_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07174__A0 (.DIODE(\u_pinmux_reg.reg_25[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07156__A (.DIODE(_02798_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07176__A0 (.DIODE(\u_pinmux_reg.reg_25[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07157__A0 (.DIODE(\u_pinmux_reg.reg_27[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07178__A0 (.DIODE(\u_pinmux_reg.reg_25[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07157__A1 (.DIODE(_01598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07180__A (.DIODE(_02506_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07159__A0 (.DIODE(\u_pinmux_reg.reg_27[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07181__C (.DIODE(_02822_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07159__A1 (.DIODE(_01609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07182__A (.DIODE(_02823_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07161__A0 (.DIODE(\u_pinmux_reg.reg_27[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07183__A0 (.DIODE(\u_pinmux_reg.reg_24[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07161__A1 (.DIODE(_01612_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07191__A (.DIODE(_02823_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07163__A0 (.DIODE(\u_pinmux_reg.reg_27[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07200__C (.DIODE(_02486_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07163__A1 (.DIODE(_01615_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07201__A (.DIODE(_02834_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07165__A (.DIODE(_02798_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07202__A0 (.DIODE(\u_pinmux_reg.reg_23[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07166__A0 (.DIODE(\u_pinmux_reg.reg_27[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07210__A (.DIODE(_02834_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07166__A1 (.DIODE(_01618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07220__A (.DIODE(_02845_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07168__A0 (.DIODE(\u_pinmux_reg.reg_27[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07221__A (.DIODE(_02418_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07168__A1 (.DIODE(_01622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07222__C (.DIODE(_02847_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07170__A0 (.DIODE(\u_pinmux_reg.reg_27[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07227__A (.DIODE(_02851_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07172__A0 (.DIODE(\u_pinmux_reg.reg_27[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07231__A (.DIODE(_02854_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07172__A1 (.DIODE(_01628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07232__A1 (.DIODE(_02855_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07175__A (.DIODE(_02649_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07235__A (.DIODE(_02857_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07176__C (.DIODE(_02662_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07236__A0 (.DIODE(\u_pinmux_reg.reg_22[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07177__A (.DIODE(_02811_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07236__A1 (.DIODE(_02858_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07178__A0 (.DIODE(\u_pinmux_reg.reg_26[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07239__A (.DIODE(_02860_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07181__A1 (.DIODE(_02814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07241__A1 (.DIODE(_02861_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07184__A1 (.DIODE(_02816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07245__A1 (.DIODE(_02865_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07187__A1 (.DIODE(_02818_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07248__A (.DIODE(_02867_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07190__A (.DIODE(_02811_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07249__A1 (.DIODE(_02868_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07203__C (.DIODE(_02592_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07252__A (.DIODE(_02870_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07207__A1 (.DIODE(_02814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07253__A1 (.DIODE(_02871_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07209__A1 (.DIODE(_02816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07255__A (.DIODE(_02750_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07211__A1 (.DIODE(_02818_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07257__C (.DIODE(_02371_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07220__A0 (.DIODE(\u_pinmux_reg.reg_25[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07259__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07223__C (.DIODE(_02841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07261__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07224__A (.DIODE(_02842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07263__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07225__A0 (.DIODE(\u_pinmux_reg.reg_24[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07263__A1 (.DIODE(_02855_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07227__A1 (.DIODE(_02814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07265__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07229__A1 (.DIODE(_02816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07265__A1 (.DIODE(_02858_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07231__A1 (.DIODE(_02818_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07268__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07233__A (.DIODE(_02842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07268__A1 (.DIODE(_02861_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07242__A (.DIODE(_02487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07270__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07243__C (.DIODE(_02853_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07270__A1 (.DIODE(_02865_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07244__A (.DIODE(_02854_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07272__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07245__S (.DIODE(_02855_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07272__A1 (.DIODE(_02868_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07247__A1 (.DIODE(_02814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07274__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07247__S (.DIODE(_02855_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07274__A1 (.DIODE(_02871_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07249__A1 (.DIODE(_02816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07276__C (.DIODE(_02356_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07249__S (.DIODE(_02855_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07278__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07251__A1 (.DIODE(_02818_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07280__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07251__S (.DIODE(_02855_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07282__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07253__A (.DIODE(_02854_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07282__A1 (.DIODE(_02855_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07262__A (.DIODE(net48),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07284__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07264__A (.DIODE(_02649_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07284__A1 (.DIODE(_02858_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07265__B (.DIODE(_02867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07287__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07265__C (.DIODE(_02457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07287__A1 (.DIODE(_02861_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07267__A1 (.DIODE(_02866_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07289__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07269__A (.DIODE(net59),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07289__A1 (.DIODE(_02865_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07270__A (.DIODE(_02871_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07291__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07271__A1 (.DIODE(_02872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07291__A1 (.DIODE(_02868_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07273__A (.DIODE(net70),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07293__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07274__A (.DIODE(_02874_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07293__A1 (.DIODE(_02871_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07275__A1 (.DIODE(_02875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07295__A (.DIODE(_02845_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07277__A (.DIODE(net73),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07296__A (.DIODE(_02750_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07278__A (.DIODE(_02877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07297__B (.DIODE(_02294_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07279__A1 (.DIODE(_02878_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07298__A (.DIODE(_02899_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07281__A (.DIODE(net74),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07299__A1 (.DIODE(\u_pinmux_reg.cfg_pulse_1us[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07282__A (.DIODE(_02880_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07301__A (.DIODE(_02851_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07284__A1 (.DIODE(_02881_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07302__A1 (.DIODE(\u_pinmux_reg.cfg_pulse_1us[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07286__A (.DIODE(net75),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07304__A (.DIODE(_02854_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07287__A (.DIODE(_02884_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07305__A1 (.DIODE(\u_pinmux_reg.cfg_pulse_1us[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07288__A1 (.DIODE(_02885_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07307__A (.DIODE(_02857_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07290__A (.DIODE(net76),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07308__A1 (.DIODE(\u_pinmux_reg.cfg_pulse_1us[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07291__A (.DIODE(_02887_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07310__A (.DIODE(_02860_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07292__A1 (.DIODE(_02888_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07311__A (.DIODE(_02899_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07294__A (.DIODE(net77),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07312__A1 (.DIODE(\u_pinmux_reg.cfg_pulse_1us[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07295__A (.DIODE(_02890_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07315__A1 (.DIODE(\u_pinmux_reg.cfg_pulse_1us[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07296__A1 (.DIODE(_02891_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07317__A (.DIODE(_02867_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07299__A (.DIODE(_02893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07318__A1 (.DIODE(\u_pinmux_reg.cfg_pulse_1us[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07299__B (.DIODE(_02867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07320__A (.DIODE(_02870_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07299__C (.DIODE(_02430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07321__A1 (.DIODE(\u_pin