power netlist added
diff --git a/verilog/gl/soc_now_caravel_top.v b/verilog/gl/soc_now_caravel_top.v
new file mode 100644
index 0000000..fda512c
--- /dev/null
+++ b/verilog/gl/soc_now_caravel_top.v
@@ -0,0 +1,99846 @@
+/*
+###############################################################
+#  Generated by:      Cadence Innovus 20.10-p004_1
+#  OS:                Linux x86_64(Host ID merl-HP-Z840)
+#  Generated on:      Fri Jun  3 01:23:21 2022
+#  Design:            soc_now_caravel_top
+#  Command:           eval_legacy {savenetlist -excludeLeafCell -includePowerGround -flat -exportTopPGNets soc_now_caravel_top.power.v}
+###############################################################
+*/
+module soc_now_caravel_top (
+	wb_clk_i, 
+	wb_rst_i, 
+	wbs_stb_i, 
+	wbs_cyc_i, 
+	wbs_we_i, 
+	wbs_sel_i, 
+	wbs_dat_i, 
+	wbs_adr_i, 
+	wbs_ack_o, 
+	wbs_dat_o, 
+	la_data_in, 
+	la_data_out, 
+	la_oenb, 
+	io_in, 
+	io_out, 
+	io_oeb, 
+	analog_io, 
+	user_clock2, 
+	user_irq, 
+	vssd1, 
+	vccd1);
+   input wb_clk_i;
+   input wb_rst_i;
+   input wbs_stb_i;
+   input wbs_cyc_i;
+   input wbs_we_i;
+   input [3:0] wbs_sel_i;
+   input [31:0] wbs_dat_i;
+   input [31:0] wbs_adr_i;
+   output wbs_ack_o;
+   output [31:0] wbs_dat_o;
+   input [127:0] la_data_in;
+   output [127:0] la_data_out;
+   input [127:0] la_oenb;
+   input [37:0] io_in;
+   output [37:0] io_out;
+   output [37:0] io_oeb;
+   inout [28:0] analog_io;
+   input user_clock2;
+   output [2:0] user_irq;
+   inout vssd1;
+   inout vccd1;
+
+   // Internal wires
+   wire \soc_top/imem/FE_OFN6764_n ;
+   wire \soc_top/imem/FE_OFN780_FE_OFN6720_FE_OFN6702_n ;
+   wire \soc_top/imem/FE_OFN6763_n ;
+   wire \soc_top/FE_OFN6762_imem_io_rsp_bits_dataResponse_14 ;
+   wire \soc_top/FE_OFN6761_core_io_dmemReq_bits_addrRequest_6 ;
+   wire \soc_top/dmem/FE_OFN6760_FE_OFN6731_FE_OFN6690_FE_OFN160_gen_dmem_slave_io_wbMasterReceiver_bits_sel_1 ;
+   wire \soc_top/dmem/FE_OFN6759_FE_OFN6727_FE_OFN6688_FE_OFN5599_n ;
+   wire \soc_top/dmem/FE_OFN6758_FE_OFN6730_FE_OFN6692_FE_OFN5597_n ;
+   wire \soc_top/dmem/FE_OFN6757_FE_OFN6723_FE_OFN6687_FE_OFN5594_n ;
+   wire \soc_top/dmem/FE_OFN6756_FE_OFN6729_FE_OFN6689_FE_OFN5596_n ;
+   wire \soc_top/dmem/FE_OFN6755_FE_OFN6725_FE_OFN6686_FE_OFN161_switch_io_devOut_0_bits_sel_0 ;
+   wire \soc_top/dmem/FE_OFN6754_FE_OFN6724_FE_OFN6685_FE_OFN198_n_2784 ;
+   wire \soc_top/imem/FE_OFN6753_FE_OFN6718_FE_OFN6681_FE_OFN155_gen_imem_host_io_wbMasterTransmitter_bits_adr_1 ;
+   wire \soc_top/imem/FE_OFN6752_FE_OFN6721_FE_OFN6684_FE_OFN5598_n ;
+   wire \soc_top/imem/FE_OFN6751_FE_OFN6719_FE_OFN6683_FE_OFN153_gen_imem_host_io_wbMasterTransmitter_bits_adr_3 ;
+   wire \soc_top/imem/FE_OFN6750_FE_OFN6713_FE_OFN6678_FE_OFN149_gen_imem_host_io_wbMasterTransmitter_bits_adr_7 ;
+   wire \soc_top/dmem/FE_OFN6749_FE_OFN6715_FE_OFN6677_FE_OFN5601_n ;
+   wire \soc_top/dmem/FE_OFN6748_FE_OFN6714_FE_OFN6676_FE_OFN5602_n ;
+   wire \soc_top/imem/FE_OFN6747_FE_OFN6716_FE_OFN6682_FE_OFN151_gen_imem_host_io_wbMasterTransmitter_bits_adr_5 ;
+   wire \soc_top/dmem/FE_OFN6746_FE_OFN6711_FE_OFN6675_FE_OFN143_gen_dmem_slave_io_wbMasterReceiver_bits_adr_7 ;
+   wire \soc_top/imem/FE_OFN6745_FE_OFN6717_FE_OFN6679_FE_OFN150_gen_imem_host_io_wbMasterTransmitter_bits_adr_6 ;
+   wire \soc_top/imem/FE_OFN6744_FE_OFN6710_FE_OFN6680_FE_OFN152_gen_imem_host_io_wbMasterTransmitter_bits_adr_4 ;
+   wire \soc_top/dmem/FE_OFN6743_FE_OFN6712_FE_OFN6673_FE_OFN5600_n ;
+   wire \soc_top/dmem/FE_OFN6742_FE_OFN6709_FE_OFN6672_FE_OFN147_gen_dmem_slave_io_wbMasterReceiver_bits_adr_1 ;
+   wire \soc_top/imem/FE_OFN6741_FE_OFN6720_FE_OFN6702_n ;
+   wire \soc_top/FE_OFN6740_core_ex_reg_ins_9 ;
+   wire \soc_top/FE_OFN6738_gen_dmem_host_io_rspOut_valid ;
+   wire \soc_top/imem/FE_OFN6732_FE_OFN6728_n ;
+   wire \soc_top/dmem/FE_OFN6731_FE_OFN6690_FE_OFN160_gen_dmem_slave_io_wbMasterReceiver_bits_sel_1 ;
+   wire \soc_top/dmem/FE_OFN6730_FE_OFN6692_FE_OFN5597_n ;
+   wire \soc_top/dmem/FE_OFN6729_FE_OFN6689_FE_OFN5596_n ;
+   wire \soc_top/CTS_29 ;
+   wire \soc_top/CTS_28 ;
+   wire \soc_top/CTS_27 ;
+   wire \soc_top/CTS_26 ;
+   wire \soc_top/puart/rx/CTS_1 ;
+   wire \soc_top/CTS_25 ;
+   wire \soc_top/CTS_24 ;
+   wire \soc_top/CTS_31 ;
+   wire \soc_top/CTS_30 ;
+   wire \soc_top/CTS_21 ;
+   wire \soc_top/CTS_20 ;
+   wire \soc_top/CTS_19 ;
+   wire \soc_top/CTS_18 ;
+   wire \soc_top/CTS_17 ;
+   wire \soc_top/CTS_16 ;
+   wire \soc_top/CTS_15 ;
+   wire \soc_top/CTS_14 ;
+   wire \soc_top/CTS_23 ;
+   wire \soc_top/CTS_22 ;
+   wire \soc_top/CTS_11 ;
+   wire \soc_top/CTS_10 ;
+   wire \soc_top/CTS_9 ;
+   wire \soc_top/CTS_8 ;
+   wire \soc_top/CTS_7 ;
+   wire \soc_top/CTS_6 ;
+   wire \soc_top/CTS_5 ;
+   wire \soc_top/CTS_4 ;
+   wire \soc_top/CTS_3 ;
+   wire \soc_top/CTS_2 ;
+   wire \soc_top/CTS_1 ;
+   wire \soc_top/CTS_13 ;
+   wire \soc_top/CTS_12 ;
+   wire \soc_top/imem/FE_OFN731_FE_OFN6702_n ;
+   wire \soc_top/dmem/FE_OFN6727_FE_OFN6688_FE_OFN5599_n ;
+   wire \soc_top/dmem/FE_OFN6725_FE_OFN6686_FE_OFN161_switch_io_devOut_0_bits_sel_0 ;
+   wire \soc_top/dmem/FE_OFN6724_FE_OFN6685_FE_OFN198_n_2784 ;
+   wire \soc_top/dmem/FE_OFN6723_FE_OFN6687_FE_OFN5594_n ;
+   wire \soc_top/imem/FE_OFN6721_FE_OFN6684_FE_OFN5598_n ;
+   wire \soc_top/imem/FE_OFN6720_FE_OFN6702_n ;
+   wire \soc_top/imem/FE_OFN6719_FE_OFN6683_FE_OFN153_gen_imem_host_io_wbMasterTransmitter_bits_adr_3 ;
+   wire \soc_top/imem/FE_OFN6718_FE_OFN6681_FE_OFN155_gen_imem_host_io_wbMasterTransmitter_bits_adr_1 ;
+   wire \soc_top/imem/FE_OFN6717_FE_OFN6679_FE_OFN150_gen_imem_host_io_wbMasterTransmitter_bits_adr_6 ;
+   wire \soc_top/imem/FE_OFN6716_FE_OFN6682_FE_OFN151_gen_imem_host_io_wbMasterTransmitter_bits_adr_5 ;
+   wire \soc_top/dmem/FE_OFN6715_FE_OFN6677_FE_OFN5601_n ;
+   wire \soc_top/dmem/FE_OFN6714_FE_OFN6676_FE_OFN5602_n ;
+   wire \soc_top/imem/FE_OFN6713_FE_OFN6678_FE_OFN149_gen_imem_host_io_wbMasterTransmitter_bits_adr_7 ;
+   wire \soc_top/dmem/FE_OFN6712_FE_OFN6673_FE_OFN5600_n ;
+   wire \soc_top/dmem/FE_OFN6711_FE_OFN6675_FE_OFN143_gen_dmem_slave_io_wbMasterReceiver_bits_adr_7 ;
+   wire \soc_top/imem/FE_OFN6710_FE_OFN6680_FE_OFN152_gen_imem_host_io_wbMasterTransmitter_bits_adr_4 ;
+   wire \soc_top/dmem/FE_OFN6709_FE_OFN6672_FE_OFN147_gen_dmem_slave_io_wbMasterReceiver_bits_adr_1 ;
+   wire \soc_top/FE_RN_36_0 ;
+   wire \soc_top/FE_RN_35_0 ;
+   wire \soc_top/FE_RN_33_0 ;
+   wire \soc_top/FE_RN_31_0 ;
+   wire \soc_top/FE_RN_30_0 ;
+   wire \soc_top/FE_RN_29_0 ;
+   wire \soc_top/FE_RN_28_0 ;
+   wire \soc_top/FE_RN_27_0 ;
+   wire \soc_top/FE_RN_25_0 ;
+   wire \soc_top/FE_RN_24_0 ;
+   wire \soc_top/FE_RN_23_0 ;
+   wire \soc_top/FE_RN_22_0 ;
+   wire \soc_top/FE_RN_21_0 ;
+   wire \soc_top/FE_RN_20_0 ;
+   wire \soc_top/FE_RN_19_0 ;
+   wire \soc_top/FE_RN_18_0 ;
+   wire \soc_top/FE_OCPN6708_n_3108 ;
+   wire \soc_top/FE_RN_17_0 ;
+   wire \soc_top/FE_RN_16_0 ;
+   wire \soc_top/FE_RN_15_0 ;
+   wire \soc_top/FE_RN_14_0 ;
+   wire \soc_top/FE_RN_13_0 ;
+   wire \soc_top/FE_RN_12_0 ;
+   wire \soc_top/FE_RN_11_0 ;
+   wire \soc_top/FE_RN_10_0 ;
+   wire \soc_top/FE_RN_9_0 ;
+   wire \soc_top/FE_RN_8_0 ;
+   wire \soc_top/FE_RN_7_0 ;
+   wire \soc_top/FE_RN_6_0 ;
+   wire \soc_top/FE_RN_5_0 ;
+   wire \soc_top/FE_OCPN6706_n_3113 ;
+   wire \soc_top/core_Execute_ForwardingUnit/FE_RN_4_0 ;
+   wire \soc_top/FE_OCPN6704_n_3113 ;
+   wire \soc_top/FE_RN_3_0 ;
+   wire \soc_top/FE_RN_2_0 ;
+   wire \soc_top/FE_RN_1_0 ;
+   wire \soc_top/FE_RN_0_0 ;
+   wire \soc_top/dmem/FE_OFN6699_FE_OFN207_n_5558 ;
+   wire \soc_top/dmem/FE_OFN6697_FE_OFN212_n_5563 ;
+   wire \soc_top/imem/FE_OFN6696_FE_OFN246_n_6001 ;
+   wire \soc_top/FE_OFN6695_imem_io_rsp_bits_dataResponse_9 ;
+   wire \soc_top/dmem/FE_OFN6694_FE_OFN208_n_5559 ;
+   wire \soc_top/dmem/FE_OFN6693_FE_OFN210_n_5561 ;
+   wire FE_OFN6691_io_out_28;
+   wire \soc_top/dmem/FE_OFN6674_FE_OFN233_n_5582 ;
+   wire \soc_top/dmem/FE_OFN6671_FE_OFN214_n_5565 ;
+   wire \soc_top/dmem/FE_OFN6670_FE_OFN209_n_5560 ;
+   wire \soc_top/dmem/FE_OFN6669_FE_OFN239_n_5588 ;
+   wire \soc_top/puart/rx/FE_OFN6668_n_101 ;
+   wire \soc_top/puart/rx/FE_OFN6667_n_75 ;
+   wire \soc_top/puart/rx/FE_OFN6666_n_77 ;
+   wire \soc_top/FE_OFN6665_n_6568 ;
+   wire \soc_top/FE_OFN6664_n_3932 ;
+   wire \soc_top/FE_OFN6663_n_6584 ;
+   wire \soc_top/FE_OFN6662_n_6584 ;
+   wire \soc_top/FE_OFN6661_n_3913 ;
+   wire \soc_top/FE_OFN6660_n_3971 ;
+   wire \soc_top/FE_OFN6659_n_3940 ;
+   wire \soc_top/FE_OFN6658_n_3911 ;
+   wire \soc_top/FE_OFN6657_n_3903 ;
+   wire \soc_top/FE_OFN6656_n_6594 ;
+   wire \soc_top/FE_OFN6655_n_6569 ;
+   wire \soc_top/FE_OFN6652_n_3984 ;
+   wire \soc_top/FE_OFN6651_n_3698 ;
+   wire \soc_top/FE_OFN6650_n_6582 ;
+   wire \soc_top/FE_OFN6649_n_3904 ;
+   wire \soc_top/FE_OFN6648_n_6611 ;
+   wire \soc_top/FE_OFN6647_n_5948 ;
+   wire \soc_top/FE_OFN6645_n_3980 ;
+   wire \soc_top/FE_OFN6644_n_3978 ;
+   wire \soc_top/FE_OFN6643_n_6514 ;
+   wire \soc_top/FE_OFN6642_n_6515 ;
+   wire \soc_top/FE_OFN6641_n_6515 ;
+   wire \soc_top/FE_OFN6640_core_InstructionDecode_io_writeData_6 ;
+   wire \soc_top/FE_OFN6639_n_3520 ;
+   wire \soc_top/FE_OFN6638_n_3520 ;
+   wire \soc_top/FE_OFN6637_n_3520 ;
+   wire \soc_top/FE_OFN6636_n_3520 ;
+   wire \soc_top/FE_OFN6635_n_3520 ;
+   wire \soc_top/FE_OFN6634_n_3520 ;
+   wire \soc_top/FE_OFN6633_n_2711 ;
+   wire \soc_top/FE_OFN6632_n_5969 ;
+   wire \soc_top/FE_OFN6628_n_3743 ;
+   wire \soc_top/FE_OFN6627_n ;
+   wire \soc_top/FE_OFN6625_core_InstructionDecode_io_writeData_12 ;
+   wire \soc_top/FE_OFN6623_n ;
+   wire \soc_top/FE_OFN6622_core_Execute_alu_srl_2338_33_n_11 ;
+   wire \soc_top/FE_OFN6621_n_4099 ;
+   wire \soc_top/FE_OFN6620_n ;
+   wire \soc_top/FE_OFN6618_core_Execute_alu_sra_2340_42_n_5 ;
+   wire \soc_top/FE_OFN6617_n_6391 ;
+   wire \soc_top/FE_OFN6616_n ;
+   wire \soc_top/FE_OFN6615_core_InstructionDecode_io_writeData_20 ;
+   wire \soc_top/FE_OFN6614_n_6393 ;
+   wire \soc_top/FE_OFN6609_n_6612 ;
+   wire \soc_top/FE_OFN6606_n_6609 ;
+   wire \soc_top/FE_OFN6605_n ;
+   wire \soc_top/FE_OFN6604_n ;
+   wire \soc_top/FE_OFN5940_n_6603 ;
+   wire \soc_top/FE_OFN5937_n ;
+   wire \soc_top/FE_OFN5684_n_3108 ;
+   wire \soc_top/FE_OFN5683_n_3557 ;
+   wire \soc_top/FE_OFN5682_n_3559 ;
+   wire \soc_top/FE_OFN5680_core_InstructionDecode_io_writeData_29 ;
+   wire \soc_top/FE_OFN5677_n_6576 ;
+   wire \soc_top/FE_OFN5676_core_InstructionDecode_io_writeData_28 ;
+   wire \soc_top/FE_OFN5669_n_3789 ;
+   wire \soc_top/FE_OFN5668_core_InstructionDecode_io_writeData_14 ;
+   wire \soc_top/FE_OFN5664_n_3797 ;
+   wire \soc_top/FE_OFN5663_n_5944 ;
+   wire \soc_top/FE_OFN5662_n_5944 ;
+   wire \soc_top/FE_OFN5661_n_5944 ;
+   wire \soc_top/FE_OFN5660_n_5944 ;
+   wire \soc_top/FE_OFN5659_n_5944 ;
+   wire \soc_top/FE_OFN5658_core_InstructionDecode_io_writeData_13 ;
+   wire \soc_top/FE_OFN5657_core_InstructionDecode_io_writeData_18 ;
+   wire \soc_top/FE_OFN5655_n_6577 ;
+   wire \soc_top/FE_OFN5653_core_InstructionDecode_io_writeData_6 ;
+   wire \soc_top/FE_OFN5650_n_5769 ;
+   wire \soc_top/FE_OFN5648_n_3445 ;
+   wire \soc_top/FE_OFN5644_core_InstructionDecode_io_writeData_15 ;
+   wire \soc_top/FE_OFN5642_n_6514 ;
+   wire \soc_top/FE_OFN5641_n_6597 ;
+   wire \soc_top/FE_OFN5640_gen_dmem_host_io_rspOut_bits_dataResponse_18 ;
+   wire \soc_top/FE_OFN5638_n_5942 ;
+   wire \soc_top/FE_OFN5637_n_5942 ;
+   wire \soc_top/FE_OFN5636_n_5942 ;
+   wire \soc_top/FE_OFN5635_n_5942 ;
+   wire \soc_top/FE_OFN5634_n_5942 ;
+   wire \soc_top/FE_OFN5633_n_5942 ;
+   wire \soc_top/FE_OFN5632_core_InstructionDecode_io_writeData_24 ;
+   wire \soc_top/FE_OFN5631_core_ex_reg_ins_9 ;
+   wire \soc_top/FE_OFN5630_gen_dmem_host_io_rspOut_bits_dataResponse_20 ;
+   wire \soc_top/FE_OFN5629_core_InstructionDecode_io_writeData_26 ;
+   wire \soc_top/core_Execute_aluCtl/FE_OFN5628_core_id_reg_f3_2 ;
+   wire \soc_top/FE_OFN5626_gen_dmem_host_io_rspOut_bits_dataResponse_4 ;
+   wire \soc_top/FE_OFN5625_n_3700 ;
+   wire \soc_top/FE_OFN5624_n_5938 ;
+   wire \soc_top/FE_OFN5623_core_InstructionDecode_io_writeData_17 ;
+   wire \soc_top/FE_OFN5622_n_5760 ;
+   wire \soc_top/FE_OFN5620_core_InstructionDecode_io_writeData_0 ;
+   wire \soc_top/FE_OFN5619_n_6545 ;
+   wire \soc_top/FE_OFN5618_n_6515 ;
+   wire \soc_top/FE_OFN5617_n_5786 ;
+   wire \soc_top/FE_OFN5616_core_id_reg_ctl_aluSrc ;
+   wire \soc_top/FE_OFN5612_n_5758 ;
+   wire \soc_top/FE_OFN5610_core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_16 ;
+   wire \soc_top/FE_OFN5609_n_6603 ;
+   wire \soc_top/FE_OFN5608_n_6546 ;
+   wire \soc_top/FE_OFN5607_core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_21 ;
+   wire \soc_top/FE_OFN5606_n_2722 ;
+   wire \soc_top/FE_OFN5605_core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_2 ;
+   wire \soc_top/FE_OFN5604_core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_63 ;
+   wire \soc_top/FE_OFN5603_n_6544 ;
+   wire \soc_top/dmem/FE_OFN5595_n ;
+   wire \soc_top/FE_OFN305_n_5936 ;
+   wire \soc_top/FE_OFN303_n_6488 ;
+   wire \soc_top/FE_OFN301_n_5506 ;
+   wire \soc_top/FE_OFN299_n_3520 ;
+   wire \soc_top/FE_OFN297_n_2056 ;
+   wire \soc_top/FE_OFN296_n_1566 ;
+   wire \soc_top/FE_OFN295_core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_1 ;
+   wire \soc_top/FE_OFN294_core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_10 ;
+   wire \soc_top/imem/FE_OFN291_sram_web0 ;
+   wire \soc_top/FE_OFN290_n_6415 ;
+   wire \soc_top/FE_OFN285_n_5438 ;
+   wire \soc_top/FE_OFN284_n_3403 ;
+   wire \soc_top/FE_OFN283_n_3402 ;
+   wire \soc_top/FE_OFN282_n_3401 ;
+   wire \soc_top/FE_OFN281_n_3400 ;
+   wire \soc_top/FE_OFN280_n_3379 ;
+   wire \soc_top/FE_OFN279_n_3378 ;
+   wire \soc_top/FE_OFN278_n_3159 ;
+   wire \soc_top/FE_OFN277_n_2537 ;
+   wire \soc_top/FE_OFN276_n_2536 ;
+   wire \soc_top/FE_OFN275_n_2534 ;
+   wire \soc_top/FE_OFN274_n_2527 ;
+   wire \soc_top/FE_OFN273_n_2524 ;
+   wire \soc_top/FE_OFN272_n_2523 ;
+   wire \soc_top/FE_OFN271_n_2522 ;
+   wire \soc_top/FE_OFN270_n_2521 ;
+   wire \soc_top/FE_OFN269_n_2520 ;
+   wire \soc_top/FE_OFN268_n_2519 ;
+   wire \soc_top/FE_OFN267_n_2518 ;
+   wire \soc_top/FE_OFN266_n_2517 ;
+   wire \soc_top/FE_OFN265_n_2516 ;
+   wire \soc_top/FE_OFN264_n_1851 ;
+   wire \soc_top/FE_OFN263_n_1845 ;
+   wire \soc_top/FE_OFN262_n_407 ;
+   wire \soc_top/FE_OFN261_n_168 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/FE_OFN260_gpio_gpioRegTop_intr_enable_reg_io_we ;
+   wire \soc_top/FE_OFN259_core_InstructionDecode_io_readData1_12 ;
+   wire \soc_top/FE_OFN258_core_InstructionDecode_io_readData1_15 ;
+   wire \soc_top/FE_OFN257_core_InstructionDecode_io_readData1_16 ;
+   wire \soc_top/FE_OFN256_core_InstructionDecode_io_readData1_21 ;
+   wire \soc_top/FE_OFN255_core_InstructionDecode_io_readData1_23 ;
+   wire \soc_top/FE_OFN254_FE_DBTN2_n_5938 ;
+   wire \soc_top/puart/rx/FE_OFN252_n_89 ;
+   wire \soc_top/puart/rx/FE_OFN251_n_78 ;
+   wire \soc_top/dmem/FE_OFN250_sram_web0 ;
+   wire \soc_top/FE_OFN249_n_6445 ;
+   wire \soc_top/FE_OFN248_n_6445 ;
+   wire \soc_top/FE_OFN247_n_6430 ;
+   wire \soc_top/FE_OFN245_n_5943 ;
+   wire \soc_top/FE_OFN244_n_5941 ;
+   wire \soc_top/FE_OFN242_n_5938 ;
+   wire \soc_top/dmem/FE_OFN240_n_5589 ;
+   wire \soc_top/dmem/FE_OFN238_n_5587 ;
+   wire \soc_top/FE_OFN237_n_5586 ;
+   wire \soc_top/dmem/FE_OFN236_n_5585 ;
+   wire \soc_top/dmem/FE_OFN235_n_5584 ;
+   wire \soc_top/dmem/FE_OFN234_n_5583 ;
+   wire \soc_top/dmem/FE_OFN232_n_5581 ;
+   wire \soc_top/dmem/FE_OFN231_n_5580 ;
+   wire \soc_top/dmem/FE_OFN230_n_5579 ;
+   wire \soc_top/dmem/FE_OFN229_n_5578 ;
+   wire \soc_top/dmem/FE_OFN228_n_5577 ;
+   wire \soc_top/dmem/FE_OFN227_n_5576 ;
+   wire \soc_top/dmem/FE_OFN226_n_5575 ;
+   wire \soc_top/dmem/FE_OFN225_n_5574 ;
+   wire \soc_top/dmem/FE_OFN224_n_5573 ;
+   wire \soc_top/dmem/FE_OFN223_n_5572 ;
+   wire \soc_top/dmem/FE_OFN222_n_5571 ;
+   wire \soc_top/dmem/FE_OFN220_n_5570 ;
+   wire \soc_top/dmem/FE_OFN219_n_5570 ;
+   wire \soc_top/dmem/FE_OFN218_n_5569 ;
+   wire \soc_top/dmem/FE_OFN217_n_5568 ;
+   wire \soc_top/dmem/FE_OFN216_n_5567 ;
+   wire \soc_top/dmem/FE_OFN215_n_5566 ;
+   wire \soc_top/dmem/FE_OFN213_n_5564 ;
+   wire \soc_top/FE_OFN205_n_3817 ;
+   wire \soc_top/FE_OFN203_n_3317 ;
+   wire \soc_top/FE_OFN202_n_3293 ;
+   wire \soc_top/FE_OFN200_n_3067 ;
+   wire \soc_top/FE_OFN199_n_3038 ;
+   wire \soc_top/FE_OFN197_n_2672 ;
+   wire \soc_top/FE_OFN196_n_1842 ;
+   wire \soc_top/FE_OFN195_n_1833 ;
+   wire \soc_top/FE_OFN194_n_1827 ;
+   wire \soc_top/FE_OFN192_n_1555 ;
+   wire \soc_top/FE_OFN191_n_1427 ;
+   wire \soc_top/FE_OFN190_n_1425 ;
+   wire \soc_top/FE_OFN189_n_1415 ;
+   wire \soc_top/FE_OFN188_n_274 ;
+   wire \soc_top/FE_OFN187_n_273 ;
+   wire \soc_top/FE_OFN186_n_269 ;
+   wire \soc_top/FE_OFN185_n_267 ;
+   wire \soc_top/FE_OFN184_n_263 ;
+   wire \soc_top/FE_OFN183_n_262 ;
+   wire \soc_top/FE_OFN182_n_261 ;
+   wire \soc_top/FE_OFN181_n_260 ;
+   wire \soc_top/FE_OFN180_n_257 ;
+   wire \soc_top/FE_OFN179_n_255 ;
+   wire \soc_top/FE_OFN178_n_253 ;
+   wire \soc_top/FE_OFN177_n_252 ;
+   wire \soc_top/FE_OFN176_n_251 ;
+   wire \soc_top/FE_OFN175_n_248 ;
+   wire \soc_top/FE_OFN174_n_244 ;
+   wire \soc_top/FE_OFN173_n_242 ;
+   wire \soc_top/FE_OFN172_n_183 ;
+   wire \soc_top/FE_OFN171_n_182 ;
+   wire \soc_top/FE_OFN170_n_179 ;
+   wire \soc_top/FE_OFN169_n_178 ;
+   wire \soc_top/FE_OFN168_n_175 ;
+   wire \soc_top/FE_OFN167_n_173 ;
+   wire \soc_top/FE_OFN166_n_171 ;
+   wire \soc_top/FE_OFN165_n_169 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/FE_OFN164_gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/FE_OFN163_gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ;
+   wire \soc_top/FE_OFN162_core_InstructionDecode_io_hdu_pcWrite ;
+   wire \soc_top/imem/FE_OFN156_gen_imem_host_io_wbMasterTransmitter_bits_adr_0 ;
+   wire \soc_top/FE_OFN142_core_InstructionDecode_io_writeData_8 ;
+   wire \soc_top/FE_OFN141_core_InstructionDecode_io_writeData_9 ;
+   wire \soc_top/FE_OFN140_core_InstructionDecode_io_writeData_10 ;
+   wire \soc_top/FE_OFN139_core_InstructionDecode_io_writeData_11 ;
+   wire \soc_top/FE_OFN138_core_InstructionDecode_io_writeData_12 ;
+   wire \soc_top/FE_OFN137_core_InstructionDecode_io_writeData_13 ;
+   wire \soc_top/FE_OFN136_core_InstructionDecode_io_writeData_14 ;
+   wire \soc_top/FE_OFN135_core_InstructionDecode_io_writeData_15 ;
+   wire \soc_top/FE_OFN134_core_InstructionDecode_io_writeData_16 ;
+   wire \soc_top/FE_OFN133_core_InstructionDecode_io_writeData_17 ;
+   wire \soc_top/FE_OFN132_core_InstructionDecode_io_writeData_18 ;
+   wire \soc_top/FE_OFN131_core_InstructionDecode_io_writeData_19 ;
+   wire \soc_top/FE_OFN130_core_InstructionDecode_io_writeData_20 ;
+   wire \soc_top/FE_OFN129_core_InstructionDecode_io_writeData_21 ;
+   wire \soc_top/FE_OFN128_core_InstructionDecode_io_writeData_22 ;
+   wire \soc_top/FE_OFN127_core_InstructionDecode_io_writeData_23 ;
+   wire \soc_top/FE_OFN126_core_InstructionDecode_io_writeData_24 ;
+   wire \soc_top/FE_OFN125_core_InstructionDecode_io_writeData_25 ;
+   wire \soc_top/FE_OFN124_core_InstructionDecode_io_writeData_26 ;
+   wire \soc_top/FE_OFN123_core_InstructionDecode_io_writeData_27 ;
+   wire \soc_top/FE_OFN122_core_InstructionDecode_io_writeData_28 ;
+   wire \soc_top/FE_OFN121_core_InstructionDecode_io_writeData_29 ;
+   wire \soc_top/FE_OFN120_core_InstructionDecode_io_writeData_30 ;
+   wire \soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ;
+   wire \soc_top/FE_OFN118_core_InstructionDecode_io_readData1_5 ;
+   wire \soc_top/FE_OFN117_FE_DBTN1_n_6603 ;
+   wire \soc_top/puart/FE_OFN114_n_156 ;
+   wire \soc_top/dmem/FE_OFN113_sram_csb0 ;
+   wire \soc_top/FE_OFN111_n_6604 ;
+   wire \soc_top/FE_OFN110_n_6546 ;
+   wire \soc_top/FE_OFN109_n_6544 ;
+   wire \soc_top/FE_OFN108_n_6438 ;
+   wire \soc_top/FE_OFN107_n_5508 ;
+   wire \soc_top/FE_OFN104_n_5476 ;
+   wire \soc_top/FE_OFN103_n_5475 ;
+   wire \soc_top/FE_OFN100_n_5466 ;
+   wire \soc_top/FE_OFN99_n_5459 ;
+   wire \soc_top/FE_OFN96_n_5439 ;
+   wire \soc_top/FE_OFN93_n_2923 ;
+   wire \soc_top/FE_OFN92_n_2127 ;
+   wire \soc_top/FE_OFN91_n_2125 ;
+   wire \soc_top/FE_OFN90_n_2035 ;
+   wire \soc_top/FE_OFN89_n_382 ;
+   wire \soc_top/FE_OFN88_gen_imem_host_startWBTransaction ;
+   wire \soc_top/FE_OFN87_gen_dmem_host_startWBTransaction ;
+   wire \soc_top/FE_OFN86_core_id_reg_ctl_aluSrc ;
+   wire \soc_top/FE_OFN85_core_ex_reg_ctl_memRead ;
+   wire \soc_top/core_InstructionDecode_control/FE_OFN84_core_InstructionDecode_control_io_branch ;
+   wire \soc_top/FE_OFN83_core_io_dmemReq_bits_addrRequest_0 ;
+   wire \soc_top/FE_OFN82_core_io_dmemReq_bits_addrRequest_1 ;
+   wire \soc_top/FE_OFN81_core_io_dmemReq_bits_addrRequest_2 ;
+   wire \soc_top/FE_OFN80_core_io_dmemReq_bits_addrRequest_5 ;
+   wire \soc_top/FE_OFN76_core_InstructionDecode_io_writeData_0 ;
+   wire \soc_top/FE_OFN75_core_InstructionDecode_io_writeData_1 ;
+   wire \soc_top/FE_OFN74_core_InstructionDecode_io_writeData_3 ;
+   wire \soc_top/FE_OFN73_core_InstructionDecode_io_writeData_6 ;
+   wire \soc_top/FE_OFN72_core_InstructionDecode_io_writeData_7 ;
+   wire \soc_top/FE_OFN71_imem_io_rsp_bits_dataResponse_0 ;
+   wire \soc_top/FE_OFN70_imem_io_rsp_bits_dataResponse_1 ;
+   wire \soc_top/FE_OFN69_imem_io_rsp_bits_dataResponse_2 ;
+   wire \soc_top/FE_OFN68_imem_io_rsp_bits_dataResponse_3 ;
+   wire \soc_top/FE_OFN67_imem_io_rsp_bits_dataResponse_4 ;
+   wire \soc_top/FE_OFN66_imem_io_rsp_bits_dataResponse_5 ;
+   wire \soc_top/FE_OFN65_imem_io_rsp_bits_dataResponse_6 ;
+   wire \soc_top/FE_OFN64_imem_io_rsp_bits_dataResponse_7 ;
+   wire \soc_top/FE_OFN63_imem_io_rsp_bits_dataResponse_12 ;
+   wire \soc_top/FE_OFN62_dmem_io_rsp_bits_dataResponse_0 ;
+   wire \soc_top/FE_OFN61_dmem_io_rsp_bits_dataResponse_1 ;
+   wire \soc_top/FE_OFN60_dmem_io_rsp_bits_dataResponse_2 ;
+   wire \soc_top/FE_OFN59_dmem_io_rsp_bits_dataResponse_3 ;
+   wire \soc_top/FE_OFN57_dmem_io_rsp_bits_dataResponse_6 ;
+   wire \soc_top/FE_OFN56_dmem_io_rsp_bits_dataResponse_8 ;
+   wire \soc_top/FE_OFN55_dmem_io_rsp_bits_dataResponse_9 ;
+   wire \soc_top/FE_OFN54_dmem_io_rsp_bits_dataResponse_25 ;
+   wire \soc_top/FE_OFN53_core_if_reg_ins_14 ;
+   wire \soc_top/FE_OFN52_core_if_reg_ins_15 ;
+   wire \soc_top/FE_OFN51_core_if_reg_ins_16 ;
+   wire \soc_top/FE_OFN50_core_if_reg_ins_17 ;
+   wire \soc_top/FE_OFN49_core_if_reg_ins_18 ;
+   wire \soc_top/FE_OFN48_core_if_reg_ins_19 ;
+   wire \soc_top/FE_OFN47_core_if_reg_ins_20 ;
+   wire \soc_top/FE_OFN46_core_if_reg_ins_21 ;
+   wire \soc_top/FE_OFN45_core_if_reg_ins_22 ;
+   wire \soc_top/FE_OFN44_core_if_reg_ins_23 ;
+   wire \soc_top/FE_OFN43_core_if_reg_ins_24 ;
+   wire FE_OFN39_io_out_22;
+   wire FE_OFN38_io_out_23;
+   wire FE_OFN37_io_out_25;
+   wire FE_OFN36_io_out_26;
+   wire FE_OFN35_io_out_27;
+   wire FE_OFN33_io_out_29;
+   wire FE_OFN32_io_out_30;
+   wire FE_OFN31_io_out_31;
+   wire FE_OFN30_io_out_33;
+   wire FE_OFN29_io_out_34;
+   wire FE_OFN28_io_out_35;
+   wire FE_OFN27_io_out_36;
+   wire FE_OFN26_io_out_37;
+   wire \soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ;
+   wire \soc_top/FE_OFN24_wb_rst_i ;
+   wire \soc_top/FE_OFN23_wb_rst_i ;
+   wire \soc_top/FE_OFN22_wb_rst_i ;
+   wire \soc_top/FE_OFN21_wb_rst_i ;
+   wire \soc_top/FE_OFN20_wb_rst_i ;
+   wire \soc_top/FE_OFN19_wb_rst_i ;
+   wire \soc_top/FE_OFN18_wb_rst_i ;
+   wire \soc_top/FE_OFN17_wb_rst_i ;
+   wire \soc_top/FE_OFN16_wb_rst_i ;
+   wire \soc_top/FE_OFN15_wb_rst_i ;
+   wire \soc_top/FE_OFN14_wb_rst_i ;
+   wire \soc_top/FE_OFN13_wb_rst_i ;
+   wire \soc_top/FE_OFN12_wb_rst_i ;
+   wire \soc_top/FE_OFN11_wb_rst_i ;
+   wire \soc_top/FE_OFN10_wb_rst_i ;
+   wire \soc_top/FE_OFN9_wb_rst_i ;
+   wire \soc_top/FE_OFN8_wb_rst_i ;
+   wire \soc_top/FE_OFN7_wb_rst_i ;
+   wire \soc_top/FE_OFN6_wb_rst_i ;
+   wire \soc_top/FE_OFN5_wb_rst_i ;
+   wire \soc_top/FE_OFN4_wb_rst_i ;
+   wire \soc_top/FE_OFN3_wb_rst_i ;
+   wire \soc_top/FE_OFN2_wb_rst_i ;
+   wire \soc_top/FE_OFN1_wb_rst_i ;
+   wire \soc_top/FE_OFN0_wb_rst_i ;
+   wire \soc_top/FE_DBTN13_wb_rst_i ;
+   wire \soc_top/FE_DBTN12_core_mem_reg_ins_11 ;
+   wire \soc_top/FE_DBTN11_core_id_reg_ins_11 ;
+   wire \soc_top/FE_DBTN10_core_if_reg_ins_24 ;
+   wire \soc_top/FE_DBTN9_core_if_reg_ins_22 ;
+   wire \soc_top/FE_DBTN8_core_if_reg_ins_21 ;
+   wire \soc_top/FE_DBTN7_core_if_reg_ins_20 ;
+   wire \soc_top/FE_DBTN6_core_if_reg_ins_19 ;
+   wire \soc_top/FE_DBTN5_core_if_reg_ins_17 ;
+   wire \soc_top/FE_DBTN4_core_if_reg_ins_16 ;
+   wire \soc_top/FE_DBTN3_core_if_reg_ins_15 ;
+   wire \soc_top/FE_DBTN2_n_5938 ;
+   wire \soc_top/FE_DBTN0_n_5944 ;
+   wire [31:0] gpio_oe;
+   wire \soc_top/core_ex_reg_ins[14] ;
+   wire \soc_top/core_ex_reg_ins[13] ;
+   wire \soc_top/core_ex_reg_ins[12] ;
+   wire \soc_top/core_ex_reg_ins[11] ;
+   wire \soc_top/core_ex_reg_ins[10] ;
+   wire \soc_top/core_ex_reg_ins[9] ;
+   wire \soc_top/core_ex_reg_ins[8] ;
+   wire \soc_top/core_ex_reg_ins[7] ;
+   wire \soc_top/core_mem_reg_ins[11] ;
+   wire \soc_top/core_mem_reg_ins[10] ;
+   wire \soc_top/core_mem_reg_ins[9] ;
+   wire \soc_top/core_mem_reg_ins[8] ;
+   wire \soc_top/core_mem_reg_ins[7] ;
+   wire \soc_top/core_id_reg_ins[24] ;
+   wire \soc_top/core_id_reg_ins[23] ;
+   wire \soc_top/core_id_reg_ins[22] ;
+   wire \soc_top/core_id_reg_ins[21] ;
+   wire \soc_top/core_id_reg_ins[20] ;
+   wire \soc_top/core_id_reg_ins[19] ;
+   wire \soc_top/core_id_reg_ins[18] ;
+   wire \soc_top/core_id_reg_ins[17] ;
+   wire \soc_top/core_id_reg_ins[16] ;
+   wire \soc_top/core_id_reg_ins[15] ;
+   wire \soc_top/core_id_reg_ins[11] ;
+   wire \soc_top/core_id_reg_ins[10] ;
+   wire \soc_top/core_id_reg_ins[9] ;
+   wire \soc_top/core_id_reg_ins[8] ;
+   wire \soc_top/core_id_reg_ins[7] ;
+   wire \soc_top/core_id_reg_ins[6] ;
+   wire \soc_top/core_id_reg_ins[5] ;
+   wire \soc_top/core_id_reg_ins[4] ;
+   wire \soc_top/core_id_reg_ins[3] ;
+   wire \soc_top/core_id_reg_ins[2] ;
+   wire \soc_top/core_id_reg_ins[1] ;
+   wire \soc_top/core_id_reg_ins[0] ;
+   wire \soc_top/core_Execute_ForwardingUnit_io_forwardA[1] ;
+   wire \soc_top/core_Execute_ForwardingUnit_io_forwardA[0] ;
+   wire \soc_top/core_Execute_ForwardingUnit_io_forwardB[1] ;
+   wire \soc_top/core_Execute_ForwardingUnit_io_forwardB[0] ;
+   wire \soc_top/core_id_reg_ctl_aluOp[1] ;
+   wire \soc_top/core_id_reg_f3[2] ;
+   wire \soc_top/core_id_reg_f3[1] ;
+   wire \soc_top/core_id_reg_f3[0] ;
+   wire \soc_top/core_Execute_aluCtl_io_out[3] ;
+   wire \soc_top/core_Execute_aluCtl_io_out[2] ;
+   wire \soc_top/core_Execute_aluCtl_io_out[1] ;
+   wire \soc_top/core_Execute_aluCtl_io_out[0] ;
+   wire \soc_top/core_if_reg_ins[31] ;
+   wire \soc_top/core_if_reg_ins[30] ;
+   wire \soc_top/core_if_reg_ins[29] ;
+   wire \soc_top/core_if_reg_ins[28] ;
+   wire \soc_top/core_if_reg_ins[27] ;
+   wire \soc_top/core_if_reg_ins[26] ;
+   wire \soc_top/core_if_reg_ins[25] ;
+   wire \soc_top/core_if_reg_ins[24] ;
+   wire \soc_top/core_if_reg_ins[23] ;
+   wire \soc_top/core_if_reg_ins[22] ;
+   wire \soc_top/core_if_reg_ins[21] ;
+   wire \soc_top/core_if_reg_ins[20] ;
+   wire \soc_top/core_if_reg_ins[19] ;
+   wire \soc_top/core_if_reg_ins[18] ;
+   wire \soc_top/core_if_reg_ins[17] ;
+   wire \soc_top/core_if_reg_ins[16] ;
+   wire \soc_top/core_if_reg_ins[15] ;
+   wire \soc_top/core_if_reg_ins[14] ;
+   wire \soc_top/core_if_reg_ins[13] ;
+   wire \soc_top/core_if_reg_ins[12] ;
+   wire \soc_top/core_if_reg_ins[11] ;
+   wire \soc_top/core_if_reg_ins[10] ;
+   wire \soc_top/core_if_reg_ins[9] ;
+   wire \soc_top/core_if_reg_ins[8] ;
+   wire \soc_top/core_if_reg_ins[7] ;
+   wire \soc_top/core_if_reg_ins[6] ;
+   wire \soc_top/core_if_reg_ins[5] ;
+   wire \soc_top/core_if_reg_ins[4] ;
+   wire \soc_top/core_if_reg_ins[3] ;
+   wire \soc_top/core_if_reg_ins[2] ;
+   wire \soc_top/core_if_reg_ins[1] ;
+   wire \soc_top/core_if_reg_ins[0] ;
+   wire \soc_top/core_InstructionDecode_io_ctl_memToReg[1] ;
+   wire \soc_top/core_InstructionDecode_io_ctl_memToReg[0] ;
+   wire \soc_top/core_InstructionDecode_io_ctl_aluOp[1] ;
+   wire \soc_top/core_InstructionDecode_control_io_jump[1] ;
+   wire \soc_top/core_InstructionDecode_control_io_jump[0] ;
+   wire \soc_top/core_InstructionDecode_io_ctl_aluSrc1[1] ;
+   wire \soc_top/core_InstructionDecode_io_ctl_aluSrc1[0] ;
+   wire \soc_top/dmem_io_rsp_bits_dataResponse[31] ;
+   wire \soc_top/dmem_io_rsp_bits_dataResponse[30] ;
+   wire \soc_top/dmem_io_rsp_bits_dataResponse[29] ;
+   wire \soc_top/dmem_io_rsp_bits_dataResponse[28] ;
+   wire \soc_top/dmem_io_rsp_bits_dataResponse[27] ;
+   wire \soc_top/dmem_io_rsp_bits_dataResponse[26] ;
+   wire \soc_top/dmem_io_rsp_bits_dataResponse[25] ;
+   wire \soc_top/dmem_io_rsp_bits_dataResponse[24] ;
+   wire \soc_top/dmem_io_rsp_bits_dataResponse[23] ;
+   wire \soc_top/dmem_io_rsp_bits_dataResponse[22] ;
+   wire \soc_top/dmem_io_rsp_bits_dataResponse[21] ;
+   wire \soc_top/dmem_io_rsp_bits_dataResponse[20] ;
+   wire \soc_top/dmem_io_rsp_bits_dataResponse[19] ;
+   wire \soc_top/dmem_io_rsp_bits_dataResponse[18] ;
+   wire \soc_top/dmem_io_rsp_bits_dataResponse[17] ;
+   wire \soc_top/dmem_io_rsp_bits_dataResponse[16] ;
+   wire \soc_top/dmem_io_rsp_bits_dataResponse[15] ;
+   wire \soc_top/dmem_io_rsp_bits_dataResponse[14] ;
+   wire \soc_top/dmem_io_rsp_bits_dataResponse[13] ;
+   wire \soc_top/dmem_io_rsp_bits_dataResponse[12] ;
+   wire \soc_top/dmem_io_rsp_bits_dataResponse[11] ;
+   wire \soc_top/dmem_io_rsp_bits_dataResponse[10] ;
+   wire \soc_top/dmem_io_rsp_bits_dataResponse[9] ;
+   wire \soc_top/dmem_io_rsp_bits_dataResponse[8] ;
+   wire \soc_top/dmem_io_rsp_bits_dataResponse[7] ;
+   wire \soc_top/dmem_io_rsp_bits_dataResponse[6] ;
+   wire \soc_top/dmem_io_rsp_bits_dataResponse[5] ;
+   wire \soc_top/dmem_io_rsp_bits_dataResponse[4] ;
+   wire \soc_top/dmem_io_rsp_bits_dataResponse[3] ;
+   wire \soc_top/dmem_io_rsp_bits_dataResponse[2] ;
+   wire \soc_top/dmem_io_rsp_bits_dataResponse[1] ;
+   wire \soc_top/dmem_io_rsp_bits_dataResponse[0] ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg_io_qs[31] ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg_io_qs[30] ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg_io_qs[29] ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg_io_qs[28] ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg_io_qs[27] ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg_io_qs[26] ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg_io_qs[25] ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg_io_qs[24] ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg_io_qs[23] ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg_io_qs[22] ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg_io_qs[21] ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg_io_qs[20] ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg_io_qs[19] ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg_io_qs[18] ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg_io_qs[17] ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg_io_qs[16] ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg_io_qs[15] ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg_io_qs[14] ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg_io_qs[13] ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg_io_qs[12] ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg_io_qs[11] ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg_io_qs[10] ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg_io_qs[9] ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg_io_qs[8] ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg_io_qs[7] ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg_io_qs[6] ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg_io_qs[5] ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg_io_qs[4] ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg_io_qs[3] ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg_io_qs[2] ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg_io_qs[1] ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg_io_qs[0] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[31] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[30] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[29] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[28] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[27] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[26] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[25] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[24] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[23] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[22] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[21] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[20] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[19] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[18] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[17] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[16] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[15] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[14] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[13] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[12] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[11] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[10] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[9] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[8] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[7] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[6] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[5] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[4] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[3] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[2] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[1] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[0] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[31] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[30] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[29] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[28] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[27] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[26] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[25] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[24] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[23] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[22] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[21] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[20] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[19] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[18] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[17] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[16] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[15] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[14] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[13] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[12] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[11] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[10] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[9] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[8] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[7] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[6] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[5] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[4] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[3] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[2] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[1] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[0] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[31] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[30] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[29] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[28] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[27] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[26] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[25] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[24] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[23] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[22] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[21] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[20] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[19] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[18] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[17] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[16] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[15] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[14] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[13] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[12] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[11] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[10] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[9] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[8] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[7] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[6] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[5] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[4] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[3] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[2] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[1] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[0] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[31] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[30] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[29] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[28] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[27] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[26] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[25] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[24] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[23] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[22] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[21] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[20] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[19] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[18] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[17] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[16] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[15] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[14] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[13] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[12] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[11] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[10] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[9] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[8] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[7] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[6] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[5] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[4] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[3] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[2] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[1] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[0] ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[31] ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[30] ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[29] ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[28] ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[27] ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[26] ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[25] ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[24] ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[23] ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[22] ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[21] ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[20] ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[19] ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[18] ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[17] ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[16] ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[15] ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[14] ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[13] ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[12] ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[11] ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[10] ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[9] ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[8] ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[7] ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[6] ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[5] ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[4] ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[3] ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[2] ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[1] ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[0] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[31] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[30] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[29] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[28] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[27] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[26] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[25] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[24] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[23] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[22] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[21] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[20] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[19] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[18] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[17] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[16] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[15] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[14] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[13] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[12] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[11] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[10] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[9] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[8] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[7] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[6] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[5] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[4] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[3] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[2] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[1] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[0] ;
+   wire \soc_top/imem_io_rsp_bits_dataResponse[31] ;
+   wire \soc_top/imem_io_rsp_bits_dataResponse[30] ;
+   wire \soc_top/imem_io_rsp_bits_dataResponse[29] ;
+   wire \soc_top/imem_io_rsp_bits_dataResponse[28] ;
+   wire \soc_top/imem_io_rsp_bits_dataResponse[27] ;
+   wire \soc_top/imem_io_rsp_bits_dataResponse[26] ;
+   wire \soc_top/imem_io_rsp_bits_dataResponse[25] ;
+   wire \soc_top/imem_io_rsp_bits_dataResponse[24] ;
+   wire \soc_top/imem_io_rsp_bits_dataResponse[23] ;
+   wire \soc_top/imem_io_rsp_bits_dataResponse[22] ;
+   wire \soc_top/imem_io_rsp_bits_dataResponse[21] ;
+   wire \soc_top/imem_io_rsp_bits_dataResponse[20] ;
+   wire \soc_top/imem_io_rsp_bits_dataResponse[19] ;
+   wire \soc_top/imem_io_rsp_bits_dataResponse[18] ;
+   wire \soc_top/imem_io_rsp_bits_dataResponse[17] ;
+   wire \soc_top/imem_io_rsp_bits_dataResponse[16] ;
+   wire \soc_top/imem_io_rsp_bits_dataResponse[15] ;
+   wire \soc_top/imem_io_rsp_bits_dataResponse[14] ;
+   wire \soc_top/imem_io_rsp_bits_dataResponse[13] ;
+   wire \soc_top/imem_io_rsp_bits_dataResponse[12] ;
+   wire \soc_top/imem_io_rsp_bits_dataResponse[11] ;
+   wire \soc_top/imem_io_rsp_bits_dataResponse[10] ;
+   wire \soc_top/imem_io_rsp_bits_dataResponse[9] ;
+   wire \soc_top/imem_io_rsp_bits_dataResponse[8] ;
+   wire \soc_top/imem_io_rsp_bits_dataResponse[7] ;
+   wire \soc_top/imem_io_rsp_bits_dataResponse[6] ;
+   wire \soc_top/imem_io_rsp_bits_dataResponse[5] ;
+   wire \soc_top/imem_io_rsp_bits_dataResponse[4] ;
+   wire \soc_top/imem_io_rsp_bits_dataResponse[3] ;
+   wire \soc_top/imem_io_rsp_bits_dataResponse[2] ;
+   wire \soc_top/imem_io_rsp_bits_dataResponse[1] ;
+   wire \soc_top/imem_io_rsp_bits_dataResponse[0] ;
+   wire \soc_top/puart_io_rx_data_o[31] ;
+   wire \soc_top/puart_io_rx_data_o[30] ;
+   wire \soc_top/puart_io_rx_data_o[29] ;
+   wire \soc_top/puart_io_rx_data_o[28] ;
+   wire \soc_top/puart_io_rx_data_o[27] ;
+   wire \soc_top/puart_io_rx_data_o[26] ;
+   wire \soc_top/puart_io_rx_data_o[25] ;
+   wire \soc_top/puart_io_rx_data_o[24] ;
+   wire \soc_top/puart_io_rx_data_o[23] ;
+   wire \soc_top/puart_io_rx_data_o[22] ;
+   wire \soc_top/puart_io_rx_data_o[21] ;
+   wire \soc_top/puart_io_rx_data_o[20] ;
+   wire \soc_top/puart_io_rx_data_o[19] ;
+   wire \soc_top/puart_io_rx_data_o[18] ;
+   wire \soc_top/puart_io_rx_data_o[17] ;
+   wire \soc_top/puart_io_rx_data_o[16] ;
+   wire \soc_top/puart_io_rx_data_o[15] ;
+   wire \soc_top/puart_io_rx_data_o[14] ;
+   wire \soc_top/puart_io_rx_data_o[13] ;
+   wire \soc_top/puart_io_rx_data_o[12] ;
+   wire \soc_top/puart_io_rx_data_o[11] ;
+   wire \soc_top/puart_io_rx_data_o[10] ;
+   wire \soc_top/puart_io_rx_data_o[9] ;
+   wire \soc_top/puart_io_rx_data_o[8] ;
+   wire \soc_top/puart_io_rx_data_o[7] ;
+   wire \soc_top/puart_io_rx_data_o[6] ;
+   wire \soc_top/puart_io_rx_data_o[5] ;
+   wire \soc_top/puart_io_rx_data_o[4] ;
+   wire \soc_top/puart_io_rx_data_o[3] ;
+   wire \soc_top/puart_io_rx_data_o[2] ;
+   wire \soc_top/puart_io_rx_data_o[1] ;
+   wire \soc_top/puart_io_rx_data_o[0] ;
+   wire \soc_top/puart_io_addr_o[5] ;
+   wire \soc_top/puart_io_addr_o[4] ;
+   wire \soc_top/puart_io_addr_o[3] ;
+   wire \soc_top/puart_io_addr_o[2] ;
+   wire \soc_top/puart_io_addr_o[1] ;
+   wire \soc_top/puart_io_addr_o[0] ;
+   wire \soc_top/switch_io_devIn_2_bits_dat[31] ;
+   wire \soc_top/core_MEM_offset[1] ;
+   wire \soc_top/core_MEM_offset[0] ;
+   wire \soc_top/core_mem_reg_ctl_memToReg[1] ;
+   wire \soc_top/core_mem_reg_ctl_memToReg[0] ;
+   wire \soc_top/core_mem_reg_wra[4] ;
+   wire \soc_top/core_mem_reg_wra[3] ;
+   wire \soc_top/core_mem_reg_wra[2] ;
+   wire \soc_top/core_mem_reg_wra[1] ;
+   wire \soc_top/core_mem_reg_wra[0] ;
+   wire \soc_top/core_InstructionDecode_io_readData1[31] ;
+   wire \soc_top/core_InstructionDecode_io_readData1[30] ;
+   wire \soc_top/core_InstructionDecode_io_readData1[29] ;
+   wire \soc_top/core_InstructionDecode_io_readData1[28] ;
+   wire \soc_top/core_InstructionDecode_io_readData1[27] ;
+   wire \soc_top/core_InstructionDecode_io_readData1[26] ;
+   wire \soc_top/core_InstructionDecode_io_readData1[25] ;
+   wire \soc_top/core_InstructionDecode_io_readData1[24] ;
+   wire \soc_top/core_InstructionDecode_io_readData1[23] ;
+   wire \soc_top/core_InstructionDecode_io_readData1[22] ;
+   wire \soc_top/core_InstructionDecode_io_readData1[21] ;
+   wire \soc_top/core_InstructionDecode_io_readData1[20] ;
+   wire \soc_top/core_InstructionDecode_io_readData1[19] ;
+   wire \soc_top/core_InstructionDecode_io_readData1[18] ;
+   wire \soc_top/core_InstructionDecode_io_readData1[17] ;
+   wire \soc_top/core_InstructionDecode_io_readData1[16] ;
+   wire \soc_top/core_InstructionDecode_io_readData1[15] ;
+   wire \soc_top/core_InstructionDecode_io_readData1[14] ;
+   wire \soc_top/core_InstructionDecode_io_readData1[13] ;
+   wire \soc_top/core_InstructionDecode_io_readData1[12] ;
+   wire \soc_top/core_InstructionDecode_io_readData1[11] ;
+   wire \soc_top/core_InstructionDecode_io_readData1[10] ;
+   wire \soc_top/core_InstructionDecode_io_readData1[9] ;
+   wire \soc_top/core_InstructionDecode_io_readData1[8] ;
+   wire \soc_top/core_InstructionDecode_io_readData1[7] ;
+   wire \soc_top/core_InstructionDecode_io_readData1[6] ;
+   wire \soc_top/core_InstructionDecode_io_readData1[5] ;
+   wire \soc_top/core_InstructionDecode_io_readData1[4] ;
+   wire \soc_top/core_InstructionDecode_io_readData1[3] ;
+   wire \soc_top/core_InstructionDecode_io_readData1[2] ;
+   wire \soc_top/core_InstructionDecode_io_readData1[1] ;
+   wire \soc_top/core_InstructionDecode_io_readData1[0] ;
+   wire \soc_top/core_InstructionDecode_io_readData2[30] ;
+   wire \soc_top/core_InstructionDecode_io_readData2[29] ;
+   wire \soc_top/core_InstructionDecode_io_readData2[27] ;
+   wire \soc_top/core_InstructionDecode_io_readData2[26] ;
+   wire \soc_top/core_InstructionDecode_io_readData2[25] ;
+   wire \soc_top/core_InstructionDecode_io_readData2[22] ;
+   wire \soc_top/core_InstructionDecode_io_readData2[21] ;
+   wire \soc_top/core_InstructionDecode_io_readData2[15] ;
+   wire \soc_top/core_InstructionDecode_io_readData2[14] ;
+   wire \soc_top/core_InstructionDecode_io_readData2[13] ;
+   wire \soc_top/core_InstructionDecode_io_readData2[12] ;
+   wire \soc_top/core_InstructionDecode_io_readData2[10] ;
+   wire \soc_top/core_InstructionDecode_io_readData2[7] ;
+   wire \soc_top/core_InstructionDecode_io_readData2[6] ;
+   wire \soc_top/core_InstructionDecode_io_readData2[5] ;
+   wire \soc_top/core_InstructionDecode_io_readData2[4] ;
+   wire \soc_top/core_InstructionDecode_io_readData2[3] ;
+   wire \soc_top/core_InstructionDecode_io_readData2[2] ;
+   wire \soc_top/core_InstructionDecode_io_readData2[1] ;
+   wire \soc_top/core_InstructionDecode_io_readData2[0] ;
+   wire \soc_top/core_InstructionDecode_io_writeData[31] ;
+   wire \soc_top/core_InstructionDecode_io_writeData[30] ;
+   wire \soc_top/core_InstructionDecode_io_writeData[29] ;
+   wire \soc_top/core_InstructionDecode_io_writeData[28] ;
+   wire \soc_top/core_InstructionDecode_io_writeData[27] ;
+   wire \soc_top/core_InstructionDecode_io_writeData[26] ;
+   wire \soc_top/core_InstructionDecode_io_writeData[25] ;
+   wire \soc_top/core_InstructionDecode_io_writeData[24] ;
+   wire \soc_top/core_InstructionDecode_io_writeData[23] ;
+   wire \soc_top/core_InstructionDecode_io_writeData[22] ;
+   wire \soc_top/core_InstructionDecode_io_writeData[21] ;
+   wire \soc_top/core_InstructionDecode_io_writeData[20] ;
+   wire \soc_top/core_InstructionDecode_io_writeData[19] ;
+   wire \soc_top/core_InstructionDecode_io_writeData[18] ;
+   wire \soc_top/core_InstructionDecode_io_writeData[17] ;
+   wire \soc_top/core_InstructionDecode_io_writeData[16] ;
+   wire \soc_top/core_InstructionDecode_io_writeData[15] ;
+   wire \soc_top/core_InstructionDecode_io_writeData[14] ;
+   wire \soc_top/core_InstructionDecode_io_writeData[13] ;
+   wire \soc_top/core_InstructionDecode_io_writeData[12] ;
+   wire \soc_top/core_InstructionDecode_io_writeData[11] ;
+   wire \soc_top/core_InstructionDecode_io_writeData[10] ;
+   wire \soc_top/core_InstructionDecode_io_writeData[9] ;
+   wire \soc_top/core_InstructionDecode_io_writeData[8] ;
+   wire \soc_top/core_InstructionDecode_io_writeData[7] ;
+   wire \soc_top/core_InstructionDecode_io_writeData[6] ;
+   wire \soc_top/core_InstructionDecode_io_writeData[3] ;
+   wire \soc_top/core_InstructionDecode_io_writeData[1] ;
+   wire \soc_top/core_InstructionDecode_io_writeData[0] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_1[31] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_1[30] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_1[29] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_1[28] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_1[27] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_1[26] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_1[25] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_1[24] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_1[23] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_1[22] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_1[21] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_1[20] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_1[19] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_1[18] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_1[17] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_1[16] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_1[15] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_1[14] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_1[13] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_1[12] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_1[11] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_1[10] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_1[9] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_1[8] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_1[7] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_1[6] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_1[5] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_1[4] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_1[3] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_1[2] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_1[1] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_1[0] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_27[31] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_27[30] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_27[29] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_27[28] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_27[27] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_27[26] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_27[25] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_27[24] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_27[23] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_27[22] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_27[21] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_27[20] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_27[19] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_27[18] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_27[17] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_27[16] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_27[15] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_27[14] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_27[13] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_27[12] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_27[11] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_27[10] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_27[9] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_27[8] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_27[7] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_27[6] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_27[5] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_27[4] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_27[3] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_27[2] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_27[1] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_27[0] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_25[31] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_25[30] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_25[29] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_25[28] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_25[27] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_25[26] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_25[25] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_25[24] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_25[23] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_25[22] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_25[21] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_25[20] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_25[19] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_25[18] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_25[17] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_25[16] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_25[15] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_25[14] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_25[13] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_25[12] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_25[11] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_25[10] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_25[9] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_25[8] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_25[7] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_25[6] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_25[5] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_25[4] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_25[3] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_25[2] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_25[1] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_25[0] ;
+   wire \soc_top/core_io_dmemReq_bits_addrRequest[31] ;
+   wire \soc_top/core_io_dmemReq_bits_addrRequest[30] ;
+   wire \soc_top/core_io_dmemReq_bits_addrRequest[29] ;
+   wire \soc_top/core_io_dmemReq_bits_addrRequest[28] ;
+   wire \soc_top/core_io_dmemReq_bits_addrRequest[27] ;
+   wire \soc_top/core_io_dmemReq_bits_addrRequest[26] ;
+   wire \soc_top/core_io_dmemReq_bits_addrRequest[25] ;
+   wire \soc_top/core_io_dmemReq_bits_addrRequest[24] ;
+   wire \soc_top/core_io_dmemReq_bits_addrRequest[23] ;
+   wire \soc_top/core_io_dmemReq_bits_addrRequest[22] ;
+   wire \soc_top/core_io_dmemReq_bits_addrRequest[21] ;
+   wire \soc_top/core_io_dmemReq_bits_addrRequest[20] ;
+   wire \soc_top/core_io_dmemReq_bits_addrRequest[19] ;
+   wire \soc_top/core_io_dmemReq_bits_addrRequest[18] ;
+   wire \soc_top/core_io_dmemReq_bits_addrRequest[17] ;
+   wire \soc_top/core_io_dmemReq_bits_addrRequest[16] ;
+   wire \soc_top/core_io_dmemReq_bits_addrRequest[15] ;
+   wire \soc_top/core_io_dmemReq_bits_addrRequest[14] ;
+   wire \soc_top/core_io_dmemReq_bits_addrRequest[13] ;
+   wire \soc_top/core_io_dmemReq_bits_addrRequest[12] ;
+   wire \soc_top/core_io_dmemReq_bits_addrRequest[11] ;
+   wire \soc_top/core_io_dmemReq_bits_addrRequest[10] ;
+   wire \soc_top/core_io_dmemReq_bits_addrRequest[9] ;
+   wire \soc_top/core_io_dmemReq_bits_addrRequest[8] ;
+   wire \soc_top/core_io_dmemReq_bits_addrRequest[7] ;
+   wire \soc_top/core_io_dmemReq_bits_addrRequest[6] ;
+   wire \soc_top/core_io_dmemReq_bits_addrRequest[5] ;
+   wire \soc_top/core_io_dmemReq_bits_addrRequest[4] ;
+   wire \soc_top/core_io_dmemReq_bits_addrRequest[3] ;
+   wire \soc_top/core_io_dmemReq_bits_addrRequest[2] ;
+   wire \soc_top/core_io_dmemReq_bits_addrRequest[1] ;
+   wire \soc_top/core_io_dmemReq_bits_addrRequest[0] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_17[31] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_17[30] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_17[29] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_17[28] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_17[27] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_17[26] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_17[25] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_17[24] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_17[23] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_17[22] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_17[21] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_17[20] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_17[19] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_17[18] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_17[17] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_17[16] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_17[15] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_17[14] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_17[13] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_17[12] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_17[11] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_17[10] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_17[9] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_17[8] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_17[7] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_17[6] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_17[5] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_17[4] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_17[3] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_17[2] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_17[1] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_17[0] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_14[31] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_14[30] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_14[29] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_14[28] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_14[27] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_14[26] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_14[25] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_14[24] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_14[23] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_14[22] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_14[21] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_14[20] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_14[19] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_14[18] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_14[17] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_14[16] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_14[15] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_14[14] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_14[13] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_14[12] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_14[11] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_14[10] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_14[9] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_14[8] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_14[7] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_14[6] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_14[5] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_14[4] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_14[3] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_14[2] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_14[1] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_14[0] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_10[31] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_10[30] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_10[29] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_10[28] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_10[27] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_10[26] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_10[25] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_10[24] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_10[23] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_10[22] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_10[21] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_10[20] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_10[19] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_10[18] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_10[17] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_10[16] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_10[15] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_10[14] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_10[13] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_10[12] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_10[11] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_10[10] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_10[9] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_10[8] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_10[7] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_10[6] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_10[5] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_10[4] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_10[3] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_10[2] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_10[1] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_10[0] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_31[31] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_31[30] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_31[29] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_31[28] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_31[27] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_31[26] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_31[25] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_31[24] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_31[23] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_31[22] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_31[21] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_31[20] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_31[19] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_31[18] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_31[17] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_31[16] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_31[15] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_31[14] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_31[13] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_31[12] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_31[11] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_31[10] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_31[9] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_31[8] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_31[7] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_31[6] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_31[5] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_31[4] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_31[3] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_31[2] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_31[1] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_31[0] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_15[31] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_15[30] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_15[29] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_15[28] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_15[27] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_15[26] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_15[25] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_15[24] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_15[23] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_15[22] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_15[21] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_15[20] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_15[19] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_15[18] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_15[17] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_15[16] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_15[15] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_15[14] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_15[13] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_15[12] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_15[11] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_15[10] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_15[9] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_15[8] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_15[7] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_15[6] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_15[5] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_15[4] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_15[3] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_15[2] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_15[1] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_15[0] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_20[31] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_20[30] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_20[29] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_20[28] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_20[27] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_20[26] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_20[25] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_20[24] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_20[23] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_20[22] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_20[21] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_20[20] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_20[19] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_20[18] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_20[17] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_20[16] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_20[15] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_20[14] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_20[13] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_20[12] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_20[11] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_20[10] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_20[9] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_20[8] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_20[7] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_20[6] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_20[5] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_20[4] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_20[3] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_20[2] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_20[1] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_20[0] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_16[31] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_16[30] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_16[29] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_16[28] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_16[27] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_16[26] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_16[25] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_16[24] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_16[23] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_16[22] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_16[21] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_16[20] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_16[19] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_16[18] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_16[17] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_16[16] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_16[15] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_16[14] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_16[13] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_16[12] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_16[11] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_16[10] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_16[9] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_16[8] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_16[7] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_16[6] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_16[5] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_16[4] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_16[3] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_16[2] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_16[1] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_16[0] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_28[31] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_28[30] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_28[29] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_28[28] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_28[27] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_28[26] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_28[25] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_28[24] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_28[23] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_28[22] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_28[21] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_28[20] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_28[19] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_28[18] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_28[17] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_28[16] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_28[15] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_28[14] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_28[13] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_28[12] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_28[11] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_28[10] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_28[9] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_28[8] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_28[7] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_28[6] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_28[5] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_28[4] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_28[3] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_28[2] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_28[1] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_28[0] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_24[31] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_24[30] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_24[29] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_24[28] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_24[27] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_24[26] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_24[25] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_24[24] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_24[23] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_24[22] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_24[21] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_24[20] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_24[19] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_24[18] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_24[17] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_24[16] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_24[15] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_24[14] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_24[13] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_24[12] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_24[11] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_24[10] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_24[9] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_24[8] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_24[7] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_24[6] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_24[5] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_24[4] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_24[3] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_24[2] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_24[1] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_24[0] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_30[31] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_30[30] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_30[29] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_30[28] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_30[27] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_30[26] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_30[25] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_30[24] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_30[23] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_30[22] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_30[21] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_30[20] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_30[19] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_30[18] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_30[17] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_30[16] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_30[15] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_30[14] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_30[13] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_30[12] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_30[11] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_30[10] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_30[9] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_30[8] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_30[7] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_30[6] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_30[5] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_30[4] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_30[3] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_30[2] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_30[1] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_30[0] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_26[31] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_26[30] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_26[29] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_26[28] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_26[27] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_26[26] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_26[25] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_26[24] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_26[23] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_26[22] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_26[21] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_26[20] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_26[19] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_26[18] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_26[17] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_26[16] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_26[15] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_26[14] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_26[13] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_26[12] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_26[11] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_26[10] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_26[9] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_26[8] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_26[7] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_26[6] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_26[5] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_26[4] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_26[3] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_26[2] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_26[1] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_26[0] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_21[31] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_21[30] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_21[29] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_21[28] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_21[27] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_21[26] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_21[25] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_21[24] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_21[23] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_21[22] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_21[21] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_21[20] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_21[19] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_21[18] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_21[17] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_21[16] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_21[15] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_21[14] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_21[13] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_21[12] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_21[11] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_21[10] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_21[9] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_21[8] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_21[7] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_21[6] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_21[5] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_21[4] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_21[3] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_21[2] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_21[1] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_21[0] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_23[31] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_23[30] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_23[29] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_23[28] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_23[27] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_23[26] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_23[25] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_23[24] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_23[23] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_23[22] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_23[21] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_23[20] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_23[19] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_23[18] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_23[17] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_23[16] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_23[15] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_23[14] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_23[13] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_23[12] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_23[11] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_23[10] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_23[9] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_23[8] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_23[7] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_23[6] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_23[5] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_23[4] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_23[3] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_23[2] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_23[1] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_23[0] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_2[31] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_2[30] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_2[29] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_2[28] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_2[27] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_2[26] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_2[25] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_2[24] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_2[23] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_2[22] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_2[21] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_2[20] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_2[19] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_2[18] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_2[17] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_2[16] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_2[15] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_2[14] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_2[13] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_2[12] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_2[11] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_2[10] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_2[9] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_2[8] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_2[7] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_2[6] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_2[5] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_2[4] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_2[3] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_2[2] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_2[1] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_2[0] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_12[31] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_12[30] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_12[29] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_12[28] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_12[27] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_12[26] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_12[25] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_12[24] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_12[23] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_12[22] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_12[21] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_12[20] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_12[19] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_12[18] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_12[17] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_12[16] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_12[15] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_12[14] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_12[13] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_12[12] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_12[11] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_12[10] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_12[9] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_12[8] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_12[7] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_12[6] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_12[5] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_12[4] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_12[3] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_12[2] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_12[1] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_12[0] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_8[31] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_8[30] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_8[29] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_8[28] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_8[27] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_8[26] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_8[25] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_8[24] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_8[23] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_8[22] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_8[21] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_8[20] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_8[19] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_8[18] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_8[17] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_8[16] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_8[15] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_8[14] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_8[13] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_8[12] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_8[11] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_8[10] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_8[9] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_8[8] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_8[7] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_8[6] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_8[5] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_8[4] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_8[3] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_8[2] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_8[1] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_8[0] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_22[31] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_22[30] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_22[29] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_22[28] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_22[27] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_22[26] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_22[25] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_22[24] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_22[23] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_22[22] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_22[21] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_22[20] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_22[19] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_22[18] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_22[17] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_22[16] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_22[15] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_22[14] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_22[13] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_22[12] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_22[11] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_22[10] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_22[9] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_22[8] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_22[7] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_22[6] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_22[5] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_22[4] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_22[3] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_22[2] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_22[1] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_22[0] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_18[31] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_18[30] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_18[29] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_18[28] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_18[27] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_18[26] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_18[25] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_18[24] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_18[23] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_18[22] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_18[21] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_18[20] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_18[19] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_18[18] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_18[17] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_18[16] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_18[15] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_18[14] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_18[13] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_18[12] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_18[11] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_18[10] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_18[9] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_18[8] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_18[7] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_18[6] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_18[5] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_18[4] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_18[3] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_18[2] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_18[1] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_18[0] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_13[31] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_13[30] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_13[29] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_13[28] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_13[27] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_13[26] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_13[25] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_13[24] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_13[23] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_13[22] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_13[21] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_13[20] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_13[19] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_13[18] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_13[17] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_13[16] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_13[15] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_13[14] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_13[13] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_13[12] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_13[11] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_13[10] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_13[9] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_13[8] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_13[7] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_13[6] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_13[5] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_13[4] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_13[3] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_13[2] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_13[1] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_13[0] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_4[31] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_4[30] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_4[29] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_4[28] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_4[27] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_4[26] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_4[25] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_4[24] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_4[23] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_4[22] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_4[21] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_4[20] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_4[19] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_4[18] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_4[17] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_4[16] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_4[15] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_4[14] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_4[13] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_4[12] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_4[11] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_4[10] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_4[9] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_4[8] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_4[7] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_4[6] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_4[5] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_4[4] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_4[3] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_4[2] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_4[1] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_4[0] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_11[31] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_11[30] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_11[29] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_11[28] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_11[27] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_11[26] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_11[25] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_11[24] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_11[23] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_11[22] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_11[21] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_11[20] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_11[19] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_11[18] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_11[17] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_11[16] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_11[15] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_11[14] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_11[13] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_11[12] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_11[11] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_11[10] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_11[9] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_11[8] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_11[7] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_11[6] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_11[5] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_11[4] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_11[3] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_11[2] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_11[1] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_11[0] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_19[31] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_19[30] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_19[29] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_19[28] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_19[27] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_19[26] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_19[25] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_19[24] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_19[23] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_19[22] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_19[21] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_19[20] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_19[19] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_19[18] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_19[17] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_19[16] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_19[15] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_19[14] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_19[13] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_19[12] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_19[11] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_19[10] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_19[9] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_19[8] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_19[7] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_19[6] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_19[5] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_19[4] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_19[3] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_19[2] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_19[1] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_19[0] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_7[31] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_7[30] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_7[29] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_7[28] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_7[27] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_7[26] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_7[25] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_7[24] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_7[23] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_7[22] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_7[21] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_7[20] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_7[19] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_7[18] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_7[17] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_7[16] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_7[15] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_7[14] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_7[13] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_7[12] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_7[11] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_7[10] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_7[9] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_7[8] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_7[7] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_7[6] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_7[5] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_7[4] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_7[3] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_7[2] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_7[1] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_7[0] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_3[31] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_3[30] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_3[29] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_3[28] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_3[27] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_3[26] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_3[25] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_3[24] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_3[23] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_3[22] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_3[21] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_3[20] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_3[19] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_3[18] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_3[17] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_3[16] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_3[15] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_3[14] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_3[13] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_3[12] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_3[11] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_3[10] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_3[9] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_3[8] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_3[7] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_3[6] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_3[5] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_3[4] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_3[3] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_3[2] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_3[1] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_3[0] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_5[31] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_5[30] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_5[29] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_5[28] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_5[27] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_5[26] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_5[25] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_5[24] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_5[23] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_5[22] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_5[21] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_5[20] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_5[19] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_5[18] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_5[17] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_5[16] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_5[15] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_5[14] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_5[13] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_5[12] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_5[11] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_5[10] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_5[9] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_5[8] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_5[7] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_5[6] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_5[5] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_5[4] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_5[3] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_5[2] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_5[1] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_5[0] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_9[31] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_9[30] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_9[29] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_9[28] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_9[27] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_9[26] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_9[25] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_9[24] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_9[23] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_9[22] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_9[21] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_9[20] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_9[19] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_9[18] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_9[17] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_9[16] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_9[15] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_9[14] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_9[13] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_9[12] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_9[11] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_9[10] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_9[9] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_9[8] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_9[7] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_9[6] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_9[5] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_9[4] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_9[3] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_9[2] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_9[1] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_9[0] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_6[31] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_6[30] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_6[29] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_6[28] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_6[27] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_6[26] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_6[25] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_6[24] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_6[23] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_6[22] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_6[21] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_6[20] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_6[19] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_6[18] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_6[17] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_6[16] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_6[15] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_6[14] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_6[13] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_6[12] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_6[11] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_6[10] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_6[9] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_6[8] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_6[7] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_6[6] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_6[5] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_6[4] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_6[3] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_6[2] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_6[1] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_6[0] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_29[31] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_29[30] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_29[29] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_29[28] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_29[27] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_29[26] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_29[25] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_29[24] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_29[23] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_29[22] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_29[21] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_29[20] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_29[19] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_29[18] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_29[17] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_29[16] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_29[15] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_29[14] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_29[13] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_29[12] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_29[11] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_29[10] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_29[9] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_29[8] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_29[7] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_29[6] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_29[5] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_29[4] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_29[3] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_29[2] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_29[1] ;
+   wire \soc_top/core_InstructionDecode_registers_reg_29[0] ;
+   wire \soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[31] ;
+   wire \soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[30] ;
+   wire \soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[29] ;
+   wire \soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[28] ;
+   wire \soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[27] ;
+   wire \soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[26] ;
+   wire \soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[25] ;
+   wire \soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[24] ;
+   wire \soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[23] ;
+   wire \soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[22] ;
+   wire \soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[21] ;
+   wire \soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[20] ;
+   wire \soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[19] ;
+   wire \soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[18] ;
+   wire \soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[17] ;
+   wire \soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[16] ;
+   wire \soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[15] ;
+   wire \soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[14] ;
+   wire \soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[13] ;
+   wire \soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[12] ;
+   wire \soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[11] ;
+   wire \soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[10] ;
+   wire \soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[9] ;
+   wire \soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[8] ;
+   wire \soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[7] ;
+   wire \soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[6] ;
+   wire \soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[5] ;
+   wire \soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[4] ;
+   wire \soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[3] ;
+   wire \soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[2] ;
+   wire \soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[1] ;
+   wire \soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[0] ;
+   wire \soc_top/core_mem_reg_result[31] ;
+   wire \soc_top/core_mem_reg_result[30] ;
+   wire \soc_top/core_mem_reg_result[29] ;
+   wire \soc_top/core_mem_reg_result[28] ;
+   wire \soc_top/core_mem_reg_result[27] ;
+   wire \soc_top/core_mem_reg_result[26] ;
+   wire \soc_top/core_mem_reg_result[25] ;
+   wire \soc_top/core_mem_reg_result[24] ;
+   wire \soc_top/core_mem_reg_result[23] ;
+   wire \soc_top/core_mem_reg_result[22] ;
+   wire \soc_top/core_mem_reg_result[21] ;
+   wire \soc_top/core_mem_reg_result[20] ;
+   wire \soc_top/core_mem_reg_result[19] ;
+   wire \soc_top/core_mem_reg_result[18] ;
+   wire \soc_top/core_mem_reg_result[17] ;
+   wire \soc_top/core_mem_reg_result[16] ;
+   wire \soc_top/core_mem_reg_result[15] ;
+   wire \soc_top/core_mem_reg_result[14] ;
+   wire \soc_top/core_mem_reg_result[13] ;
+   wire \soc_top/core_mem_reg_result[12] ;
+   wire \soc_top/core_mem_reg_result[11] ;
+   wire \soc_top/core_mem_reg_result[10] ;
+   wire \soc_top/core_mem_reg_result[9] ;
+   wire \soc_top/core_mem_reg_result[8] ;
+   wire \soc_top/core_mem_reg_result[7] ;
+   wire \soc_top/core_mem_reg_result[6] ;
+   wire \soc_top/core_mem_reg_result[5] ;
+   wire \soc_top/core_mem_reg_result[4] ;
+   wire \soc_top/core_mem_reg_result[3] ;
+   wire \soc_top/core_mem_reg_result[2] ;
+   wire \soc_top/core_mem_reg_result[1] ;
+   wire \soc_top/core_mem_reg_result[0] ;
+   wire \soc_top/core_mem_reg_pc[31] ;
+   wire \soc_top/core_mem_reg_pc[30] ;
+   wire \soc_top/core_mem_reg_pc[29] ;
+   wire \soc_top/core_mem_reg_pc[28] ;
+   wire \soc_top/core_mem_reg_pc[27] ;
+   wire \soc_top/core_mem_reg_pc[26] ;
+   wire \soc_top/core_mem_reg_pc[25] ;
+   wire \soc_top/core_mem_reg_pc[24] ;
+   wire \soc_top/core_mem_reg_pc[23] ;
+   wire \soc_top/core_mem_reg_pc[22] ;
+   wire \soc_top/core_mem_reg_pc[21] ;
+   wire \soc_top/core_mem_reg_pc[20] ;
+   wire \soc_top/core_mem_reg_pc[19] ;
+   wire \soc_top/core_mem_reg_pc[18] ;
+   wire \soc_top/core_mem_reg_pc[17] ;
+   wire \soc_top/core_mem_reg_pc[16] ;
+   wire \soc_top/core_mem_reg_pc[15] ;
+   wire \soc_top/core_mem_reg_pc[14] ;
+   wire \soc_top/core_mem_reg_pc[13] ;
+   wire \soc_top/core_mem_reg_pc[12] ;
+   wire \soc_top/core_mem_reg_pc[11] ;
+   wire \soc_top/core_mem_reg_pc[10] ;
+   wire \soc_top/core_mem_reg_pc[9] ;
+   wire \soc_top/core_mem_reg_pc[8] ;
+   wire \soc_top/core_mem_reg_pc[7] ;
+   wire \soc_top/core_mem_reg_pc[6] ;
+   wire \soc_top/core_mem_reg_pc[5] ;
+   wire \soc_top/core_mem_reg_pc[4] ;
+   wire \soc_top/core_mem_reg_pc[3] ;
+   wire \soc_top/core_mem_reg_pc[2] ;
+   wire \soc_top/core_mem_reg_pc[1] ;
+   wire \soc_top/core_mem_reg_pc[0] ;
+   wire \soc_top/core_MEM_funct3[2] ;
+   wire \soc_top/core_MEM_funct3[1] ;
+   wire \soc_top/core_MEM_funct3[0] ;
+   wire \soc_top/core_ex_reg_wra[4] ;
+   wire \soc_top/core_ex_reg_wra[3] ;
+   wire \soc_top/core_ex_reg_wra[2] ;
+   wire \soc_top/core_ex_reg_wra[1] ;
+   wire \soc_top/core_ex_reg_wra[0] ;
+   wire \soc_top/core_ex_reg_ctl_memToReg[1] ;
+   wire \soc_top/core_id_reg_ctl_aluSrc1[1] ;
+   wire \soc_top/core_id_reg_ctl_aluSrc1[0] ;
+   wire \soc_top/core_id_reg_imm[31] ;
+   wire \soc_top/core_id_reg_imm[30] ;
+   wire \soc_top/core_id_reg_imm[29] ;
+   wire \soc_top/core_id_reg_imm[28] ;
+   wire \soc_top/core_id_reg_imm[27] ;
+   wire \soc_top/core_id_reg_imm[26] ;
+   wire \soc_top/core_id_reg_imm[25] ;
+   wire \soc_top/core_id_reg_imm[24] ;
+   wire \soc_top/core_id_reg_imm[23] ;
+   wire \soc_top/core_id_reg_imm[22] ;
+   wire \soc_top/core_id_reg_imm[21] ;
+   wire \soc_top/core_id_reg_imm[20] ;
+   wire \soc_top/core_id_reg_imm[19] ;
+   wire \soc_top/core_id_reg_imm[18] ;
+   wire \soc_top/core_id_reg_imm[17] ;
+   wire \soc_top/core_id_reg_imm[16] ;
+   wire \soc_top/core_id_reg_imm[15] ;
+   wire \soc_top/core_id_reg_imm[14] ;
+   wire \soc_top/core_id_reg_imm[13] ;
+   wire \soc_top/core_id_reg_imm[12] ;
+   wire \soc_top/core_id_reg_imm[11] ;
+   wire \soc_top/core_id_reg_imm[10] ;
+   wire \soc_top/core_id_reg_imm[9] ;
+   wire \soc_top/core_id_reg_imm[8] ;
+   wire \soc_top/core_id_reg_imm[7] ;
+   wire \soc_top/core_id_reg_imm[6] ;
+   wire \soc_top/core_id_reg_imm[5] ;
+   wire \soc_top/core_id_reg_imm[4] ;
+   wire \soc_top/core_id_reg_imm[3] ;
+   wire \soc_top/core_id_reg_imm[2] ;
+   wire \soc_top/core_id_reg_imm[1] ;
+   wire \soc_top/core_id_reg_imm[0] ;
+   wire \soc_top/core_id_reg_pc[31] ;
+   wire \soc_top/core_id_reg_pc[30] ;
+   wire \soc_top/core_id_reg_pc[29] ;
+   wire \soc_top/core_id_reg_pc[28] ;
+   wire \soc_top/core_id_reg_pc[27] ;
+   wire \soc_top/core_id_reg_pc[26] ;
+   wire \soc_top/core_id_reg_pc[25] ;
+   wire \soc_top/core_id_reg_pc[24] ;
+   wire \soc_top/core_id_reg_pc[23] ;
+   wire \soc_top/core_id_reg_pc[22] ;
+   wire \soc_top/core_id_reg_pc[21] ;
+   wire \soc_top/core_id_reg_pc[20] ;
+   wire \soc_top/core_id_reg_pc[19] ;
+   wire \soc_top/core_id_reg_pc[18] ;
+   wire \soc_top/core_id_reg_pc[17] ;
+   wire \soc_top/core_id_reg_pc[16] ;
+   wire \soc_top/core_id_reg_pc[15] ;
+   wire \soc_top/core_id_reg_pc[14] ;
+   wire \soc_top/core_id_reg_pc[13] ;
+   wire \soc_top/core_id_reg_pc[12] ;
+   wire \soc_top/core_id_reg_pc[11] ;
+   wire \soc_top/core_id_reg_pc[10] ;
+   wire \soc_top/core_id_reg_pc[9] ;
+   wire \soc_top/core_id_reg_pc[8] ;
+   wire \soc_top/core_id_reg_pc[7] ;
+   wire \soc_top/core_id_reg_pc[6] ;
+   wire \soc_top/core_id_reg_pc[5] ;
+   wire \soc_top/core_id_reg_pc[4] ;
+   wire \soc_top/core_id_reg_pc[3] ;
+   wire \soc_top/core_id_reg_pc[2] ;
+   wire \soc_top/core_id_reg_pc[1] ;
+   wire \soc_top/core_id_reg_pc[0] ;
+   wire \soc_top/core_id_reg_rd1[31] ;
+   wire \soc_top/core_id_reg_rd1[30] ;
+   wire \soc_top/core_id_reg_rd1[29] ;
+   wire \soc_top/core_id_reg_rd1[28] ;
+   wire \soc_top/core_id_reg_rd1[27] ;
+   wire \soc_top/core_id_reg_rd1[26] ;
+   wire \soc_top/core_id_reg_rd1[25] ;
+   wire \soc_top/core_id_reg_rd1[24] ;
+   wire \soc_top/core_id_reg_rd1[23] ;
+   wire \soc_top/core_id_reg_rd1[22] ;
+   wire \soc_top/core_id_reg_rd1[21] ;
+   wire \soc_top/core_id_reg_rd1[20] ;
+   wire \soc_top/core_id_reg_rd1[19] ;
+   wire \soc_top/core_id_reg_rd1[18] ;
+   wire \soc_top/core_id_reg_rd1[17] ;
+   wire \soc_top/core_id_reg_rd1[16] ;
+   wire \soc_top/core_id_reg_rd1[15] ;
+   wire \soc_top/core_id_reg_rd1[14] ;
+   wire \soc_top/core_id_reg_rd1[13] ;
+   wire \soc_top/core_id_reg_rd1[12] ;
+   wire \soc_top/core_id_reg_rd1[11] ;
+   wire \soc_top/core_id_reg_rd1[10] ;
+   wire \soc_top/core_id_reg_rd1[9] ;
+   wire \soc_top/core_id_reg_rd1[8] ;
+   wire \soc_top/core_id_reg_rd1[7] ;
+   wire \soc_top/core_id_reg_rd1[6] ;
+   wire \soc_top/core_id_reg_rd1[5] ;
+   wire \soc_top/core_id_reg_rd1[4] ;
+   wire \soc_top/core_id_reg_rd1[3] ;
+   wire \soc_top/core_id_reg_rd1[2] ;
+   wire \soc_top/core_id_reg_rd1[1] ;
+   wire \soc_top/core_id_reg_rd1[0] ;
+   wire \soc_top/core_id_reg_rd2[31] ;
+   wire \soc_top/core_id_reg_rd2[30] ;
+   wire \soc_top/core_id_reg_rd2[29] ;
+   wire \soc_top/core_id_reg_rd2[28] ;
+   wire \soc_top/core_id_reg_rd2[27] ;
+   wire \soc_top/core_id_reg_rd2[26] ;
+   wire \soc_top/core_id_reg_rd2[25] ;
+   wire \soc_top/core_id_reg_rd2[24] ;
+   wire \soc_top/core_id_reg_rd2[23] ;
+   wire \soc_top/core_id_reg_rd2[22] ;
+   wire \soc_top/core_id_reg_rd2[21] ;
+   wire \soc_top/core_id_reg_rd2[20] ;
+   wire \soc_top/core_id_reg_rd2[19] ;
+   wire \soc_top/core_id_reg_rd2[18] ;
+   wire \soc_top/core_id_reg_rd2[17] ;
+   wire \soc_top/core_id_reg_rd2[16] ;
+   wire \soc_top/core_id_reg_rd2[15] ;
+   wire \soc_top/core_id_reg_rd2[14] ;
+   wire \soc_top/core_id_reg_rd2[13] ;
+   wire \soc_top/core_id_reg_rd2[12] ;
+   wire \soc_top/core_id_reg_rd2[11] ;
+   wire \soc_top/core_id_reg_rd2[10] ;
+   wire \soc_top/core_id_reg_rd2[9] ;
+   wire \soc_top/core_id_reg_rd2[8] ;
+   wire \soc_top/core_id_reg_rd2[7] ;
+   wire \soc_top/core_id_reg_rd2[6] ;
+   wire \soc_top/core_id_reg_rd2[5] ;
+   wire \soc_top/core_id_reg_rd2[4] ;
+   wire \soc_top/core_id_reg_rd2[3] ;
+   wire \soc_top/core_id_reg_rd2[2] ;
+   wire \soc_top/core_id_reg_rd2[1] ;
+   wire \soc_top/core_id_reg_rd2[0] ;
+   wire \soc_top/core_Execute_io_writeData[31] ;
+   wire \soc_top/core_Execute_io_writeData[30] ;
+   wire \soc_top/core_Execute_io_writeData[29] ;
+   wire \soc_top/core_Execute_io_writeData[28] ;
+   wire \soc_top/core_Execute_io_writeData[27] ;
+   wire \soc_top/core_Execute_io_writeData[26] ;
+   wire \soc_top/core_Execute_io_writeData[25] ;
+   wire \soc_top/core_Execute_io_writeData[24] ;
+   wire \soc_top/core_Execute_io_writeData[23] ;
+   wire \soc_top/core_Execute_io_writeData[22] ;
+   wire \soc_top/core_Execute_io_writeData[21] ;
+   wire \soc_top/core_Execute_io_writeData[20] ;
+   wire \soc_top/core_Execute_io_writeData[19] ;
+   wire \soc_top/core_Execute_io_writeData[18] ;
+   wire \soc_top/core_Execute_io_writeData[17] ;
+   wire \soc_top/core_Execute_io_writeData[16] ;
+   wire \soc_top/core_Execute_io_writeData[15] ;
+   wire \soc_top/core_Execute_io_writeData[14] ;
+   wire \soc_top/core_Execute_io_writeData[13] ;
+   wire \soc_top/core_Execute_io_writeData[12] ;
+   wire \soc_top/core_Execute_io_writeData[11] ;
+   wire \soc_top/core_Execute_io_writeData[10] ;
+   wire \soc_top/core_Execute_io_writeData[4] ;
+   wire \soc_top/core_Execute_io_writeData[1] ;
+   wire \soc_top/core_InstructionDecode_io_immediate[30] ;
+   wire \soc_top/core_InstructionDecode_io_immediate[29] ;
+   wire \soc_top/core_InstructionDecode_io_immediate[28] ;
+   wire \soc_top/core_InstructionDecode_io_immediate[27] ;
+   wire \soc_top/core_InstructionDecode_io_immediate[26] ;
+   wire \soc_top/core_InstructionDecode_io_immediate[25] ;
+   wire \soc_top/core_InstructionDecode_io_immediate[24] ;
+   wire \soc_top/core_InstructionDecode_io_immediate[23] ;
+   wire \soc_top/core_InstructionDecode_io_immediate[22] ;
+   wire \soc_top/core_InstructionDecode_io_immediate[21] ;
+   wire \soc_top/core_InstructionDecode_io_immediate[20] ;
+   wire \soc_top/core_InstructionDecode_io_immediate[19] ;
+   wire \soc_top/core_InstructionDecode_io_immediate[18] ;
+   wire \soc_top/core_InstructionDecode_io_immediate[17] ;
+   wire \soc_top/core_InstructionDecode_io_immediate[16] ;
+   wire \soc_top/core_InstructionDecode_io_immediate[15] ;
+   wire \soc_top/core_InstructionDecode_io_immediate[14] ;
+   wire \soc_top/core_InstructionDecode_io_immediate[13] ;
+   wire \soc_top/core_InstructionDecode_io_immediate[12] ;
+   wire \soc_top/core_InstructionDecode_io_immediate[11] ;
+   wire \soc_top/core_InstructionDecode_io_immediate[10] ;
+   wire \soc_top/core_InstructionDecode_io_immediate[9] ;
+   wire \soc_top/core_InstructionDecode_io_immediate[8] ;
+   wire \soc_top/core_InstructionDecode_io_immediate[7] ;
+   wire \soc_top/core_InstructionDecode_io_immediate[6] ;
+   wire \soc_top/core_InstructionDecode_io_immediate[5] ;
+   wire \soc_top/core_InstructionDecode_io_immediate[4] ;
+   wire \soc_top/core_InstructionDecode_io_immediate[3] ;
+   wire \soc_top/core_InstructionDecode_io_immediate[2] ;
+   wire \soc_top/core_InstructionDecode_io_immediate[1] ;
+   wire \soc_top/core_InstructionDecode_io_immediate[0] ;
+   wire \soc_top/core_if_reg_pc[31] ;
+   wire \soc_top/core_if_reg_pc[30] ;
+   wire \soc_top/core_if_reg_pc[29] ;
+   wire \soc_top/core_if_reg_pc[28] ;
+   wire \soc_top/core_if_reg_pc[27] ;
+   wire \soc_top/core_if_reg_pc[26] ;
+   wire \soc_top/core_if_reg_pc[25] ;
+   wire \soc_top/core_if_reg_pc[24] ;
+   wire \soc_top/core_if_reg_pc[23] ;
+   wire \soc_top/core_if_reg_pc[22] ;
+   wire \soc_top/core_if_reg_pc[21] ;
+   wire \soc_top/core_if_reg_pc[20] ;
+   wire \soc_top/core_if_reg_pc[19] ;
+   wire \soc_top/core_if_reg_pc[18] ;
+   wire \soc_top/core_if_reg_pc[17] ;
+   wire \soc_top/core_if_reg_pc[16] ;
+   wire \soc_top/core_if_reg_pc[15] ;
+   wire \soc_top/core_if_reg_pc[14] ;
+   wire \soc_top/core_if_reg_pc[13] ;
+   wire \soc_top/core_if_reg_pc[12] ;
+   wire \soc_top/core_if_reg_pc[11] ;
+   wire \soc_top/core_if_reg_pc[10] ;
+   wire \soc_top/core_if_reg_pc[9] ;
+   wire \soc_top/core_if_reg_pc[8] ;
+   wire \soc_top/core_if_reg_pc[7] ;
+   wire \soc_top/core_if_reg_pc[6] ;
+   wire \soc_top/core_if_reg_pc[5] ;
+   wire \soc_top/core_if_reg_pc[4] ;
+   wire \soc_top/core_if_reg_pc[3] ;
+   wire \soc_top/core_if_reg_pc[2] ;
+   wire \soc_top/core_if_reg_pc[1] ;
+   wire \soc_top/core_if_reg_pc[0] ;
+   wire \soc_top/core_ex_reg_pc[31] ;
+   wire \soc_top/core_ex_reg_pc[30] ;
+   wire \soc_top/core_ex_reg_pc[29] ;
+   wire \soc_top/core_ex_reg_pc[28] ;
+   wire \soc_top/core_ex_reg_pc[27] ;
+   wire \soc_top/core_ex_reg_pc[26] ;
+   wire \soc_top/core_ex_reg_pc[25] ;
+   wire \soc_top/core_ex_reg_pc[24] ;
+   wire \soc_top/core_ex_reg_pc[23] ;
+   wire \soc_top/core_ex_reg_pc[22] ;
+   wire \soc_top/core_ex_reg_pc[21] ;
+   wire \soc_top/core_ex_reg_pc[20] ;
+   wire \soc_top/core_ex_reg_pc[19] ;
+   wire \soc_top/core_ex_reg_pc[18] ;
+   wire \soc_top/core_ex_reg_pc[17] ;
+   wire \soc_top/core_ex_reg_pc[16] ;
+   wire \soc_top/core_ex_reg_pc[15] ;
+   wire \soc_top/core_ex_reg_pc[14] ;
+   wire \soc_top/core_ex_reg_pc[13] ;
+   wire \soc_top/core_ex_reg_pc[12] ;
+   wire \soc_top/core_ex_reg_pc[11] ;
+   wire \soc_top/core_ex_reg_pc[10] ;
+   wire \soc_top/core_ex_reg_pc[9] ;
+   wire \soc_top/core_ex_reg_pc[8] ;
+   wire \soc_top/core_ex_reg_pc[7] ;
+   wire \soc_top/core_ex_reg_pc[6] ;
+   wire \soc_top/core_ex_reg_pc[5] ;
+   wire \soc_top/core_ex_reg_pc[4] ;
+   wire \soc_top/core_ex_reg_pc[3] ;
+   wire \soc_top/core_ex_reg_pc[2] ;
+   wire \soc_top/core_ex_reg_pc[1] ;
+   wire \soc_top/core_ex_reg_pc[0] ;
+   wire \soc_top/core_ex_reg_wd[31] ;
+   wire \soc_top/core_ex_reg_wd[30] ;
+   wire \soc_top/core_ex_reg_wd[29] ;
+   wire \soc_top/core_ex_reg_wd[28] ;
+   wire \soc_top/core_ex_reg_wd[27] ;
+   wire \soc_top/core_ex_reg_wd[26] ;
+   wire \soc_top/core_ex_reg_wd[25] ;
+   wire \soc_top/core_ex_reg_wd[24] ;
+   wire \soc_top/core_ex_reg_wd[23] ;
+   wire \soc_top/core_ex_reg_wd[22] ;
+   wire \soc_top/core_ex_reg_wd[21] ;
+   wire \soc_top/core_ex_reg_wd[20] ;
+   wire \soc_top/core_ex_reg_wd[19] ;
+   wire \soc_top/core_ex_reg_wd[18] ;
+   wire \soc_top/core_ex_reg_wd[17] ;
+   wire \soc_top/core_ex_reg_wd[16] ;
+   wire \soc_top/core_ex_reg_wd[15] ;
+   wire \soc_top/core_ex_reg_wd[14] ;
+   wire \soc_top/core_ex_reg_wd[13] ;
+   wire \soc_top/core_ex_reg_wd[12] ;
+   wire \soc_top/core_ex_reg_wd[11] ;
+   wire \soc_top/core_ex_reg_wd[10] ;
+   wire \soc_top/core_ex_reg_wd[9] ;
+   wire \soc_top/core_ex_reg_wd[8] ;
+   wire \soc_top/core_ex_reg_wd[7] ;
+   wire \soc_top/core_ex_reg_wd[6] ;
+   wire \soc_top/core_ex_reg_wd[5] ;
+   wire \soc_top/core_ex_reg_wd[4] ;
+   wire \soc_top/core_ex_reg_wd[3] ;
+   wire \soc_top/core_ex_reg_wd[2] ;
+   wire \soc_top/core_ex_reg_wd[1] ;
+   wire \soc_top/core_ex_reg_wd[0] ;
+   wire \soc_top/core_id_reg_ctl_memToReg[1] ;
+   wire \soc_top/gpio_data_in_q[31] ;
+   wire \soc_top/gpio_data_in_q[30] ;
+   wire \soc_top/gpio_data_in_q[29] ;
+   wire \soc_top/gpio_data_in_q[28] ;
+   wire \soc_top/gpio_data_in_q[27] ;
+   wire \soc_top/gpio_data_in_q[26] ;
+   wire \soc_top/gpio_data_in_q[25] ;
+   wire \soc_top/gpio_data_in_q[24] ;
+   wire \soc_top/gpio_data_in_q[23] ;
+   wire \soc_top/gpio_data_in_q[22] ;
+   wire \soc_top/gpio_data_in_q[21] ;
+   wire \soc_top/gpio_data_in_q[20] ;
+   wire \soc_top/gpio_data_in_q[19] ;
+   wire \soc_top/gpio_data_in_q[18] ;
+   wire \soc_top/gpio_data_in_q[17] ;
+   wire \soc_top/gpio_data_in_q[16] ;
+   wire \soc_top/gpio_data_in_q[15] ;
+   wire \soc_top/gpio_data_in_q[14] ;
+   wire \soc_top/gpio_data_in_q[13] ;
+   wire \soc_top/gpio_data_in_q[12] ;
+   wire \soc_top/gpio_data_in_q[11] ;
+   wire \soc_top/gpio_data_in_q[10] ;
+   wire \soc_top/gpio_data_in_q[9] ;
+   wire \soc_top/gpio_data_in_q[8] ;
+   wire \soc_top/gpio_data_in_q[7] ;
+   wire \soc_top/gpio_data_in_q[6] ;
+   wire \soc_top/gpio_data_in_q[5] ;
+   wire \soc_top/gpio_data_in_q[4] ;
+   wire \soc_top/gpio_data_in_q[3] ;
+   wire \soc_top/gpio_data_in_q[2] ;
+   wire \soc_top/gpio_data_in_q[1] ;
+   wire \soc_top/gpio_data_in_q[0] ;
+   wire \soc_top/core_Execute_io_ALUresult[31] ;
+   wire \soc_top/core_Execute_io_ALUresult[30] ;
+   wire \soc_top/core_Execute_io_ALUresult[29] ;
+   wire \soc_top/core_Execute_io_ALUresult[28] ;
+   wire \soc_top/core_Execute_io_ALUresult[27] ;
+   wire \soc_top/core_Execute_io_ALUresult[26] ;
+   wire \soc_top/core_Execute_io_ALUresult[25] ;
+   wire \soc_top/core_Execute_io_ALUresult[24] ;
+   wire \soc_top/core_Execute_io_ALUresult[23] ;
+   wire \soc_top/core_Execute_io_ALUresult[22] ;
+   wire \soc_top/core_Execute_io_ALUresult[21] ;
+   wire \soc_top/core_Execute_io_ALUresult[20] ;
+   wire \soc_top/core_Execute_io_ALUresult[19] ;
+   wire \soc_top/core_Execute_io_ALUresult[18] ;
+   wire \soc_top/core_Execute_io_ALUresult[17] ;
+   wire \soc_top/core_Execute_io_ALUresult[16] ;
+   wire \soc_top/core_Execute_io_ALUresult[15] ;
+   wire \soc_top/core_Execute_io_ALUresult[14] ;
+   wire \soc_top/core_Execute_io_ALUresult[13] ;
+   wire \soc_top/core_Execute_io_ALUresult[12] ;
+   wire \soc_top/core_Execute_io_ALUresult[11] ;
+   wire \soc_top/core_Execute_io_ALUresult[10] ;
+   wire \soc_top/core_Execute_io_ALUresult[9] ;
+   wire \soc_top/core_Execute_io_ALUresult[8] ;
+   wire \soc_top/core_Execute_io_ALUresult[7] ;
+   wire \soc_top/core_Execute_io_ALUresult[6] ;
+   wire \soc_top/core_Execute_io_ALUresult[4] ;
+   wire \soc_top/core_Execute_io_ALUresult[3] ;
+   wire \soc_top/core_Execute_io_ALUresult[1] ;
+   wire \soc_top/core_Execute_io_ALUresult[0] ;
+   wire \soc_top/gen_dmem_host_adrReg[31] ;
+   wire \soc_top/gen_dmem_host_adrReg[30] ;
+   wire \soc_top/gen_dmem_host_adrReg[29] ;
+   wire \soc_top/gen_dmem_host_adrReg[28] ;
+   wire \soc_top/gen_dmem_host_adrReg[27] ;
+   wire \soc_top/gen_dmem_host_adrReg[26] ;
+   wire \soc_top/gen_dmem_host_adrReg[25] ;
+   wire \soc_top/gen_dmem_host_adrReg[24] ;
+   wire \soc_top/gen_dmem_host_adrReg[23] ;
+   wire \soc_top/gen_dmem_host_adrReg[22] ;
+   wire \soc_top/gen_dmem_host_adrReg[21] ;
+   wire \soc_top/gen_dmem_host_adrReg[20] ;
+   wire \soc_top/gen_dmem_host_adrReg[19] ;
+   wire \soc_top/gen_dmem_host_adrReg[18] ;
+   wire \soc_top/gen_dmem_host_adrReg[17] ;
+   wire \soc_top/gen_dmem_host_adrReg[16] ;
+   wire \soc_top/gen_dmem_host_adrReg[15] ;
+   wire \soc_top/gen_dmem_host_adrReg[14] ;
+   wire \soc_top/gen_dmem_host_adrReg[13] ;
+   wire \soc_top/gen_dmem_host_adrReg[12] ;
+   wire \soc_top/gen_dmem_host_adrReg[7] ;
+   wire \soc_top/gen_dmem_host_adrReg[6] ;
+   wire \soc_top/gen_dmem_host_adrReg[5] ;
+   wire \soc_top/gen_dmem_host_adrReg[4] ;
+   wire \soc_top/gen_dmem_host_adrReg[3] ;
+   wire \soc_top/gen_dmem_host_adrReg[2] ;
+   wire \soc_top/gen_dmem_host_adrReg[1] ;
+   wire \soc_top/gen_dmem_host_adrReg[0] ;
+   wire \soc_top/switch_io_devSel[1] ;
+   wire \soc_top/gen_dmem_host_selReg[3] ;
+   wire \soc_top/gen_dmem_host_selReg[2] ;
+   wire \soc_top/gen_dmem_host_selReg[1] ;
+   wire \soc_top/gen_dmem_host_selReg[0] ;
+   wire \soc_top/state[1] ;
+   wire \soc_top/state[0] ;
+   wire \soc_top/gen_dmem_host_datReg[31] ;
+   wire \soc_top/gen_dmem_host_datReg[30] ;
+   wire \soc_top/gen_dmem_host_datReg[29] ;
+   wire \soc_top/gen_dmem_host_datReg[28] ;
+   wire \soc_top/gen_dmem_host_datReg[27] ;
+   wire \soc_top/gen_dmem_host_datReg[26] ;
+   wire \soc_top/gen_dmem_host_datReg[25] ;
+   wire \soc_top/gen_dmem_host_datReg[24] ;
+   wire \soc_top/gen_dmem_host_datReg[23] ;
+   wire \soc_top/gen_dmem_host_datReg[22] ;
+   wire \soc_top/gen_dmem_host_datReg[21] ;
+   wire \soc_top/gen_dmem_host_datReg[20] ;
+   wire \soc_top/gen_dmem_host_datReg[19] ;
+   wire \soc_top/gen_dmem_host_datReg[18] ;
+   wire \soc_top/gen_dmem_host_datReg[17] ;
+   wire \soc_top/gen_dmem_host_datReg[16] ;
+   wire \soc_top/gen_dmem_host_datReg[15] ;
+   wire \soc_top/gen_dmem_host_datReg[14] ;
+   wire \soc_top/gen_dmem_host_datReg[13] ;
+   wire \soc_top/gen_dmem_host_datReg[12] ;
+   wire \soc_top/gen_dmem_host_datReg[11] ;
+   wire \soc_top/gen_dmem_host_datReg[10] ;
+   wire \soc_top/gen_dmem_host_datReg[9] ;
+   wire \soc_top/gen_dmem_host_datReg[8] ;
+   wire \soc_top/gen_dmem_host_datReg[7] ;
+   wire \soc_top/gen_dmem_host_datReg[6] ;
+   wire \soc_top/gen_dmem_host_datReg[5] ;
+   wire \soc_top/gen_dmem_host_datReg[4] ;
+   wire \soc_top/gen_dmem_host_datReg[3] ;
+   wire \soc_top/gen_dmem_host_datReg[2] ;
+   wire \soc_top/gen_dmem_host_datReg[1] ;
+   wire \soc_top/gen_dmem_host_datReg[0] ;
+   wire \soc_top/gen_dmem_slave_io_wbMasterReceiver_bits_adr[7] ;
+   wire \soc_top/gen_dmem_slave_io_wbMasterReceiver_bits_adr[6] ;
+   wire \soc_top/gen_dmem_slave_io_wbMasterReceiver_bits_adr[4] ;
+   wire \soc_top/gen_dmem_slave_io_wbMasterReceiver_bits_adr[3] ;
+   wire \soc_top/gen_dmem_slave_io_wbMasterReceiver_bits_adr[1] ;
+   wire \soc_top/gen_imem_host_datReg[31] ;
+   wire \soc_top/gen_imem_host_datReg[30] ;
+   wire \soc_top/gen_imem_host_datReg[29] ;
+   wire \soc_top/gen_imem_host_datReg[28] ;
+   wire \soc_top/gen_imem_host_datReg[27] ;
+   wire \soc_top/gen_imem_host_datReg[26] ;
+   wire \soc_top/gen_imem_host_datReg[25] ;
+   wire \soc_top/gen_imem_host_datReg[24] ;
+   wire \soc_top/gen_imem_host_datReg[23] ;
+   wire \soc_top/gen_imem_host_datReg[22] ;
+   wire \soc_top/gen_imem_host_datReg[21] ;
+   wire \soc_top/gen_imem_host_datReg[20] ;
+   wire \soc_top/gen_imem_host_datReg[19] ;
+   wire \soc_top/gen_imem_host_datReg[18] ;
+   wire \soc_top/gen_imem_host_datReg[17] ;
+   wire \soc_top/gen_imem_host_datReg[16] ;
+   wire \soc_top/gen_imem_host_datReg[15] ;
+   wire \soc_top/gen_imem_host_datReg[14] ;
+   wire \soc_top/gen_imem_host_datReg[13] ;
+   wire \soc_top/gen_imem_host_datReg[12] ;
+   wire \soc_top/gen_imem_host_datReg[11] ;
+   wire \soc_top/gen_imem_host_datReg[10] ;
+   wire \soc_top/gen_imem_host_datReg[9] ;
+   wire \soc_top/gen_imem_host_datReg[8] ;
+   wire \soc_top/gen_imem_host_datReg[7] ;
+   wire \soc_top/gen_imem_host_datReg[6] ;
+   wire \soc_top/gen_imem_host_datReg[5] ;
+   wire \soc_top/gen_imem_host_datReg[4] ;
+   wire \soc_top/gen_imem_host_datReg[3] ;
+   wire \soc_top/gen_imem_host_datReg[2] ;
+   wire \soc_top/gen_imem_host_datReg[1] ;
+   wire \soc_top/gen_imem_host_datReg[0] ;
+   wire \soc_top/switch_io_devOut_0_bits_adr[0] ;
+   wire \soc_top/gen_imem_host_adrReg[7] ;
+   wire \soc_top/gen_imem_host_adrReg[6] ;
+   wire \soc_top/gen_imem_host_adrReg[5] ;
+   wire \soc_top/gen_imem_host_adrReg[4] ;
+   wire \soc_top/gen_imem_host_adrReg[3] ;
+   wire \soc_top/gen_imem_host_adrReg[2] ;
+   wire \soc_top/gen_imem_host_adrReg[1] ;
+   wire \soc_top/gen_imem_host_adrReg[0] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_adr[7] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_adr[6] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_adr[5] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_adr[4] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_adr[3] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_adr[2] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_adr[1] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_adr[0] ;
+   wire \soc_top/gen_imem_host_selReg[0] ;
+   wire \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_sel[2] ;
+   wire \soc_top/gen_dmem_slave_io_wbMasterReceiver_bits_sel[3] ;
+   wire \soc_top/gen_dmem_slave_io_wbMasterReceiver_bits_sel[2] ;
+   wire \soc_top/gen_dmem_slave_io_wbMasterReceiver_bits_sel[1] ;
+   wire \soc_top/switch_io_devOut_0_bits_sel[0] ;
+   wire \soc_top/core_pc_io_out[31] ;
+   wire \soc_top/core_pc_io_out[30] ;
+   wire \soc_top/core_pc_io_out[29] ;
+   wire \soc_top/core_pc_io_out[28] ;
+   wire \soc_top/core_pc_io_out[27] ;
+   wire \soc_top/core_pc_io_out[26] ;
+   wire \soc_top/core_pc_io_out[25] ;
+   wire \soc_top/core_pc_io_out[24] ;
+   wire \soc_top/core_pc_io_out[23] ;
+   wire \soc_top/core_pc_io_out[22] ;
+   wire \soc_top/core_pc_io_out[21] ;
+   wire \soc_top/core_pc_io_out[20] ;
+   wire \soc_top/core_pc_io_out[19] ;
+   wire \soc_top/core_pc_io_out[18] ;
+   wire \soc_top/core_pc_io_out[17] ;
+   wire \soc_top/core_pc_io_out[16] ;
+   wire \soc_top/core_pc_io_out[15] ;
+   wire \soc_top/core_pc_io_out[14] ;
+   wire \soc_top/core_pc_io_out[13] ;
+   wire \soc_top/core_pc_io_out[12] ;
+   wire \soc_top/core_pc_io_out[11] ;
+   wire \soc_top/core_pc_io_out[10] ;
+   wire \soc_top/core_pc_io_out[9] ;
+   wire \soc_top/core_pc_io_out[8] ;
+   wire \soc_top/core_pc_io_out[7] ;
+   wire \soc_top/core_pc_io_out[6] ;
+   wire \soc_top/core_pc_io_out[5] ;
+   wire \soc_top/core_pc_io_out[4] ;
+   wire \soc_top/core_pc_io_out[3] ;
+   wire \soc_top/core_pc_io_out[2] ;
+   wire \soc_top/core_pc_io_out[1] ;
+   wire \soc_top/core_pc_io_out[0] ;
+   wire \soc_top/gen_imem_host_io_rspOut_bits_dataResponse[31] ;
+   wire \soc_top/gen_imem_host_io_rspOut_bits_dataResponse[30] ;
+   wire \soc_top/gen_imem_host_io_rspOut_bits_dataResponse[29] ;
+   wire \soc_top/gen_imem_host_io_rspOut_bits_dataResponse[28] ;
+   wire \soc_top/gen_imem_host_io_rspOut_bits_dataResponse[27] ;
+   wire \soc_top/gen_imem_host_io_rspOut_bits_dataResponse[26] ;
+   wire \soc_top/gen_imem_host_io_rspOut_bits_dataResponse[25] ;
+   wire \soc_top/gen_imem_host_io_rspOut_bits_dataResponse[24] ;
+   wire \soc_top/gen_imem_host_io_rspOut_bits_dataResponse[23] ;
+   wire \soc_top/gen_imem_host_io_rspOut_bits_dataResponse[22] ;
+   wire \soc_top/gen_imem_host_io_rspOut_bits_dataResponse[21] ;
+   wire \soc_top/gen_imem_host_io_rspOut_bits_dataResponse[20] ;
+   wire \soc_top/gen_imem_host_io_rspOut_bits_dataResponse[19] ;
+   wire \soc_top/gen_imem_host_io_rspOut_bits_dataResponse[18] ;
+   wire \soc_top/gen_imem_host_io_rspOut_bits_dataResponse[17] ;
+   wire \soc_top/gen_imem_host_io_rspOut_bits_dataResponse[16] ;
+   wire \soc_top/gen_imem_host_io_rspOut_bits_dataResponse[15] ;
+   wire \soc_top/gen_imem_host_io_rspOut_bits_dataResponse[14] ;
+   wire \soc_top/gen_imem_host_io_rspOut_bits_dataResponse[13] ;
+   wire \soc_top/gen_imem_host_io_rspOut_bits_dataResponse[12] ;
+   wire \soc_top/gen_imem_host_io_rspOut_bits_dataResponse[11] ;
+   wire \soc_top/gen_imem_host_io_rspOut_bits_dataResponse[10] ;
+   wire \soc_top/gen_imem_host_io_rspOut_bits_dataResponse[9] ;
+   wire \soc_top/gen_imem_host_io_rspOut_bits_dataResponse[8] ;
+   wire \soc_top/gen_imem_host_io_rspOut_bits_dataResponse[7] ;
+   wire \soc_top/gen_imem_host_io_rspOut_bits_dataResponse[6] ;
+   wire \soc_top/gen_imem_host_io_rspOut_bits_dataResponse[5] ;
+   wire \soc_top/gen_imem_host_io_rspOut_bits_dataResponse[4] ;
+   wire \soc_top/gen_imem_host_io_rspOut_bits_dataResponse[3] ;
+   wire \soc_top/gen_imem_host_io_rspOut_bits_dataResponse[2] ;
+   wire \soc_top/gen_imem_host_io_rspOut_bits_dataResponse[1] ;
+   wire \soc_top/gen_imem_host_io_rspOut_bits_dataResponse[0] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[31] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[30] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[29] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[28] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[27] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[26] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[25] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[24] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[23] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[22] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[21] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[20] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[19] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[18] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[17] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[16] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[15] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[14] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[13] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[12] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[11] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[10] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[9] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[8] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[7] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[6] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[5] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[4] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[3] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[2] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[1] ;
+   wire \soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[0] ;
+   wire \soc_top/rx_addr_reg[7] ;
+   wire \soc_top/rx_addr_reg[6] ;
+   wire \soc_top/rx_addr_reg[5] ;
+   wire \soc_top/rx_addr_reg[4] ;
+   wire \soc_top/rx_addr_reg[3] ;
+   wire \soc_top/rx_addr_reg[2] ;
+   wire \soc_top/rx_data_reg[31] ;
+   wire \soc_top/rx_data_reg[30] ;
+   wire \soc_top/rx_data_reg[29] ;
+   wire \soc_top/rx_data_reg[28] ;
+   wire \soc_top/rx_data_reg[27] ;
+   wire \soc_top/rx_data_reg[26] ;
+   wire \soc_top/rx_data_reg[25] ;
+   wire \soc_top/rx_data_reg[24] ;
+   wire \soc_top/rx_data_reg[23] ;
+   wire \soc_top/rx_data_reg[22] ;
+   wire \soc_top/rx_data_reg[21] ;
+   wire \soc_top/rx_data_reg[20] ;
+   wire \soc_top/rx_data_reg[19] ;
+   wire \soc_top/rx_data_reg[18] ;
+   wire \soc_top/rx_data_reg[17] ;
+   wire \soc_top/rx_data_reg[16] ;
+   wire \soc_top/rx_data_reg[15] ;
+   wire \soc_top/rx_data_reg[14] ;
+   wire \soc_top/rx_data_reg[13] ;
+   wire \soc_top/rx_data_reg[12] ;
+   wire \soc_top/rx_data_reg[11] ;
+   wire \soc_top/rx_data_reg[10] ;
+   wire \soc_top/rx_data_reg[9] ;
+   wire \soc_top/rx_data_reg[8] ;
+   wire \soc_top/rx_data_reg[7] ;
+   wire \soc_top/rx_data_reg[6] ;
+   wire \soc_top/rx_data_reg[5] ;
+   wire \soc_top/rx_data_reg[4] ;
+   wire \soc_top/rx_data_reg[3] ;
+   wire \soc_top/rx_data_reg[2] ;
+   wire \soc_top/rx_data_reg[1] ;
+   wire \soc_top/rx_data_reg[0] ;
+   wire \soc_top/core_Execute_alu_lt_2331_36_n_0 ;
+   wire \soc_top/core_Execute_alu_lt_2331_36_n_1 ;
+   wire \soc_top/core_Execute_alu_lt_2331_36_n_2 ;
+   wire \soc_top/core_Execute_alu_lt_2331_36_n_3 ;
+   wire \soc_top/core_Execute_alu_lt_2331_36_n_4 ;
+   wire \soc_top/core_Execute_alu_lt_2331_36_n_5 ;
+   wire \soc_top/core_Execute_alu_lt_2331_36_n_6 ;
+   wire \soc_top/core_Execute_alu_lt_2331_36_n_7 ;
+   wire \soc_top/core_Execute_alu_lt_2331_36_n_8 ;
+   wire \soc_top/core_Execute_alu_lt_2331_36_n_9 ;
+   wire \soc_top/core_Execute_alu_lt_2331_36_n_10 ;
+   wire \soc_top/core_Execute_alu_lt_2331_36_n_11 ;
+   wire \soc_top/core_Execute_alu_lt_2331_36_n_12 ;
+   wire \soc_top/core_Execute_alu_lt_2331_36_n_13 ;
+   wire \soc_top/core_Execute_alu_lt_2331_36_n_14 ;
+   wire \soc_top/core_Execute_alu_lt_2331_36_n_15 ;
+   wire \soc_top/core_Execute_alu_lt_2331_36_n_17 ;
+   wire \soc_top/core_Execute_alu_lt_2331_36_n_18 ;
+   wire \soc_top/core_Execute_alu_lt_2331_36_n_19 ;
+   wire \soc_top/core_Execute_alu_lt_2331_36_n_42 ;
+   wire \soc_top/core_Execute_alu_lt_2331_36_n_48 ;
+   wire \soc_top/core_Execute_alu_lt_2331_36_n_55 ;
+   wire \soc_top/core_Execute_alu_lt_2331_36_n_58 ;
+   wire \soc_top/core_Execute_alu_lt_2331_36_n_72 ;
+   wire \soc_top/core_Execute_alu_lt_2331_36_n_80 ;
+   wire \soc_top/core_Execute_alu_lt_2331_36_n_84 ;
+   wire \soc_top/core_Execute_alu_lt_2331_36_n_86 ;
+   wire \soc_top/core_Execute_alu_lt_2331_36_n_89 ;
+   wire \soc_top/core_Execute_alu_lt_2331_36_n_93 ;
+   wire \soc_top/core_Execute_alu_lt_2331_36_n_95 ;
+   wire \soc_top/core_Execute_alu_lt_2331_36_n_96 ;
+   wire \soc_top/core_Execute_alu_lt_2331_36_n_97 ;
+   wire \soc_top/core_Execute_alu_lt_2331_36_n_98 ;
+   wire \soc_top/core_Execute_alu_lt_2331_36_n_99 ;
+   wire \soc_top/core_Execute_alu_lt_2333_27_n_8 ;
+   wire \soc_top/core_Execute_alu_lt_2333_27_n_42 ;
+   wire \soc_top/core_Execute_alu_lt_2333_27_n_48 ;
+   wire \soc_top/core_Execute_alu_lt_2333_27_n_55 ;
+   wire \soc_top/core_Execute_alu_lt_2333_27_n_58 ;
+   wire \soc_top/core_Execute_alu_lt_2333_27_n_72 ;
+   wire \soc_top/core_Execute_alu_lt_2333_27_n_75 ;
+   wire \soc_top/core_Execute_alu_lt_2333_27_n_83 ;
+   wire \soc_top/core_Execute_alu_lt_2333_27_n_85 ;
+   wire \soc_top/core_Execute_alu_lt_2333_27_n_89 ;
+   wire \soc_top/core_Execute_alu_lt_2333_27_n_93 ;
+   wire \soc_top/core_Execute_alu_lt_2333_27_n_95 ;
+   wire \soc_top/core_Execute_alu_lt_2333_27_n_96 ;
+   wire \soc_top/core_Execute_alu_lt_2333_27_n_97 ;
+   wire \soc_top/core_Execute_alu_lt_2333_27_n_98 ;
+   wire \soc_top/core_Execute_alu_lt_2333_27_n_99 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_5 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_7 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_8 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_9 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_10 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_11 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_12 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_13 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_14 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_15 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_16 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_17 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_18 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_19 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_20 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_21 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_22 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_23 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_24 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_25 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_26 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_27 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_28 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_29 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_30 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_31 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_32 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_33 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_34 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_35 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_36 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_37 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_38 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_39 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_40 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_41 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_42 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_43 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_44 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_45 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_46 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_47 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_48 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_49 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_50 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_51 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_52 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_53 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_54 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_55 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_56 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_57 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_58 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_59 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_60 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_61 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_62 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_63 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_64 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_65 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_67 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_69 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_70 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_71 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_72 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_73 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_74 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_75 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_76 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_77 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_78 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_79 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_80 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_81 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_82 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_83 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_84 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_85 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_86 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_87 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_88 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_89 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_90 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_91 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_92 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_93 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_94 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_97 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_98 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_99 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_100 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_101 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_102 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_103 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_104 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_105 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_106 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_107 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_108 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_109 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_110 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_113 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_115 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_117 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_118 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_119 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_120 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_121 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_122 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_123 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_124 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_125 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_126 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_127 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_128 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_129 ;
+   wire \soc_top/core_Execute_alu_sll_2336_30_n_130 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_5 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_6 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_7 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_8 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_9 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_10 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_11 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_12 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_13 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_14 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_15 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_16 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_17 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_18 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_19 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_20 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_21 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_22 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_23 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_24 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_25 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_26 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_27 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_28 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_29 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_30 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_31 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_32 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_33 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_34 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_35 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_36 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_37 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_38 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_39 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_40 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_41 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_42 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_43 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_44 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_45 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_46 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_47 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_48 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_49 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_50 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_51 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_52 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_53 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_54 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_55 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_56 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_57 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_58 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_59 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_60 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_61 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_62 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_63 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_64 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_65 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_66 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_67 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_68 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_69 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_70 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_71 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_72 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_73 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_74 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_75 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_76 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_77 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_78 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_79 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_80 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_81 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_82 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_83 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_84 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_85 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_86 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_87 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_88 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_89 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_90 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_91 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_92 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_93 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_94 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_95 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_96 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_97 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_98 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_99 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_100 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_101 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_102 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_103 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_104 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_105 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_106 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_107 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_108 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_109 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_110 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_111 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_112 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_113 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_114 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_115 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_116 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_117 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_118 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_119 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_120 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_121 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_122 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_123 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_124 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_125 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_126 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_127 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_128 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_129 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_130 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_131 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_133 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_134 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_135 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_136 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_137 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_138 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_139 ;
+   wire \soc_top/core_Execute_alu_sra_2340_42_n_140 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_5 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_7 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_8 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_9 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_10 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_11 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_12 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_13 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_14 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_15 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_16 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_17 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_18 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_19 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_20 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_21 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_22 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_23 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_24 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_25 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_26 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_27 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_28 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_29 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_30 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_31 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_32 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_34 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_35 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_36 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_37 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_38 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_39 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_40 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_41 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_42 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_43 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_44 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_45 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_46 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_47 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_48 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_49 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_51 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_52 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_54 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_55 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_56 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_57 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_58 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_59 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_60 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_61 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_62 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_63 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_64 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_65 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_66 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_67 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_68 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_69 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_72 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_73 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_74 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_75 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_76 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_77 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_78 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_79 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_80 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_81 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_82 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_83 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_84 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_85 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_86 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_87 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_88 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_89 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_90 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_91 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_92 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_93 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_94 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_95 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_96 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_97 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_98 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_99 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_100 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_101 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_104 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_105 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_106 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_107 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_108 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_109 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_110 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_111 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_112 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_113 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_114 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_115 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_116 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_119 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_120 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_121 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_123 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_124 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_126 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_127 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_128 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_129 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_130 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_131 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_132 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_133 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_134 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_135 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_136 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_139 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_140 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_150 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_151 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_154 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_156 ;
+   wire \soc_top/core_Execute_alu_srl_2338_33_n_306 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_1 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_2 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_3 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_4 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_5 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_6 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_7 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_8 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_9 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_10 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_11 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_12 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_13 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_14 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_15 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_16 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_17 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_18 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_19 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_20 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_21 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_22 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_23 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_24 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_25 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_26 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_27 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_28 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_29 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_30 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_31 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_32 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_33 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_34 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_36 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_37 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_38 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_39 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_40 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_41 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_42 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_44 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_45 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_46 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_47 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_48 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_49 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_50 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_51 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_52 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_53 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_55 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_56 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_57 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_58 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_59 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_60 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_61 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_62 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_63 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_64 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_65 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_66 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_67 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_68 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_69 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_70 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_71 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_72 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_73 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_74 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_75 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_76 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_77 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_78 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_80 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_81 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_82 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_83 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_85 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_87 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_92 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_93 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_95 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_96 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_98 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_99 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_101 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_102 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_104 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_105 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_107 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_108 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_110 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_111 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_113 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_114 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_116 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_117 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_119 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_120 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_122 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_123 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_125 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_126 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_128 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_129 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_131 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_132 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_134 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_135 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_137 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_138 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_140 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_141 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_143 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_150 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_152 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_154 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_156 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_158 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_160 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_162 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_164 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_166 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_236 ;
+   wire \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_237 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_0 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_1 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_2 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_4 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_7 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_8 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_9 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_10 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_11 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_12 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_13 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_14 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_15 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_16 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_17 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_18 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_19 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_20 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_21 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_22 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_23 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_24 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_25 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_26 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_27 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_28 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_29 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_30 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_31 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_32 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_33 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_34 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_35 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_36 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_37 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_41 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_42 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_43 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_44 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_45 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_46 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_47 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_48 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_49 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_50 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_51 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_52 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_53 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_54 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_55 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_56 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_57 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_58 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_59 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_60 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_63 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_64 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_66 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_67 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_69 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_70 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_72 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_73 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_75 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_76 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_78 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_79 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_81 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_82 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_84 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_85 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_87 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_88 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_90 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_91 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_93 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_94 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_96 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_97 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_99 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_100 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_102 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_103 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_105 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_106 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_108 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_109 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_111 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_112 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_114 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_115 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_117 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_118 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_120 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_121 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_123 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_133 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_135 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_137 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_139 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_141 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_143 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_214 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_216 ;
+   wire \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_218 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_0 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_4 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_5 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_6 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_7 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_8 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_9 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_10 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_12 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_14 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_15 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_16 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_17 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_18 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_19 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_20 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_21 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_22 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_23 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_24 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_25 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_26 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_27 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_28 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_29 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_30 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_31 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_33 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_34 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_36 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_37 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_40 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_41 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_42 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_45 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_46 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_47 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_51 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_52 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_53 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_54 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_55 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_56 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_57 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_58 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_60 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_62 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_63 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_66 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_69 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_71 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_72 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_73 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_74 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_76 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_77 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_78 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_79 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_80 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_82 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_83 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_84 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_85 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_87 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_88 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_89 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_90 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_91 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_92 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_93 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_94 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_95 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_96 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_98 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_99 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_100 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_101 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_102 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_103 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_104 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_105 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_106 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_107 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_108 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_1 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_2 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_3 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_5 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_8 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_9 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_10 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_14 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_15 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_16 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_21 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_24 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_25 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_26 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_27 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_28 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_29 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_30 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_31 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_32 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_33 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_38 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_40 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_41 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_42 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_43 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_44 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_45 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_48 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_50 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_52 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_53 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_54 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_55 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_56 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_58 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_59 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_61 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_63 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_65 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_68 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_69 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_70 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_72 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_73 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_75 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_76 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_77 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_78 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_79 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_80 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_84 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_86 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_87 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_89 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_90 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_91 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_93 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_94 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_95 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_96 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_97 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_98 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_100 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_101 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_102 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_104 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_105 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_106 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_108 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_109 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_176 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_177 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_178 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_179 ;
+   wire \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_180 ;
+   wire \soc_top/core_InstructionDecode_bu_io_taken ;
+   wire \soc_top/core_InstructionDecode_control_io_branch ;
+   wire \soc_top/core_InstructionDecode_control_io_memWrite ;
+   wire \soc_top/core_InstructionDecode_control_io_regWrite ;
+   wire \soc_top/core_InstructionDecode_io_ctl_aluSrc ;
+   wire \soc_top/core_InstructionDecode_io_hdu_pcWrite ;
+   wire \soc_top/core_InstructionDecode_io_id_ex_branch ;
+   wire \soc_top/core_InstructionDecode_io_ifid_flush ;
+   wire \soc_top/core_ex_reg_ctl_memRead ;
+   wire \soc_top/core_ex_reg_ctl_regWrite ;
+   wire \soc_top/core_id_reg_ctl_aluSrc ;
+   wire \soc_top/core_id_reg_ctl_memRead ;
+   wire \soc_top/core_id_reg_ctl_memWrite ;
+   wire \soc_top/core_id_reg_ctl_regWrite ;
+   wire \soc_top/core_id_reg_f7 ;
+   wire \soc_top/core_io_dmemReq_bits_isWrite ;
+   wire \soc_top/core_mem_reg_ctl_regWrite ;
+   wire \soc_top/core_pc_add_2693_38_n_0 ;
+   wire \soc_top/core_pc_add_2693_38_n_2 ;
+   wire \soc_top/core_pc_add_2693_38_n_4 ;
+   wire \soc_top/core_pc_add_2693_38_n_6 ;
+   wire \soc_top/core_pc_add_2693_38_n_8 ;
+   wire \soc_top/core_pc_add_2693_38_n_10 ;
+   wire \soc_top/core_pc_add_2693_38_n_12 ;
+   wire \soc_top/core_pc_add_2693_38_n_14 ;
+   wire \soc_top/core_pc_add_2693_38_n_16 ;
+   wire \soc_top/core_pc_add_2693_38_n_18 ;
+   wire \soc_top/core_pc_add_2693_38_n_20 ;
+   wire \soc_top/core_pc_add_2693_38_n_22 ;
+   wire \soc_top/core_pc_add_2693_38_n_24 ;
+   wire \soc_top/core_pc_add_2693_38_n_26 ;
+   wire \soc_top/core_pc_add_2693_38_n_28 ;
+   wire \soc_top/core_pc_add_2693_38_n_30 ;
+   wire \soc_top/core_pc_add_2693_38_n_32 ;
+   wire \soc_top/core_pc_add_2693_38_n_34 ;
+   wire \soc_top/core_pc_add_2693_38_n_36 ;
+   wire \soc_top/core_pc_add_2693_38_n_38 ;
+   wire \soc_top/core_pc_add_2693_38_n_40 ;
+   wire \soc_top/core_pc_add_2693_38_n_42 ;
+   wire \soc_top/core_pc_add_2693_38_n_44 ;
+   wire \soc_top/core_pc_add_2693_38_n_46 ;
+   wire \soc_top/core_pc_add_2693_38_n_48 ;
+   wire \soc_top/core_pc_add_2693_38_n_50 ;
+   wire \soc_top/core_pc_add_2693_38_n_52 ;
+   wire \soc_top/core_pc_add_2693_38_n_54 ;
+   wire \soc_top/dmem_io_rsp_valid ;
+   wire \soc_top/gen_dmem_host_cycReg ;
+   wire \soc_top/gen_dmem_host_io_rspOut_valid ;
+   wire \soc_top/gen_dmem_host_readyReg ;
+   wire \soc_top/gen_dmem_host_startWBTransaction ;
+   wire \soc_top/gen_dmem_host_stateReg ;
+   wire \soc_top/gen_dmem_host_stbReg ;
+   wire \soc_top/gen_dmem_host_weReg ;
+   wire \soc_top/gen_dmem_slave_io_reqOut_bits_isWrite ;
+   wire \soc_top/gen_imem_host_cycReg ;
+   wire \soc_top/gen_imem_host_io_reqIn_ready ;
+   wire \soc_top/gen_imem_host_io_rspOut_valid ;
+   wire \soc_top/gen_imem_host_startWBTransaction ;
+   wire \soc_top/gen_imem_host_stateReg ;
+   wire \soc_top/gen_imem_host_stbReg ;
+   wire \soc_top/gen_imem_host_weReg ;
+   wire \soc_top/gen_imem_slave_io_reqOut_bits_isWrite ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg_io_we ;
+   wire \soc_top/gpio_io_rsp_valid ;
+   wire \soc_top/imem_io_rsp_valid ;
+   wire \soc_top/n_0 ;
+   wire \soc_top/n_9 ;
+   wire \soc_top/n_48 ;
+   wire \soc_top/n_57 ;
+   wire \soc_top/n_65 ;
+   wire \soc_top/n_70 ;
+   wire \soc_top/n_71 ;
+   wire \soc_top/n_103 ;
+   wire \soc_top/n_105 ;
+   wire \soc_top/n_106 ;
+   wire \soc_top/n_107 ;
+   wire \soc_top/n_108 ;
+   wire \soc_top/n_109 ;
+   wire \soc_top/n_110 ;
+   wire \soc_top/n_111 ;
+   wire \soc_top/n_112 ;
+   wire \soc_top/n_113 ;
+   wire \soc_top/n_114 ;
+   wire \soc_top/n_115 ;
+   wire \soc_top/n_118 ;
+   wire \soc_top/n_119 ;
+   wire \soc_top/n_120 ;
+   wire \soc_top/n_121 ;
+   wire \soc_top/n_123 ;
+   wire \soc_top/n_124 ;
+   wire \soc_top/n_125 ;
+   wire \soc_top/n_126 ;
+   wire \soc_top/n_127 ;
+   wire \soc_top/n_128 ;
+   wire \soc_top/n_129 ;
+   wire \soc_top/n_130 ;
+   wire \soc_top/n_131 ;
+   wire \soc_top/n_132 ;
+   wire \soc_top/n_133 ;
+   wire \soc_top/n_134 ;
+   wire \soc_top/n_135 ;
+   wire \soc_top/n_137 ;
+   wire \soc_top/n_138 ;
+   wire \soc_top/n_141 ;
+   wire \soc_top/n_142 ;
+   wire \soc_top/n_143 ;
+   wire \soc_top/n_144 ;
+   wire \soc_top/n_145 ;
+   wire \soc_top/n_146 ;
+   wire \soc_top/n_147 ;
+   wire \soc_top/n_148 ;
+   wire \soc_top/n_149 ;
+   wire \soc_top/n_150 ;
+   wire \soc_top/n_151 ;
+   wire \soc_top/n_152 ;
+   wire \soc_top/n_153 ;
+   wire \soc_top/n_154 ;
+   wire \soc_top/n_155 ;
+   wire \soc_top/n_156 ;
+   wire \soc_top/n_157 ;
+   wire \soc_top/n_158 ;
+   wire \soc_top/n_160 ;
+   wire \soc_top/n_161 ;
+   wire \soc_top/n_162 ;
+   wire \soc_top/n_163 ;
+   wire \soc_top/n_164 ;
+   wire \soc_top/n_165 ;
+   wire \soc_top/n_166 ;
+   wire \soc_top/n_167 ;
+   wire \soc_top/n_168 ;
+   wire \soc_top/n_169 ;
+   wire \soc_top/n_170 ;
+   wire \soc_top/n_171 ;
+   wire \soc_top/n_172 ;
+   wire \soc_top/n_173 ;
+   wire \soc_top/n_174 ;
+   wire \soc_top/n_175 ;
+   wire \soc_top/n_176 ;
+   wire \soc_top/n_177 ;
+   wire \soc_top/n_178 ;
+   wire \soc_top/n_179 ;
+   wire \soc_top/n_180 ;
+   wire \soc_top/n_181 ;
+   wire \soc_top/n_182 ;
+   wire \soc_top/n_183 ;
+   wire \soc_top/n_184 ;
+   wire \soc_top/n_185 ;
+   wire \soc_top/n_186 ;
+   wire \soc_top/n_187 ;
+   wire \soc_top/n_188 ;
+   wire \soc_top/n_189 ;
+   wire \soc_top/n_190 ;
+   wire \soc_top/n_191 ;
+   wire \soc_top/n_192 ;
+   wire \soc_top/n_193 ;
+   wire \soc_top/n_194 ;
+   wire \soc_top/n_195 ;
+   wire \soc_top/n_197 ;
+   wire \soc_top/n_198 ;
+   wire \soc_top/n_199 ;
+   wire \soc_top/n_200 ;
+   wire \soc_top/n_201 ;
+   wire \soc_top/n_202 ;
+   wire \soc_top/n_203 ;
+   wire \soc_top/n_204 ;
+   wire \soc_top/n_205 ;
+   wire \soc_top/n_206 ;
+   wire \soc_top/n_207 ;
+   wire \soc_top/n_208 ;
+   wire \soc_top/n_209 ;
+   wire \soc_top/n_210 ;
+   wire \soc_top/n_211 ;
+   wire \soc_top/n_212 ;
+   wire \soc_top/n_213 ;
+   wire \soc_top/n_214 ;
+   wire \soc_top/n_216 ;
+   wire \soc_top/n_217 ;
+   wire \soc_top/n_218 ;
+   wire \soc_top/n_219 ;
+   wire \soc_top/n_220 ;
+   wire \soc_top/n_222 ;
+   wire \soc_top/n_223 ;
+   wire \soc_top/n_224 ;
+   wire \soc_top/n_225 ;
+   wire \soc_top/n_226 ;
+   wire \soc_top/n_227 ;
+   wire \soc_top/n_228 ;
+   wire \soc_top/n_229 ;
+   wire \soc_top/n_230 ;
+   wire \soc_top/n_231 ;
+   wire \soc_top/n_232 ;
+   wire \soc_top/n_233 ;
+   wire \soc_top/n_234 ;
+   wire \soc_top/n_235 ;
+   wire \soc_top/n_236 ;
+   wire \soc_top/n_237 ;
+   wire \soc_top/n_238 ;
+   wire \soc_top/n_239 ;
+   wire \soc_top/n_240 ;
+   wire \soc_top/n_241 ;
+   wire \soc_top/n_242 ;
+   wire \soc_top/n_243 ;
+   wire \soc_top/n_244 ;
+   wire \soc_top/n_245 ;
+   wire \soc_top/n_246 ;
+   wire \soc_top/n_247 ;
+   wire \soc_top/n_248 ;
+   wire \soc_top/n_249 ;
+   wire \soc_top/n_250 ;
+   wire \soc_top/n_251 ;
+   wire \soc_top/n_252 ;
+   wire \soc_top/n_253 ;
+   wire \soc_top/n_254 ;
+   wire \soc_top/n_255 ;
+   wire \soc_top/n_256 ;
+   wire \soc_top/n_257 ;
+   wire \soc_top/n_258 ;
+   wire \soc_top/n_259 ;
+   wire \soc_top/n_260 ;
+   wire \soc_top/n_261 ;
+   wire \soc_top/n_262 ;
+   wire \soc_top/n_263 ;
+   wire \soc_top/n_264 ;
+   wire \soc_top/n_265 ;
+   wire \soc_top/n_266 ;
+   wire \soc_top/n_267 ;
+   wire \soc_top/n_268 ;
+   wire \soc_top/n_269 ;
+   wire \soc_top/n_270 ;
+   wire \soc_top/n_271 ;
+   wire \soc_top/n_272 ;
+   wire \soc_top/n_273 ;
+   wire \soc_top/n_274 ;
+   wire \soc_top/n_275 ;
+   wire \soc_top/n_276 ;
+   wire \soc_top/n_277 ;
+   wire \soc_top/n_278 ;
+   wire \soc_top/n_279 ;
+   wire \soc_top/n_280 ;
+   wire \soc_top/n_281 ;
+   wire \soc_top/n_282 ;
+   wire \soc_top/n_283 ;
+   wire \soc_top/n_284 ;
+   wire \soc_top/n_285 ;
+   wire \soc_top/n_286 ;
+   wire \soc_top/n_287 ;
+   wire \soc_top/n_288 ;
+   wire \soc_top/n_289 ;
+   wire \soc_top/n_291 ;
+   wire \soc_top/n_292 ;
+   wire \soc_top/n_293 ;
+   wire \soc_top/n_294 ;
+   wire \soc_top/n_295 ;
+   wire \soc_top/n_296 ;
+   wire \soc_top/n_297 ;
+   wire \soc_top/n_298 ;
+   wire \soc_top/n_299 ;
+   wire \soc_top/n_300 ;
+   wire \soc_top/n_301 ;
+   wire \soc_top/n_302 ;
+   wire \soc_top/n_303 ;
+   wire \soc_top/n_304 ;
+   wire \soc_top/n_305 ;
+   wire \soc_top/n_306 ;
+   wire \soc_top/n_307 ;
+   wire \soc_top/n_308 ;
+   wire \soc_top/n_309 ;
+   wire \soc_top/n_310 ;
+   wire \soc_top/n_311 ;
+   wire \soc_top/n_312 ;
+   wire \soc_top/n_313 ;
+   wire \soc_top/n_314 ;
+   wire \soc_top/n_315 ;
+   wire \soc_top/n_316 ;
+   wire \soc_top/n_317 ;
+   wire \soc_top/n_318 ;
+   wire \soc_top/n_319 ;
+   wire \soc_top/n_320 ;
+   wire \soc_top/n_321 ;
+   wire \soc_top/n_322 ;
+   wire \soc_top/n_323 ;
+   wire \soc_top/n_324 ;
+   wire \soc_top/n_325 ;
+   wire \soc_top/n_326 ;
+   wire \soc_top/n_327 ;
+   wire \soc_top/n_328 ;
+   wire \soc_top/n_329 ;
+   wire \soc_top/n_330 ;
+   wire \soc_top/n_331 ;
+   wire \soc_top/n_332 ;
+   wire \soc_top/n_333 ;
+   wire \soc_top/n_334 ;
+   wire \soc_top/n_335 ;
+   wire \soc_top/n_336 ;
+   wire \soc_top/n_338 ;
+   wire \soc_top/n_339 ;
+   wire \soc_top/n_340 ;
+   wire \soc_top/n_341 ;
+   wire \soc_top/n_342 ;
+   wire \soc_top/n_343 ;
+   wire \soc_top/n_344 ;
+   wire \soc_top/n_345 ;
+   wire \soc_top/n_346 ;
+   wire \soc_top/n_347 ;
+   wire \soc_top/n_348 ;
+   wire \soc_top/n_349 ;
+   wire \soc_top/n_350 ;
+   wire \soc_top/n_351 ;
+   wire \soc_top/n_352 ;
+   wire \soc_top/n_353 ;
+   wire \soc_top/n_354 ;
+   wire \soc_top/n_355 ;
+   wire \soc_top/n_356 ;
+   wire \soc_top/n_357 ;
+   wire \soc_top/n_358 ;
+   wire \soc_top/n_359 ;
+   wire \soc_top/n_360 ;
+   wire \soc_top/n_361 ;
+   wire \soc_top/n_362 ;
+   wire \soc_top/n_363 ;
+   wire \soc_top/n_364 ;
+   wire \soc_top/n_365 ;
+   wire \soc_top/n_366 ;
+   wire \soc_top/n_367 ;
+   wire \soc_top/n_368 ;
+   wire \soc_top/n_369 ;
+   wire \soc_top/n_370 ;
+   wire \soc_top/n_371 ;
+   wire \soc_top/n_372 ;
+   wire \soc_top/n_373 ;
+   wire \soc_top/n_374 ;
+   wire \soc_top/n_375 ;
+   wire \soc_top/n_376 ;
+   wire \soc_top/n_377 ;
+   wire \soc_top/n_378 ;
+   wire \soc_top/n_379 ;
+   wire \soc_top/n_380 ;
+   wire \soc_top/n_381 ;
+   wire \soc_top/n_382 ;
+   wire \soc_top/n_383 ;
+   wire \soc_top/n_384 ;
+   wire \soc_top/n_385 ;
+   wire \soc_top/n_386 ;
+   wire \soc_top/n_387 ;
+   wire \soc_top/n_388 ;
+   wire \soc_top/n_389 ;
+   wire \soc_top/n_390 ;
+   wire \soc_top/n_391 ;
+   wire \soc_top/n_392 ;
+   wire \soc_top/n_393 ;
+   wire \soc_top/n_394 ;
+   wire \soc_top/n_395 ;
+   wire \soc_top/n_396 ;
+   wire \soc_top/n_397 ;
+   wire \soc_top/n_398 ;
+   wire \soc_top/n_399 ;
+   wire \soc_top/n_400 ;
+   wire \soc_top/n_401 ;
+   wire \soc_top/n_402 ;
+   wire \soc_top/n_403 ;
+   wire \soc_top/n_404 ;
+   wire \soc_top/n_405 ;
+   wire \soc_top/n_406 ;
+   wire \soc_top/n_407 ;
+   wire \soc_top/n_409 ;
+   wire \soc_top/n_410 ;
+   wire \soc_top/n_411 ;
+   wire \soc_top/n_412 ;
+   wire \soc_top/n_413 ;
+   wire \soc_top/n_414 ;
+   wire \soc_top/n_415 ;
+   wire \soc_top/n_416 ;
+   wire \soc_top/n_417 ;
+   wire \soc_top/n_418 ;
+   wire \soc_top/n_419 ;
+   wire \soc_top/n_420 ;
+   wire \soc_top/n_421 ;
+   wire \soc_top/n_422 ;
+   wire \soc_top/n_423 ;
+   wire \soc_top/n_424 ;
+   wire \soc_top/n_425 ;
+   wire \soc_top/n_426 ;
+   wire \soc_top/n_427 ;
+   wire \soc_top/n_428 ;
+   wire \soc_top/n_429 ;
+   wire \soc_top/n_430 ;
+   wire \soc_top/n_431 ;
+   wire \soc_top/n_432 ;
+   wire \soc_top/n_433 ;
+   wire \soc_top/n_434 ;
+   wire \soc_top/n_435 ;
+   wire \soc_top/n_436 ;
+   wire \soc_top/n_437 ;
+   wire \soc_top/n_438 ;
+   wire \soc_top/n_439 ;
+   wire \soc_top/n_440 ;
+   wire \soc_top/n_441 ;
+   wire \soc_top/n_442 ;
+   wire \soc_top/n_443 ;
+   wire \soc_top/n_444 ;
+   wire \soc_top/n_445 ;
+   wire \soc_top/n_446 ;
+   wire \soc_top/n_447 ;
+   wire \soc_top/n_448 ;
+   wire \soc_top/n_449 ;
+   wire \soc_top/n_450 ;
+   wire \soc_top/n_451 ;
+   wire \soc_top/n_452 ;
+   wire \soc_top/n_453 ;
+   wire \soc_top/n_454 ;
+   wire \soc_top/n_455 ;
+   wire \soc_top/n_456 ;
+   wire \soc_top/n_457 ;
+   wire \soc_top/n_458 ;
+   wire \soc_top/n_459 ;
+   wire \soc_top/n_460 ;
+   wire \soc_top/n_461 ;
+   wire \soc_top/n_462 ;
+   wire \soc_top/n_463 ;
+   wire \soc_top/n_464 ;
+   wire \soc_top/n_465 ;
+   wire \soc_top/n_466 ;
+   wire \soc_top/n_467 ;
+   wire \soc_top/n_468 ;
+   wire \soc_top/n_469 ;
+   wire \soc_top/n_470 ;
+   wire \soc_top/n_471 ;
+   wire \soc_top/n_472 ;
+   wire \soc_top/n_473 ;
+   wire \soc_top/n_474 ;
+   wire \soc_top/n_475 ;
+   wire \soc_top/n_476 ;
+   wire \soc_top/n_477 ;
+   wire \soc_top/n_478 ;
+   wire \soc_top/n_479 ;
+   wire \soc_top/n_480 ;
+   wire \soc_top/n_481 ;
+   wire \soc_top/n_482 ;
+   wire \soc_top/n_483 ;
+   wire \soc_top/n_484 ;
+   wire \soc_top/n_485 ;
+   wire \soc_top/n_486 ;
+   wire \soc_top/n_487 ;
+   wire \soc_top/n_488 ;
+   wire \soc_top/n_489 ;
+   wire \soc_top/n_490 ;
+   wire \soc_top/n_491 ;
+   wire \soc_top/n_492 ;
+   wire \soc_top/n_493 ;
+   wire \soc_top/n_494 ;
+   wire \soc_top/n_495 ;
+   wire \soc_top/n_496 ;
+   wire \soc_top/n_497 ;
+   wire \soc_top/n_498 ;
+   wire \soc_top/n_499 ;
+   wire \soc_top/n_500 ;
+   wire \soc_top/n_501 ;
+   wire \soc_top/n_502 ;
+   wire \soc_top/n_503 ;
+   wire \soc_top/n_504 ;
+   wire \soc_top/n_505 ;
+   wire \soc_top/n_506 ;
+   wire \soc_top/n_507 ;
+   wire \soc_top/n_508 ;
+   wire \soc_top/n_509 ;
+   wire \soc_top/n_510 ;
+   wire \soc_top/n_511 ;
+   wire \soc_top/n_512 ;
+   wire \soc_top/n_513 ;
+   wire \soc_top/n_514 ;
+   wire \soc_top/n_515 ;
+   wire \soc_top/n_516 ;
+   wire \soc_top/n_517 ;
+   wire \soc_top/n_518 ;
+   wire \soc_top/n_519 ;
+   wire \soc_top/n_520 ;
+   wire \soc_top/n_521 ;
+   wire \soc_top/n_522 ;
+   wire \soc_top/n_523 ;
+   wire \soc_top/n_524 ;
+   wire \soc_top/n_525 ;
+   wire \soc_top/n_526 ;
+   wire \soc_top/n_527 ;
+   wire \soc_top/n_528 ;
+   wire \soc_top/n_529 ;
+   wire \soc_top/n_530 ;
+   wire \soc_top/n_531 ;
+   wire \soc_top/n_532 ;
+   wire \soc_top/n_533 ;
+   wire \soc_top/n_534 ;
+   wire \soc_top/n_535 ;
+   wire \soc_top/n_536 ;
+   wire \soc_top/n_537 ;
+   wire \soc_top/n_538 ;
+   wire \soc_top/n_539 ;
+   wire \soc_top/n_540 ;
+   wire \soc_top/n_541 ;
+   wire \soc_top/n_542 ;
+   wire \soc_top/n_543 ;
+   wire \soc_top/n_544 ;
+   wire \soc_top/n_545 ;
+   wire \soc_top/n_546 ;
+   wire \soc_top/n_547 ;
+   wire \soc_top/n_548 ;
+   wire \soc_top/n_549 ;
+   wire \soc_top/n_550 ;
+   wire \soc_top/n_551 ;
+   wire \soc_top/n_552 ;
+   wire \soc_top/n_553 ;
+   wire \soc_top/n_554 ;
+   wire \soc_top/n_555 ;
+   wire \soc_top/n_556 ;
+   wire \soc_top/n_557 ;
+   wire \soc_top/n_558 ;
+   wire \soc_top/n_559 ;
+   wire \soc_top/n_560 ;
+   wire \soc_top/n_561 ;
+   wire \soc_top/n_562 ;
+   wire \soc_top/n_563 ;
+   wire \soc_top/n_564 ;
+   wire \soc_top/n_565 ;
+   wire \soc_top/n_566 ;
+   wire \soc_top/n_567 ;
+   wire \soc_top/n_568 ;
+   wire \soc_top/n_569 ;
+   wire \soc_top/n_570 ;
+   wire \soc_top/n_571 ;
+   wire \soc_top/n_572 ;
+   wire \soc_top/n_573 ;
+   wire \soc_top/n_574 ;
+   wire \soc_top/n_575 ;
+   wire \soc_top/n_576 ;
+   wire \soc_top/n_577 ;
+   wire \soc_top/n_578 ;
+   wire \soc_top/n_579 ;
+   wire \soc_top/n_580 ;
+   wire \soc_top/n_581 ;
+   wire \soc_top/n_582 ;
+   wire \soc_top/n_583 ;
+   wire \soc_top/n_584 ;
+   wire \soc_top/n_585 ;
+   wire \soc_top/n_586 ;
+   wire \soc_top/n_587 ;
+   wire \soc_top/n_588 ;
+   wire \soc_top/n_589 ;
+   wire \soc_top/n_590 ;
+   wire \soc_top/n_591 ;
+   wire \soc_top/n_592 ;
+   wire \soc_top/n_593 ;
+   wire \soc_top/n_594 ;
+   wire \soc_top/n_595 ;
+   wire \soc_top/n_596 ;
+   wire \soc_top/n_597 ;
+   wire \soc_top/n_598 ;
+   wire \soc_top/n_599 ;
+   wire \soc_top/n_600 ;
+   wire \soc_top/n_601 ;
+   wire \soc_top/n_602 ;
+   wire \soc_top/n_603 ;
+   wire \soc_top/n_604 ;
+   wire \soc_top/n_605 ;
+   wire \soc_top/n_606 ;
+   wire \soc_top/n_607 ;
+   wire \soc_top/n_608 ;
+   wire \soc_top/n_609 ;
+   wire \soc_top/n_610 ;
+   wire \soc_top/n_611 ;
+   wire \soc_top/n_612 ;
+   wire \soc_top/n_613 ;
+   wire \soc_top/n_614 ;
+   wire \soc_top/n_615 ;
+   wire \soc_top/n_616 ;
+   wire \soc_top/n_617 ;
+   wire \soc_top/n_618 ;
+   wire \soc_top/n_619 ;
+   wire \soc_top/n_620 ;
+   wire \soc_top/n_621 ;
+   wire \soc_top/n_622 ;
+   wire \soc_top/n_623 ;
+   wire \soc_top/n_624 ;
+   wire \soc_top/n_625 ;
+   wire \soc_top/n_626 ;
+   wire \soc_top/n_627 ;
+   wire \soc_top/n_628 ;
+   wire \soc_top/n_629 ;
+   wire \soc_top/n_630 ;
+   wire \soc_top/n_631 ;
+   wire \soc_top/n_632 ;
+   wire \soc_top/n_633 ;
+   wire \soc_top/n_634 ;
+   wire \soc_top/n_635 ;
+   wire \soc_top/n_636 ;
+   wire \soc_top/n_637 ;
+   wire \soc_top/n_638 ;
+   wire \soc_top/n_639 ;
+   wire \soc_top/n_640 ;
+   wire \soc_top/n_641 ;
+   wire \soc_top/n_642 ;
+   wire \soc_top/n_643 ;
+   wire \soc_top/n_644 ;
+   wire \soc_top/n_645 ;
+   wire \soc_top/n_646 ;
+   wire \soc_top/n_647 ;
+   wire \soc_top/n_648 ;
+   wire \soc_top/n_649 ;
+   wire \soc_top/n_650 ;
+   wire \soc_top/n_651 ;
+   wire \soc_top/n_652 ;
+   wire \soc_top/n_653 ;
+   wire \soc_top/n_654 ;
+   wire \soc_top/n_655 ;
+   wire \soc_top/n_656 ;
+   wire \soc_top/n_657 ;
+   wire \soc_top/n_658 ;
+   wire \soc_top/n_659 ;
+   wire \soc_top/n_660 ;
+   wire \soc_top/n_661 ;
+   wire \soc_top/n_662 ;
+   wire \soc_top/n_663 ;
+   wire \soc_top/n_664 ;
+   wire \soc_top/n_665 ;
+   wire \soc_top/n_666 ;
+   wire \soc_top/n_667 ;
+   wire \soc_top/n_668 ;
+   wire \soc_top/n_669 ;
+   wire \soc_top/n_670 ;
+   wire \soc_top/n_671 ;
+   wire \soc_top/n_672 ;
+   wire \soc_top/n_673 ;
+   wire \soc_top/n_674 ;
+   wire \soc_top/n_675 ;
+   wire \soc_top/n_676 ;
+   wire \soc_top/n_677 ;
+   wire \soc_top/n_678 ;
+   wire \soc_top/n_679 ;
+   wire \soc_top/n_680 ;
+   wire \soc_top/n_681 ;
+   wire \soc_top/n_682 ;
+   wire \soc_top/n_683 ;
+   wire \soc_top/n_684 ;
+   wire \soc_top/n_685 ;
+   wire \soc_top/n_686 ;
+   wire \soc_top/n_687 ;
+   wire \soc_top/n_688 ;
+   wire \soc_top/n_689 ;
+   wire \soc_top/n_690 ;
+   wire \soc_top/n_691 ;
+   wire \soc_top/n_692 ;
+   wire \soc_top/n_693 ;
+   wire \soc_top/n_694 ;
+   wire \soc_top/n_695 ;
+   wire \soc_top/n_696 ;
+   wire \soc_top/n_697 ;
+   wire \soc_top/n_698 ;
+   wire \soc_top/n_699 ;
+   wire \soc_top/n_700 ;
+   wire \soc_top/n_701 ;
+   wire \soc_top/n_702 ;
+   wire \soc_top/n_703 ;
+   wire \soc_top/n_704 ;
+   wire \soc_top/n_705 ;
+   wire \soc_top/n_706 ;
+   wire \soc_top/n_707 ;
+   wire \soc_top/n_708 ;
+   wire \soc_top/n_709 ;
+   wire \soc_top/n_710 ;
+   wire \soc_top/n_711 ;
+   wire \soc_top/n_712 ;
+   wire \soc_top/n_713 ;
+   wire \soc_top/n_714 ;
+   wire \soc_top/n_715 ;
+   wire \soc_top/n_716 ;
+   wire \soc_top/n_717 ;
+   wire \soc_top/n_718 ;
+   wire \soc_top/n_719 ;
+   wire \soc_top/n_720 ;
+   wire \soc_top/n_721 ;
+   wire \soc_top/n_722 ;
+   wire \soc_top/n_723 ;
+   wire \soc_top/n_724 ;
+   wire \soc_top/n_725 ;
+   wire \soc_top/n_726 ;
+   wire \soc_top/n_727 ;
+   wire \soc_top/n_728 ;
+   wire \soc_top/n_729 ;
+   wire \soc_top/n_730 ;
+   wire \soc_top/n_731 ;
+   wire \soc_top/n_732 ;
+   wire \soc_top/n_733 ;
+   wire \soc_top/n_734 ;
+   wire \soc_top/n_735 ;
+   wire \soc_top/n_736 ;
+   wire \soc_top/n_737 ;
+   wire \soc_top/n_738 ;
+   wire \soc_top/n_739 ;
+   wire \soc_top/n_740 ;
+   wire \soc_top/n_741 ;
+   wire \soc_top/n_742 ;
+   wire \soc_top/n_743 ;
+   wire \soc_top/n_744 ;
+   wire \soc_top/n_745 ;
+   wire \soc_top/n_746 ;
+   wire \soc_top/n_747 ;
+   wire \soc_top/n_748 ;
+   wire \soc_top/n_749 ;
+   wire \soc_top/n_750 ;
+   wire \soc_top/n_751 ;
+   wire \soc_top/n_752 ;
+   wire \soc_top/n_753 ;
+   wire \soc_top/n_754 ;
+   wire \soc_top/n_755 ;
+   wire \soc_top/n_756 ;
+   wire \soc_top/n_757 ;
+   wire \soc_top/n_758 ;
+   wire \soc_top/n_759 ;
+   wire \soc_top/n_760 ;
+   wire \soc_top/n_761 ;
+   wire \soc_top/n_762 ;
+   wire \soc_top/n_763 ;
+   wire \soc_top/n_764 ;
+   wire \soc_top/n_765 ;
+   wire \soc_top/n_766 ;
+   wire \soc_top/n_767 ;
+   wire \soc_top/n_768 ;
+   wire \soc_top/n_769 ;
+   wire \soc_top/n_770 ;
+   wire \soc_top/n_771 ;
+   wire \soc_top/n_772 ;
+   wire \soc_top/n_773 ;
+   wire \soc_top/n_774 ;
+   wire \soc_top/n_775 ;
+   wire \soc_top/n_776 ;
+   wire \soc_top/n_777 ;
+   wire \soc_top/n_778 ;
+   wire \soc_top/n_779 ;
+   wire \soc_top/n_780 ;
+   wire \soc_top/n_781 ;
+   wire \soc_top/n_782 ;
+   wire \soc_top/n_783 ;
+   wire \soc_top/n_784 ;
+   wire \soc_top/n_785 ;
+   wire \soc_top/n_786 ;
+   wire \soc_top/n_787 ;
+   wire \soc_top/n_788 ;
+   wire \soc_top/n_789 ;
+   wire \soc_top/n_790 ;
+   wire \soc_top/n_791 ;
+   wire \soc_top/n_792 ;
+   wire \soc_top/n_793 ;
+   wire \soc_top/n_794 ;
+   wire \soc_top/n_795 ;
+   wire \soc_top/n_796 ;
+   wire \soc_top/n_797 ;
+   wire \soc_top/n_798 ;
+   wire \soc_top/n_799 ;
+   wire \soc_top/n_800 ;
+   wire \soc_top/n_801 ;
+   wire \soc_top/n_802 ;
+   wire \soc_top/n_803 ;
+   wire \soc_top/n_804 ;
+   wire \soc_top/n_805 ;
+   wire \soc_top/n_806 ;
+   wire \soc_top/n_807 ;
+   wire \soc_top/n_808 ;
+   wire \soc_top/n_809 ;
+   wire \soc_top/n_810 ;
+   wire \soc_top/n_811 ;
+   wire \soc_top/n_812 ;
+   wire \soc_top/n_813 ;
+   wire \soc_top/n_814 ;
+   wire \soc_top/n_815 ;
+   wire \soc_top/n_816 ;
+   wire \soc_top/n_817 ;
+   wire \soc_top/n_818 ;
+   wire \soc_top/n_819 ;
+   wire \soc_top/n_820 ;
+   wire \soc_top/n_821 ;
+   wire \soc_top/n_822 ;
+   wire \soc_top/n_823 ;
+   wire \soc_top/n_824 ;
+   wire \soc_top/n_825 ;
+   wire \soc_top/n_826 ;
+   wire \soc_top/n_827 ;
+   wire \soc_top/n_828 ;
+   wire \soc_top/n_829 ;
+   wire \soc_top/n_830 ;
+   wire \soc_top/n_831 ;
+   wire \soc_top/n_832 ;
+   wire \soc_top/n_833 ;
+   wire \soc_top/n_834 ;
+   wire \soc_top/n_835 ;
+   wire \soc_top/n_836 ;
+   wire \soc_top/n_837 ;
+   wire \soc_top/n_838 ;
+   wire \soc_top/n_839 ;
+   wire \soc_top/n_840 ;
+   wire \soc_top/n_841 ;
+   wire \soc_top/n_842 ;
+   wire \soc_top/n_843 ;
+   wire \soc_top/n_844 ;
+   wire \soc_top/n_845 ;
+   wire \soc_top/n_846 ;
+   wire \soc_top/n_847 ;
+   wire \soc_top/n_848 ;
+   wire \soc_top/n_849 ;
+   wire \soc_top/n_850 ;
+   wire \soc_top/n_851 ;
+   wire \soc_top/n_852 ;
+   wire \soc_top/n_853 ;
+   wire \soc_top/n_854 ;
+   wire \soc_top/n_855 ;
+   wire \soc_top/n_856 ;
+   wire \soc_top/n_857 ;
+   wire \soc_top/n_858 ;
+   wire \soc_top/n_859 ;
+   wire \soc_top/n_860 ;
+   wire \soc_top/n_861 ;
+   wire \soc_top/n_862 ;
+   wire \soc_top/n_863 ;
+   wire \soc_top/n_864 ;
+   wire \soc_top/n_865 ;
+   wire \soc_top/n_866 ;
+   wire \soc_top/n_867 ;
+   wire \soc_top/n_868 ;
+   wire \soc_top/n_869 ;
+   wire \soc_top/n_870 ;
+   wire \soc_top/n_871 ;
+   wire \soc_top/n_872 ;
+   wire \soc_top/n_873 ;
+   wire \soc_top/n_874 ;
+   wire \soc_top/n_875 ;
+   wire \soc_top/n_876 ;
+   wire \soc_top/n_877 ;
+   wire \soc_top/n_878 ;
+   wire \soc_top/n_879 ;
+   wire \soc_top/n_880 ;
+   wire \soc_top/n_881 ;
+   wire \soc_top/n_882 ;
+   wire \soc_top/n_883 ;
+   wire \soc_top/n_884 ;
+   wire \soc_top/n_885 ;
+   wire \soc_top/n_886 ;
+   wire \soc_top/n_887 ;
+   wire \soc_top/n_888 ;
+   wire \soc_top/n_889 ;
+   wire \soc_top/n_890 ;
+   wire \soc_top/n_891 ;
+   wire \soc_top/n_892 ;
+   wire \soc_top/n_893 ;
+   wire \soc_top/n_894 ;
+   wire \soc_top/n_895 ;
+   wire \soc_top/n_896 ;
+   wire \soc_top/n_897 ;
+   wire \soc_top/n_898 ;
+   wire \soc_top/n_899 ;
+   wire \soc_top/n_900 ;
+   wire \soc_top/n_901 ;
+   wire \soc_top/n_902 ;
+   wire \soc_top/n_903 ;
+   wire \soc_top/n_904 ;
+   wire \soc_top/n_905 ;
+   wire \soc_top/n_906 ;
+   wire \soc_top/n_907 ;
+   wire \soc_top/n_908 ;
+   wire \soc_top/n_909 ;
+   wire \soc_top/n_910 ;
+   wire \soc_top/n_911 ;
+   wire \soc_top/n_912 ;
+   wire \soc_top/n_913 ;
+   wire \soc_top/n_914 ;
+   wire \soc_top/n_915 ;
+   wire \soc_top/n_916 ;
+   wire \soc_top/n_917 ;
+   wire \soc_top/n_918 ;
+   wire \soc_top/n_919 ;
+   wire \soc_top/n_920 ;
+   wire \soc_top/n_921 ;
+   wire \soc_top/n_922 ;
+   wire \soc_top/n_923 ;
+   wire \soc_top/n_924 ;
+   wire \soc_top/n_925 ;
+   wire \soc_top/n_926 ;
+   wire \soc_top/n_927 ;
+   wire \soc_top/n_928 ;
+   wire \soc_top/n_929 ;
+   wire \soc_top/n_930 ;
+   wire \soc_top/n_931 ;
+   wire \soc_top/n_932 ;
+   wire \soc_top/n_933 ;
+   wire \soc_top/n_934 ;
+   wire \soc_top/n_935 ;
+   wire \soc_top/n_936 ;
+   wire \soc_top/n_937 ;
+   wire \soc_top/n_938 ;
+   wire \soc_top/n_939 ;
+   wire \soc_top/n_940 ;
+   wire \soc_top/n_941 ;
+   wire \soc_top/n_942 ;
+   wire \soc_top/n_943 ;
+   wire \soc_top/n_944 ;
+   wire \soc_top/n_945 ;
+   wire \soc_top/n_946 ;
+   wire \soc_top/n_947 ;
+   wire \soc_top/n_948 ;
+   wire \soc_top/n_949 ;
+   wire \soc_top/n_950 ;
+   wire \soc_top/n_951 ;
+   wire \soc_top/n_952 ;
+   wire \soc_top/n_953 ;
+   wire \soc_top/n_954 ;
+   wire \soc_top/n_955 ;
+   wire \soc_top/n_956 ;
+   wire \soc_top/n_957 ;
+   wire \soc_top/n_958 ;
+   wire \soc_top/n_959 ;
+   wire \soc_top/n_960 ;
+   wire \soc_top/n_961 ;
+   wire \soc_top/n_962 ;
+   wire \soc_top/n_963 ;
+   wire \soc_top/n_964 ;
+   wire \soc_top/n_965 ;
+   wire \soc_top/n_966 ;
+   wire \soc_top/n_967 ;
+   wire \soc_top/n_968 ;
+   wire \soc_top/n_969 ;
+   wire \soc_top/n_970 ;
+   wire \soc_top/n_971 ;
+   wire \soc_top/n_972 ;
+   wire \soc_top/n_973 ;
+   wire \soc_top/n_974 ;
+   wire \soc_top/n_975 ;
+   wire \soc_top/n_976 ;
+   wire \soc_top/n_977 ;
+   wire \soc_top/n_978 ;
+   wire \soc_top/n_979 ;
+   wire \soc_top/n_980 ;
+   wire \soc_top/n_981 ;
+   wire \soc_top/n_982 ;
+   wire \soc_top/n_983 ;
+   wire \soc_top/n_984 ;
+   wire \soc_top/n_985 ;
+   wire \soc_top/n_986 ;
+   wire \soc_top/n_987 ;
+   wire \soc_top/n_988 ;
+   wire \soc_top/n_989 ;
+   wire \soc_top/n_990 ;
+   wire \soc_top/n_991 ;
+   wire \soc_top/n_992 ;
+   wire \soc_top/n_993 ;
+   wire \soc_top/n_994 ;
+   wire \soc_top/n_995 ;
+   wire \soc_top/n_996 ;
+   wire \soc_top/n_997 ;
+   wire \soc_top/n_998 ;
+   wire \soc_top/n_999 ;
+   wire \soc_top/n_1000 ;
+   wire \soc_top/n_1001 ;
+   wire \soc_top/n_1002 ;
+   wire \soc_top/n_1003 ;
+   wire \soc_top/n_1004 ;
+   wire \soc_top/n_1005 ;
+   wire \soc_top/n_1006 ;
+   wire \soc_top/n_1007 ;
+   wire \soc_top/n_1008 ;
+   wire \soc_top/n_1009 ;
+   wire \soc_top/n_1010 ;
+   wire \soc_top/n_1011 ;
+   wire \soc_top/n_1012 ;
+   wire \soc_top/n_1013 ;
+   wire \soc_top/n_1014 ;
+   wire \soc_top/n_1015 ;
+   wire \soc_top/n_1016 ;
+   wire \soc_top/n_1017 ;
+   wire \soc_top/n_1018 ;
+   wire \soc_top/n_1019 ;
+   wire \soc_top/n_1020 ;
+   wire \soc_top/n_1021 ;
+   wire \soc_top/n_1022 ;
+   wire \soc_top/n_1023 ;
+   wire \soc_top/n_1024 ;
+   wire \soc_top/n_1025 ;
+   wire \soc_top/n_1026 ;
+   wire \soc_top/n_1027 ;
+   wire \soc_top/n_1028 ;
+   wire \soc_top/n_1029 ;
+   wire \soc_top/n_1030 ;
+   wire \soc_top/n_1031 ;
+   wire \soc_top/n_1032 ;
+   wire \soc_top/n_1033 ;
+   wire \soc_top/n_1034 ;
+   wire \soc_top/n_1035 ;
+   wire \soc_top/n_1036 ;
+   wire \soc_top/n_1037 ;
+   wire \soc_top/n_1038 ;
+   wire \soc_top/n_1039 ;
+   wire \soc_top/n_1040 ;
+   wire \soc_top/n_1041 ;
+   wire \soc_top/n_1042 ;
+   wire \soc_top/n_1043 ;
+   wire \soc_top/n_1044 ;
+   wire \soc_top/n_1045 ;
+   wire \soc_top/n_1046 ;
+   wire \soc_top/n_1047 ;
+   wire \soc_top/n_1048 ;
+   wire \soc_top/n_1049 ;
+   wire \soc_top/n_1050 ;
+   wire \soc_top/n_1051 ;
+   wire \soc_top/n_1052 ;
+   wire \soc_top/n_1053 ;
+   wire \soc_top/n_1054 ;
+   wire \soc_top/n_1055 ;
+   wire \soc_top/n_1056 ;
+   wire \soc_top/n_1057 ;
+   wire \soc_top/n_1058 ;
+   wire \soc_top/n_1059 ;
+   wire \soc_top/n_1060 ;
+   wire \soc_top/n_1061 ;
+   wire \soc_top/n_1062 ;
+   wire \soc_top/n_1063 ;
+   wire \soc_top/n_1064 ;
+   wire \soc_top/n_1065 ;
+   wire \soc_top/n_1066 ;
+   wire \soc_top/n_1067 ;
+   wire \soc_top/n_1068 ;
+   wire \soc_top/n_1069 ;
+   wire \soc_top/n_1070 ;
+   wire \soc_top/n_1071 ;
+   wire \soc_top/n_1072 ;
+   wire \soc_top/n_1073 ;
+   wire \soc_top/n_1074 ;
+   wire \soc_top/n_1075 ;
+   wire \soc_top/n_1076 ;
+   wire \soc_top/n_1077 ;
+   wire \soc_top/n_1078 ;
+   wire \soc_top/n_1079 ;
+   wire \soc_top/n_1080 ;
+   wire \soc_top/n_1081 ;
+   wire \soc_top/n_1082 ;
+   wire \soc_top/n_1083 ;
+   wire \soc_top/n_1084 ;
+   wire \soc_top/n_1085 ;
+   wire \soc_top/n_1086 ;
+   wire \soc_top/n_1087 ;
+   wire \soc_top/n_1088 ;
+   wire \soc_top/n_1089 ;
+   wire \soc_top/n_1090 ;
+   wire \soc_top/n_1091 ;
+   wire \soc_top/n_1092 ;
+   wire \soc_top/n_1093 ;
+   wire \soc_top/n_1094 ;
+   wire \soc_top/n_1095 ;
+   wire \soc_top/n_1096 ;
+   wire \soc_top/n_1097 ;
+   wire \soc_top/n_1098 ;
+   wire \soc_top/n_1099 ;
+   wire \soc_top/n_1100 ;
+   wire \soc_top/n_1101 ;
+   wire \soc_top/n_1102 ;
+   wire \soc_top/n_1103 ;
+   wire \soc_top/n_1104 ;
+   wire \soc_top/n_1105 ;
+   wire \soc_top/n_1106 ;
+   wire \soc_top/n_1107 ;
+   wire \soc_top/n_1108 ;
+   wire \soc_top/n_1109 ;
+   wire \soc_top/n_1110 ;
+   wire \soc_top/n_1111 ;
+   wire \soc_top/n_1112 ;
+   wire \soc_top/n_1113 ;
+   wire \soc_top/n_1114 ;
+   wire \soc_top/n_1115 ;
+   wire \soc_top/n_1116 ;
+   wire \soc_top/n_1117 ;
+   wire \soc_top/n_1118 ;
+   wire \soc_top/n_1119 ;
+   wire \soc_top/n_1120 ;
+   wire \soc_top/n_1121 ;
+   wire \soc_top/n_1122 ;
+   wire \soc_top/n_1123 ;
+   wire \soc_top/n_1124 ;
+   wire \soc_top/n_1125 ;
+   wire \soc_top/n_1126 ;
+   wire \soc_top/n_1127 ;
+   wire \soc_top/n_1128 ;
+   wire \soc_top/n_1129 ;
+   wire \soc_top/n_1130 ;
+   wire \soc_top/n_1131 ;
+   wire \soc_top/n_1132 ;
+   wire \soc_top/n_1133 ;
+   wire \soc_top/n_1134 ;
+   wire \soc_top/n_1135 ;
+   wire \soc_top/n_1136 ;
+   wire \soc_top/n_1137 ;
+   wire \soc_top/n_1138 ;
+   wire \soc_top/n_1139 ;
+   wire \soc_top/n_1140 ;
+   wire \soc_top/n_1141 ;
+   wire \soc_top/n_1142 ;
+   wire \soc_top/n_1143 ;
+   wire \soc_top/n_1144 ;
+   wire \soc_top/n_1145 ;
+   wire \soc_top/n_1146 ;
+   wire \soc_top/n_1147 ;
+   wire \soc_top/n_1148 ;
+   wire \soc_top/n_1149 ;
+   wire \soc_top/n_1150 ;
+   wire \soc_top/n_1151 ;
+   wire \soc_top/n_1152 ;
+   wire \soc_top/n_1153 ;
+   wire \soc_top/n_1154 ;
+   wire \soc_top/n_1155 ;
+   wire \soc_top/n_1156 ;
+   wire \soc_top/n_1157 ;
+   wire \soc_top/n_1158 ;
+   wire \soc_top/n_1159 ;
+   wire \soc_top/n_1160 ;
+   wire \soc_top/n_1161 ;
+   wire \soc_top/n_1162 ;
+   wire \soc_top/n_1163 ;
+   wire \soc_top/n_1164 ;
+   wire \soc_top/n_1165 ;
+   wire \soc_top/n_1166 ;
+   wire \soc_top/n_1167 ;
+   wire \soc_top/n_1168 ;
+   wire \soc_top/n_1169 ;
+   wire \soc_top/n_1170 ;
+   wire \soc_top/n_1171 ;
+   wire \soc_top/n_1172 ;
+   wire \soc_top/n_1173 ;
+   wire \soc_top/n_1174 ;
+   wire \soc_top/n_1175 ;
+   wire \soc_top/n_1176 ;
+   wire \soc_top/n_1177 ;
+   wire \soc_top/n_1178 ;
+   wire \soc_top/n_1179 ;
+   wire \soc_top/n_1180 ;
+   wire \soc_top/n_1181 ;
+   wire \soc_top/n_1182 ;
+   wire \soc_top/n_1183 ;
+   wire \soc_top/n_1184 ;
+   wire \soc_top/n_1185 ;
+   wire \soc_top/n_1186 ;
+   wire \soc_top/n_1187 ;
+   wire \soc_top/n_1188 ;
+   wire \soc_top/n_1189 ;
+   wire \soc_top/n_1190 ;
+   wire \soc_top/n_1191 ;
+   wire \soc_top/n_1192 ;
+   wire \soc_top/n_1193 ;
+   wire \soc_top/n_1194 ;
+   wire \soc_top/n_1195 ;
+   wire \soc_top/n_1196 ;
+   wire \soc_top/n_1197 ;
+   wire \soc_top/n_1198 ;
+   wire \soc_top/n_1199 ;
+   wire \soc_top/n_1200 ;
+   wire \soc_top/n_1201 ;
+   wire \soc_top/n_1202 ;
+   wire \soc_top/n_1203 ;
+   wire \soc_top/n_1204 ;
+   wire \soc_top/n_1205 ;
+   wire \soc_top/n_1206 ;
+   wire \soc_top/n_1207 ;
+   wire \soc_top/n_1208 ;
+   wire \soc_top/n_1209 ;
+   wire \soc_top/n_1210 ;
+   wire \soc_top/n_1211 ;
+   wire \soc_top/n_1212 ;
+   wire \soc_top/n_1213 ;
+   wire \soc_top/n_1214 ;
+   wire \soc_top/n_1215 ;
+   wire \soc_top/n_1216 ;
+   wire \soc_top/n_1217 ;
+   wire \soc_top/n_1218 ;
+   wire \soc_top/n_1219 ;
+   wire \soc_top/n_1220 ;
+   wire \soc_top/n_1221 ;
+   wire \soc_top/n_1222 ;
+   wire \soc_top/n_1223 ;
+   wire \soc_top/n_1224 ;
+   wire \soc_top/n_1225 ;
+   wire \soc_top/n_1226 ;
+   wire \soc_top/n_1227 ;
+   wire \soc_top/n_1228 ;
+   wire \soc_top/n_1229 ;
+   wire \soc_top/n_1230 ;
+   wire \soc_top/n_1231 ;
+   wire \soc_top/n_1232 ;
+   wire \soc_top/n_1233 ;
+   wire \soc_top/n_1234 ;
+   wire \soc_top/n_1235 ;
+   wire \soc_top/n_1236 ;
+   wire \soc_top/n_1237 ;
+   wire \soc_top/n_1238 ;
+   wire \soc_top/n_1239 ;
+   wire \soc_top/n_1240 ;
+   wire \soc_top/n_1241 ;
+   wire \soc_top/n_1242 ;
+   wire \soc_top/n_1243 ;
+   wire \soc_top/n_1244 ;
+   wire \soc_top/n_1245 ;
+   wire \soc_top/n_1246 ;
+   wire \soc_top/n_1247 ;
+   wire \soc_top/n_1248 ;
+   wire \soc_top/n_1249 ;
+   wire \soc_top/n_1250 ;
+   wire \soc_top/n_1251 ;
+   wire \soc_top/n_1252 ;
+   wire \soc_top/n_1253 ;
+   wire \soc_top/n_1254 ;
+   wire \soc_top/n_1255 ;
+   wire \soc_top/n_1256 ;
+   wire \soc_top/n_1257 ;
+   wire \soc_top/n_1258 ;
+   wire \soc_top/n_1259 ;
+   wire \soc_top/n_1260 ;
+   wire \soc_top/n_1261 ;
+   wire \soc_top/n_1262 ;
+   wire \soc_top/n_1263 ;
+   wire \soc_top/n_1264 ;
+   wire \soc_top/n_1265 ;
+   wire \soc_top/n_1266 ;
+   wire \soc_top/n_1267 ;
+   wire \soc_top/n_1268 ;
+   wire \soc_top/n_1269 ;
+   wire \soc_top/n_1270 ;
+   wire \soc_top/n_1271 ;
+   wire \soc_top/n_1272 ;
+   wire \soc_top/n_1273 ;
+   wire \soc_top/n_1274 ;
+   wire \soc_top/n_1275 ;
+   wire \soc_top/n_1276 ;
+   wire \soc_top/n_1277 ;
+   wire \soc_top/n_1278 ;
+   wire \soc_top/n_1279 ;
+   wire \soc_top/n_1280 ;
+   wire \soc_top/n_1281 ;
+   wire \soc_top/n_1282 ;
+   wire \soc_top/n_1283 ;
+   wire \soc_top/n_1284 ;
+   wire \soc_top/n_1285 ;
+   wire \soc_top/n_1286 ;
+   wire \soc_top/n_1287 ;
+   wire \soc_top/n_1288 ;
+   wire \soc_top/n_1289 ;
+   wire \soc_top/n_1290 ;
+   wire \soc_top/n_1291 ;
+   wire \soc_top/n_1292 ;
+   wire \soc_top/n_1293 ;
+   wire \soc_top/n_1294 ;
+   wire \soc_top/n_1295 ;
+   wire \soc_top/n_1296 ;
+   wire \soc_top/n_1297 ;
+   wire \soc_top/n_1298 ;
+   wire \soc_top/n_1299 ;
+   wire \soc_top/n_1300 ;
+   wire \soc_top/n_1301 ;
+   wire \soc_top/n_1302 ;
+   wire \soc_top/n_1303 ;
+   wire \soc_top/n_1304 ;
+   wire \soc_top/n_1305 ;
+   wire \soc_top/n_1306 ;
+   wire \soc_top/n_1307 ;
+   wire \soc_top/n_1308 ;
+   wire \soc_top/n_1309 ;
+   wire \soc_top/n_1310 ;
+   wire \soc_top/n_1311 ;
+   wire \soc_top/n_1312 ;
+   wire \soc_top/n_1313 ;
+   wire \soc_top/n_1314 ;
+   wire \soc_top/n_1315 ;
+   wire \soc_top/n_1316 ;
+   wire \soc_top/n_1317 ;
+   wire \soc_top/n_1318 ;
+   wire \soc_top/n_1319 ;
+   wire \soc_top/n_1320 ;
+   wire \soc_top/n_1321 ;
+   wire \soc_top/n_1322 ;
+   wire \soc_top/n_1323 ;
+   wire \soc_top/n_1324 ;
+   wire \soc_top/n_1325 ;
+   wire \soc_top/n_1326 ;
+   wire \soc_top/n_1327 ;
+   wire \soc_top/n_1328 ;
+   wire \soc_top/n_1329 ;
+   wire \soc_top/n_1330 ;
+   wire \soc_top/n_1331 ;
+   wire \soc_top/n_1332 ;
+   wire \soc_top/n_1333 ;
+   wire \soc_top/n_1334 ;
+   wire \soc_top/n_1335 ;
+   wire \soc_top/n_1336 ;
+   wire \soc_top/n_1337 ;
+   wire \soc_top/n_1338 ;
+   wire \soc_top/n_1339 ;
+   wire \soc_top/n_1340 ;
+   wire \soc_top/n_1341 ;
+   wire \soc_top/n_1342 ;
+   wire \soc_top/n_1343 ;
+   wire \soc_top/n_1344 ;
+   wire \soc_top/n_1345 ;
+   wire \soc_top/n_1346 ;
+   wire \soc_top/n_1347 ;
+   wire \soc_top/n_1348 ;
+   wire \soc_top/n_1349 ;
+   wire \soc_top/n_1350 ;
+   wire \soc_top/n_1351 ;
+   wire \soc_top/n_1352 ;
+   wire \soc_top/n_1353 ;
+   wire \soc_top/n_1354 ;
+   wire \soc_top/n_1355 ;
+   wire \soc_top/n_1356 ;
+   wire \soc_top/n_1357 ;
+   wire \soc_top/n_1358 ;
+   wire \soc_top/n_1359 ;
+   wire \soc_top/n_1360 ;
+   wire \soc_top/n_1361 ;
+   wire \soc_top/n_1362 ;
+   wire \soc_top/n_1363 ;
+   wire \soc_top/n_1364 ;
+   wire \soc_top/n_1365 ;
+   wire \soc_top/n_1366 ;
+   wire \soc_top/n_1367 ;
+   wire \soc_top/n_1368 ;
+   wire \soc_top/n_1369 ;
+   wire \soc_top/n_1370 ;
+   wire \soc_top/n_1371 ;
+   wire \soc_top/n_1372 ;
+   wire \soc_top/n_1373 ;
+   wire \soc_top/n_1374 ;
+   wire \soc_top/n_1375 ;
+   wire \soc_top/n_1376 ;
+   wire \soc_top/n_1377 ;
+   wire \soc_top/n_1378 ;
+   wire \soc_top/n_1379 ;
+   wire \soc_top/n_1380 ;
+   wire \soc_top/n_1381 ;
+   wire \soc_top/n_1382 ;
+   wire \soc_top/n_1383 ;
+   wire \soc_top/n_1384 ;
+   wire \soc_top/n_1385 ;
+   wire \soc_top/n_1386 ;
+   wire \soc_top/n_1387 ;
+   wire \soc_top/n_1388 ;
+   wire \soc_top/n_1389 ;
+   wire \soc_top/n_1390 ;
+   wire \soc_top/n_1391 ;
+   wire \soc_top/n_1392 ;
+   wire \soc_top/n_1393 ;
+   wire \soc_top/n_1394 ;
+   wire \soc_top/n_1395 ;
+   wire \soc_top/n_1396 ;
+   wire \soc_top/n_1397 ;
+   wire \soc_top/n_1398 ;
+   wire \soc_top/n_1399 ;
+   wire \soc_top/n_1400 ;
+   wire \soc_top/n_1401 ;
+   wire \soc_top/n_1402 ;
+   wire \soc_top/n_1403 ;
+   wire \soc_top/n_1404 ;
+   wire \soc_top/n_1405 ;
+   wire \soc_top/n_1406 ;
+   wire \soc_top/n_1407 ;
+   wire \soc_top/n_1408 ;
+   wire \soc_top/n_1409 ;
+   wire \soc_top/n_1410 ;
+   wire \soc_top/n_1411 ;
+   wire \soc_top/n_1412 ;
+   wire \soc_top/n_1413 ;
+   wire \soc_top/n_1414 ;
+   wire \soc_top/n_1415 ;
+   wire \soc_top/n_1416 ;
+   wire \soc_top/n_1417 ;
+   wire \soc_top/n_1418 ;
+   wire \soc_top/n_1419 ;
+   wire \soc_top/n_1420 ;
+   wire \soc_top/n_1422 ;
+   wire \soc_top/n_1423 ;
+   wire \soc_top/n_1424 ;
+   wire \soc_top/n_1425 ;
+   wire \soc_top/n_1426 ;
+   wire \soc_top/n_1427 ;
+   wire \soc_top/n_1428 ;
+   wire \soc_top/n_1429 ;
+   wire \soc_top/n_1430 ;
+   wire \soc_top/n_1431 ;
+   wire \soc_top/n_1432 ;
+   wire \soc_top/n_1433 ;
+   wire \soc_top/n_1434 ;
+   wire \soc_top/n_1435 ;
+   wire \soc_top/n_1436 ;
+   wire \soc_top/n_1437 ;
+   wire \soc_top/n_1438 ;
+   wire \soc_top/n_1439 ;
+   wire \soc_top/n_1440 ;
+   wire \soc_top/n_1441 ;
+   wire \soc_top/n_1442 ;
+   wire \soc_top/n_1443 ;
+   wire \soc_top/n_1444 ;
+   wire \soc_top/n_1445 ;
+   wire \soc_top/n_1446 ;
+   wire \soc_top/n_1447 ;
+   wire \soc_top/n_1448 ;
+   wire \soc_top/n_1449 ;
+   wire \soc_top/n_1450 ;
+   wire \soc_top/n_1451 ;
+   wire \soc_top/n_1452 ;
+   wire \soc_top/n_1453 ;
+   wire \soc_top/n_1454 ;
+   wire \soc_top/n_1455 ;
+   wire \soc_top/n_1456 ;
+   wire \soc_top/n_1457 ;
+   wire \soc_top/n_1458 ;
+   wire \soc_top/n_1459 ;
+   wire \soc_top/n_1460 ;
+   wire \soc_top/n_1461 ;
+   wire \soc_top/n_1462 ;
+   wire \soc_top/n_1463 ;
+   wire \soc_top/n_1464 ;
+   wire \soc_top/n_1465 ;
+   wire \soc_top/n_1466 ;
+   wire \soc_top/n_1467 ;
+   wire \soc_top/n_1468 ;
+   wire \soc_top/n_1469 ;
+   wire \soc_top/n_1470 ;
+   wire \soc_top/n_1471 ;
+   wire \soc_top/n_1472 ;
+   wire \soc_top/n_1473 ;
+   wire \soc_top/n_1474 ;
+   wire \soc_top/n_1475 ;
+   wire \soc_top/n_1476 ;
+   wire \soc_top/n_1477 ;
+   wire \soc_top/n_1478 ;
+   wire \soc_top/n_1479 ;
+   wire \soc_top/n_1480 ;
+   wire \soc_top/n_1481 ;
+   wire \soc_top/n_1482 ;
+   wire \soc_top/n_1483 ;
+   wire \soc_top/n_1484 ;
+   wire \soc_top/n_1485 ;
+   wire \soc_top/n_1486 ;
+   wire \soc_top/n_1487 ;
+   wire \soc_top/n_1488 ;
+   wire \soc_top/n_1489 ;
+   wire \soc_top/n_1490 ;
+   wire \soc_top/n_1491 ;
+   wire \soc_top/n_1492 ;
+   wire \soc_top/n_1493 ;
+   wire \soc_top/n_1494 ;
+   wire \soc_top/n_1495 ;
+   wire \soc_top/n_1496 ;
+   wire \soc_top/n_1497 ;
+   wire \soc_top/n_1498 ;
+   wire \soc_top/n_1499 ;
+   wire \soc_top/n_1500 ;
+   wire \soc_top/n_1501 ;
+   wire \soc_top/n_1502 ;
+   wire \soc_top/n_1503 ;
+   wire \soc_top/n_1504 ;
+   wire \soc_top/n_1505 ;
+   wire \soc_top/n_1506 ;
+   wire \soc_top/n_1507 ;
+   wire \soc_top/n_1508 ;
+   wire \soc_top/n_1509 ;
+   wire \soc_top/n_1510 ;
+   wire \soc_top/n_1511 ;
+   wire \soc_top/n_1512 ;
+   wire \soc_top/n_1513 ;
+   wire \soc_top/n_1514 ;
+   wire \soc_top/n_1515 ;
+   wire \soc_top/n_1516 ;
+   wire \soc_top/n_1517 ;
+   wire \soc_top/n_1518 ;
+   wire \soc_top/n_1519 ;
+   wire \soc_top/n_1520 ;
+   wire \soc_top/n_1521 ;
+   wire \soc_top/n_1522 ;
+   wire \soc_top/n_1523 ;
+   wire \soc_top/n_1524 ;
+   wire \soc_top/n_1525 ;
+   wire \soc_top/n_1526 ;
+   wire \soc_top/n_1527 ;
+   wire \soc_top/n_1528 ;
+   wire \soc_top/n_1529 ;
+   wire \soc_top/n_1530 ;
+   wire \soc_top/n_1531 ;
+   wire \soc_top/n_1532 ;
+   wire \soc_top/n_1533 ;
+   wire \soc_top/n_1534 ;
+   wire \soc_top/n_1535 ;
+   wire \soc_top/n_1536 ;
+   wire \soc_top/n_1537 ;
+   wire \soc_top/n_1538 ;
+   wire \soc_top/n_1539 ;
+   wire \soc_top/n_1540 ;
+   wire \soc_top/n_1541 ;
+   wire \soc_top/n_1542 ;
+   wire \soc_top/n_1543 ;
+   wire \soc_top/n_1544 ;
+   wire \soc_top/n_1545 ;
+   wire \soc_top/n_1546 ;
+   wire \soc_top/n_1547 ;
+   wire \soc_top/n_1548 ;
+   wire \soc_top/n_1549 ;
+   wire \soc_top/n_1550 ;
+   wire \soc_top/n_1551 ;
+   wire \soc_top/n_1552 ;
+   wire \soc_top/n_1553 ;
+   wire \soc_top/n_1554 ;
+   wire \soc_top/n_1555 ;
+   wire \soc_top/n_1556 ;
+   wire \soc_top/n_1558 ;
+   wire \soc_top/n_1559 ;
+   wire \soc_top/n_1561 ;
+   wire \soc_top/n_1562 ;
+   wire \soc_top/n_1563 ;
+   wire \soc_top/n_1564 ;
+   wire \soc_top/n_1566 ;
+   wire \soc_top/n_1567 ;
+   wire \soc_top/n_1569 ;
+   wire \soc_top/n_1570 ;
+   wire \soc_top/n_1571 ;
+   wire \soc_top/n_1572 ;
+   wire \soc_top/n_1573 ;
+   wire \soc_top/n_1574 ;
+   wire \soc_top/n_1575 ;
+   wire \soc_top/n_1576 ;
+   wire \soc_top/n_1577 ;
+   wire \soc_top/n_1578 ;
+   wire \soc_top/n_1579 ;
+   wire \soc_top/n_1580 ;
+   wire \soc_top/n_1581 ;
+   wire \soc_top/n_1582 ;
+   wire \soc_top/n_1583 ;
+   wire \soc_top/n_1584 ;
+   wire \soc_top/n_1585 ;
+   wire \soc_top/n_1586 ;
+   wire \soc_top/n_1587 ;
+   wire \soc_top/n_1588 ;
+   wire \soc_top/n_1589 ;
+   wire \soc_top/n_1590 ;
+   wire \soc_top/n_1591 ;
+   wire \soc_top/n_1592 ;
+   wire \soc_top/n_1593 ;
+   wire \soc_top/n_1594 ;
+   wire \soc_top/n_1595 ;
+   wire \soc_top/n_1596 ;
+   wire \soc_top/n_1597 ;
+   wire \soc_top/n_1598 ;
+   wire \soc_top/n_1599 ;
+   wire \soc_top/n_1600 ;
+   wire \soc_top/n_1601 ;
+   wire \soc_top/n_1602 ;
+   wire \soc_top/n_1603 ;
+   wire \soc_top/n_1604 ;
+   wire \soc_top/n_1605 ;
+   wire \soc_top/n_1606 ;
+   wire \soc_top/n_1607 ;
+   wire \soc_top/n_1608 ;
+   wire \soc_top/n_1609 ;
+   wire \soc_top/n_1610 ;
+   wire \soc_top/n_1611 ;
+   wire \soc_top/n_1612 ;
+   wire \soc_top/n_1613 ;
+   wire \soc_top/n_1614 ;
+   wire \soc_top/n_1615 ;
+   wire \soc_top/n_1616 ;
+   wire \soc_top/n_1617 ;
+   wire \soc_top/n_1618 ;
+   wire \soc_top/n_1619 ;
+   wire \soc_top/n_1620 ;
+   wire \soc_top/n_1621 ;
+   wire \soc_top/n_1622 ;
+   wire \soc_top/n_1623 ;
+   wire \soc_top/n_1624 ;
+   wire \soc_top/n_1625 ;
+   wire \soc_top/n_1626 ;
+   wire \soc_top/n_1627 ;
+   wire \soc_top/n_1628 ;
+   wire \soc_top/n_1629 ;
+   wire \soc_top/n_1630 ;
+   wire \soc_top/n_1631 ;
+   wire \soc_top/n_1632 ;
+   wire \soc_top/n_1633 ;
+   wire \soc_top/n_1634 ;
+   wire \soc_top/n_1635 ;
+   wire \soc_top/n_1636 ;
+   wire \soc_top/n_1637 ;
+   wire \soc_top/n_1638 ;
+   wire \soc_top/n_1639 ;
+   wire \soc_top/n_1640 ;
+   wire \soc_top/n_1641 ;
+   wire \soc_top/n_1642 ;
+   wire \soc_top/n_1643 ;
+   wire \soc_top/n_1644 ;
+   wire \soc_top/n_1645 ;
+   wire \soc_top/n_1646 ;
+   wire \soc_top/n_1647 ;
+   wire \soc_top/n_1648 ;
+   wire \soc_top/n_1649 ;
+   wire \soc_top/n_1650 ;
+   wire \soc_top/n_1651 ;
+   wire \soc_top/n_1652 ;
+   wire \soc_top/n_1653 ;
+   wire \soc_top/n_1654 ;
+   wire \soc_top/n_1655 ;
+   wire \soc_top/n_1656 ;
+   wire \soc_top/n_1657 ;
+   wire \soc_top/n_1658 ;
+   wire \soc_top/n_1659 ;
+   wire \soc_top/n_1660 ;
+   wire \soc_top/n_1661 ;
+   wire \soc_top/n_1662 ;
+   wire \soc_top/n_1663 ;
+   wire \soc_top/n_1664 ;
+   wire \soc_top/n_1665 ;
+   wire \soc_top/n_1666 ;
+   wire \soc_top/n_1667 ;
+   wire \soc_top/n_1668 ;
+   wire \soc_top/n_1669 ;
+   wire \soc_top/n_1670 ;
+   wire \soc_top/n_1671 ;
+   wire \soc_top/n_1672 ;
+   wire \soc_top/n_1673 ;
+   wire \soc_top/n_1674 ;
+   wire \soc_top/n_1675 ;
+   wire \soc_top/n_1676 ;
+   wire \soc_top/n_1677 ;
+   wire \soc_top/n_1678 ;
+   wire \soc_top/n_1679 ;
+   wire \soc_top/n_1680 ;
+   wire \soc_top/n_1681 ;
+   wire \soc_top/n_1682 ;
+   wire \soc_top/n_1683 ;
+   wire \soc_top/n_1684 ;
+   wire \soc_top/n_1685 ;
+   wire \soc_top/n_1686 ;
+   wire \soc_top/n_1687 ;
+   wire \soc_top/n_1688 ;
+   wire \soc_top/n_1689 ;
+   wire \soc_top/n_1690 ;
+   wire \soc_top/n_1691 ;
+   wire \soc_top/n_1692 ;
+   wire \soc_top/n_1693 ;
+   wire \soc_top/n_1694 ;
+   wire \soc_top/n_1695 ;
+   wire \soc_top/n_1696 ;
+   wire \soc_top/n_1697 ;
+   wire \soc_top/n_1698 ;
+   wire \soc_top/n_1699 ;
+   wire \soc_top/n_1700 ;
+   wire \soc_top/n_1701 ;
+   wire \soc_top/n_1702 ;
+   wire \soc_top/n_1703 ;
+   wire \soc_top/n_1704 ;
+   wire \soc_top/n_1705 ;
+   wire \soc_top/n_1706 ;
+   wire \soc_top/n_1707 ;
+   wire \soc_top/n_1708 ;
+   wire \soc_top/n_1709 ;
+   wire \soc_top/n_1710 ;
+   wire \soc_top/n_1711 ;
+   wire \soc_top/n_1712 ;
+   wire \soc_top/n_1713 ;
+   wire \soc_top/n_1714 ;
+   wire \soc_top/n_1715 ;
+   wire \soc_top/n_1716 ;
+   wire \soc_top/n_1717 ;
+   wire \soc_top/n_1718 ;
+   wire \soc_top/n_1719 ;
+   wire \soc_top/n_1720 ;
+   wire \soc_top/n_1721 ;
+   wire \soc_top/n_1722 ;
+   wire \soc_top/n_1723 ;
+   wire \soc_top/n_1724 ;
+   wire \soc_top/n_1725 ;
+   wire \soc_top/n_1726 ;
+   wire \soc_top/n_1727 ;
+   wire \soc_top/n_1728 ;
+   wire \soc_top/n_1729 ;
+   wire \soc_top/n_1730 ;
+   wire \soc_top/n_1731 ;
+   wire \soc_top/n_1732 ;
+   wire \soc_top/n_1733 ;
+   wire \soc_top/n_1734 ;
+   wire \soc_top/n_1735 ;
+   wire \soc_top/n_1736 ;
+   wire \soc_top/n_1737 ;
+   wire \soc_top/n_1738 ;
+   wire \soc_top/n_1739 ;
+   wire \soc_top/n_1740 ;
+   wire \soc_top/n_1741 ;
+   wire \soc_top/n_1742 ;
+   wire \soc_top/n_1743 ;
+   wire \soc_top/n_1744 ;
+   wire \soc_top/n_1745 ;
+   wire \soc_top/n_1746 ;
+   wire \soc_top/n_1747 ;
+   wire \soc_top/n_1748 ;
+   wire \soc_top/n_1749 ;
+   wire \soc_top/n_1750 ;
+   wire \soc_top/n_1751 ;
+   wire \soc_top/n_1752 ;
+   wire \soc_top/n_1753 ;
+   wire \soc_top/n_1754 ;
+   wire \soc_top/n_1755 ;
+   wire \soc_top/n_1756 ;
+   wire \soc_top/n_1757 ;
+   wire \soc_top/n_1758 ;
+   wire \soc_top/n_1759 ;
+   wire \soc_top/n_1760 ;
+   wire \soc_top/n_1761 ;
+   wire \soc_top/n_1762 ;
+   wire \soc_top/n_1763 ;
+   wire \soc_top/n_1764 ;
+   wire \soc_top/n_1765 ;
+   wire \soc_top/n_1766 ;
+   wire \soc_top/n_1767 ;
+   wire \soc_top/n_1768 ;
+   wire \soc_top/n_1769 ;
+   wire \soc_top/n_1770 ;
+   wire \soc_top/n_1771 ;
+   wire \soc_top/n_1772 ;
+   wire \soc_top/n_1773 ;
+   wire \soc_top/n_1774 ;
+   wire \soc_top/n_1775 ;
+   wire \soc_top/n_1776 ;
+   wire \soc_top/n_1777 ;
+   wire \soc_top/n_1778 ;
+   wire \soc_top/n_1779 ;
+   wire \soc_top/n_1780 ;
+   wire \soc_top/n_1781 ;
+   wire \soc_top/n_1782 ;
+   wire \soc_top/n_1783 ;
+   wire \soc_top/n_1784 ;
+   wire \soc_top/n_1785 ;
+   wire \soc_top/n_1786 ;
+   wire \soc_top/n_1787 ;
+   wire \soc_top/n_1788 ;
+   wire \soc_top/n_1789 ;
+   wire \soc_top/n_1790 ;
+   wire \soc_top/n_1791 ;
+   wire \soc_top/n_1792 ;
+   wire \soc_top/n_1793 ;
+   wire \soc_top/n_1794 ;
+   wire \soc_top/n_1795 ;
+   wire \soc_top/n_1796 ;
+   wire \soc_top/n_1797 ;
+   wire \soc_top/n_1798 ;
+   wire \soc_top/n_1799 ;
+   wire \soc_top/n_1800 ;
+   wire \soc_top/n_1801 ;
+   wire \soc_top/n_1802 ;
+   wire \soc_top/n_1803 ;
+   wire \soc_top/n_1804 ;
+   wire \soc_top/n_1805 ;
+   wire \soc_top/n_1806 ;
+   wire \soc_top/n_1807 ;
+   wire \soc_top/n_1808 ;
+   wire \soc_top/n_1809 ;
+   wire \soc_top/n_1810 ;
+   wire \soc_top/n_1811 ;
+   wire \soc_top/n_1812 ;
+   wire \soc_top/n_1813 ;
+   wire \soc_top/n_1814 ;
+   wire \soc_top/n_1815 ;
+   wire \soc_top/n_1816 ;
+   wire \soc_top/n_1817 ;
+   wire \soc_top/n_1818 ;
+   wire \soc_top/n_1819 ;
+   wire \soc_top/n_1820 ;
+   wire \soc_top/n_1821 ;
+   wire \soc_top/n_1822 ;
+   wire \soc_top/n_1823 ;
+   wire \soc_top/n_1824 ;
+   wire \soc_top/n_1825 ;
+   wire \soc_top/n_1826 ;
+   wire \soc_top/n_1827 ;
+   wire \soc_top/n_1829 ;
+   wire \soc_top/n_1830 ;
+   wire \soc_top/n_1831 ;
+   wire \soc_top/n_1832 ;
+   wire \soc_top/n_1833 ;
+   wire \soc_top/n_1834 ;
+   wire \soc_top/n_1835 ;
+   wire \soc_top/n_1836 ;
+   wire \soc_top/n_1838 ;
+   wire \soc_top/n_1839 ;
+   wire \soc_top/n_1840 ;
+   wire \soc_top/n_1841 ;
+   wire \soc_top/n_1842 ;
+   wire \soc_top/n_1845 ;
+   wire \soc_top/n_1846 ;
+   wire \soc_top/n_1847 ;
+   wire \soc_top/n_1848 ;
+   wire \soc_top/n_1849 ;
+   wire \soc_top/n_1850 ;
+   wire \soc_top/n_1851 ;
+   wire \soc_top/n_1852 ;
+   wire \soc_top/n_1853 ;
+   wire \soc_top/n_1854 ;
+   wire \soc_top/n_1855 ;
+   wire \soc_top/n_1856 ;
+   wire \soc_top/n_1857 ;
+   wire \soc_top/n_1858 ;
+   wire \soc_top/n_1859 ;
+   wire \soc_top/n_1860 ;
+   wire \soc_top/n_1861 ;
+   wire \soc_top/n_1862 ;
+   wire \soc_top/n_1863 ;
+   wire \soc_top/n_1864 ;
+   wire \soc_top/n_1865 ;
+   wire \soc_top/n_1866 ;
+   wire \soc_top/n_1867 ;
+   wire \soc_top/n_1868 ;
+   wire \soc_top/n_1869 ;
+   wire \soc_top/n_1870 ;
+   wire \soc_top/n_1871 ;
+   wire \soc_top/n_1872 ;
+   wire \soc_top/n_1873 ;
+   wire \soc_top/n_1874 ;
+   wire \soc_top/n_1875 ;
+   wire \soc_top/n_1876 ;
+   wire \soc_top/n_1877 ;
+   wire \soc_top/n_1878 ;
+   wire \soc_top/n_1879 ;
+   wire \soc_top/n_1880 ;
+   wire \soc_top/n_1881 ;
+   wire \soc_top/n_1882 ;
+   wire \soc_top/n_1883 ;
+   wire \soc_top/n_1884 ;
+   wire \soc_top/n_1885 ;
+   wire \soc_top/n_1886 ;
+   wire \soc_top/n_1887 ;
+   wire \soc_top/n_1888 ;
+   wire \soc_top/n_1889 ;
+   wire \soc_top/n_1890 ;
+   wire \soc_top/n_1891 ;
+   wire \soc_top/n_1892 ;
+   wire \soc_top/n_1893 ;
+   wire \soc_top/n_1894 ;
+   wire \soc_top/n_1895 ;
+   wire \soc_top/n_1896 ;
+   wire \soc_top/n_1897 ;
+   wire \soc_top/n_1898 ;
+   wire \soc_top/n_1899 ;
+   wire \soc_top/n_1900 ;
+   wire \soc_top/n_1901 ;
+   wire \soc_top/n_1902 ;
+   wire \soc_top/n_1903 ;
+   wire \soc_top/n_1904 ;
+   wire \soc_top/n_1905 ;
+   wire \soc_top/n_1906 ;
+   wire \soc_top/n_1907 ;
+   wire \soc_top/n_1908 ;
+   wire \soc_top/n_1909 ;
+   wire \soc_top/n_1910 ;
+   wire \soc_top/n_1911 ;
+   wire \soc_top/n_1912 ;
+   wire \soc_top/n_1913 ;
+   wire \soc_top/n_1914 ;
+   wire \soc_top/n_1915 ;
+   wire \soc_top/n_1916 ;
+   wire \soc_top/n_1917 ;
+   wire \soc_top/n_1918 ;
+   wire \soc_top/n_1919 ;
+   wire \soc_top/n_1920 ;
+   wire \soc_top/n_1921 ;
+   wire \soc_top/n_1922 ;
+   wire \soc_top/n_1923 ;
+   wire \soc_top/n_1924 ;
+   wire \soc_top/n_1925 ;
+   wire \soc_top/n_1926 ;
+   wire \soc_top/n_1927 ;
+   wire \soc_top/n_1928 ;
+   wire \soc_top/n_1929 ;
+   wire \soc_top/n_1930 ;
+   wire \soc_top/n_1931 ;
+   wire \soc_top/n_1932 ;
+   wire \soc_top/n_1933 ;
+   wire \soc_top/n_1934 ;
+   wire \soc_top/n_1935 ;
+   wire \soc_top/n_1936 ;
+   wire \soc_top/n_1937 ;
+   wire \soc_top/n_1938 ;
+   wire \soc_top/n_1939 ;
+   wire \soc_top/n_1940 ;
+   wire \soc_top/n_1941 ;
+   wire \soc_top/n_1942 ;
+   wire \soc_top/n_1943 ;
+   wire \soc_top/n_1944 ;
+   wire \soc_top/n_1945 ;
+   wire \soc_top/n_1946 ;
+   wire \soc_top/n_1947 ;
+   wire \soc_top/n_1948 ;
+   wire \soc_top/n_1949 ;
+   wire \soc_top/n_1950 ;
+   wire \soc_top/n_1951 ;
+   wire \soc_top/n_1952 ;
+   wire \soc_top/n_1953 ;
+   wire \soc_top/n_1954 ;
+   wire \soc_top/n_1955 ;
+   wire \soc_top/n_1956 ;
+   wire \soc_top/n_1957 ;
+   wire \soc_top/n_1958 ;
+   wire \soc_top/n_1959 ;
+   wire \soc_top/n_1960 ;
+   wire \soc_top/n_1961 ;
+   wire \soc_top/n_1962 ;
+   wire \soc_top/n_1963 ;
+   wire \soc_top/n_1964 ;
+   wire \soc_top/n_1965 ;
+   wire \soc_top/n_1966 ;
+   wire \soc_top/n_1967 ;
+   wire \soc_top/n_1968 ;
+   wire \soc_top/n_1969 ;
+   wire \soc_top/n_1970 ;
+   wire \soc_top/n_1971 ;
+   wire \soc_top/n_1972 ;
+   wire \soc_top/n_1973 ;
+   wire \soc_top/n_1974 ;
+   wire \soc_top/n_1975 ;
+   wire \soc_top/n_1976 ;
+   wire \soc_top/n_1977 ;
+   wire \soc_top/n_1978 ;
+   wire \soc_top/n_1979 ;
+   wire \soc_top/n_1980 ;
+   wire \soc_top/n_1981 ;
+   wire \soc_top/n_1982 ;
+   wire \soc_top/n_1983 ;
+   wire \soc_top/n_1984 ;
+   wire \soc_top/n_1985 ;
+   wire \soc_top/n_1986 ;
+   wire \soc_top/n_1987 ;
+   wire \soc_top/n_1988 ;
+   wire \soc_top/n_1989 ;
+   wire \soc_top/n_1990 ;
+   wire \soc_top/n_1991 ;
+   wire \soc_top/n_1992 ;
+   wire \soc_top/n_1993 ;
+   wire \soc_top/n_1994 ;
+   wire \soc_top/n_1995 ;
+   wire \soc_top/n_1996 ;
+   wire \soc_top/n_1997 ;
+   wire \soc_top/n_1998 ;
+   wire \soc_top/n_1999 ;
+   wire \soc_top/n_2000 ;
+   wire \soc_top/n_2001 ;
+   wire \soc_top/n_2002 ;
+   wire \soc_top/n_2003 ;
+   wire \soc_top/n_2004 ;
+   wire \soc_top/n_2005 ;
+   wire \soc_top/n_2006 ;
+   wire \soc_top/n_2007 ;
+   wire \soc_top/n_2008 ;
+   wire \soc_top/n_2009 ;
+   wire \soc_top/n_2010 ;
+   wire \soc_top/n_2011 ;
+   wire \soc_top/n_2012 ;
+   wire \soc_top/n_2013 ;
+   wire \soc_top/n_2014 ;
+   wire \soc_top/n_2015 ;
+   wire \soc_top/n_2016 ;
+   wire \soc_top/n_2017 ;
+   wire \soc_top/n_2018 ;
+   wire \soc_top/n_2019 ;
+   wire \soc_top/n_2020 ;
+   wire \soc_top/n_2021 ;
+   wire \soc_top/n_2022 ;
+   wire \soc_top/n_2023 ;
+   wire \soc_top/n_2024 ;
+   wire \soc_top/n_2025 ;
+   wire \soc_top/n_2026 ;
+   wire \soc_top/n_2027 ;
+   wire \soc_top/n_2028 ;
+   wire \soc_top/n_2029 ;
+   wire \soc_top/n_2030 ;
+   wire \soc_top/n_2031 ;
+   wire \soc_top/n_2032 ;
+   wire \soc_top/n_2033 ;
+   wire \soc_top/n_2034 ;
+   wire \soc_top/n_2035 ;
+   wire \soc_top/n_2036 ;
+   wire \soc_top/n_2038 ;
+   wire \soc_top/n_2040 ;
+   wire \soc_top/n_2041 ;
+   wire \soc_top/n_2043 ;
+   wire \soc_top/n_2044 ;
+   wire \soc_top/n_2045 ;
+   wire \soc_top/n_2047 ;
+   wire \soc_top/n_2048 ;
+   wire \soc_top/n_2049 ;
+   wire \soc_top/n_2050 ;
+   wire \soc_top/n_2051 ;
+   wire \soc_top/n_2052 ;
+   wire \soc_top/n_2053 ;
+   wire \soc_top/n_2054 ;
+   wire \soc_top/n_2055 ;
+   wire \soc_top/n_2056 ;
+   wire \soc_top/n_2057 ;
+   wire \soc_top/n_2058 ;
+   wire \soc_top/n_2059 ;
+   wire \soc_top/n_2060 ;
+   wire \soc_top/n_2061 ;
+   wire \soc_top/n_2062 ;
+   wire \soc_top/n_2063 ;
+   wire \soc_top/n_2064 ;
+   wire \soc_top/n_2065 ;
+   wire \soc_top/n_2066 ;
+   wire \soc_top/n_2067 ;
+   wire \soc_top/n_2068 ;
+   wire \soc_top/n_2069 ;
+   wire \soc_top/n_2070 ;
+   wire \soc_top/n_2071 ;
+   wire \soc_top/n_2072 ;
+   wire \soc_top/n_2073 ;
+   wire \soc_top/n_2074 ;
+   wire \soc_top/n_2075 ;
+   wire \soc_top/n_2076 ;
+   wire \soc_top/n_2077 ;
+   wire \soc_top/n_2078 ;
+   wire \soc_top/n_2079 ;
+   wire \soc_top/n_2080 ;
+   wire \soc_top/n_2081 ;
+   wire \soc_top/n_2082 ;
+   wire \soc_top/n_2083 ;
+   wire \soc_top/n_2084 ;
+   wire \soc_top/n_2085 ;
+   wire \soc_top/n_2086 ;
+   wire \soc_top/n_2087 ;
+   wire \soc_top/n_2088 ;
+   wire \soc_top/n_2089 ;
+   wire \soc_top/n_2090 ;
+   wire \soc_top/n_2091 ;
+   wire \soc_top/n_2092 ;
+   wire \soc_top/n_2093 ;
+   wire \soc_top/n_2094 ;
+   wire \soc_top/n_2095 ;
+   wire \soc_top/n_2096 ;
+   wire \soc_top/n_2097 ;
+   wire \soc_top/n_2098 ;
+   wire \soc_top/n_2099 ;
+   wire \soc_top/n_2100 ;
+   wire \soc_top/n_2101 ;
+   wire \soc_top/n_2102 ;
+   wire \soc_top/n_2103 ;
+   wire \soc_top/n_2104 ;
+   wire \soc_top/n_2105 ;
+   wire \soc_top/n_2106 ;
+   wire \soc_top/n_2107 ;
+   wire \soc_top/n_2108 ;
+   wire \soc_top/n_2109 ;
+   wire \soc_top/n_2110 ;
+   wire \soc_top/n_2111 ;
+   wire \soc_top/n_2112 ;
+   wire \soc_top/n_2113 ;
+   wire \soc_top/n_2114 ;
+   wire \soc_top/n_2115 ;
+   wire \soc_top/n_2116 ;
+   wire \soc_top/n_2117 ;
+   wire \soc_top/n_2118 ;
+   wire \soc_top/n_2119 ;
+   wire \soc_top/n_2120 ;
+   wire \soc_top/n_2121 ;
+   wire \soc_top/n_2122 ;
+   wire \soc_top/n_2123 ;
+   wire \soc_top/n_2124 ;
+   wire \soc_top/n_2125 ;
+   wire \soc_top/n_2127 ;
+   wire \soc_top/n_2129 ;
+   wire \soc_top/n_2130 ;
+   wire \soc_top/n_2131 ;
+   wire \soc_top/n_2132 ;
+   wire \soc_top/n_2133 ;
+   wire \soc_top/n_2134 ;
+   wire \soc_top/n_2135 ;
+   wire \soc_top/n_2136 ;
+   wire \soc_top/n_2137 ;
+   wire \soc_top/n_2138 ;
+   wire \soc_top/n_2139 ;
+   wire \soc_top/n_2140 ;
+   wire \soc_top/n_2141 ;
+   wire \soc_top/n_2142 ;
+   wire \soc_top/n_2143 ;
+   wire \soc_top/n_2144 ;
+   wire \soc_top/n_2145 ;
+   wire \soc_top/n_2146 ;
+   wire \soc_top/n_2147 ;
+   wire \soc_top/n_2148 ;
+   wire \soc_top/n_2149 ;
+   wire \soc_top/n_2150 ;
+   wire \soc_top/n_2151 ;
+   wire \soc_top/n_2152 ;
+   wire \soc_top/n_2153 ;
+   wire \soc_top/n_2154 ;
+   wire \soc_top/n_2155 ;
+   wire \soc_top/n_2156 ;
+   wire \soc_top/n_2157 ;
+   wire \soc_top/n_2158 ;
+   wire \soc_top/n_2159 ;
+   wire \soc_top/n_2160 ;
+   wire \soc_top/n_2161 ;
+   wire \soc_top/n_2162 ;
+   wire \soc_top/n_2163 ;
+   wire \soc_top/n_2164 ;
+   wire \soc_top/n_2165 ;
+   wire \soc_top/n_2166 ;
+   wire \soc_top/n_2167 ;
+   wire \soc_top/n_2168 ;
+   wire \soc_top/n_2169 ;
+   wire \soc_top/n_2170 ;
+   wire \soc_top/n_2171 ;
+   wire \soc_top/n_2172 ;
+   wire \soc_top/n_2173 ;
+   wire \soc_top/n_2174 ;
+   wire \soc_top/n_2175 ;
+   wire \soc_top/n_2176 ;
+   wire \soc_top/n_2177 ;
+   wire \soc_top/n_2178 ;
+   wire \soc_top/n_2179 ;
+   wire \soc_top/n_2180 ;
+   wire \soc_top/n_2181 ;
+   wire \soc_top/n_2182 ;
+   wire \soc_top/n_2183 ;
+   wire \soc_top/n_2184 ;
+   wire \soc_top/n_2185 ;
+   wire \soc_top/n_2186 ;
+   wire \soc_top/n_2187 ;
+   wire \soc_top/n_2188 ;
+   wire \soc_top/n_2189 ;
+   wire \soc_top/n_2190 ;
+   wire \soc_top/n_2191 ;
+   wire \soc_top/n_2192 ;
+   wire \soc_top/n_2193 ;
+   wire \soc_top/n_2194 ;
+   wire \soc_top/n_2195 ;
+   wire \soc_top/n_2196 ;
+   wire \soc_top/n_2197 ;
+   wire \soc_top/n_2198 ;
+   wire \soc_top/n_2199 ;
+   wire \soc_top/n_2200 ;
+   wire \soc_top/n_2201 ;
+   wire \soc_top/n_2202 ;
+   wire \soc_top/n_2203 ;
+   wire \soc_top/n_2204 ;
+   wire \soc_top/n_2205 ;
+   wire \soc_top/n_2206 ;
+   wire \soc_top/n_2207 ;
+   wire \soc_top/n_2208 ;
+   wire \soc_top/n_2209 ;
+   wire \soc_top/n_2210 ;
+   wire \soc_top/n_2211 ;
+   wire \soc_top/n_2212 ;
+   wire \soc_top/n_2213 ;
+   wire \soc_top/n_2214 ;
+   wire \soc_top/n_2215 ;
+   wire \soc_top/n_2216 ;
+   wire \soc_top/n_2217 ;
+   wire \soc_top/n_2218 ;
+   wire \soc_top/n_2219 ;
+   wire \soc_top/n_2220 ;
+   wire \soc_top/n_2221 ;
+   wire \soc_top/n_2222 ;
+   wire \soc_top/n_2223 ;
+   wire \soc_top/n_2224 ;
+   wire \soc_top/n_2225 ;
+   wire \soc_top/n_2226 ;
+   wire \soc_top/n_2227 ;
+   wire \soc_top/n_2228 ;
+   wire \soc_top/n_2229 ;
+   wire \soc_top/n_2230 ;
+   wire \soc_top/n_2231 ;
+   wire \soc_top/n_2232 ;
+   wire \soc_top/n_2233 ;
+   wire \soc_top/n_2234 ;
+   wire \soc_top/n_2235 ;
+   wire \soc_top/n_2236 ;
+   wire \soc_top/n_2237 ;
+   wire \soc_top/n_2238 ;
+   wire \soc_top/n_2239 ;
+   wire \soc_top/n_2240 ;
+   wire \soc_top/n_2241 ;
+   wire \soc_top/n_2242 ;
+   wire \soc_top/n_2243 ;
+   wire \soc_top/n_2244 ;
+   wire \soc_top/n_2245 ;
+   wire \soc_top/n_2246 ;
+   wire \soc_top/n_2247 ;
+   wire \soc_top/n_2248 ;
+   wire \soc_top/n_2249 ;
+   wire \soc_top/n_2250 ;
+   wire \soc_top/n_2251 ;
+   wire \soc_top/n_2252 ;
+   wire \soc_top/n_2253 ;
+   wire \soc_top/n_2254 ;
+   wire \soc_top/n_2255 ;
+   wire \soc_top/n_2256 ;
+   wire \soc_top/n_2257 ;
+   wire \soc_top/n_2258 ;
+   wire \soc_top/n_2259 ;
+   wire \soc_top/n_2260 ;
+   wire \soc_top/n_2261 ;
+   wire \soc_top/n_2262 ;
+   wire \soc_top/n_2263 ;
+   wire \soc_top/n_2264 ;
+   wire \soc_top/n_2265 ;
+   wire \soc_top/n_2266 ;
+   wire \soc_top/n_2267 ;
+   wire \soc_top/n_2268 ;
+   wire \soc_top/n_2269 ;
+   wire \soc_top/n_2270 ;
+   wire \soc_top/n_2271 ;
+   wire \soc_top/n_2272 ;
+   wire \soc_top/n_2273 ;
+   wire \soc_top/n_2274 ;
+   wire \soc_top/n_2275 ;
+   wire \soc_top/n_2276 ;
+   wire \soc_top/n_2277 ;
+   wire \soc_top/n_2278 ;
+   wire \soc_top/n_2279 ;
+   wire \soc_top/n_2280 ;
+   wire \soc_top/n_2281 ;
+   wire \soc_top/n_2282 ;
+   wire \soc_top/n_2283 ;
+   wire \soc_top/n_2284 ;
+   wire \soc_top/n_2285 ;
+   wire \soc_top/n_2286 ;
+   wire \soc_top/n_2287 ;
+   wire \soc_top/n_2288 ;
+   wire \soc_top/n_2289 ;
+   wire \soc_top/n_2290 ;
+   wire \soc_top/n_2291 ;
+   wire \soc_top/n_2292 ;
+   wire \soc_top/n_2293 ;
+   wire \soc_top/n_2294 ;
+   wire \soc_top/n_2295 ;
+   wire \soc_top/n_2296 ;
+   wire \soc_top/n_2297 ;
+   wire \soc_top/n_2298 ;
+   wire \soc_top/n_2299 ;
+   wire \soc_top/n_2300 ;
+   wire \soc_top/n_2301 ;
+   wire \soc_top/n_2302 ;
+   wire \soc_top/n_2303 ;
+   wire \soc_top/n_2304 ;
+   wire \soc_top/n_2305 ;
+   wire \soc_top/n_2306 ;
+   wire \soc_top/n_2307 ;
+   wire \soc_top/n_2308 ;
+   wire \soc_top/n_2309 ;
+   wire \soc_top/n_2310 ;
+   wire \soc_top/n_2311 ;
+   wire \soc_top/n_2312 ;
+   wire \soc_top/n_2313 ;
+   wire \soc_top/n_2314 ;
+   wire \soc_top/n_2315 ;
+   wire \soc_top/n_2316 ;
+   wire \soc_top/n_2317 ;
+   wire \soc_top/n_2318 ;
+   wire \soc_top/n_2319 ;
+   wire \soc_top/n_2320 ;
+   wire \soc_top/n_2321 ;
+   wire \soc_top/n_2322 ;
+   wire \soc_top/n_2323 ;
+   wire \soc_top/n_2324 ;
+   wire \soc_top/n_2325 ;
+   wire \soc_top/n_2326 ;
+   wire \soc_top/n_2327 ;
+   wire \soc_top/n_2328 ;
+   wire \soc_top/n_2329 ;
+   wire \soc_top/n_2330 ;
+   wire \soc_top/n_2331 ;
+   wire \soc_top/n_2332 ;
+   wire \soc_top/n_2333 ;
+   wire \soc_top/n_2334 ;
+   wire \soc_top/n_2335 ;
+   wire \soc_top/n_2336 ;
+   wire \soc_top/n_2337 ;
+   wire \soc_top/n_2338 ;
+   wire \soc_top/n_2339 ;
+   wire \soc_top/n_2340 ;
+   wire \soc_top/n_2341 ;
+   wire \soc_top/n_2342 ;
+   wire \soc_top/n_2343 ;
+   wire \soc_top/n_2344 ;
+   wire \soc_top/n_2345 ;
+   wire \soc_top/n_2346 ;
+   wire \soc_top/n_2347 ;
+   wire \soc_top/n_2348 ;
+   wire \soc_top/n_2349 ;
+   wire \soc_top/n_2350 ;
+   wire \soc_top/n_2351 ;
+   wire \soc_top/n_2352 ;
+   wire \soc_top/n_2353 ;
+   wire \soc_top/n_2354 ;
+   wire \soc_top/n_2355 ;
+   wire \soc_top/n_2356 ;
+   wire \soc_top/n_2357 ;
+   wire \soc_top/n_2358 ;
+   wire \soc_top/n_2359 ;
+   wire \soc_top/n_2360 ;
+   wire \soc_top/n_2361 ;
+   wire \soc_top/n_2362 ;
+   wire \soc_top/n_2363 ;
+   wire \soc_top/n_2364 ;
+   wire \soc_top/n_2365 ;
+   wire \soc_top/n_2366 ;
+   wire \soc_top/n_2367 ;
+   wire \soc_top/n_2368 ;
+   wire \soc_top/n_2369 ;
+   wire \soc_top/n_2370 ;
+   wire \soc_top/n_2371 ;
+   wire \soc_top/n_2372 ;
+   wire \soc_top/n_2373 ;
+   wire \soc_top/n_2374 ;
+   wire \soc_top/n_2375 ;
+   wire \soc_top/n_2376 ;
+   wire \soc_top/n_2377 ;
+   wire \soc_top/n_2378 ;
+   wire \soc_top/n_2379 ;
+   wire \soc_top/n_2380 ;
+   wire \soc_top/n_2381 ;
+   wire \soc_top/n_2382 ;
+   wire \soc_top/n_2383 ;
+   wire \soc_top/n_2384 ;
+   wire \soc_top/n_2385 ;
+   wire \soc_top/n_2386 ;
+   wire \soc_top/n_2387 ;
+   wire \soc_top/n_2388 ;
+   wire \soc_top/n_2389 ;
+   wire \soc_top/n_2390 ;
+   wire \soc_top/n_2391 ;
+   wire \soc_top/n_2392 ;
+   wire \soc_top/n_2393 ;
+   wire \soc_top/n_2394 ;
+   wire \soc_top/n_2395 ;
+   wire \soc_top/n_2396 ;
+   wire \soc_top/n_2397 ;
+   wire \soc_top/n_2398 ;
+   wire \soc_top/n_2399 ;
+   wire \soc_top/n_2400 ;
+   wire \soc_top/n_2401 ;
+   wire \soc_top/n_2402 ;
+   wire \soc_top/n_2403 ;
+   wire \soc_top/n_2404 ;
+   wire \soc_top/n_2405 ;
+   wire \soc_top/n_2406 ;
+   wire \soc_top/n_2407 ;
+   wire \soc_top/n_2408 ;
+   wire \soc_top/n_2409 ;
+   wire \soc_top/n_2410 ;
+   wire \soc_top/n_2411 ;
+   wire \soc_top/n_2412 ;
+   wire \soc_top/n_2413 ;
+   wire \soc_top/n_2414 ;
+   wire \soc_top/n_2415 ;
+   wire \soc_top/n_2416 ;
+   wire \soc_top/n_2417 ;
+   wire \soc_top/n_2418 ;
+   wire \soc_top/n_2419 ;
+   wire \soc_top/n_2420 ;
+   wire \soc_top/n_2421 ;
+   wire \soc_top/n_2422 ;
+   wire \soc_top/n_2423 ;
+   wire \soc_top/n_2424 ;
+   wire \soc_top/n_2425 ;
+   wire \soc_top/n_2426 ;
+   wire \soc_top/n_2427 ;
+   wire \soc_top/n_2428 ;
+   wire \soc_top/n_2429 ;
+   wire \soc_top/n_2430 ;
+   wire \soc_top/n_2431 ;
+   wire \soc_top/n_2432 ;
+   wire \soc_top/n_2433 ;
+   wire \soc_top/n_2434 ;
+   wire \soc_top/n_2435 ;
+   wire \soc_top/n_2436 ;
+   wire \soc_top/n_2437 ;
+   wire \soc_top/n_2438 ;
+   wire \soc_top/n_2439 ;
+   wire \soc_top/n_2440 ;
+   wire \soc_top/n_2441 ;
+   wire \soc_top/n_2442 ;
+   wire \soc_top/n_2443 ;
+   wire \soc_top/n_2444 ;
+   wire \soc_top/n_2445 ;
+   wire \soc_top/n_2446 ;
+   wire \soc_top/n_2447 ;
+   wire \soc_top/n_2448 ;
+   wire \soc_top/n_2449 ;
+   wire \soc_top/n_2450 ;
+   wire \soc_top/n_2451 ;
+   wire \soc_top/n_2452 ;
+   wire \soc_top/n_2453 ;
+   wire \soc_top/n_2454 ;
+   wire \soc_top/n_2455 ;
+   wire \soc_top/n_2456 ;
+   wire \soc_top/n_2457 ;
+   wire \soc_top/n_2458 ;
+   wire \soc_top/n_2459 ;
+   wire \soc_top/n_2460 ;
+   wire \soc_top/n_2461 ;
+   wire \soc_top/n_2462 ;
+   wire \soc_top/n_2463 ;
+   wire \soc_top/n_2464 ;
+   wire \soc_top/n_2465 ;
+   wire \soc_top/n_2466 ;
+   wire \soc_top/n_2467 ;
+   wire \soc_top/n_2468 ;
+   wire \soc_top/n_2469 ;
+   wire \soc_top/n_2470 ;
+   wire \soc_top/n_2471 ;
+   wire \soc_top/n_2472 ;
+   wire \soc_top/n_2473 ;
+   wire \soc_top/n_2474 ;
+   wire \soc_top/n_2475 ;
+   wire \soc_top/n_2476 ;
+   wire \soc_top/n_2477 ;
+   wire \soc_top/n_2478 ;
+   wire \soc_top/n_2479 ;
+   wire \soc_top/n_2480 ;
+   wire \soc_top/n_2481 ;
+   wire \soc_top/n_2482 ;
+   wire \soc_top/n_2483 ;
+   wire \soc_top/n_2484 ;
+   wire \soc_top/n_2485 ;
+   wire \soc_top/n_2486 ;
+   wire \soc_top/n_2487 ;
+   wire \soc_top/n_2488 ;
+   wire \soc_top/n_2489 ;
+   wire \soc_top/n_2490 ;
+   wire \soc_top/n_2491 ;
+   wire \soc_top/n_2492 ;
+   wire \soc_top/n_2493 ;
+   wire \soc_top/n_2494 ;
+   wire \soc_top/n_2495 ;
+   wire \soc_top/n_2496 ;
+   wire \soc_top/n_2497 ;
+   wire \soc_top/n_2498 ;
+   wire \soc_top/n_2499 ;
+   wire \soc_top/n_2500 ;
+   wire \soc_top/n_2501 ;
+   wire \soc_top/n_2502 ;
+   wire \soc_top/n_2503 ;
+   wire \soc_top/n_2504 ;
+   wire \soc_top/n_2505 ;
+   wire \soc_top/n_2506 ;
+   wire \soc_top/n_2507 ;
+   wire \soc_top/n_2508 ;
+   wire \soc_top/n_2509 ;
+   wire \soc_top/n_2510 ;
+   wire \soc_top/n_2511 ;
+   wire \soc_top/n_2512 ;
+   wire \soc_top/n_2513 ;
+   wire \soc_top/n_2514 ;
+   wire \soc_top/n_2515 ;
+   wire \soc_top/n_2516 ;
+   wire \soc_top/n_2517 ;
+   wire \soc_top/n_2518 ;
+   wire \soc_top/n_2519 ;
+   wire \soc_top/n_2520 ;
+   wire \soc_top/n_2521 ;
+   wire \soc_top/n_2522 ;
+   wire \soc_top/n_2523 ;
+   wire \soc_top/n_2524 ;
+   wire \soc_top/n_2525 ;
+   wire \soc_top/n_2526 ;
+   wire \soc_top/n_2527 ;
+   wire \soc_top/n_2528 ;
+   wire \soc_top/n_2529 ;
+   wire \soc_top/n_2530 ;
+   wire \soc_top/n_2531 ;
+   wire \soc_top/n_2532 ;
+   wire \soc_top/n_2533 ;
+   wire \soc_top/n_2534 ;
+   wire \soc_top/n_2535 ;
+   wire \soc_top/n_2536 ;
+   wire \soc_top/n_2537 ;
+   wire \soc_top/n_2538 ;
+   wire \soc_top/n_2539 ;
+   wire \soc_top/n_2540 ;
+   wire \soc_top/n_2541 ;
+   wire \soc_top/n_2542 ;
+   wire \soc_top/n_2543 ;
+   wire \soc_top/n_2544 ;
+   wire \soc_top/n_2545 ;
+   wire \soc_top/n_2546 ;
+   wire \soc_top/n_2547 ;
+   wire \soc_top/n_2548 ;
+   wire \soc_top/n_2549 ;
+   wire \soc_top/n_2550 ;
+   wire \soc_top/n_2551 ;
+   wire \soc_top/n_2552 ;
+   wire \soc_top/n_2553 ;
+   wire \soc_top/n_2554 ;
+   wire \soc_top/n_2555 ;
+   wire \soc_top/n_2556 ;
+   wire \soc_top/n_2557 ;
+   wire \soc_top/n_2558 ;
+   wire \soc_top/n_2559 ;
+   wire \soc_top/n_2560 ;
+   wire \soc_top/n_2561 ;
+   wire \soc_top/n_2562 ;
+   wire \soc_top/n_2563 ;
+   wire \soc_top/n_2564 ;
+   wire \soc_top/n_2565 ;
+   wire \soc_top/n_2566 ;
+   wire \soc_top/n_2567 ;
+   wire \soc_top/n_2568 ;
+   wire \soc_top/n_2569 ;
+   wire \soc_top/n_2570 ;
+   wire \soc_top/n_2571 ;
+   wire \soc_top/n_2572 ;
+   wire \soc_top/n_2573 ;
+   wire \soc_top/n_2574 ;
+   wire \soc_top/n_2575 ;
+   wire \soc_top/n_2576 ;
+   wire \soc_top/n_2577 ;
+   wire \soc_top/n_2578 ;
+   wire \soc_top/n_2579 ;
+   wire \soc_top/n_2580 ;
+   wire \soc_top/n_2581 ;
+   wire \soc_top/n_2582 ;
+   wire \soc_top/n_2583 ;
+   wire \soc_top/n_2584 ;
+   wire \soc_top/n_2585 ;
+   wire \soc_top/n_2586 ;
+   wire \soc_top/n_2587 ;
+   wire \soc_top/n_2588 ;
+   wire \soc_top/n_2589 ;
+   wire \soc_top/n_2590 ;
+   wire \soc_top/n_2591 ;
+   wire \soc_top/n_2592 ;
+   wire \soc_top/n_2593 ;
+   wire \soc_top/n_2594 ;
+   wire \soc_top/n_2595 ;
+   wire \soc_top/n_2596 ;
+   wire \soc_top/n_2597 ;
+   wire \soc_top/n_2598 ;
+   wire \soc_top/n_2599 ;
+   wire \soc_top/n_2600 ;
+   wire \soc_top/n_2601 ;
+   wire \soc_top/n_2602 ;
+   wire \soc_top/n_2603 ;
+   wire \soc_top/n_2604 ;
+   wire \soc_top/n_2605 ;
+   wire \soc_top/n_2606 ;
+   wire \soc_top/n_2607 ;
+   wire \soc_top/n_2608 ;
+   wire \soc_top/n_2609 ;
+   wire \soc_top/n_2610 ;
+   wire \soc_top/n_2611 ;
+   wire \soc_top/n_2612 ;
+   wire \soc_top/n_2613 ;
+   wire \soc_top/n_2614 ;
+   wire \soc_top/n_2615 ;
+   wire \soc_top/n_2616 ;
+   wire \soc_top/n_2617 ;
+   wire \soc_top/n_2618 ;
+   wire \soc_top/n_2619 ;
+   wire \soc_top/n_2620 ;
+   wire \soc_top/n_2621 ;
+   wire \soc_top/n_2622 ;
+   wire \soc_top/n_2623 ;
+   wire \soc_top/n_2624 ;
+   wire \soc_top/n_2625 ;
+   wire \soc_top/n_2626 ;
+   wire \soc_top/n_2627 ;
+   wire \soc_top/n_2628 ;
+   wire \soc_top/n_2629 ;
+   wire \soc_top/n_2630 ;
+   wire \soc_top/n_2631 ;
+   wire \soc_top/n_2632 ;
+   wire \soc_top/n_2633 ;
+   wire \soc_top/n_2634 ;
+   wire \soc_top/n_2635 ;
+   wire \soc_top/n_2636 ;
+   wire \soc_top/n_2637 ;
+   wire \soc_top/n_2638 ;
+   wire \soc_top/n_2639 ;
+   wire \soc_top/n_2640 ;
+   wire \soc_top/n_2641 ;
+   wire \soc_top/n_2642 ;
+   wire \soc_top/n_2643 ;
+   wire \soc_top/n_2644 ;
+   wire \soc_top/n_2645 ;
+   wire \soc_top/n_2646 ;
+   wire \soc_top/n_2647 ;
+   wire \soc_top/n_2648 ;
+   wire \soc_top/n_2649 ;
+   wire \soc_top/n_2650 ;
+   wire \soc_top/n_2651 ;
+   wire \soc_top/n_2652 ;
+   wire \soc_top/n_2653 ;
+   wire \soc_top/n_2654 ;
+   wire \soc_top/n_2655 ;
+   wire \soc_top/n_2656 ;
+   wire \soc_top/n_2657 ;
+   wire \soc_top/n_2658 ;
+   wire \soc_top/n_2659 ;
+   wire \soc_top/n_2660 ;
+   wire \soc_top/n_2661 ;
+   wire \soc_top/n_2662 ;
+   wire \soc_top/n_2663 ;
+   wire \soc_top/n_2664 ;
+   wire \soc_top/n_2665 ;
+   wire \soc_top/n_2666 ;
+   wire \soc_top/n_2667 ;
+   wire \soc_top/n_2668 ;
+   wire \soc_top/n_2669 ;
+   wire \soc_top/n_2670 ;
+   wire \soc_top/n_2671 ;
+   wire \soc_top/n_2672 ;
+   wire \soc_top/n_2673 ;
+   wire \soc_top/n_2674 ;
+   wire \soc_top/n_2675 ;
+   wire \soc_top/n_2676 ;
+   wire \soc_top/n_2677 ;
+   wire \soc_top/n_2678 ;
+   wire \soc_top/n_2679 ;
+   wire \soc_top/n_2680 ;
+   wire \soc_top/n_2681 ;
+   wire \soc_top/n_2682 ;
+   wire \soc_top/n_2683 ;
+   wire \soc_top/n_2684 ;
+   wire \soc_top/n_2685 ;
+   wire \soc_top/n_2686 ;
+   wire \soc_top/n_2687 ;
+   wire \soc_top/n_2688 ;
+   wire \soc_top/n_2689 ;
+   wire \soc_top/n_2690 ;
+   wire \soc_top/n_2691 ;
+   wire \soc_top/n_2692 ;
+   wire \soc_top/n_2693 ;
+   wire \soc_top/n_2694 ;
+   wire \soc_top/n_2699 ;
+   wire \soc_top/n_2701 ;
+   wire \soc_top/n_2704 ;
+   wire \soc_top/n_2705 ;
+   wire \soc_top/n_2706 ;
+   wire \soc_top/n_2708 ;
+   wire \soc_top/n_2710 ;
+   wire \soc_top/n_2711 ;
+   wire \soc_top/n_2712 ;
+   wire \soc_top/n_2717 ;
+   wire \soc_top/n_2718 ;
+   wire \soc_top/n_2719 ;
+   wire \soc_top/n_2720 ;
+   wire \soc_top/n_2722 ;
+   wire \soc_top/n_2723 ;
+   wire \soc_top/n_2724 ;
+   wire \soc_top/n_2726 ;
+   wire \soc_top/n_2728 ;
+   wire \soc_top/n_2729 ;
+   wire \soc_top/n_2730 ;
+   wire \soc_top/n_2731 ;
+   wire \soc_top/n_2732 ;
+   wire \soc_top/n_2733 ;
+   wire \soc_top/n_2734 ;
+   wire \soc_top/n_2735 ;
+   wire \soc_top/n_2736 ;
+   wire \soc_top/n_2737 ;
+   wire \soc_top/n_2738 ;
+   wire \soc_top/n_2739 ;
+   wire \soc_top/n_2740 ;
+   wire \soc_top/n_2741 ;
+   wire \soc_top/n_2742 ;
+   wire \soc_top/n_2743 ;
+   wire \soc_top/n_2744 ;
+   wire \soc_top/n_2745 ;
+   wire \soc_top/n_2746 ;
+   wire \soc_top/n_2747 ;
+   wire \soc_top/n_2748 ;
+   wire \soc_top/n_2750 ;
+   wire \soc_top/n_2751 ;
+   wire \soc_top/n_2752 ;
+   wire \soc_top/n_2753 ;
+   wire \soc_top/n_2754 ;
+   wire \soc_top/n_2755 ;
+   wire \soc_top/n_2756 ;
+   wire \soc_top/n_2757 ;
+   wire \soc_top/n_2758 ;
+   wire \soc_top/n_2759 ;
+   wire \soc_top/n_2760 ;
+   wire \soc_top/n_2762 ;
+   wire \soc_top/n_2763 ;
+   wire \soc_top/n_2764 ;
+   wire \soc_top/n_2765 ;
+   wire \soc_top/n_2766 ;
+   wire \soc_top/n_2767 ;
+   wire \soc_top/n_2768 ;
+   wire \soc_top/n_2769 ;
+   wire \soc_top/n_2770 ;
+   wire \soc_top/n_2771 ;
+   wire \soc_top/n_2772 ;
+   wire \soc_top/n_2773 ;
+   wire \soc_top/n_2774 ;
+   wire \soc_top/n_2775 ;
+   wire \soc_top/n_2776 ;
+   wire \soc_top/n_2777 ;
+   wire \soc_top/n_2778 ;
+   wire \soc_top/n_2779 ;
+   wire \soc_top/n_2780 ;
+   wire \soc_top/n_2781 ;
+   wire \soc_top/n_2782 ;
+   wire \soc_top/n_2783 ;
+   wire \soc_top/n_2784 ;
+   wire \soc_top/n_2785 ;
+   wire \soc_top/n_2786 ;
+   wire \soc_top/n_2787 ;
+   wire \soc_top/n_2788 ;
+   wire \soc_top/n_2789 ;
+   wire \soc_top/n_2790 ;
+   wire \soc_top/n_2791 ;
+   wire \soc_top/n_2792 ;
+   wire \soc_top/n_2793 ;
+   wire \soc_top/n_2794 ;
+   wire \soc_top/n_2795 ;
+   wire \soc_top/n_2796 ;
+   wire \soc_top/n_2797 ;
+   wire \soc_top/n_2798 ;
+   wire \soc_top/n_2799 ;
+   wire \soc_top/n_2800 ;
+   wire \soc_top/n_2801 ;
+   wire \soc_top/n_2802 ;
+   wire \soc_top/n_2803 ;
+   wire \soc_top/n_2804 ;
+   wire \soc_top/n_2805 ;
+   wire \soc_top/n_2806 ;
+   wire \soc_top/n_2807 ;
+   wire \soc_top/n_2808 ;
+   wire \soc_top/n_2810 ;
+   wire \soc_top/n_2811 ;
+   wire \soc_top/n_2812 ;
+   wire \soc_top/n_2813 ;
+   wire \soc_top/n_2814 ;
+   wire \soc_top/n_2815 ;
+   wire \soc_top/n_2817 ;
+   wire \soc_top/n_2818 ;
+   wire \soc_top/n_2819 ;
+   wire \soc_top/n_2820 ;
+   wire \soc_top/n_2821 ;
+   wire \soc_top/n_2822 ;
+   wire \soc_top/n_2823 ;
+   wire \soc_top/n_2824 ;
+   wire \soc_top/n_2825 ;
+   wire \soc_top/n_2826 ;
+   wire \soc_top/n_2827 ;
+   wire \soc_top/n_2828 ;
+   wire \soc_top/n_2829 ;
+   wire \soc_top/n_2830 ;
+   wire \soc_top/n_2831 ;
+   wire \soc_top/n_2832 ;
+   wire \soc_top/n_2833 ;
+   wire \soc_top/n_2834 ;
+   wire \soc_top/n_2835 ;
+   wire \soc_top/n_2836 ;
+   wire \soc_top/n_2837 ;
+   wire \soc_top/n_2838 ;
+   wire \soc_top/n_2839 ;
+   wire \soc_top/n_2840 ;
+   wire \soc_top/n_2841 ;
+   wire \soc_top/n_2842 ;
+   wire \soc_top/n_2843 ;
+   wire \soc_top/n_2844 ;
+   wire \soc_top/n_2845 ;
+   wire \soc_top/n_2846 ;
+   wire \soc_top/n_2847 ;
+   wire \soc_top/n_2848 ;
+   wire \soc_top/n_2849 ;
+   wire \soc_top/n_2850 ;
+   wire \soc_top/n_2851 ;
+   wire \soc_top/n_2852 ;
+   wire \soc_top/n_2853 ;
+   wire \soc_top/n_2854 ;
+   wire \soc_top/n_2855 ;
+   wire \soc_top/n_2856 ;
+   wire \soc_top/n_2857 ;
+   wire \soc_top/n_2858 ;
+   wire \soc_top/n_2859 ;
+   wire \soc_top/n_2860 ;
+   wire \soc_top/n_2861 ;
+   wire \soc_top/n_2862 ;
+   wire \soc_top/n_2863 ;
+   wire \soc_top/n_2864 ;
+   wire \soc_top/n_2865 ;
+   wire \soc_top/n_2866 ;
+   wire \soc_top/n_2867 ;
+   wire \soc_top/n_2868 ;
+   wire \soc_top/n_2869 ;
+   wire \soc_top/n_2870 ;
+   wire \soc_top/n_2871 ;
+   wire \soc_top/n_2872 ;
+   wire \soc_top/n_2873 ;
+   wire \soc_top/n_2874 ;
+   wire \soc_top/n_2875 ;
+   wire \soc_top/n_2876 ;
+   wire \soc_top/n_2877 ;
+   wire \soc_top/n_2878 ;
+   wire \soc_top/n_2879 ;
+   wire \soc_top/n_2880 ;
+   wire \soc_top/n_2881 ;
+   wire \soc_top/n_2882 ;
+   wire \soc_top/n_2883 ;
+   wire \soc_top/n_2884 ;
+   wire \soc_top/n_2885 ;
+   wire \soc_top/n_2886 ;
+   wire \soc_top/n_2887 ;
+   wire \soc_top/n_2888 ;
+   wire \soc_top/n_2889 ;
+   wire \soc_top/n_2890 ;
+   wire \soc_top/n_2891 ;
+   wire \soc_top/n_2892 ;
+   wire \soc_top/n_2893 ;
+   wire \soc_top/n_2894 ;
+   wire \soc_top/n_2895 ;
+   wire \soc_top/n_2896 ;
+   wire \soc_top/n_2897 ;
+   wire \soc_top/n_2898 ;
+   wire \soc_top/n_2899 ;
+   wire \soc_top/n_2900 ;
+   wire \soc_top/n_2901 ;
+   wire \soc_top/n_2902 ;
+   wire \soc_top/n_2903 ;
+   wire \soc_top/n_2904 ;
+   wire \soc_top/n_2905 ;
+   wire \soc_top/n_2906 ;
+   wire \soc_top/n_2907 ;
+   wire \soc_top/n_2908 ;
+   wire \soc_top/n_2909 ;
+   wire \soc_top/n_2910 ;
+   wire \soc_top/n_2911 ;
+   wire \soc_top/n_2912 ;
+   wire \soc_top/n_2913 ;
+   wire \soc_top/n_2914 ;
+   wire \soc_top/n_2915 ;
+   wire \soc_top/n_2916 ;
+   wire \soc_top/n_2917 ;
+   wire \soc_top/n_2918 ;
+   wire \soc_top/n_2919 ;
+   wire \soc_top/n_2920 ;
+   wire \soc_top/n_2921 ;
+   wire \soc_top/n_2922 ;
+   wire \soc_top/n_2923 ;
+   wire \soc_top/n_2924 ;
+   wire \soc_top/n_2925 ;
+   wire \soc_top/n_2926 ;
+   wire \soc_top/n_2927 ;
+   wire \soc_top/n_2928 ;
+   wire \soc_top/n_2929 ;
+   wire \soc_top/n_2930 ;
+   wire \soc_top/n_2931 ;
+   wire \soc_top/n_2932 ;
+   wire \soc_top/n_2933 ;
+   wire \soc_top/n_2934 ;
+   wire \soc_top/n_2935 ;
+   wire \soc_top/n_2936 ;
+   wire \soc_top/n_2937 ;
+   wire \soc_top/n_2938 ;
+   wire \soc_top/n_2939 ;
+   wire \soc_top/n_2940 ;
+   wire \soc_top/n_2941 ;
+   wire \soc_top/n_2942 ;
+   wire \soc_top/n_2943 ;
+   wire \soc_top/n_2944 ;
+   wire \soc_top/n_2945 ;
+   wire \soc_top/n_2946 ;
+   wire \soc_top/n_2947 ;
+   wire \soc_top/n_2948 ;
+   wire \soc_top/n_2949 ;
+   wire \soc_top/n_2950 ;
+   wire \soc_top/n_2951 ;
+   wire \soc_top/n_2952 ;
+   wire \soc_top/n_2953 ;
+   wire \soc_top/n_2954 ;
+   wire \soc_top/n_2955 ;
+   wire \soc_top/n_2956 ;
+   wire \soc_top/n_2957 ;
+   wire \soc_top/n_2958 ;
+   wire \soc_top/n_2959 ;
+   wire \soc_top/n_2960 ;
+   wire \soc_top/n_2961 ;
+   wire \soc_top/n_2962 ;
+   wire \soc_top/n_2963 ;
+   wire \soc_top/n_2964 ;
+   wire \soc_top/n_2965 ;
+   wire \soc_top/n_2966 ;
+   wire \soc_top/n_2967 ;
+   wire \soc_top/n_2968 ;
+   wire \soc_top/n_2969 ;
+   wire \soc_top/n_2970 ;
+   wire \soc_top/n_2971 ;
+   wire \soc_top/n_2972 ;
+   wire \soc_top/n_2973 ;
+   wire \soc_top/n_2974 ;
+   wire \soc_top/n_2975 ;
+   wire \soc_top/n_2976 ;
+   wire \soc_top/n_2977 ;
+   wire \soc_top/n_2978 ;
+   wire \soc_top/n_2979 ;
+   wire \soc_top/n_2980 ;
+   wire \soc_top/n_2981 ;
+   wire \soc_top/n_2982 ;
+   wire \soc_top/n_2983 ;
+   wire \soc_top/n_2984 ;
+   wire \soc_top/n_2985 ;
+   wire \soc_top/n_2986 ;
+   wire \soc_top/n_2987 ;
+   wire \soc_top/n_2988 ;
+   wire \soc_top/n_2989 ;
+   wire \soc_top/n_2990 ;
+   wire \soc_top/n_2991 ;
+   wire \soc_top/n_2992 ;
+   wire \soc_top/n_2993 ;
+   wire \soc_top/n_2994 ;
+   wire \soc_top/n_2995 ;
+   wire \soc_top/n_2996 ;
+   wire \soc_top/n_2997 ;
+   wire \soc_top/n_2998 ;
+   wire \soc_top/n_2999 ;
+   wire \soc_top/n_3000 ;
+   wire \soc_top/n_3001 ;
+   wire \soc_top/n_3002 ;
+   wire \soc_top/n_3003 ;
+   wire \soc_top/n_3004 ;
+   wire \soc_top/n_3005 ;
+   wire \soc_top/n_3006 ;
+   wire \soc_top/n_3007 ;
+   wire \soc_top/n_3008 ;
+   wire \soc_top/n_3009 ;
+   wire \soc_top/n_3010 ;
+   wire \soc_top/n_3011 ;
+   wire \soc_top/n_3012 ;
+   wire \soc_top/n_3013 ;
+   wire \soc_top/n_3014 ;
+   wire \soc_top/n_3015 ;
+   wire \soc_top/n_3016 ;
+   wire \soc_top/n_3017 ;
+   wire \soc_top/n_3018 ;
+   wire \soc_top/n_3019 ;
+   wire \soc_top/n_3020 ;
+   wire \soc_top/n_3021 ;
+   wire \soc_top/n_3022 ;
+   wire \soc_top/n_3023 ;
+   wire \soc_top/n_3024 ;
+   wire \soc_top/n_3025 ;
+   wire \soc_top/n_3026 ;
+   wire \soc_top/n_3027 ;
+   wire \soc_top/n_3028 ;
+   wire \soc_top/n_3029 ;
+   wire \soc_top/n_3030 ;
+   wire \soc_top/n_3031 ;
+   wire \soc_top/n_3032 ;
+   wire \soc_top/n_3033 ;
+   wire \soc_top/n_3034 ;
+   wire \soc_top/n_3035 ;
+   wire \soc_top/n_3036 ;
+   wire \soc_top/n_3038 ;
+   wire \soc_top/n_3040 ;
+   wire \soc_top/n_3041 ;
+   wire \soc_top/n_3042 ;
+   wire \soc_top/n_3043 ;
+   wire \soc_top/n_3044 ;
+   wire \soc_top/n_3045 ;
+   wire \soc_top/n_3046 ;
+   wire \soc_top/n_3047 ;
+   wire \soc_top/n_3048 ;
+   wire \soc_top/n_3049 ;
+   wire \soc_top/n_3050 ;
+   wire \soc_top/n_3051 ;
+   wire \soc_top/n_3052 ;
+   wire \soc_top/n_3053 ;
+   wire \soc_top/n_3054 ;
+   wire \soc_top/n_3055 ;
+   wire \soc_top/n_3056 ;
+   wire \soc_top/n_3057 ;
+   wire \soc_top/n_3058 ;
+   wire \soc_top/n_3060 ;
+   wire \soc_top/n_3061 ;
+   wire \soc_top/n_3062 ;
+   wire \soc_top/n_3063 ;
+   wire \soc_top/n_3064 ;
+   wire \soc_top/n_3065 ;
+   wire \soc_top/n_3066 ;
+   wire \soc_top/n_3067 ;
+   wire \soc_top/n_3068 ;
+   wire \soc_top/n_3070 ;
+   wire \soc_top/n_3071 ;
+   wire \soc_top/n_3072 ;
+   wire \soc_top/n_3073 ;
+   wire \soc_top/n_3074 ;
+   wire \soc_top/n_3075 ;
+   wire \soc_top/n_3076 ;
+   wire \soc_top/n_3077 ;
+   wire \soc_top/n_3078 ;
+   wire \soc_top/n_3079 ;
+   wire \soc_top/n_3080 ;
+   wire \soc_top/n_3081 ;
+   wire \soc_top/n_3082 ;
+   wire \soc_top/n_3083 ;
+   wire \soc_top/n_3084 ;
+   wire \soc_top/n_3085 ;
+   wire \soc_top/n_3086 ;
+   wire \soc_top/n_3087 ;
+   wire \soc_top/n_3088 ;
+   wire \soc_top/n_3089 ;
+   wire \soc_top/n_3090 ;
+   wire \soc_top/n_3091 ;
+   wire \soc_top/n_3092 ;
+   wire \soc_top/n_3093 ;
+   wire \soc_top/n_3094 ;
+   wire \soc_top/n_3095 ;
+   wire \soc_top/n_3096 ;
+   wire \soc_top/n_3097 ;
+   wire \soc_top/n_3098 ;
+   wire \soc_top/n_3099 ;
+   wire \soc_top/n_3100 ;
+   wire \soc_top/n_3101 ;
+   wire \soc_top/n_3102 ;
+   wire \soc_top/n_3103 ;
+   wire \soc_top/n_3104 ;
+   wire \soc_top/n_3105 ;
+   wire \soc_top/n_3106 ;
+   wire \soc_top/n_3107 ;
+   wire \soc_top/n_3108 ;
+   wire \soc_top/n_3109 ;
+   wire \soc_top/n_3110 ;
+   wire \soc_top/n_3111 ;
+   wire \soc_top/n_3112 ;
+   wire \soc_top/n_3113 ;
+   wire \soc_top/n_3114 ;
+   wire \soc_top/n_3115 ;
+   wire \soc_top/n_3116 ;
+   wire \soc_top/n_3117 ;
+   wire \soc_top/n_3118 ;
+   wire \soc_top/n_3119 ;
+   wire \soc_top/n_3120 ;
+   wire \soc_top/n_3121 ;
+   wire \soc_top/n_3122 ;
+   wire \soc_top/n_3123 ;
+   wire \soc_top/n_3124 ;
+   wire \soc_top/n_3125 ;
+   wire \soc_top/n_3126 ;
+   wire \soc_top/n_3127 ;
+   wire \soc_top/n_3128 ;
+   wire \soc_top/n_3129 ;
+   wire \soc_top/n_3130 ;
+   wire \soc_top/n_3131 ;
+   wire \soc_top/n_3132 ;
+   wire \soc_top/n_3133 ;
+   wire \soc_top/n_3134 ;
+   wire \soc_top/n_3135 ;
+   wire \soc_top/n_3136 ;
+   wire \soc_top/n_3137 ;
+   wire \soc_top/n_3138 ;
+   wire \soc_top/n_3139 ;
+   wire \soc_top/n_3140 ;
+   wire \soc_top/n_3141 ;
+   wire \soc_top/n_3142 ;
+   wire \soc_top/n_3143 ;
+   wire \soc_top/n_3144 ;
+   wire \soc_top/n_3145 ;
+   wire \soc_top/n_3146 ;
+   wire \soc_top/n_3147 ;
+   wire \soc_top/n_3148 ;
+   wire \soc_top/n_3149 ;
+   wire \soc_top/n_3150 ;
+   wire \soc_top/n_3151 ;
+   wire \soc_top/n_3152 ;
+   wire \soc_top/n_3153 ;
+   wire \soc_top/n_3154 ;
+   wire \soc_top/n_3155 ;
+   wire \soc_top/n_3156 ;
+   wire \soc_top/n_3157 ;
+   wire \soc_top/n_3158 ;
+   wire \soc_top/n_3159 ;
+   wire \soc_top/n_3160 ;
+   wire \soc_top/n_3162 ;
+   wire \soc_top/n_3164 ;
+   wire \soc_top/n_3165 ;
+   wire \soc_top/n_3167 ;
+   wire \soc_top/n_3168 ;
+   wire \soc_top/n_3174 ;
+   wire \soc_top/n_3175 ;
+   wire \soc_top/n_3176 ;
+   wire \soc_top/n_3177 ;
+   wire \soc_top/n_3178 ;
+   wire \soc_top/n_3179 ;
+   wire \soc_top/n_3180 ;
+   wire \soc_top/n_3181 ;
+   wire \soc_top/n_3182 ;
+   wire \soc_top/n_3183 ;
+   wire \soc_top/n_3185 ;
+   wire \soc_top/n_3186 ;
+   wire \soc_top/n_3187 ;
+   wire \soc_top/n_3188 ;
+   wire \soc_top/n_3189 ;
+   wire \soc_top/n_3190 ;
+   wire \soc_top/n_3191 ;
+   wire \soc_top/n_3192 ;
+   wire \soc_top/n_3193 ;
+   wire \soc_top/n_3194 ;
+   wire \soc_top/n_3195 ;
+   wire \soc_top/n_3196 ;
+   wire \soc_top/n_3197 ;
+   wire \soc_top/n_3198 ;
+   wire \soc_top/n_3199 ;
+   wire \soc_top/n_3200 ;
+   wire \soc_top/n_3201 ;
+   wire \soc_top/n_3202 ;
+   wire \soc_top/n_3203 ;
+   wire \soc_top/n_3204 ;
+   wire \soc_top/n_3205 ;
+   wire \soc_top/n_3207 ;
+   wire \soc_top/n_3209 ;
+   wire \soc_top/n_3210 ;
+   wire \soc_top/n_3211 ;
+   wire \soc_top/n_3212 ;
+   wire \soc_top/n_3213 ;
+   wire \soc_top/n_3214 ;
+   wire \soc_top/n_3215 ;
+   wire \soc_top/n_3216 ;
+   wire \soc_top/n_3217 ;
+   wire \soc_top/n_3219 ;
+   wire \soc_top/n_3220 ;
+   wire \soc_top/n_3221 ;
+   wire \soc_top/n_3222 ;
+   wire \soc_top/n_3223 ;
+   wire \soc_top/n_3224 ;
+   wire \soc_top/n_3226 ;
+   wire \soc_top/n_3227 ;
+   wire \soc_top/n_3228 ;
+   wire \soc_top/n_3229 ;
+   wire \soc_top/n_3230 ;
+   wire \soc_top/n_3231 ;
+   wire \soc_top/n_3232 ;
+   wire \soc_top/n_3234 ;
+   wire \soc_top/n_3235 ;
+   wire \soc_top/n_3236 ;
+   wire \soc_top/n_3237 ;
+   wire \soc_top/n_3239 ;
+   wire \soc_top/n_3240 ;
+   wire \soc_top/n_3241 ;
+   wire \soc_top/n_3242 ;
+   wire \soc_top/n_3243 ;
+   wire \soc_top/n_3244 ;
+   wire \soc_top/n_3245 ;
+   wire \soc_top/n_3246 ;
+   wire \soc_top/n_3247 ;
+   wire \soc_top/n_3248 ;
+   wire \soc_top/n_3249 ;
+   wire \soc_top/n_3250 ;
+   wire \soc_top/n_3251 ;
+   wire \soc_top/n_3253 ;
+   wire \soc_top/n_3254 ;
+   wire \soc_top/n_3256 ;
+   wire \soc_top/n_3257 ;
+   wire \soc_top/n_3258 ;
+   wire \soc_top/n_3259 ;
+   wire \soc_top/n_3260 ;
+   wire \soc_top/n_3261 ;
+   wire \soc_top/n_3293 ;
+   wire \soc_top/n_3317 ;
+   wire \soc_top/n_3346 ;
+   wire \soc_top/n_3347 ;
+   wire \soc_top/n_3348 ;
+   wire \soc_top/n_3350 ;
+   wire \soc_top/n_3354 ;
+   wire \soc_top/n_3355 ;
+   wire \soc_top/n_3356 ;
+   wire \soc_top/n_3357 ;
+   wire \soc_top/n_3358 ;
+   wire \soc_top/n_3359 ;
+   wire \soc_top/n_3360 ;
+   wire \soc_top/n_3361 ;
+   wire \soc_top/n_3362 ;
+   wire \soc_top/n_3364 ;
+   wire \soc_top/n_3367 ;
+   wire \soc_top/n_3368 ;
+   wire \soc_top/n_3369 ;
+   wire \soc_top/n_3370 ;
+   wire \soc_top/n_3371 ;
+   wire \soc_top/n_3372 ;
+   wire \soc_top/n_3373 ;
+   wire \soc_top/n_3374 ;
+   wire \soc_top/n_3375 ;
+   wire \soc_top/n_3376 ;
+   wire \soc_top/n_3377 ;
+   wire \soc_top/n_3378 ;
+   wire \soc_top/n_3379 ;
+   wire \soc_top/n_3380 ;
+   wire \soc_top/n_3381 ;
+   wire \soc_top/n_3382 ;
+   wire \soc_top/n_3383 ;
+   wire \soc_top/n_3384 ;
+   wire \soc_top/n_3385 ;
+   wire \soc_top/n_3386 ;
+   wire \soc_top/n_3387 ;
+   wire \soc_top/n_3388 ;
+   wire \soc_top/n_3390 ;
+   wire \soc_top/n_3391 ;
+   wire \soc_top/n_3392 ;
+   wire \soc_top/n_3393 ;
+   wire \soc_top/n_3394 ;
+   wire \soc_top/n_3395 ;
+   wire \soc_top/n_3396 ;
+   wire \soc_top/n_3397 ;
+   wire \soc_top/n_3398 ;
+   wire \soc_top/n_3399 ;
+   wire \soc_top/n_3400 ;
+   wire \soc_top/n_3401 ;
+   wire \soc_top/n_3402 ;
+   wire \soc_top/n_3403 ;
+   wire \soc_top/n_3404 ;
+   wire \soc_top/n_3405 ;
+   wire \soc_top/n_3406 ;
+   wire \soc_top/n_3408 ;
+   wire \soc_top/n_3409 ;
+   wire \soc_top/n_3410 ;
+   wire \soc_top/n_3411 ;
+   wire \soc_top/n_3413 ;
+   wire \soc_top/n_3414 ;
+   wire \soc_top/n_3415 ;
+   wire \soc_top/n_3416 ;
+   wire \soc_top/n_3417 ;
+   wire \soc_top/n_3418 ;
+   wire \soc_top/n_3419 ;
+   wire \soc_top/n_3420 ;
+   wire \soc_top/n_3421 ;
+   wire \soc_top/n_3422 ;
+   wire \soc_top/n_3423 ;
+   wire \soc_top/n_3424 ;
+   wire \soc_top/n_3425 ;
+   wire \soc_top/n_3426 ;
+   wire \soc_top/n_3427 ;
+   wire \soc_top/n_3429 ;
+   wire \soc_top/n_3430 ;
+   wire \soc_top/n_3432 ;
+   wire \soc_top/n_3433 ;
+   wire \soc_top/n_3434 ;
+   wire \soc_top/n_3435 ;
+   wire \soc_top/n_3436 ;
+   wire \soc_top/n_3437 ;
+   wire \soc_top/n_3439 ;
+   wire \soc_top/n_3440 ;
+   wire \soc_top/n_3441 ;
+   wire \soc_top/n_3442 ;
+   wire \soc_top/n_3443 ;
+   wire \soc_top/n_3444 ;
+   wire \soc_top/n_3445 ;
+   wire \soc_top/n_3447 ;
+   wire \soc_top/n_3448 ;
+   wire \soc_top/n_3449 ;
+   wire \soc_top/n_3450 ;
+   wire \soc_top/n_3451 ;
+   wire \soc_top/n_3452 ;
+   wire \soc_top/n_3453 ;
+   wire \soc_top/n_3454 ;
+   wire \soc_top/n_3455 ;
+   wire \soc_top/n_3456 ;
+   wire \soc_top/n_3457 ;
+   wire \soc_top/n_3458 ;
+   wire \soc_top/n_3459 ;
+   wire \soc_top/n_3460 ;
+   wire \soc_top/n_3461 ;
+   wire \soc_top/n_3462 ;
+   wire \soc_top/n_3463 ;
+   wire \soc_top/n_3464 ;
+   wire \soc_top/n_3465 ;
+   wire \soc_top/n_3466 ;
+   wire \soc_top/n_3467 ;
+   wire \soc_top/n_3468 ;
+   wire \soc_top/n_3469 ;
+   wire \soc_top/n_3470 ;
+   wire \soc_top/n_3471 ;
+   wire \soc_top/n_3472 ;
+   wire \soc_top/n_3473 ;
+   wire \soc_top/n_3474 ;
+   wire \soc_top/n_3475 ;
+   wire \soc_top/n_3476 ;
+   wire \soc_top/n_3477 ;
+   wire \soc_top/n_3478 ;
+   wire \soc_top/n_3479 ;
+   wire \soc_top/n_3480 ;
+   wire \soc_top/n_3481 ;
+   wire \soc_top/n_3482 ;
+   wire \soc_top/n_3483 ;
+   wire \soc_top/n_3484 ;
+   wire \soc_top/n_3485 ;
+   wire \soc_top/n_3486 ;
+   wire \soc_top/n_3487 ;
+   wire \soc_top/n_3488 ;
+   wire \soc_top/n_3489 ;
+   wire \soc_top/n_3490 ;
+   wire \soc_top/n_3491 ;
+   wire \soc_top/n_3492 ;
+   wire \soc_top/n_3493 ;
+   wire \soc_top/n_3494 ;
+   wire \soc_top/n_3495 ;
+   wire \soc_top/n_3497 ;
+   wire \soc_top/n_3498 ;
+   wire \soc_top/n_3499 ;
+   wire \soc_top/n_3500 ;
+   wire \soc_top/n_3501 ;
+   wire \soc_top/n_3502 ;
+   wire \soc_top/n_3503 ;
+   wire \soc_top/n_3504 ;
+   wire \soc_top/n_3505 ;
+   wire \soc_top/n_3506 ;
+   wire \soc_top/n_3507 ;
+   wire \soc_top/n_3508 ;
+   wire \soc_top/n_3509 ;
+   wire \soc_top/n_3510 ;
+   wire \soc_top/n_3511 ;
+   wire \soc_top/n_3512 ;
+   wire \soc_top/n_3513 ;
+   wire \soc_top/n_3514 ;
+   wire \soc_top/n_3515 ;
+   wire \soc_top/n_3516 ;
+   wire \soc_top/n_3517 ;
+   wire \soc_top/n_3518 ;
+   wire \soc_top/n_3519 ;
+   wire \soc_top/n_3520 ;
+   wire \soc_top/n_3522 ;
+   wire \soc_top/n_3524 ;
+   wire \soc_top/n_3526 ;
+   wire \soc_top/n_3528 ;
+   wire \soc_top/n_3532 ;
+   wire \soc_top/n_3534 ;
+   wire \soc_top/n_3541 ;
+   wire \soc_top/n_3543 ;
+   wire \soc_top/n_3544 ;
+   wire \soc_top/n_3545 ;
+   wire \soc_top/n_3546 ;
+   wire \soc_top/n_3547 ;
+   wire \soc_top/n_3548 ;
+   wire \soc_top/n_3549 ;
+   wire \soc_top/n_3550 ;
+   wire \soc_top/n_3551 ;
+   wire \soc_top/n_3552 ;
+   wire \soc_top/n_3553 ;
+   wire \soc_top/n_3554 ;
+   wire \soc_top/n_3555 ;
+   wire \soc_top/n_3556 ;
+   wire \soc_top/n_3557 ;
+   wire \soc_top/n_3558 ;
+   wire \soc_top/n_3559 ;
+   wire \soc_top/n_3560 ;
+   wire \soc_top/n_3561 ;
+   wire \soc_top/n_3562 ;
+   wire \soc_top/n_3563 ;
+   wire \soc_top/n_3564 ;
+   wire \soc_top/n_3565 ;
+   wire \soc_top/n_3566 ;
+   wire \soc_top/n_3567 ;
+   wire \soc_top/n_3568 ;
+   wire \soc_top/n_3569 ;
+   wire \soc_top/n_3570 ;
+   wire \soc_top/n_3571 ;
+   wire \soc_top/n_3573 ;
+   wire \soc_top/n_3574 ;
+   wire \soc_top/n_3575 ;
+   wire \soc_top/n_3576 ;
+   wire \soc_top/n_3577 ;
+   wire \soc_top/n_3578 ;
+   wire \soc_top/n_3579 ;
+   wire \soc_top/n_3580 ;
+   wire \soc_top/n_3581 ;
+   wire \soc_top/n_3582 ;
+   wire \soc_top/n_3583 ;
+   wire \soc_top/n_3585 ;
+   wire \soc_top/n_3586 ;
+   wire \soc_top/n_3587 ;
+   wire \soc_top/n_3588 ;
+   wire \soc_top/n_3589 ;
+   wire \soc_top/n_3590 ;
+   wire \soc_top/n_3591 ;
+   wire \soc_top/n_3592 ;
+   wire \soc_top/n_3593 ;
+   wire \soc_top/n_3594 ;
+   wire \soc_top/n_3595 ;
+   wire \soc_top/n_3596 ;
+   wire \soc_top/n_3597 ;
+   wire \soc_top/n_3598 ;
+   wire \soc_top/n_3600 ;
+   wire \soc_top/n_3601 ;
+   wire \soc_top/n_3602 ;
+   wire \soc_top/n_3603 ;
+   wire \soc_top/n_3604 ;
+   wire \soc_top/n_3605 ;
+   wire \soc_top/n_3606 ;
+   wire \soc_top/n_3607 ;
+   wire \soc_top/n_3608 ;
+   wire \soc_top/n_3609 ;
+   wire \soc_top/n_3611 ;
+   wire \soc_top/n_3612 ;
+   wire \soc_top/n_3613 ;
+   wire \soc_top/n_3614 ;
+   wire \soc_top/n_3615 ;
+   wire \soc_top/n_3616 ;
+   wire \soc_top/n_3617 ;
+   wire \soc_top/n_3618 ;
+   wire \soc_top/n_3619 ;
+   wire \soc_top/n_3620 ;
+   wire \soc_top/n_3621 ;
+   wire \soc_top/n_3622 ;
+   wire \soc_top/n_3623 ;
+   wire \soc_top/n_3624 ;
+   wire \soc_top/n_3625 ;
+   wire \soc_top/n_3626 ;
+   wire \soc_top/n_3627 ;
+   wire \soc_top/n_3628 ;
+   wire \soc_top/n_3629 ;
+   wire \soc_top/n_3630 ;
+   wire \soc_top/n_3631 ;
+   wire \soc_top/n_3632 ;
+   wire \soc_top/n_3633 ;
+   wire \soc_top/n_3634 ;
+   wire \soc_top/n_3636 ;
+   wire \soc_top/n_3637 ;
+   wire \soc_top/n_3638 ;
+   wire \soc_top/n_3639 ;
+   wire \soc_top/n_3640 ;
+   wire \soc_top/n_3643 ;
+   wire \soc_top/n_3644 ;
+   wire \soc_top/n_3645 ;
+   wire \soc_top/n_3646 ;
+   wire \soc_top/n_3647 ;
+   wire \soc_top/n_3648 ;
+   wire \soc_top/n_3649 ;
+   wire \soc_top/n_3650 ;
+   wire \soc_top/n_3652 ;
+   wire \soc_top/n_3658 ;
+   wire \soc_top/n_3659 ;
+   wire \soc_top/n_3660 ;
+   wire \soc_top/n_3672 ;
+   wire \soc_top/n_3673 ;
+   wire \soc_top/n_3674 ;
+   wire \soc_top/n_3675 ;
+   wire \soc_top/n_3678 ;
+   wire \soc_top/n_3681 ;
+   wire \soc_top/n_3690 ;
+   wire \soc_top/n_3692 ;
+   wire \soc_top/n_3696 ;
+   wire \soc_top/n_3698 ;
+   wire \soc_top/n_3699 ;
+   wire \soc_top/n_3700 ;
+   wire \soc_top/n_3701 ;
+   wire \soc_top/n_3702 ;
+   wire \soc_top/n_3703 ;
+   wire \soc_top/n_3704 ;
+   wire \soc_top/n_3705 ;
+   wire \soc_top/n_3706 ;
+   wire \soc_top/n_3707 ;
+   wire \soc_top/n_3708 ;
+   wire \soc_top/n_3709 ;
+   wire \soc_top/n_3710 ;
+   wire \soc_top/n_3711 ;
+   wire \soc_top/n_3712 ;
+   wire \soc_top/n_3713 ;
+   wire \soc_top/n_3714 ;
+   wire \soc_top/n_3716 ;
+   wire \soc_top/n_3717 ;
+   wire \soc_top/n_3719 ;
+   wire \soc_top/n_3720 ;
+   wire \soc_top/n_3721 ;
+   wire \soc_top/n_3722 ;
+   wire \soc_top/n_3723 ;
+   wire \soc_top/n_3724 ;
+   wire \soc_top/n_3725 ;
+   wire \soc_top/n_3726 ;
+   wire \soc_top/n_3727 ;
+   wire \soc_top/n_3728 ;
+   wire \soc_top/n_3729 ;
+   wire \soc_top/n_3730 ;
+   wire \soc_top/n_3731 ;
+   wire \soc_top/n_3732 ;
+   wire \soc_top/n_3734 ;
+   wire \soc_top/n_3736 ;
+   wire \soc_top/n_3738 ;
+   wire \soc_top/n_3740 ;
+   wire \soc_top/n_3741 ;
+   wire \soc_top/n_3742 ;
+   wire \soc_top/n_3743 ;
+   wire \soc_top/n_3744 ;
+   wire \soc_top/n_3745 ;
+   wire \soc_top/n_3746 ;
+   wire \soc_top/n_3747 ;
+   wire \soc_top/n_3748 ;
+   wire \soc_top/n_3749 ;
+   wire \soc_top/n_3750 ;
+   wire \soc_top/n_3751 ;
+   wire \soc_top/n_3753 ;
+   wire \soc_top/n_3754 ;
+   wire \soc_top/n_3756 ;
+   wire \soc_top/n_3757 ;
+   wire \soc_top/n_3758 ;
+   wire \soc_top/n_3759 ;
+   wire \soc_top/n_3760 ;
+   wire \soc_top/n_3761 ;
+   wire \soc_top/n_3762 ;
+   wire \soc_top/n_3763 ;
+   wire \soc_top/n_3764 ;
+   wire \soc_top/n_3765 ;
+   wire \soc_top/n_3766 ;
+   wire \soc_top/n_3768 ;
+   wire \soc_top/n_3771 ;
+   wire \soc_top/n_3772 ;
+   wire \soc_top/n_3773 ;
+   wire \soc_top/n_3774 ;
+   wire \soc_top/n_3777 ;
+   wire \soc_top/n_3778 ;
+   wire \soc_top/n_3779 ;
+   wire \soc_top/n_3780 ;
+   wire \soc_top/n_3781 ;
+   wire \soc_top/n_3782 ;
+   wire \soc_top/n_3783 ;
+   wire \soc_top/n_3784 ;
+   wire \soc_top/n_3788 ;
+   wire \soc_top/n_3789 ;
+   wire \soc_top/n_3790 ;
+   wire \soc_top/n_3791 ;
+   wire \soc_top/n_3795 ;
+   wire \soc_top/n_3796 ;
+   wire \soc_top/n_3797 ;
+   wire \soc_top/n_3798 ;
+   wire \soc_top/n_3799 ;
+   wire \soc_top/n_3800 ;
+   wire \soc_top/n_3801 ;
+   wire \soc_top/n_3802 ;
+   wire \soc_top/n_3803 ;
+   wire \soc_top/n_3804 ;
+   wire \soc_top/n_3806 ;
+   wire \soc_top/n_3807 ;
+   wire \soc_top/n_3809 ;
+   wire \soc_top/n_3810 ;
+   wire \soc_top/n_3811 ;
+   wire \soc_top/n_3812 ;
+   wire \soc_top/n_3813 ;
+   wire \soc_top/n_3815 ;
+   wire \soc_top/n_3817 ;
+   wire \soc_top/n_3820 ;
+   wire \soc_top/n_3822 ;
+   wire \soc_top/n_3825 ;
+   wire \soc_top/n_3826 ;
+   wire \soc_top/n_3828 ;
+   wire \soc_top/n_3829 ;
+   wire \soc_top/n_3830 ;
+   wire \soc_top/n_3831 ;
+   wire \soc_top/n_3832 ;
+   wire \soc_top/n_3833 ;
+   wire \soc_top/n_3834 ;
+   wire \soc_top/n_3835 ;
+   wire \soc_top/n_3836 ;
+   wire \soc_top/n_3837 ;
+   wire \soc_top/n_3840 ;
+   wire \soc_top/n_3841 ;
+   wire \soc_top/n_3843 ;
+   wire \soc_top/n_3844 ;
+   wire \soc_top/n_3846 ;
+   wire \soc_top/n_3847 ;
+   wire \soc_top/n_3849 ;
+   wire \soc_top/n_3850 ;
+   wire \soc_top/n_3852 ;
+   wire \soc_top/n_3854 ;
+   wire \soc_top/n_3856 ;
+   wire \soc_top/n_3857 ;
+   wire \soc_top/n_3859 ;
+   wire \soc_top/n_3860 ;
+   wire \soc_top/n_3861 ;
+   wire \soc_top/n_3862 ;
+   wire \soc_top/n_3863 ;
+   wire \soc_top/n_3864 ;
+   wire \soc_top/n_3865 ;
+   wire \soc_top/n_3866 ;
+   wire \soc_top/n_3867 ;
+   wire \soc_top/n_3868 ;
+   wire \soc_top/n_3870 ;
+   wire \soc_top/n_3874 ;
+   wire \soc_top/n_3878 ;
+   wire \soc_top/n_3880 ;
+   wire \soc_top/n_3881 ;
+   wire \soc_top/n_3883 ;
+   wire \soc_top/n_3885 ;
+   wire \soc_top/n_3886 ;
+   wire \soc_top/n_3887 ;
+   wire \soc_top/n_3889 ;
+   wire \soc_top/n_3891 ;
+   wire \soc_top/n_3892 ;
+   wire \soc_top/n_3893 ;
+   wire \soc_top/n_3895 ;
+   wire \soc_top/n_3896 ;
+   wire \soc_top/n_3897 ;
+   wire \soc_top/n_3898 ;
+   wire \soc_top/n_3899 ;
+   wire \soc_top/n_3901 ;
+   wire \soc_top/n_3903 ;
+   wire \soc_top/n_3904 ;
+   wire \soc_top/n_3905 ;
+   wire \soc_top/n_3906 ;
+   wire \soc_top/n_3907 ;
+   wire \soc_top/n_3909 ;
+   wire \soc_top/n_3910 ;
+   wire \soc_top/n_3911 ;
+   wire \soc_top/n_3913 ;
+   wire \soc_top/n_3914 ;
+   wire \soc_top/n_3916 ;
+   wire \soc_top/n_3918 ;
+   wire \soc_top/n_3919 ;
+   wire \soc_top/n_3921 ;
+   wire \soc_top/n_3923 ;
+   wire \soc_top/n_3924 ;
+   wire \soc_top/n_3925 ;
+   wire \soc_top/n_3927 ;
+   wire \soc_top/n_3929 ;
+   wire \soc_top/n_3931 ;
+   wire \soc_top/n_3932 ;
+   wire \soc_top/n_3935 ;
+   wire \soc_top/n_3936 ;
+   wire \soc_top/n_3938 ;
+   wire \soc_top/n_3939 ;
+   wire \soc_top/n_3940 ;
+   wire \soc_top/n_3941 ;
+   wire \soc_top/n_3943 ;
+   wire \soc_top/n_3944 ;
+   wire \soc_top/n_3945 ;
+   wire \soc_top/n_3946 ;
+   wire \soc_top/n_3947 ;
+   wire \soc_top/n_3948 ;
+   wire \soc_top/n_3949 ;
+   wire \soc_top/n_3951 ;
+   wire \soc_top/n_3952 ;
+   wire \soc_top/n_3953 ;
+   wire \soc_top/n_3954 ;
+   wire \soc_top/n_3956 ;
+   wire \soc_top/n_3959 ;
+   wire \soc_top/n_3962 ;
+   wire \soc_top/n_3963 ;
+   wire \soc_top/n_3964 ;
+   wire \soc_top/n_3966 ;
+   wire \soc_top/n_3967 ;
+   wire \soc_top/n_3968 ;
+   wire \soc_top/n_3970 ;
+   wire \soc_top/n_3971 ;
+   wire \soc_top/n_3972 ;
+   wire \soc_top/n_3974 ;
+   wire \soc_top/n_3978 ;
+   wire \soc_top/n_3979 ;
+   wire \soc_top/n_3980 ;
+   wire \soc_top/n_3981 ;
+   wire \soc_top/n_3983 ;
+   wire \soc_top/n_3984 ;
+   wire \soc_top/n_3985 ;
+   wire \soc_top/n_3987 ;
+   wire \soc_top/n_3988 ;
+   wire \soc_top/n_3989 ;
+   wire \soc_top/n_3991 ;
+   wire \soc_top/n_3992 ;
+   wire \soc_top/n_3993 ;
+   wire \soc_top/n_3994 ;
+   wire \soc_top/n_3995 ;
+   wire \soc_top/n_3997 ;
+   wire \soc_top/n_3998 ;
+   wire \soc_top/n_3999 ;
+   wire \soc_top/n_4001 ;
+   wire \soc_top/n_4002 ;
+   wire \soc_top/n_4003 ;
+   wire \soc_top/n_4004 ;
+   wire \soc_top/n_4005 ;
+   wire \soc_top/n_4006 ;
+   wire \soc_top/n_4007 ;
+   wire \soc_top/n_4008 ;
+   wire \soc_top/n_4009 ;
+   wire \soc_top/n_4010 ;
+   wire \soc_top/n_4011 ;
+   wire \soc_top/n_4024 ;
+   wire \soc_top/n_4027 ;
+   wire \soc_top/n_4030 ;
+   wire \soc_top/n_4031 ;
+   wire \soc_top/n_4036 ;
+   wire \soc_top/n_4044 ;
+   wire \soc_top/n_4045 ;
+   wire \soc_top/n_4046 ;
+   wire \soc_top/n_4047 ;
+   wire \soc_top/n_4049 ;
+   wire \soc_top/n_4050 ;
+   wire \soc_top/n_4051 ;
+   wire \soc_top/n_4052 ;
+   wire \soc_top/n_4053 ;
+   wire \soc_top/n_4068 ;
+   wire \soc_top/n_4071 ;
+   wire \soc_top/n_4097 ;
+   wire \soc_top/n_4098 ;
+   wire \soc_top/n_4099 ;
+   wire \soc_top/n_4100 ;
+   wire \soc_top/n_4101 ;
+   wire \soc_top/n_4102 ;
+   wire \soc_top/n_4103 ;
+   wire \soc_top/n_4104 ;
+   wire \soc_top/n_4105 ;
+   wire \soc_top/n_4106 ;
+   wire \soc_top/n_4107 ;
+   wire \soc_top/n_4109 ;
+   wire \soc_top/n_4111 ;
+   wire \soc_top/n_4112 ;
+   wire \soc_top/n_4113 ;
+   wire \soc_top/n_4114 ;
+   wire \soc_top/n_4116 ;
+   wire \soc_top/n_4117 ;
+   wire \soc_top/n_4118 ;
+   wire \soc_top/n_4119 ;
+   wire \soc_top/n_4120 ;
+   wire \soc_top/n_4121 ;
+   wire \soc_top/n_4122 ;
+   wire \soc_top/n_4123 ;
+   wire \soc_top/n_4125 ;
+   wire \soc_top/n_4126 ;
+   wire \soc_top/n_4127 ;
+   wire \soc_top/n_4128 ;
+   wire \soc_top/n_4129 ;
+   wire \soc_top/n_4130 ;
+   wire \soc_top/n_4131 ;
+   wire \soc_top/n_4132 ;
+   wire \soc_top/n_4133 ;
+   wire \soc_top/n_4134 ;
+   wire \soc_top/n_4135 ;
+   wire \soc_top/n_4137 ;
+   wire \soc_top/n_4138 ;
+   wire \soc_top/n_4140 ;
+   wire \soc_top/n_4141 ;
+   wire \soc_top/n_4142 ;
+   wire \soc_top/n_4144 ;
+   wire \soc_top/n_4145 ;
+   wire \soc_top/n_4147 ;
+   wire \soc_top/n_4148 ;
+   wire \soc_top/n_4149 ;
+   wire \soc_top/n_4151 ;
+   wire \soc_top/n_4152 ;
+   wire \soc_top/n_4153 ;
+   wire \soc_top/n_4154 ;
+   wire \soc_top/n_4155 ;
+   wire \soc_top/n_4156 ;
+   wire \soc_top/n_4157 ;
+   wire \soc_top/n_4158 ;
+   wire \soc_top/n_4159 ;
+   wire \soc_top/n_4160 ;
+   wire \soc_top/n_4161 ;
+   wire \soc_top/n_4162 ;
+   wire \soc_top/n_4163 ;
+   wire \soc_top/n_4164 ;
+   wire \soc_top/n_4165 ;
+   wire \soc_top/n_4166 ;
+   wire \soc_top/n_4167 ;
+   wire \soc_top/n_4168 ;
+   wire \soc_top/n_4169 ;
+   wire \soc_top/n_4170 ;
+   wire \soc_top/n_4171 ;
+   wire \soc_top/n_4172 ;
+   wire \soc_top/n_4173 ;
+   wire \soc_top/n_4174 ;
+   wire \soc_top/n_4175 ;
+   wire \soc_top/n_4176 ;
+   wire \soc_top/n_4177 ;
+   wire \soc_top/n_4178 ;
+   wire \soc_top/n_4179 ;
+   wire \soc_top/n_4180 ;
+   wire \soc_top/n_4181 ;
+   wire \soc_top/n_4182 ;
+   wire \soc_top/n_4183 ;
+   wire \soc_top/n_4184 ;
+   wire \soc_top/n_4185 ;
+   wire \soc_top/n_4186 ;
+   wire \soc_top/n_4187 ;
+   wire \soc_top/n_4188 ;
+   wire \soc_top/n_4189 ;
+   wire \soc_top/n_4190 ;
+   wire \soc_top/n_4191 ;
+   wire \soc_top/n_4192 ;
+   wire \soc_top/n_4193 ;
+   wire \soc_top/n_4194 ;
+   wire \soc_top/n_4195 ;
+   wire \soc_top/n_4196 ;
+   wire \soc_top/n_4197 ;
+   wire \soc_top/n_4198 ;
+   wire \soc_top/n_4199 ;
+   wire \soc_top/n_4200 ;
+   wire \soc_top/n_4201 ;
+   wire \soc_top/n_4202 ;
+   wire \soc_top/n_4203 ;
+   wire \soc_top/n_4204 ;
+   wire \soc_top/n_4205 ;
+   wire \soc_top/n_4206 ;
+   wire \soc_top/n_4207 ;
+   wire \soc_top/n_4208 ;
+   wire \soc_top/n_4209 ;
+   wire \soc_top/n_4210 ;
+   wire \soc_top/n_4211 ;
+   wire \soc_top/n_4212 ;
+   wire \soc_top/n_4213 ;
+   wire \soc_top/n_4214 ;
+   wire \soc_top/n_4215 ;
+   wire \soc_top/n_4216 ;
+   wire \soc_top/n_4217 ;
+   wire \soc_top/n_4218 ;
+   wire \soc_top/n_4219 ;
+   wire \soc_top/n_4220 ;
+   wire \soc_top/n_4221 ;
+   wire \soc_top/n_4222 ;
+   wire \soc_top/n_4223 ;
+   wire \soc_top/n_4224 ;
+   wire \soc_top/n_4225 ;
+   wire \soc_top/n_4226 ;
+   wire \soc_top/n_4227 ;
+   wire \soc_top/n_4228 ;
+   wire \soc_top/n_4229 ;
+   wire \soc_top/n_4230 ;
+   wire \soc_top/n_4231 ;
+   wire \soc_top/n_4232 ;
+   wire \soc_top/n_4233 ;
+   wire \soc_top/n_4234 ;
+   wire \soc_top/n_4235 ;
+   wire \soc_top/n_4236 ;
+   wire \soc_top/n_4237 ;
+   wire \soc_top/n_4238 ;
+   wire \soc_top/n_4239 ;
+   wire \soc_top/n_4240 ;
+   wire \soc_top/n_4241 ;
+   wire \soc_top/n_4242 ;
+   wire \soc_top/n_4243 ;
+   wire \soc_top/n_4244 ;
+   wire \soc_top/n_4245 ;
+   wire \soc_top/n_4246 ;
+   wire \soc_top/n_4247 ;
+   wire \soc_top/n_4248 ;
+   wire \soc_top/n_4249 ;
+   wire \soc_top/n_4250 ;
+   wire \soc_top/n_4251 ;
+   wire \soc_top/n_4253 ;
+   wire \soc_top/n_4254 ;
+   wire \soc_top/n_4255 ;
+   wire \soc_top/n_4256 ;
+   wire \soc_top/n_4257 ;
+   wire \soc_top/n_4258 ;
+   wire \soc_top/n_4259 ;
+   wire \soc_top/n_4260 ;
+   wire \soc_top/n_4261 ;
+   wire \soc_top/n_4262 ;
+   wire \soc_top/n_4263 ;
+   wire \soc_top/n_4264 ;
+   wire \soc_top/n_4265 ;
+   wire \soc_top/n_4266 ;
+   wire \soc_top/n_4267 ;
+   wire \soc_top/n_4268 ;
+   wire \soc_top/n_4269 ;
+   wire \soc_top/n_4270 ;
+   wire \soc_top/n_4271 ;
+   wire \soc_top/n_4272 ;
+   wire \soc_top/n_4273 ;
+   wire \soc_top/n_4274 ;
+   wire \soc_top/n_4275 ;
+   wire \soc_top/n_4276 ;
+   wire \soc_top/n_4277 ;
+   wire \soc_top/n_4278 ;
+   wire \soc_top/n_4279 ;
+   wire \soc_top/n_4280 ;
+   wire \soc_top/n_4281 ;
+   wire \soc_top/n_4282 ;
+   wire \soc_top/n_4283 ;
+   wire \soc_top/n_4284 ;
+   wire \soc_top/n_4285 ;
+   wire \soc_top/n_4286 ;
+   wire \soc_top/n_4287 ;
+   wire \soc_top/n_4288 ;
+   wire \soc_top/n_4289 ;
+   wire \soc_top/n_4290 ;
+   wire \soc_top/n_4291 ;
+   wire \soc_top/n_4292 ;
+   wire \soc_top/n_4293 ;
+   wire \soc_top/n_4294 ;
+   wire \soc_top/n_4295 ;
+   wire \soc_top/n_4296 ;
+   wire \soc_top/n_4297 ;
+   wire \soc_top/n_4298 ;
+   wire \soc_top/n_4299 ;
+   wire \soc_top/n_4300 ;
+   wire \soc_top/n_4301 ;
+   wire \soc_top/n_4302 ;
+   wire \soc_top/n_4303 ;
+   wire \soc_top/n_4304 ;
+   wire \soc_top/n_4305 ;
+   wire \soc_top/n_4306 ;
+   wire \soc_top/n_4307 ;
+   wire \soc_top/n_4308 ;
+   wire \soc_top/n_4309 ;
+   wire \soc_top/n_4310 ;
+   wire \soc_top/n_4311 ;
+   wire \soc_top/n_4312 ;
+   wire \soc_top/n_4313 ;
+   wire \soc_top/n_4314 ;
+   wire \soc_top/n_4315 ;
+   wire \soc_top/n_4316 ;
+   wire \soc_top/n_4317 ;
+   wire \soc_top/n_4318 ;
+   wire \soc_top/n_4319 ;
+   wire \soc_top/n_4320 ;
+   wire \soc_top/n_4321 ;
+   wire \soc_top/n_4322 ;
+   wire \soc_top/n_4323 ;
+   wire \soc_top/n_4324 ;
+   wire \soc_top/n_4326 ;
+   wire \soc_top/n_4327 ;
+   wire \soc_top/n_4328 ;
+   wire \soc_top/n_4329 ;
+   wire \soc_top/n_4330 ;
+   wire \soc_top/n_4331 ;
+   wire \soc_top/n_4332 ;
+   wire \soc_top/n_4333 ;
+   wire \soc_top/n_4334 ;
+   wire \soc_top/n_4335 ;
+   wire \soc_top/n_4336 ;
+   wire \soc_top/n_4337 ;
+   wire \soc_top/n_4340 ;
+   wire \soc_top/n_4342 ;
+   wire \soc_top/n_4344 ;
+   wire \soc_top/n_4345 ;
+   wire \soc_top/n_4346 ;
+   wire \soc_top/n_4347 ;
+   wire \soc_top/n_4348 ;
+   wire \soc_top/n_4349 ;
+   wire \soc_top/n_4350 ;
+   wire \soc_top/n_4351 ;
+   wire \soc_top/n_4352 ;
+   wire \soc_top/n_4353 ;
+   wire \soc_top/n_4354 ;
+   wire \soc_top/n_4355 ;
+   wire \soc_top/n_4356 ;
+   wire \soc_top/n_4358 ;
+   wire \soc_top/n_4359 ;
+   wire \soc_top/n_4360 ;
+   wire \soc_top/n_4361 ;
+   wire \soc_top/n_4362 ;
+   wire \soc_top/n_4363 ;
+   wire \soc_top/n_4364 ;
+   wire \soc_top/n_4365 ;
+   wire \soc_top/n_4366 ;
+   wire \soc_top/n_4367 ;
+   wire \soc_top/n_4368 ;
+   wire \soc_top/n_4369 ;
+   wire \soc_top/n_4370 ;
+   wire \soc_top/n_4371 ;
+   wire \soc_top/n_4372 ;
+   wire \soc_top/n_4373 ;
+   wire \soc_top/n_4374 ;
+   wire \soc_top/n_4375 ;
+   wire \soc_top/n_4376 ;
+   wire \soc_top/n_4377 ;
+   wire \soc_top/n_4378 ;
+   wire \soc_top/n_4379 ;
+   wire \soc_top/n_4380 ;
+   wire \soc_top/n_4381 ;
+   wire \soc_top/n_4382 ;
+   wire \soc_top/n_4383 ;
+   wire \soc_top/n_4384 ;
+   wire \soc_top/n_4385 ;
+   wire \soc_top/n_4386 ;
+   wire \soc_top/n_4387 ;
+   wire \soc_top/n_4391 ;
+   wire \soc_top/n_4392 ;
+   wire \soc_top/n_4393 ;
+   wire \soc_top/n_4394 ;
+   wire \soc_top/n_4395 ;
+   wire \soc_top/n_4396 ;
+   wire \soc_top/n_4397 ;
+   wire \soc_top/n_4398 ;
+   wire \soc_top/n_4399 ;
+   wire \soc_top/n_4400 ;
+   wire \soc_top/n_4401 ;
+   wire \soc_top/n_4402 ;
+   wire \soc_top/n_4403 ;
+   wire \soc_top/n_4405 ;
+   wire \soc_top/n_4406 ;
+   wire \soc_top/n_4407 ;
+   wire \soc_top/n_4408 ;
+   wire \soc_top/n_4409 ;
+   wire \soc_top/n_4410 ;
+   wire \soc_top/n_4411 ;
+   wire \soc_top/n_4412 ;
+   wire \soc_top/n_4413 ;
+   wire \soc_top/n_4414 ;
+   wire \soc_top/n_4415 ;
+   wire \soc_top/n_4416 ;
+   wire \soc_top/n_4417 ;
+   wire \soc_top/n_4418 ;
+   wire \soc_top/n_4419 ;
+   wire \soc_top/n_4420 ;
+   wire \soc_top/n_4421 ;
+   wire \soc_top/n_4422 ;
+   wire \soc_top/n_4423 ;
+   wire \soc_top/n_4424 ;
+   wire \soc_top/n_4425 ;
+   wire \soc_top/n_4427 ;
+   wire \soc_top/n_4429 ;
+   wire \soc_top/n_4430 ;
+   wire \soc_top/n_4431 ;
+   wire \soc_top/n_4432 ;
+   wire \soc_top/n_4433 ;
+   wire \soc_top/n_4434 ;
+   wire \soc_top/n_4435 ;
+   wire \soc_top/n_4436 ;
+   wire \soc_top/n_4438 ;
+   wire \soc_top/n_4439 ;
+   wire \soc_top/n_4440 ;
+   wire \soc_top/n_4442 ;
+   wire \soc_top/n_4444 ;
+   wire \soc_top/n_4446 ;
+   wire \soc_top/n_4447 ;
+   wire \soc_top/n_4448 ;
+   wire \soc_top/n_4449 ;
+   wire \soc_top/n_4450 ;
+   wire \soc_top/n_4451 ;
+   wire \soc_top/n_4452 ;
+   wire \soc_top/n_4453 ;
+   wire \soc_top/n_4454 ;
+   wire \soc_top/n_4455 ;
+   wire \soc_top/n_4456 ;
+   wire \soc_top/n_4457 ;
+   wire \soc_top/n_4458 ;
+   wire \soc_top/n_4459 ;
+   wire \soc_top/n_4460 ;
+   wire \soc_top/n_4461 ;
+   wire \soc_top/n_4463 ;
+   wire \soc_top/n_4464 ;
+   wire \soc_top/n_4465 ;
+   wire \soc_top/n_4466 ;
+   wire \soc_top/n_4467 ;
+   wire \soc_top/n_4468 ;
+   wire \soc_top/n_4469 ;
+   wire \soc_top/n_4470 ;
+   wire \soc_top/n_4471 ;
+   wire \soc_top/n_4472 ;
+   wire \soc_top/n_4473 ;
+   wire \soc_top/n_4474 ;
+   wire \soc_top/n_4475 ;
+   wire \soc_top/n_4477 ;
+   wire \soc_top/n_4478 ;
+   wire \soc_top/n_4479 ;
+   wire \soc_top/n_4480 ;
+   wire \soc_top/n_4481 ;
+   wire \soc_top/n_4482 ;
+   wire \soc_top/n_4483 ;
+   wire \soc_top/n_4484 ;
+   wire \soc_top/n_4485 ;
+   wire \soc_top/n_4486 ;
+   wire \soc_top/n_4487 ;
+   wire \soc_top/n_4488 ;
+   wire \soc_top/n_4490 ;
+   wire \soc_top/n_4491 ;
+   wire \soc_top/n_4492 ;
+   wire \soc_top/n_4493 ;
+   wire \soc_top/n_4494 ;
+   wire \soc_top/n_4495 ;
+   wire \soc_top/n_4496 ;
+   wire \soc_top/n_4497 ;
+   wire \soc_top/n_4498 ;
+   wire \soc_top/n_4499 ;
+   wire \soc_top/n_4500 ;
+   wire \soc_top/n_4501 ;
+   wire \soc_top/n_4502 ;
+   wire \soc_top/n_4503 ;
+   wire \soc_top/n_4504 ;
+   wire \soc_top/n_4505 ;
+   wire \soc_top/n_4506 ;
+   wire \soc_top/n_4507 ;
+   wire \soc_top/n_4508 ;
+   wire \soc_top/n_4509 ;
+   wire \soc_top/n_4510 ;
+   wire \soc_top/n_4511 ;
+   wire \soc_top/n_4512 ;
+   wire \soc_top/n_4513 ;
+   wire \soc_top/n_4514 ;
+   wire \soc_top/n_4515 ;
+   wire \soc_top/n_4516 ;
+   wire \soc_top/n_4517 ;
+   wire \soc_top/n_4518 ;
+   wire \soc_top/n_4519 ;
+   wire \soc_top/n_4520 ;
+   wire \soc_top/n_4521 ;
+   wire \soc_top/n_4522 ;
+   wire \soc_top/n_4523 ;
+   wire \soc_top/n_4524 ;
+   wire \soc_top/n_4525 ;
+   wire \soc_top/n_4526 ;
+   wire \soc_top/n_4527 ;
+   wire \soc_top/n_4529 ;
+   wire \soc_top/n_4530 ;
+   wire \soc_top/n_4531 ;
+   wire \soc_top/n_4532 ;
+   wire \soc_top/n_4533 ;
+   wire \soc_top/n_4534 ;
+   wire \soc_top/n_4535 ;
+   wire \soc_top/n_4536 ;
+   wire \soc_top/n_4537 ;
+   wire \soc_top/n_4538 ;
+   wire \soc_top/n_4539 ;
+   wire \soc_top/n_4540 ;
+   wire \soc_top/n_4541 ;
+   wire \soc_top/n_4542 ;
+   wire \soc_top/n_4543 ;
+   wire \soc_top/n_4544 ;
+   wire \soc_top/n_4545 ;
+   wire \soc_top/n_4546 ;
+   wire \soc_top/n_4547 ;
+   wire \soc_top/n_4548 ;
+   wire \soc_top/n_4549 ;
+   wire \soc_top/n_4550 ;
+   wire \soc_top/n_4551 ;
+   wire \soc_top/n_4552 ;
+   wire \soc_top/n_4553 ;
+   wire \soc_top/n_4554 ;
+   wire \soc_top/n_4555 ;
+   wire \soc_top/n_4556 ;
+   wire \soc_top/n_4557 ;
+   wire \soc_top/n_4558 ;
+   wire \soc_top/n_4559 ;
+   wire \soc_top/n_4560 ;
+   wire \soc_top/n_4561 ;
+   wire \soc_top/n_4562 ;
+   wire \soc_top/n_4563 ;
+   wire \soc_top/n_4564 ;
+   wire \soc_top/n_4565 ;
+   wire \soc_top/n_4566 ;
+   wire \soc_top/n_4567 ;
+   wire \soc_top/n_4568 ;
+   wire \soc_top/n_4569 ;
+   wire \soc_top/n_4570 ;
+   wire \soc_top/n_4571 ;
+   wire \soc_top/n_4572 ;
+   wire \soc_top/n_4573 ;
+   wire \soc_top/n_4574 ;
+   wire \soc_top/n_4575 ;
+   wire \soc_top/n_4576 ;
+   wire \soc_top/n_4577 ;
+   wire \soc_top/n_4578 ;
+   wire \soc_top/n_4579 ;
+   wire \soc_top/n_4580 ;
+   wire \soc_top/n_4581 ;
+   wire \soc_top/n_4582 ;
+   wire \soc_top/n_4583 ;
+   wire \soc_top/n_4584 ;
+   wire \soc_top/n_4585 ;
+   wire \soc_top/n_4586 ;
+   wire \soc_top/n_4587 ;
+   wire \soc_top/n_4588 ;
+   wire \soc_top/n_4589 ;
+   wire \soc_top/n_4590 ;
+   wire \soc_top/n_4591 ;
+   wire \soc_top/n_4592 ;
+   wire \soc_top/n_4593 ;
+   wire \soc_top/n_4594 ;
+   wire \soc_top/n_4595 ;
+   wire \soc_top/n_4596 ;
+   wire \soc_top/n_4597 ;
+   wire \soc_top/n_4598 ;
+   wire \soc_top/n_4600 ;
+   wire \soc_top/n_4601 ;
+   wire \soc_top/n_4602 ;
+   wire \soc_top/n_4603 ;
+   wire \soc_top/n_4604 ;
+   wire \soc_top/n_4605 ;
+   wire \soc_top/n_4606 ;
+   wire \soc_top/n_4607 ;
+   wire \soc_top/n_4608 ;
+   wire \soc_top/n_4609 ;
+   wire \soc_top/n_4610 ;
+   wire \soc_top/n_4611 ;
+   wire \soc_top/n_4612 ;
+   wire \soc_top/n_4613 ;
+   wire \soc_top/n_4614 ;
+   wire \soc_top/n_4615 ;
+   wire \soc_top/n_4616 ;
+   wire \soc_top/n_4617 ;
+   wire \soc_top/n_4618 ;
+   wire \soc_top/n_4619 ;
+   wire \soc_top/n_4620 ;
+   wire \soc_top/n_4621 ;
+   wire \soc_top/n_4622 ;
+   wire \soc_top/n_4623 ;
+   wire \soc_top/n_4624 ;
+   wire \soc_top/n_4625 ;
+   wire \soc_top/n_4626 ;
+   wire \soc_top/n_4627 ;
+   wire \soc_top/n_4628 ;
+   wire \soc_top/n_4629 ;
+   wire \soc_top/n_4630 ;
+   wire \soc_top/n_4631 ;
+   wire \soc_top/n_4632 ;
+   wire \soc_top/n_4633 ;
+   wire \soc_top/n_4634 ;
+   wire \soc_top/n_4635 ;
+   wire \soc_top/n_4636 ;
+   wire \soc_top/n_4637 ;
+   wire \soc_top/n_4638 ;
+   wire \soc_top/n_4639 ;
+   wire \soc_top/n_4640 ;
+   wire \soc_top/n_4641 ;
+   wire \soc_top/n_4642 ;
+   wire \soc_top/n_4643 ;
+   wire \soc_top/n_4644 ;
+   wire \soc_top/n_4645 ;
+   wire \soc_top/n_4646 ;
+   wire \soc_top/n_4647 ;
+   wire \soc_top/n_4648 ;
+   wire \soc_top/n_4649 ;
+   wire \soc_top/n_4650 ;
+   wire \soc_top/n_4651 ;
+   wire \soc_top/n_4652 ;
+   wire \soc_top/n_4653 ;
+   wire \soc_top/n_4654 ;
+   wire \soc_top/n_4655 ;
+   wire \soc_top/n_4656 ;
+   wire \soc_top/n_4657 ;
+   wire \soc_top/n_4658 ;
+   wire \soc_top/n_4659 ;
+   wire \soc_top/n_4660 ;
+   wire \soc_top/n_4661 ;
+   wire \soc_top/n_4662 ;
+   wire \soc_top/n_4663 ;
+   wire \soc_top/n_4664 ;
+   wire \soc_top/n_4665 ;
+   wire \soc_top/n_4666 ;
+   wire \soc_top/n_4667 ;
+   wire \soc_top/n_4668 ;
+   wire \soc_top/n_4669 ;
+   wire \soc_top/n_4670 ;
+   wire \soc_top/n_4671 ;
+   wire \soc_top/n_4672 ;
+   wire \soc_top/n_4673 ;
+   wire \soc_top/n_4674 ;
+   wire \soc_top/n_4675 ;
+   wire \soc_top/n_4676 ;
+   wire \soc_top/n_4677 ;
+   wire \soc_top/n_4678 ;
+   wire \soc_top/n_4679 ;
+   wire \soc_top/n_4680 ;
+   wire \soc_top/n_4681 ;
+   wire \soc_top/n_4682 ;
+   wire \soc_top/n_4683 ;
+   wire \soc_top/n_4684 ;
+   wire \soc_top/n_4685 ;
+   wire \soc_top/n_4686 ;
+   wire \soc_top/n_4687 ;
+   wire \soc_top/n_4688 ;
+   wire \soc_top/n_4689 ;
+   wire \soc_top/n_4690 ;
+   wire \soc_top/n_4691 ;
+   wire \soc_top/n_4692 ;
+   wire \soc_top/n_4693 ;
+   wire \soc_top/n_4694 ;
+   wire \soc_top/n_4695 ;
+   wire \soc_top/n_4696 ;
+   wire \soc_top/n_4697 ;
+   wire \soc_top/n_4698 ;
+   wire \soc_top/n_4699 ;
+   wire \soc_top/n_4700 ;
+   wire \soc_top/n_4701 ;
+   wire \soc_top/n_4702 ;
+   wire \soc_top/n_4703 ;
+   wire \soc_top/n_4704 ;
+   wire \soc_top/n_4705 ;
+   wire \soc_top/n_4706 ;
+   wire \soc_top/n_4707 ;
+   wire \soc_top/n_4708 ;
+   wire \soc_top/n_4709 ;
+   wire \soc_top/n_4710 ;
+   wire \soc_top/n_4711 ;
+   wire \soc_top/n_4712 ;
+   wire \soc_top/n_4713 ;
+   wire \soc_top/n_4714 ;
+   wire \soc_top/n_4715 ;
+   wire \soc_top/n_4716 ;
+   wire \soc_top/n_4717 ;
+   wire \soc_top/n_4718 ;
+   wire \soc_top/n_4719 ;
+   wire \soc_top/n_4720 ;
+   wire \soc_top/n_4721 ;
+   wire \soc_top/n_4722 ;
+   wire \soc_top/n_4723 ;
+   wire \soc_top/n_4724 ;
+   wire \soc_top/n_4725 ;
+   wire \soc_top/n_4726 ;
+   wire \soc_top/n_4727 ;
+   wire \soc_top/n_4728 ;
+   wire \soc_top/n_4729 ;
+   wire \soc_top/n_4730 ;
+   wire \soc_top/n_4731 ;
+   wire \soc_top/n_4732 ;
+   wire \soc_top/n_4733 ;
+   wire \soc_top/n_4734 ;
+   wire \soc_top/n_4735 ;
+   wire \soc_top/n_4736 ;
+   wire \soc_top/n_4737 ;
+   wire \soc_top/n_4738 ;
+   wire \soc_top/n_4739 ;
+   wire \soc_top/n_4740 ;
+   wire \soc_top/n_4741 ;
+   wire \soc_top/n_4742 ;
+   wire \soc_top/n_4743 ;
+   wire \soc_top/n_4746 ;
+   wire \soc_top/n_4747 ;
+   wire \soc_top/n_4748 ;
+   wire \soc_top/n_4749 ;
+   wire \soc_top/n_4750 ;
+   wire \soc_top/n_4751 ;
+   wire \soc_top/n_4752 ;
+   wire \soc_top/n_4753 ;
+   wire \soc_top/n_4754 ;
+   wire \soc_top/n_4755 ;
+   wire \soc_top/n_4756 ;
+   wire \soc_top/n_4757 ;
+   wire \soc_top/n_4758 ;
+   wire \soc_top/n_4759 ;
+   wire \soc_top/n_4760 ;
+   wire \soc_top/n_4761 ;
+   wire \soc_top/n_4762 ;
+   wire \soc_top/n_4763 ;
+   wire \soc_top/n_4764 ;
+   wire \soc_top/n_4765 ;
+   wire \soc_top/n_4766 ;
+   wire \soc_top/n_4767 ;
+   wire \soc_top/n_4768 ;
+   wire \soc_top/n_4769 ;
+   wire \soc_top/n_4770 ;
+   wire \soc_top/n_4771 ;
+   wire \soc_top/n_4773 ;
+   wire \soc_top/n_4774 ;
+   wire \soc_top/n_4776 ;
+   wire \soc_top/n_4778 ;
+   wire \soc_top/n_4779 ;
+   wire \soc_top/n_4780 ;
+   wire \soc_top/n_4781 ;
+   wire \soc_top/n_4782 ;
+   wire \soc_top/n_4786 ;
+   wire \soc_top/n_4787 ;
+   wire \soc_top/n_4788 ;
+   wire \soc_top/n_4789 ;
+   wire \soc_top/n_4790 ;
+   wire \soc_top/n_4791 ;
+   wire \soc_top/n_4792 ;
+   wire \soc_top/n_4793 ;
+   wire \soc_top/n_4794 ;
+   wire \soc_top/n_4795 ;
+   wire \soc_top/n_4796 ;
+   wire \soc_top/n_4797 ;
+   wire \soc_top/n_4798 ;
+   wire \soc_top/n_4799 ;
+   wire \soc_top/n_4800 ;
+   wire \soc_top/n_4801 ;
+   wire \soc_top/n_4802 ;
+   wire \soc_top/n_4803 ;
+   wire \soc_top/n_4804 ;
+   wire \soc_top/n_4805 ;
+   wire \soc_top/n_4806 ;
+   wire \soc_top/n_4808 ;
+   wire \soc_top/n_4809 ;
+   wire \soc_top/n_4810 ;
+   wire \soc_top/n_4811 ;
+   wire \soc_top/n_4812 ;
+   wire \soc_top/n_4813 ;
+   wire \soc_top/n_4814 ;
+   wire \soc_top/n_4815 ;
+   wire \soc_top/n_4816 ;
+   wire \soc_top/n_4817 ;
+   wire \soc_top/n_4819 ;
+   wire \soc_top/n_4820 ;
+   wire \soc_top/n_4821 ;
+   wire \soc_top/n_4822 ;
+   wire \soc_top/n_4823 ;
+   wire \soc_top/n_4824 ;
+   wire \soc_top/n_4825 ;
+   wire \soc_top/n_4826 ;
+   wire \soc_top/n_4827 ;
+   wire \soc_top/n_4828 ;
+   wire \soc_top/n_4829 ;
+   wire \soc_top/n_4830 ;
+   wire \soc_top/n_4831 ;
+   wire \soc_top/n_4832 ;
+   wire \soc_top/n_4833 ;
+   wire \soc_top/n_4834 ;
+   wire \soc_top/n_4835 ;
+   wire \soc_top/n_4836 ;
+   wire \soc_top/n_4837 ;
+   wire \soc_top/n_4838 ;
+   wire \soc_top/n_4839 ;
+   wire \soc_top/n_4840 ;
+   wire \soc_top/n_4841 ;
+   wire \soc_top/n_4842 ;
+   wire \soc_top/n_4843 ;
+   wire \soc_top/n_4844 ;
+   wire \soc_top/n_4845 ;
+   wire \soc_top/n_4847 ;
+   wire \soc_top/n_4848 ;
+   wire \soc_top/n_4849 ;
+   wire \soc_top/n_4850 ;
+   wire \soc_top/n_4851 ;
+   wire \soc_top/n_4852 ;
+   wire \soc_top/n_4853 ;
+   wire \soc_top/n_4854 ;
+   wire \soc_top/n_4855 ;
+   wire \soc_top/n_4856 ;
+   wire \soc_top/n_4857 ;
+   wire \soc_top/n_4858 ;
+   wire \soc_top/n_4859 ;
+   wire \soc_top/n_4860 ;
+   wire \soc_top/n_4861 ;
+   wire \soc_top/n_4862 ;
+   wire \soc_top/n_4863 ;
+   wire \soc_top/n_4864 ;
+   wire \soc_top/n_4866 ;
+   wire \soc_top/n_4867 ;
+   wire \soc_top/n_4868 ;
+   wire \soc_top/n_4872 ;
+   wire \soc_top/n_4873 ;
+   wire \soc_top/n_4874 ;
+   wire \soc_top/n_4875 ;
+   wire \soc_top/n_4876 ;
+   wire \soc_top/n_4878 ;
+   wire \soc_top/n_4879 ;
+   wire \soc_top/n_4882 ;
+   wire \soc_top/n_4883 ;
+   wire \soc_top/n_4884 ;
+   wire \soc_top/n_4885 ;
+   wire \soc_top/n_4886 ;
+   wire \soc_top/n_4887 ;
+   wire \soc_top/n_4888 ;
+   wire \soc_top/n_4890 ;
+   wire \soc_top/n_4893 ;
+   wire \soc_top/n_4894 ;
+   wire \soc_top/n_4895 ;
+   wire \soc_top/n_4896 ;
+   wire \soc_top/n_4899 ;
+   wire \soc_top/n_4900 ;
+   wire \soc_top/n_4902 ;
+   wire \soc_top/n_4908 ;
+   wire \soc_top/n_4910 ;
+   wire \soc_top/n_4912 ;
+   wire \soc_top/n_4914 ;
+   wire \soc_top/n_4915 ;
+   wire \soc_top/n_4916 ;
+   wire \soc_top/n_4917 ;
+   wire \soc_top/n_4918 ;
+   wire \soc_top/n_4919 ;
+   wire \soc_top/n_4920 ;
+   wire \soc_top/n_4921 ;
+   wire \soc_top/n_4922 ;
+   wire \soc_top/n_4923 ;
+   wire \soc_top/n_4924 ;
+   wire \soc_top/n_4925 ;
+   wire \soc_top/n_4926 ;
+   wire \soc_top/n_4927 ;
+   wire \soc_top/n_4928 ;
+   wire \soc_top/n_4929 ;
+   wire \soc_top/n_4930 ;
+   wire \soc_top/n_4931 ;
+   wire \soc_top/n_4932 ;
+   wire \soc_top/n_4933 ;
+   wire \soc_top/n_4934 ;
+   wire \soc_top/n_4935 ;
+   wire \soc_top/n_4936 ;
+   wire \soc_top/n_4937 ;
+   wire \soc_top/n_4938 ;
+   wire \soc_top/n_4939 ;
+   wire \soc_top/n_4940 ;
+   wire \soc_top/n_4941 ;
+   wire \soc_top/n_4942 ;
+   wire \soc_top/n_4943 ;
+   wire \soc_top/n_4949 ;
+   wire \soc_top/n_4950 ;
+   wire \soc_top/n_4951 ;
+   wire \soc_top/n_4952 ;
+   wire \soc_top/n_4953 ;
+   wire \soc_top/n_4954 ;
+   wire \soc_top/n_4955 ;
+   wire \soc_top/n_4956 ;
+   wire \soc_top/n_4960 ;
+   wire \soc_top/n_4961 ;
+   wire \soc_top/n_4962 ;
+   wire \soc_top/n_4963 ;
+   wire \soc_top/n_4965 ;
+   wire \soc_top/n_4966 ;
+   wire \soc_top/n_4967 ;
+   wire \soc_top/n_4968 ;
+   wire \soc_top/n_4969 ;
+   wire \soc_top/n_4970 ;
+   wire \soc_top/n_4971 ;
+   wire \soc_top/n_4972 ;
+   wire \soc_top/n_4975 ;
+   wire \soc_top/n_4979 ;
+   wire \soc_top/n_4980 ;
+   wire \soc_top/n_4982 ;
+   wire \soc_top/n_4983 ;
+   wire \soc_top/n_4984 ;
+   wire \soc_top/n_4985 ;
+   wire \soc_top/n_4986 ;
+   wire \soc_top/n_4987 ;
+   wire \soc_top/n_4988 ;
+   wire \soc_top/n_4989 ;
+   wire \soc_top/n_4990 ;
+   wire \soc_top/n_4991 ;
+   wire \soc_top/n_4992 ;
+   wire \soc_top/n_4993 ;
+   wire \soc_top/n_4994 ;
+   wire \soc_top/n_4995 ;
+   wire \soc_top/n_4996 ;
+   wire \soc_top/n_4997 ;
+   wire \soc_top/n_4998 ;
+   wire \soc_top/n_4999 ;
+   wire \soc_top/n_5000 ;
+   wire \soc_top/n_5002 ;
+   wire \soc_top/n_5003 ;
+   wire \soc_top/n_5004 ;
+   wire \soc_top/n_5005 ;
+   wire \soc_top/n_5006 ;
+   wire \soc_top/n_5007 ;
+   wire \soc_top/n_5008 ;
+   wire \soc_top/n_5009 ;
+   wire \soc_top/n_5010 ;
+   wire \soc_top/n_5011 ;
+   wire \soc_top/n_5012 ;
+   wire \soc_top/n_5013 ;
+   wire \soc_top/n_5014 ;
+   wire \soc_top/n_5017 ;
+   wire \soc_top/n_5018 ;
+   wire \soc_top/n_5019 ;
+   wire \soc_top/n_5020 ;
+   wire \soc_top/n_5021 ;
+   wire \soc_top/n_5023 ;
+   wire \soc_top/n_5024 ;
+   wire \soc_top/n_5025 ;
+   wire \soc_top/n_5026 ;
+   wire \soc_top/n_5027 ;
+   wire \soc_top/n_5028 ;
+   wire \soc_top/n_5029 ;
+   wire \soc_top/n_5030 ;
+   wire \soc_top/n_5031 ;
+   wire \soc_top/n_5032 ;
+   wire \soc_top/n_5033 ;
+   wire \soc_top/n_5034 ;
+   wire \soc_top/n_5035 ;
+   wire \soc_top/n_5036 ;
+   wire \soc_top/n_5037 ;
+   wire \soc_top/n_5038 ;
+   wire \soc_top/n_5039 ;
+   wire \soc_top/n_5040 ;
+   wire \soc_top/n_5041 ;
+   wire \soc_top/n_5042 ;
+   wire \soc_top/n_5043 ;
+   wire \soc_top/n_5044 ;
+   wire \soc_top/n_5045 ;
+   wire \soc_top/n_5046 ;
+   wire \soc_top/n_5047 ;
+   wire \soc_top/n_5048 ;
+   wire \soc_top/n_5049 ;
+   wire \soc_top/n_5050 ;
+   wire \soc_top/n_5051 ;
+   wire \soc_top/n_5052 ;
+   wire \soc_top/n_5053 ;
+   wire \soc_top/n_5054 ;
+   wire \soc_top/n_5055 ;
+   wire \soc_top/n_5056 ;
+   wire \soc_top/n_5057 ;
+   wire \soc_top/n_5058 ;
+   wire \soc_top/n_5059 ;
+   wire \soc_top/n_5060 ;
+   wire \soc_top/n_5061 ;
+   wire \soc_top/n_5062 ;
+   wire \soc_top/n_5063 ;
+   wire \soc_top/n_5064 ;
+   wire \soc_top/n_5065 ;
+   wire \soc_top/n_5066 ;
+   wire \soc_top/n_5067 ;
+   wire \soc_top/n_5068 ;
+   wire \soc_top/n_5069 ;
+   wire \soc_top/n_5070 ;
+   wire \soc_top/n_5071 ;
+   wire \soc_top/n_5072 ;
+   wire \soc_top/n_5073 ;
+   wire \soc_top/n_5074 ;
+   wire \soc_top/n_5075 ;
+   wire \soc_top/n_5076 ;
+   wire \soc_top/n_5077 ;
+   wire \soc_top/n_5078 ;
+   wire \soc_top/n_5079 ;
+   wire \soc_top/n_5080 ;
+   wire \soc_top/n_5081 ;
+   wire \soc_top/n_5082 ;
+   wire \soc_top/n_5083 ;
+   wire \soc_top/n_5084 ;
+   wire \soc_top/n_5085 ;
+   wire \soc_top/n_5086 ;
+   wire \soc_top/n_5087 ;
+   wire \soc_top/n_5088 ;
+   wire \soc_top/n_5089 ;
+   wire \soc_top/n_5090 ;
+   wire \soc_top/n_5091 ;
+   wire \soc_top/n_5092 ;
+   wire \soc_top/n_5093 ;
+   wire \soc_top/n_5094 ;
+   wire \soc_top/n_5095 ;
+   wire \soc_top/n_5096 ;
+   wire \soc_top/n_5097 ;
+   wire \soc_top/n_5098 ;
+   wire \soc_top/n_5099 ;
+   wire \soc_top/n_5100 ;
+   wire \soc_top/n_5101 ;
+   wire \soc_top/n_5102 ;
+   wire \soc_top/n_5103 ;
+   wire \soc_top/n_5104 ;
+   wire \soc_top/n_5105 ;
+   wire \soc_top/n_5106 ;
+   wire \soc_top/n_5107 ;
+   wire \soc_top/n_5108 ;
+   wire \soc_top/n_5109 ;
+   wire \soc_top/n_5110 ;
+   wire \soc_top/n_5111 ;
+   wire \soc_top/n_5112 ;
+   wire \soc_top/n_5113 ;
+   wire \soc_top/n_5114 ;
+   wire \soc_top/n_5115 ;
+   wire \soc_top/n_5116 ;
+   wire \soc_top/n_5117 ;
+   wire \soc_top/n_5118 ;
+   wire \soc_top/n_5119 ;
+   wire \soc_top/n_5120 ;
+   wire \soc_top/n_5121 ;
+   wire \soc_top/n_5122 ;
+   wire \soc_top/n_5123 ;
+   wire \soc_top/n_5124 ;
+   wire \soc_top/n_5125 ;
+   wire \soc_top/n_5126 ;
+   wire \soc_top/n_5127 ;
+   wire \soc_top/n_5128 ;
+   wire \soc_top/n_5129 ;
+   wire \soc_top/n_5132 ;
+   wire \soc_top/n_5134 ;
+   wire \soc_top/n_5137 ;
+   wire \soc_top/n_5144 ;
+   wire \soc_top/n_5145 ;
+   wire \soc_top/n_5146 ;
+   wire \soc_top/n_5147 ;
+   wire \soc_top/n_5148 ;
+   wire \soc_top/n_5149 ;
+   wire \soc_top/n_5150 ;
+   wire \soc_top/n_5151 ;
+   wire \soc_top/n_5152 ;
+   wire \soc_top/n_5153 ;
+   wire \soc_top/n_5154 ;
+   wire \soc_top/n_5155 ;
+   wire \soc_top/n_5156 ;
+   wire \soc_top/n_5157 ;
+   wire \soc_top/n_5158 ;
+   wire \soc_top/n_5159 ;
+   wire \soc_top/n_5160 ;
+   wire \soc_top/n_5161 ;
+   wire \soc_top/n_5162 ;
+   wire \soc_top/n_5163 ;
+   wire \soc_top/n_5164 ;
+   wire \soc_top/n_5165 ;
+   wire \soc_top/n_5166 ;
+   wire \soc_top/n_5167 ;
+   wire \soc_top/n_5168 ;
+   wire \soc_top/n_5169 ;
+   wire \soc_top/n_5170 ;
+   wire \soc_top/n_5171 ;
+   wire \soc_top/n_5172 ;
+   wire \soc_top/n_5173 ;
+   wire \soc_top/n_5174 ;
+   wire \soc_top/n_5175 ;
+   wire \soc_top/n_5176 ;
+   wire \soc_top/n_5178 ;
+   wire \soc_top/n_5179 ;
+   wire \soc_top/n_5180 ;
+   wire \soc_top/n_5181 ;
+   wire \soc_top/n_5182 ;
+   wire \soc_top/n_5183 ;
+   wire \soc_top/n_5184 ;
+   wire \soc_top/n_5185 ;
+   wire \soc_top/n_5186 ;
+   wire \soc_top/n_5187 ;
+   wire \soc_top/n_5188 ;
+   wire \soc_top/n_5189 ;
+   wire \soc_top/n_5190 ;
+   wire \soc_top/n_5191 ;
+   wire \soc_top/n_5192 ;
+   wire \soc_top/n_5193 ;
+   wire \soc_top/n_5194 ;
+   wire \soc_top/n_5195 ;
+   wire \soc_top/n_5196 ;
+   wire \soc_top/n_5197 ;
+   wire \soc_top/n_5198 ;
+   wire \soc_top/n_5199 ;
+   wire \soc_top/n_5200 ;
+   wire \soc_top/n_5201 ;
+   wire \soc_top/n_5202 ;
+   wire \soc_top/n_5203 ;
+   wire \soc_top/n_5204 ;
+   wire \soc_top/n_5205 ;
+   wire \soc_top/n_5206 ;
+   wire \soc_top/n_5207 ;
+   wire \soc_top/n_5208 ;
+   wire \soc_top/n_5209 ;
+   wire \soc_top/n_5210 ;
+   wire \soc_top/n_5211 ;
+   wire \soc_top/n_5212 ;
+   wire \soc_top/n_5213 ;
+   wire \soc_top/n_5214 ;
+   wire \soc_top/n_5215 ;
+   wire \soc_top/n_5216 ;
+   wire \soc_top/n_5217 ;
+   wire \soc_top/n_5218 ;
+   wire \soc_top/n_5219 ;
+   wire \soc_top/n_5220 ;
+   wire \soc_top/n_5221 ;
+   wire \soc_top/n_5222 ;
+   wire \soc_top/n_5223 ;
+   wire \soc_top/n_5224 ;
+   wire \soc_top/n_5225 ;
+   wire \soc_top/n_5226 ;
+   wire \soc_top/n_5227 ;
+   wire \soc_top/n_5228 ;
+   wire \soc_top/n_5229 ;
+   wire \soc_top/n_5230 ;
+   wire \soc_top/n_5231 ;
+   wire \soc_top/n_5232 ;
+   wire \soc_top/n_5233 ;
+   wire \soc_top/n_5234 ;
+   wire \soc_top/n_5235 ;
+   wire \soc_top/n_5236 ;
+   wire \soc_top/n_5237 ;
+   wire \soc_top/n_5238 ;
+   wire \soc_top/n_5239 ;
+   wire \soc_top/n_5240 ;
+   wire \soc_top/n_5241 ;
+   wire \soc_top/n_5242 ;
+   wire \soc_top/n_5243 ;
+   wire \soc_top/n_5244 ;
+   wire \soc_top/n_5245 ;
+   wire \soc_top/n_5246 ;
+   wire \soc_top/n_5247 ;
+   wire \soc_top/n_5248 ;
+   wire \soc_top/n_5249 ;
+   wire \soc_top/n_5250 ;
+   wire \soc_top/n_5251 ;
+   wire \soc_top/n_5252 ;
+   wire \soc_top/n_5253 ;
+   wire \soc_top/n_5254 ;
+   wire \soc_top/n_5255 ;
+   wire \soc_top/n_5256 ;
+   wire \soc_top/n_5257 ;
+   wire \soc_top/n_5258 ;
+   wire \soc_top/n_5259 ;
+   wire \soc_top/n_5260 ;
+   wire \soc_top/n_5261 ;
+   wire \soc_top/n_5262 ;
+   wire \soc_top/n_5263 ;
+   wire \soc_top/n_5264 ;
+   wire \soc_top/n_5265 ;
+   wire \soc_top/n_5266 ;
+   wire \soc_top/n_5267 ;
+   wire \soc_top/n_5268 ;
+   wire \soc_top/n_5269 ;
+   wire \soc_top/n_5270 ;
+   wire \soc_top/n_5271 ;
+   wire \soc_top/n_5272 ;
+   wire \soc_top/n_5273 ;
+   wire \soc_top/n_5274 ;
+   wire \soc_top/n_5275 ;
+   wire \soc_top/n_5276 ;
+   wire \soc_top/n_5277 ;
+   wire \soc_top/n_5278 ;
+   wire \soc_top/n_5279 ;
+   wire \soc_top/n_5280 ;
+   wire \soc_top/n_5281 ;
+   wire \soc_top/n_5282 ;
+   wire \soc_top/n_5283 ;
+   wire \soc_top/n_5284 ;
+   wire \soc_top/n_5285 ;
+   wire \soc_top/n_5286 ;
+   wire \soc_top/n_5287 ;
+   wire \soc_top/n_5288 ;
+   wire \soc_top/n_5289 ;
+   wire \soc_top/n_5290 ;
+   wire \soc_top/n_5291 ;
+   wire \soc_top/n_5292 ;
+   wire \soc_top/n_5293 ;
+   wire \soc_top/n_5294 ;
+   wire \soc_top/n_5295 ;
+   wire \soc_top/n_5296 ;
+   wire \soc_top/n_5300 ;
+   wire \soc_top/n_5303 ;
+   wire \soc_top/n_5305 ;
+   wire \soc_top/n_5306 ;
+   wire \soc_top/n_5310 ;
+   wire \soc_top/n_5311 ;
+   wire \soc_top/n_5312 ;
+   wire \soc_top/n_5313 ;
+   wire \soc_top/n_5314 ;
+   wire \soc_top/n_5315 ;
+   wire \soc_top/n_5316 ;
+   wire \soc_top/n_5317 ;
+   wire \soc_top/n_5318 ;
+   wire \soc_top/n_5319 ;
+   wire \soc_top/n_5321 ;
+   wire \soc_top/n_5322 ;
+   wire \soc_top/n_5323 ;
+   wire \soc_top/n_5324 ;
+   wire \soc_top/n_5325 ;
+   wire \soc_top/n_5326 ;
+   wire \soc_top/n_5327 ;
+   wire \soc_top/n_5328 ;
+   wire \soc_top/n_5329 ;
+   wire \soc_top/n_5330 ;
+   wire \soc_top/n_5331 ;
+   wire \soc_top/n_5332 ;
+   wire \soc_top/n_5333 ;
+   wire \soc_top/n_5334 ;
+   wire \soc_top/n_5335 ;
+   wire \soc_top/n_5336 ;
+   wire \soc_top/n_5337 ;
+   wire \soc_top/n_5338 ;
+   wire \soc_top/n_5339 ;
+   wire \soc_top/n_5340 ;
+   wire \soc_top/n_5341 ;
+   wire \soc_top/n_5342 ;
+   wire \soc_top/n_5343 ;
+   wire \soc_top/n_5344 ;
+   wire \soc_top/n_5345 ;
+   wire \soc_top/n_5346 ;
+   wire \soc_top/n_5347 ;
+   wire \soc_top/n_5348 ;
+   wire \soc_top/n_5349 ;
+   wire \soc_top/n_5350 ;
+   wire \soc_top/n_5351 ;
+   wire \soc_top/n_5352 ;
+   wire \soc_top/n_5353 ;
+   wire \soc_top/n_5354 ;
+   wire \soc_top/n_5355 ;
+   wire \soc_top/n_5356 ;
+   wire \soc_top/n_5357 ;
+   wire \soc_top/n_5358 ;
+   wire \soc_top/n_5359 ;
+   wire \soc_top/n_5360 ;
+   wire \soc_top/n_5361 ;
+   wire \soc_top/n_5362 ;
+   wire \soc_top/n_5363 ;
+   wire \soc_top/n_5364 ;
+   wire \soc_top/n_5365 ;
+   wire \soc_top/n_5366 ;
+   wire \soc_top/n_5367 ;
+   wire \soc_top/n_5368 ;
+   wire \soc_top/n_5369 ;
+   wire \soc_top/n_5370 ;
+   wire \soc_top/n_5371 ;
+   wire \soc_top/n_5372 ;
+   wire \soc_top/n_5373 ;
+   wire \soc_top/n_5374 ;
+   wire \soc_top/n_5375 ;
+   wire \soc_top/n_5376 ;
+   wire \soc_top/n_5377 ;
+   wire \soc_top/n_5378 ;
+   wire \soc_top/n_5379 ;
+   wire \soc_top/n_5380 ;
+   wire \soc_top/n_5381 ;
+   wire \soc_top/n_5382 ;
+   wire \soc_top/n_5383 ;
+   wire \soc_top/n_5384 ;
+   wire \soc_top/n_5385 ;
+   wire \soc_top/n_5386 ;
+   wire \soc_top/n_5387 ;
+   wire \soc_top/n_5389 ;
+   wire \soc_top/n_5390 ;
+   wire \soc_top/n_5391 ;
+   wire \soc_top/n_5392 ;
+   wire \soc_top/n_5393 ;
+   wire \soc_top/n_5394 ;
+   wire \soc_top/n_5395 ;
+   wire \soc_top/n_5396 ;
+   wire \soc_top/n_5397 ;
+   wire \soc_top/n_5398 ;
+   wire \soc_top/n_5399 ;
+   wire \soc_top/n_5400 ;
+   wire \soc_top/n_5401 ;
+   wire \soc_top/n_5402 ;
+   wire \soc_top/n_5403 ;
+   wire \soc_top/n_5404 ;
+   wire \soc_top/n_5405 ;
+   wire \soc_top/n_5406 ;
+   wire \soc_top/n_5407 ;
+   wire \soc_top/n_5408 ;
+   wire \soc_top/n_5409 ;
+   wire \soc_top/n_5410 ;
+   wire \soc_top/n_5411 ;
+   wire \soc_top/n_5412 ;
+   wire \soc_top/n_5413 ;
+   wire \soc_top/n_5414 ;
+   wire \soc_top/n_5415 ;
+   wire \soc_top/n_5416 ;
+   wire \soc_top/n_5417 ;
+   wire \soc_top/n_5418 ;
+   wire \soc_top/n_5419 ;
+   wire \soc_top/n_5420 ;
+   wire \soc_top/n_5421 ;
+   wire \soc_top/n_5422 ;
+   wire \soc_top/n_5423 ;
+   wire \soc_top/n_5424 ;
+   wire \soc_top/n_5425 ;
+   wire \soc_top/n_5426 ;
+   wire \soc_top/n_5427 ;
+   wire \soc_top/n_5428 ;
+   wire \soc_top/n_5430 ;
+   wire \soc_top/n_5431 ;
+   wire \soc_top/n_5432 ;
+   wire \soc_top/n_5433 ;
+   wire \soc_top/n_5434 ;
+   wire \soc_top/n_5435 ;
+   wire \soc_top/n_5436 ;
+   wire \soc_top/n_5437 ;
+   wire \soc_top/n_5438 ;
+   wire \soc_top/n_5439 ;
+   wire \soc_top/n_5440 ;
+   wire \soc_top/n_5441 ;
+   wire \soc_top/n_5442 ;
+   wire \soc_top/n_5443 ;
+   wire \soc_top/n_5444 ;
+   wire \soc_top/n_5445 ;
+   wire \soc_top/n_5446 ;
+   wire \soc_top/n_5447 ;
+   wire \soc_top/n_5448 ;
+   wire \soc_top/n_5449 ;
+   wire \soc_top/n_5450 ;
+   wire \soc_top/n_5451 ;
+   wire \soc_top/n_5452 ;
+   wire \soc_top/n_5453 ;
+   wire \soc_top/n_5454 ;
+   wire \soc_top/n_5455 ;
+   wire \soc_top/n_5456 ;
+   wire \soc_top/n_5457 ;
+   wire \soc_top/n_5458 ;
+   wire \soc_top/n_5459 ;
+   wire \soc_top/n_5460 ;
+   wire \soc_top/n_5461 ;
+   wire \soc_top/n_5462 ;
+   wire \soc_top/n_5463 ;
+   wire \soc_top/n_5464 ;
+   wire \soc_top/n_5465 ;
+   wire \soc_top/n_5466 ;
+   wire \soc_top/n_5467 ;
+   wire \soc_top/n_5468 ;
+   wire \soc_top/n_5469 ;
+   wire \soc_top/n_5470 ;
+   wire \soc_top/n_5471 ;
+   wire \soc_top/n_5472 ;
+   wire \soc_top/n_5473 ;
+   wire \soc_top/n_5474 ;
+   wire \soc_top/n_5475 ;
+   wire \soc_top/n_5476 ;
+   wire \soc_top/n_5477 ;
+   wire \soc_top/n_5478 ;
+   wire \soc_top/n_5479 ;
+   wire \soc_top/n_5480 ;
+   wire \soc_top/n_5481 ;
+   wire \soc_top/n_5482 ;
+   wire \soc_top/n_5483 ;
+   wire \soc_top/n_5484 ;
+   wire \soc_top/n_5485 ;
+   wire \soc_top/n_5486 ;
+   wire \soc_top/n_5487 ;
+   wire \soc_top/n_5488 ;
+   wire \soc_top/n_5489 ;
+   wire \soc_top/n_5490 ;
+   wire \soc_top/n_5491 ;
+   wire \soc_top/n_5492 ;
+   wire \soc_top/n_5493 ;
+   wire \soc_top/n_5494 ;
+   wire \soc_top/n_5495 ;
+   wire \soc_top/n_5496 ;
+   wire \soc_top/n_5497 ;
+   wire \soc_top/n_5498 ;
+   wire \soc_top/n_5499 ;
+   wire \soc_top/n_5500 ;
+   wire \soc_top/n_5501 ;
+   wire \soc_top/n_5502 ;
+   wire \soc_top/n_5503 ;
+   wire \soc_top/n_5504 ;
+   wire \soc_top/n_5505 ;
+   wire \soc_top/n_5506 ;
+   wire \soc_top/n_5507 ;
+   wire \soc_top/n_5508 ;
+   wire \soc_top/n_5544 ;
+   wire \soc_top/n_5546 ;
+   wire \soc_top/n_5558 ;
+   wire \soc_top/n_5559 ;
+   wire \soc_top/n_5560 ;
+   wire \soc_top/n_5561 ;
+   wire \soc_top/n_5562 ;
+   wire \soc_top/n_5563 ;
+   wire \soc_top/n_5564 ;
+   wire \soc_top/n_5565 ;
+   wire \soc_top/n_5566 ;
+   wire \soc_top/n_5567 ;
+   wire \soc_top/n_5568 ;
+   wire \soc_top/n_5569 ;
+   wire \soc_top/n_5570 ;
+   wire \soc_top/n_5571 ;
+   wire \soc_top/n_5572 ;
+   wire \soc_top/n_5573 ;
+   wire \soc_top/n_5574 ;
+   wire \soc_top/n_5575 ;
+   wire \soc_top/n_5576 ;
+   wire \soc_top/n_5577 ;
+   wire \soc_top/n_5578 ;
+   wire \soc_top/n_5579 ;
+   wire \soc_top/n_5580 ;
+   wire \soc_top/n_5581 ;
+   wire \soc_top/n_5582 ;
+   wire \soc_top/n_5583 ;
+   wire \soc_top/n_5584 ;
+   wire \soc_top/n_5585 ;
+   wire \soc_top/n_5586 ;
+   wire \soc_top/n_5587 ;
+   wire \soc_top/n_5588 ;
+   wire \soc_top/n_5589 ;
+   wire \soc_top/n_5590 ;
+   wire \soc_top/n_5593 ;
+   wire \soc_top/n_5596 ;
+   wire \soc_top/n_5597 ;
+   wire \soc_top/n_5598 ;
+   wire \soc_top/n_5599 ;
+   wire \soc_top/n_5601 ;
+   wire \soc_top/n_5602 ;
+   wire \soc_top/n_5603 ;
+   wire \soc_top/n_5604 ;
+   wire \soc_top/n_5605 ;
+   wire \soc_top/n_5606 ;
+   wire \soc_top/n_5608 ;
+   wire \soc_top/n_5609 ;
+   wire \soc_top/n_5610 ;
+   wire \soc_top/n_5611 ;
+   wire \soc_top/n_5612 ;
+   wire \soc_top/n_5613 ;
+   wire \soc_top/n_5614 ;
+   wire \soc_top/n_5615 ;
+   wire \soc_top/n_5616 ;
+   wire \soc_top/n_5617 ;
+   wire \soc_top/n_5618 ;
+   wire \soc_top/n_5619 ;
+   wire \soc_top/n_5620 ;
+   wire \soc_top/n_5621 ;
+   wire \soc_top/n_5622 ;
+   wire \soc_top/n_5623 ;
+   wire \soc_top/n_5624 ;
+   wire \soc_top/n_5625 ;
+   wire \soc_top/n_5626 ;
+   wire \soc_top/n_5627 ;
+   wire \soc_top/n_5629 ;
+   wire \soc_top/n_5630 ;
+   wire \soc_top/n_5631 ;
+   wire \soc_top/n_5632 ;
+   wire \soc_top/n_5633 ;
+   wire \soc_top/n_5634 ;
+   wire \soc_top/n_5635 ;
+   wire \soc_top/n_5636 ;
+   wire \soc_top/n_5637 ;
+   wire \soc_top/n_5638 ;
+   wire \soc_top/n_5639 ;
+   wire \soc_top/n_5640 ;
+   wire \soc_top/n_5641 ;
+   wire \soc_top/n_5642 ;
+   wire \soc_top/n_5643 ;
+   wire \soc_top/n_5645 ;
+   wire \soc_top/n_5646 ;
+   wire \soc_top/n_5647 ;
+   wire \soc_top/n_5648 ;
+   wire \soc_top/n_5649 ;
+   wire \soc_top/n_5650 ;
+   wire \soc_top/n_5651 ;
+   wire \soc_top/n_5652 ;
+   wire \soc_top/n_5653 ;
+   wire \soc_top/n_5654 ;
+   wire \soc_top/n_5655 ;
+   wire \soc_top/n_5656 ;
+   wire \soc_top/n_5657 ;
+   wire \soc_top/n_5658 ;
+   wire \soc_top/n_5659 ;
+   wire \soc_top/n_5660 ;
+   wire \soc_top/n_5661 ;
+   wire \soc_top/n_5662 ;
+   wire \soc_top/n_5663 ;
+   wire \soc_top/n_5664 ;
+   wire \soc_top/n_5665 ;
+   wire \soc_top/n_5666 ;
+   wire \soc_top/n_5667 ;
+   wire \soc_top/n_5668 ;
+   wire \soc_top/n_5669 ;
+   wire \soc_top/n_5670 ;
+   wire \soc_top/n_5671 ;
+   wire \soc_top/n_5672 ;
+   wire \soc_top/n_5673 ;
+   wire \soc_top/n_5674 ;
+   wire \soc_top/n_5675 ;
+   wire \soc_top/n_5676 ;
+   wire \soc_top/n_5677 ;
+   wire \soc_top/n_5678 ;
+   wire \soc_top/n_5679 ;
+   wire \soc_top/n_5680 ;
+   wire \soc_top/n_5681 ;
+   wire \soc_top/n_5682 ;
+   wire \soc_top/n_5683 ;
+   wire \soc_top/n_5684 ;
+   wire \soc_top/n_5685 ;
+   wire \soc_top/n_5686 ;
+   wire \soc_top/n_5687 ;
+   wire \soc_top/n_5688 ;
+   wire \soc_top/n_5689 ;
+   wire \soc_top/n_5690 ;
+   wire \soc_top/n_5691 ;
+   wire \soc_top/n_5692 ;
+   wire \soc_top/n_5693 ;
+   wire \soc_top/n_5694 ;
+   wire \soc_top/n_5695 ;
+   wire \soc_top/n_5696 ;
+   wire \soc_top/n_5697 ;
+   wire \soc_top/n_5698 ;
+   wire \soc_top/n_5699 ;
+   wire \soc_top/n_5700 ;
+   wire \soc_top/n_5701 ;
+   wire \soc_top/n_5702 ;
+   wire \soc_top/n_5703 ;
+   wire \soc_top/n_5704 ;
+   wire \soc_top/n_5705 ;
+   wire \soc_top/n_5706 ;
+   wire \soc_top/n_5707 ;
+   wire \soc_top/n_5708 ;
+   wire \soc_top/n_5709 ;
+   wire \soc_top/n_5710 ;
+   wire \soc_top/n_5711 ;
+   wire \soc_top/n_5712 ;
+   wire \soc_top/n_5713 ;
+   wire \soc_top/n_5714 ;
+   wire \soc_top/n_5715 ;
+   wire \soc_top/n_5716 ;
+   wire \soc_top/n_5717 ;
+   wire \soc_top/n_5718 ;
+   wire \soc_top/n_5719 ;
+   wire \soc_top/n_5720 ;
+   wire \soc_top/n_5721 ;
+   wire \soc_top/n_5722 ;
+   wire \soc_top/n_5723 ;
+   wire \soc_top/n_5724 ;
+   wire \soc_top/n_5725 ;
+   wire \soc_top/n_5726 ;
+   wire \soc_top/n_5727 ;
+   wire \soc_top/n_5728 ;
+   wire \soc_top/n_5729 ;
+   wire \soc_top/n_5730 ;
+   wire \soc_top/n_5731 ;
+   wire \soc_top/n_5732 ;
+   wire \soc_top/n_5733 ;
+   wire \soc_top/n_5734 ;
+   wire \soc_top/n_5735 ;
+   wire \soc_top/n_5736 ;
+   wire \soc_top/n_5737 ;
+   wire \soc_top/n_5738 ;
+   wire \soc_top/n_5739 ;
+   wire \soc_top/n_5740 ;
+   wire \soc_top/n_5741 ;
+   wire \soc_top/n_5742 ;
+   wire \soc_top/n_5744 ;
+   wire \soc_top/n_5747 ;
+   wire \soc_top/n_5749 ;
+   wire \soc_top/n_5750 ;
+   wire \soc_top/n_5752 ;
+   wire \soc_top/n_5756 ;
+   wire \soc_top/n_5757 ;
+   wire \soc_top/n_5758 ;
+   wire \soc_top/n_5759 ;
+   wire \soc_top/n_5760 ;
+   wire \soc_top/n_5761 ;
+   wire \soc_top/n_5763 ;
+   wire \soc_top/n_5764 ;
+   wire \soc_top/n_5765 ;
+   wire \soc_top/n_5766 ;
+   wire \soc_top/n_5767 ;
+   wire \soc_top/n_5768 ;
+   wire \soc_top/n_5769 ;
+   wire \soc_top/n_5770 ;
+   wire \soc_top/n_5771 ;
+   wire \soc_top/n_5772 ;
+   wire \soc_top/n_5773 ;
+   wire \soc_top/n_5774 ;
+   wire \soc_top/n_5786 ;
+   wire \soc_top/n_5787 ;
+   wire \soc_top/n_5789 ;
+   wire \soc_top/n_5790 ;
+   wire \soc_top/n_5793 ;
+   wire \soc_top/n_5800 ;
+   wire \soc_top/n_5805 ;
+   wire \soc_top/n_5806 ;
+   wire \soc_top/n_5807 ;
+   wire \soc_top/n_5808 ;
+   wire \soc_top/n_5809 ;
+   wire \soc_top/n_5810 ;
+   wire \soc_top/n_5811 ;
+   wire \soc_top/n_5812 ;
+   wire \soc_top/n_5813 ;
+   wire \soc_top/n_5814 ;
+   wire \soc_top/n_5815 ;
+   wire \soc_top/n_5816 ;
+   wire \soc_top/n_5817 ;
+   wire \soc_top/n_5818 ;
+   wire \soc_top/n_5819 ;
+   wire \soc_top/n_5820 ;
+   wire \soc_top/n_5821 ;
+   wire \soc_top/n_5822 ;
+   wire \soc_top/n_5823 ;
+   wire \soc_top/n_5824 ;
+   wire \soc_top/n_5825 ;
+   wire \soc_top/n_5826 ;
+   wire \soc_top/n_5827 ;
+   wire \soc_top/n_5828 ;
+   wire \soc_top/n_5829 ;
+   wire \soc_top/n_5830 ;
+   wire \soc_top/n_5831 ;
+   wire \soc_top/n_5832 ;
+   wire \soc_top/n_5833 ;
+   wire \soc_top/n_5834 ;
+   wire \soc_top/n_5835 ;
+   wire \soc_top/n_5836 ;
+   wire \soc_top/n_5837 ;
+   wire \soc_top/n_5838 ;
+   wire \soc_top/n_5839 ;
+   wire \soc_top/n_5840 ;
+   wire \soc_top/n_5841 ;
+   wire \soc_top/n_5842 ;
+   wire \soc_top/n_5843 ;
+   wire \soc_top/n_5844 ;
+   wire \soc_top/n_5845 ;
+   wire \soc_top/n_5846 ;
+   wire \soc_top/n_5847 ;
+   wire \soc_top/n_5848 ;
+   wire \soc_top/n_5849 ;
+   wire \soc_top/n_5850 ;
+   wire \soc_top/n_5851 ;
+   wire \soc_top/n_5852 ;
+   wire \soc_top/n_5853 ;
+   wire \soc_top/n_5854 ;
+   wire \soc_top/n_5855 ;
+   wire \soc_top/n_5856 ;
+   wire \soc_top/n_5857 ;
+   wire \soc_top/n_5858 ;
+   wire \soc_top/n_5859 ;
+   wire \soc_top/n_5860 ;
+   wire \soc_top/n_5861 ;
+   wire \soc_top/n_5862 ;
+   wire \soc_top/n_5863 ;
+   wire \soc_top/n_5864 ;
+   wire \soc_top/n_5865 ;
+   wire \soc_top/n_5866 ;
+   wire \soc_top/n_5867 ;
+   wire \soc_top/n_5868 ;
+   wire \soc_top/n_5869 ;
+   wire \soc_top/n_5870 ;
+   wire \soc_top/n_5871 ;
+   wire \soc_top/n_5872 ;
+   wire \soc_top/n_5873 ;
+   wire \soc_top/n_5874 ;
+   wire \soc_top/n_5875 ;
+   wire \soc_top/n_5876 ;
+   wire \soc_top/n_5877 ;
+   wire \soc_top/n_5878 ;
+   wire \soc_top/n_5879 ;
+   wire \soc_top/n_5880 ;
+   wire \soc_top/n_5881 ;
+   wire \soc_top/n_5882 ;
+   wire \soc_top/n_5883 ;
+   wire \soc_top/n_5884 ;
+   wire \soc_top/n_5885 ;
+   wire \soc_top/n_5886 ;
+   wire \soc_top/n_5887 ;
+   wire \soc_top/n_5888 ;
+   wire \soc_top/n_5889 ;
+   wire \soc_top/n_5890 ;
+   wire \soc_top/n_5891 ;
+   wire \soc_top/n_5892 ;
+   wire \soc_top/n_5893 ;
+   wire \soc_top/n_5894 ;
+   wire \soc_top/n_5895 ;
+   wire \soc_top/n_5896 ;
+   wire \soc_top/n_5897 ;
+   wire \soc_top/n_5898 ;
+   wire \soc_top/n_5899 ;
+   wire \soc_top/n_5900 ;
+   wire \soc_top/n_5901 ;
+   wire \soc_top/n_5902 ;
+   wire \soc_top/n_5903 ;
+   wire \soc_top/n_5904 ;
+   wire \soc_top/n_5905 ;
+   wire \soc_top/n_5906 ;
+   wire \soc_top/n_5907 ;
+   wire \soc_top/n_5908 ;
+   wire \soc_top/n_5909 ;
+   wire \soc_top/n_5910 ;
+   wire \soc_top/n_5911 ;
+   wire \soc_top/n_5912 ;
+   wire \soc_top/n_5913 ;
+   wire \soc_top/n_5914 ;
+   wire \soc_top/n_5915 ;
+   wire \soc_top/n_5916 ;
+   wire \soc_top/n_5917 ;
+   wire \soc_top/n_5918 ;
+   wire \soc_top/n_5919 ;
+   wire \soc_top/n_5920 ;
+   wire \soc_top/n_5921 ;
+   wire \soc_top/n_5922 ;
+   wire \soc_top/n_5925 ;
+   wire \soc_top/n_5926 ;
+   wire \soc_top/n_5927 ;
+   wire \soc_top/n_5928 ;
+   wire \soc_top/n_5929 ;
+   wire \soc_top/n_5930 ;
+   wire \soc_top/n_5931 ;
+   wire \soc_top/n_5932 ;
+   wire \soc_top/n_5933 ;
+   wire \soc_top/n_5934 ;
+   wire \soc_top/n_5936 ;
+   wire \soc_top/n_5937 ;
+   wire \soc_top/n_5938 ;
+   wire \soc_top/n_5939 ;
+   wire \soc_top/n_5941 ;
+   wire \soc_top/n_5942 ;
+   wire \soc_top/n_5943 ;
+   wire \soc_top/n_5944 ;
+   wire \soc_top/n_5945 ;
+   wire \soc_top/n_5946 ;
+   wire \soc_top/n_5947 ;
+   wire \soc_top/n_5948 ;
+   wire \soc_top/n_5949 ;
+   wire \soc_top/n_5950 ;
+   wire \soc_top/n_5951 ;
+   wire \soc_top/n_5952 ;
+   wire \soc_top/n_5953 ;
+   wire \soc_top/n_5954 ;
+   wire \soc_top/n_5955 ;
+   wire \soc_top/n_5956 ;
+   wire \soc_top/n_5957 ;
+   wire \soc_top/n_5958 ;
+   wire \soc_top/n_5959 ;
+   wire \soc_top/n_5960 ;
+   wire \soc_top/n_5961 ;
+   wire \soc_top/n_5962 ;
+   wire \soc_top/n_5963 ;
+   wire \soc_top/n_5964 ;
+   wire \soc_top/n_5965 ;
+   wire \soc_top/n_5966 ;
+   wire \soc_top/n_5967 ;
+   wire \soc_top/n_5968 ;
+   wire \soc_top/n_5969 ;
+   wire \soc_top/n_5970 ;
+   wire \soc_top/n_5971 ;
+   wire \soc_top/n_5972 ;
+   wire \soc_top/n_5973 ;
+   wire \soc_top/n_5974 ;
+   wire \soc_top/n_5975 ;
+   wire \soc_top/n_5976 ;
+   wire \soc_top/n_5977 ;
+   wire \soc_top/n_5978 ;
+   wire \soc_top/n_5979 ;
+   wire \soc_top/n_5980 ;
+   wire \soc_top/n_5981 ;
+   wire \soc_top/n_5982 ;
+   wire \soc_top/n_5983 ;
+   wire \soc_top/n_5984 ;
+   wire \soc_top/n_5985 ;
+   wire \soc_top/n_5986 ;
+   wire \soc_top/n_5987 ;
+   wire \soc_top/n_5988 ;
+   wire \soc_top/n_5989 ;
+   wire \soc_top/n_5990 ;
+   wire \soc_top/n_5991 ;
+   wire \soc_top/n_5992 ;
+   wire \soc_top/n_5993 ;
+   wire \soc_top/n_5994 ;
+   wire \soc_top/n_5995 ;
+   wire \soc_top/n_5996 ;
+   wire \soc_top/n_5997 ;
+   wire \soc_top/n_5998 ;
+   wire \soc_top/n_5999 ;
+   wire \soc_top/n_6001 ;
+   wire \soc_top/n_6002 ;
+   wire \soc_top/n_6003 ;
+   wire \soc_top/n_6004 ;
+   wire \soc_top/n_6005 ;
+   wire \soc_top/n_6006 ;
+   wire \soc_top/n_6007 ;
+   wire \soc_top/n_6008 ;
+   wire \soc_top/n_6009 ;
+   wire \soc_top/n_6010 ;
+   wire \soc_top/n_6011 ;
+   wire \soc_top/n_6012 ;
+   wire \soc_top/n_6013 ;
+   wire \soc_top/n_6014 ;
+   wire \soc_top/n_6015 ;
+   wire \soc_top/n_6016 ;
+   wire \soc_top/n_6017 ;
+   wire \soc_top/n_6018 ;
+   wire \soc_top/n_6019 ;
+   wire \soc_top/n_6020 ;
+   wire \soc_top/n_6021 ;
+   wire \soc_top/n_6022 ;
+   wire \soc_top/n_6023 ;
+   wire \soc_top/n_6024 ;
+   wire \soc_top/n_6025 ;
+   wire \soc_top/n_6026 ;
+   wire \soc_top/n_6027 ;
+   wire \soc_top/n_6028 ;
+   wire \soc_top/n_6029 ;
+   wire \soc_top/n_6030 ;
+   wire \soc_top/n_6031 ;
+   wire \soc_top/n_6032 ;
+   wire \soc_top/n_6033 ;
+   wire \soc_top/n_6034 ;
+   wire \soc_top/n_6035 ;
+   wire \soc_top/n_6036 ;
+   wire \soc_top/n_6037 ;
+   wire \soc_top/n_6388 ;
+   wire \soc_top/n_6391 ;
+   wire \soc_top/n_6393 ;
+   wire \soc_top/n_6395 ;
+   wire \soc_top/n_6396 ;
+   wire \soc_top/n_6397 ;
+   wire \soc_top/n_6398 ;
+   wire \soc_top/n_6399 ;
+   wire \soc_top/n_6401 ;
+   wire \soc_top/n_6402 ;
+   wire \soc_top/n_6403 ;
+   wire \soc_top/n_6404 ;
+   wire \soc_top/n_6405 ;
+   wire \soc_top/n_6406 ;
+   wire \soc_top/n_6407 ;
+   wire \soc_top/n_6408 ;
+   wire \soc_top/n_6409 ;
+   wire \soc_top/n_6410 ;
+   wire \soc_top/n_6411 ;
+   wire \soc_top/n_6412 ;
+   wire \soc_top/n_6414 ;
+   wire \soc_top/n_6415 ;
+   wire \soc_top/n_6416 ;
+   wire \soc_top/n_6417 ;
+   wire \soc_top/n_6418 ;
+   wire \soc_top/n_6419 ;
+   wire \soc_top/n_6420 ;
+   wire \soc_top/n_6421 ;
+   wire \soc_top/n_6422 ;
+   wire \soc_top/n_6423 ;
+   wire \soc_top/n_6424 ;
+   wire \soc_top/n_6425 ;
+   wire \soc_top/n_6426 ;
+   wire \soc_top/n_6427 ;
+   wire \soc_top/n_6428 ;
+   wire \soc_top/n_6429 ;
+   wire \soc_top/n_6430 ;
+   wire \soc_top/n_6431 ;
+   wire \soc_top/n_6432 ;
+   wire \soc_top/n_6433 ;
+   wire \soc_top/n_6434 ;
+   wire \soc_top/n_6435 ;
+   wire \soc_top/n_6436 ;
+   wire \soc_top/n_6437 ;
+   wire \soc_top/n_6438 ;
+   wire \soc_top/n_6439 ;
+   wire \soc_top/n_6440 ;
+   wire \soc_top/n_6441 ;
+   wire \soc_top/n_6442 ;
+   wire \soc_top/n_6443 ;
+   wire \soc_top/n_6444 ;
+   wire \soc_top/n_6445 ;
+   wire \soc_top/n_6446 ;
+   wire \soc_top/n_6447 ;
+   wire \soc_top/n_6448 ;
+   wire \soc_top/n_6449 ;
+   wire \soc_top/n_6451 ;
+   wire \soc_top/n_6453 ;
+   wire \soc_top/n_6455 ;
+   wire \soc_top/n_6456 ;
+   wire \soc_top/n_6457 ;
+   wire \soc_top/n_6458 ;
+   wire \soc_top/n_6459 ;
+   wire \soc_top/n_6460 ;
+   wire \soc_top/n_6461 ;
+   wire \soc_top/n_6462 ;
+   wire \soc_top/n_6463 ;
+   wire \soc_top/n_6464 ;
+   wire \soc_top/n_6465 ;
+   wire \soc_top/n_6466 ;
+   wire \soc_top/n_6467 ;
+   wire \soc_top/n_6469 ;
+   wire \soc_top/n_6471 ;
+   wire \soc_top/n_6473 ;
+   wire \soc_top/n_6474 ;
+   wire \soc_top/n_6475 ;
+   wire \soc_top/n_6477 ;
+   wire \soc_top/n_6479 ;
+   wire \soc_top/n_6481 ;
+   wire \soc_top/n_6483 ;
+   wire \soc_top/n_6485 ;
+   wire \soc_top/n_6487 ;
+   wire \soc_top/n_6488 ;
+   wire \soc_top/n_6489 ;
+   wire \soc_top/n_6491 ;
+   wire \soc_top/n_6492 ;
+   wire \soc_top/n_6493 ;
+   wire \soc_top/n_6494 ;
+   wire \soc_top/n_6495 ;
+   wire \soc_top/n_6496 ;
+   wire \soc_top/n_6497 ;
+   wire \soc_top/n_6498 ;
+   wire \soc_top/n_6499 ;
+   wire \soc_top/n_6501 ;
+   wire \soc_top/n_6502 ;
+   wire \soc_top/n_6503 ;
+   wire \soc_top/n_6505 ;
+   wire \soc_top/n_6507 ;
+   wire \soc_top/n_6509 ;
+   wire \soc_top/n_6511 ;
+   wire \soc_top/n_6513 ;
+   wire \soc_top/n_6514 ;
+   wire \soc_top/n_6515 ;
+   wire \soc_top/n_6516 ;
+   wire \soc_top/n_6517 ;
+   wire \soc_top/n_6518 ;
+   wire \soc_top/n_6519 ;
+   wire \soc_top/n_6520 ;
+   wire \soc_top/n_6521 ;
+   wire \soc_top/n_6522 ;
+   wire \soc_top/n_6523 ;
+   wire \soc_top/n_6524 ;
+   wire \soc_top/n_6525 ;
+   wire \soc_top/n_6526 ;
+   wire \soc_top/n_6527 ;
+   wire \soc_top/n_6528 ;
+   wire \soc_top/n_6529 ;
+   wire \soc_top/n_6530 ;
+   wire \soc_top/n_6531 ;
+   wire \soc_top/n_6532 ;
+   wire \soc_top/n_6533 ;
+   wire \soc_top/n_6534 ;
+   wire \soc_top/n_6535 ;
+   wire \soc_top/n_6536 ;
+   wire \soc_top/n_6537 ;
+   wire \soc_top/n_6538 ;
+   wire \soc_top/n_6539 ;
+   wire \soc_top/n_6540 ;
+   wire \soc_top/n_6541 ;
+   wire \soc_top/n_6542 ;
+   wire \soc_top/n_6543 ;
+   wire \soc_top/n_6544 ;
+   wire \soc_top/n_6545 ;
+   wire \soc_top/n_6546 ;
+   wire \soc_top/n_6547 ;
+   wire \soc_top/n_6548 ;
+   wire \soc_top/n_6549 ;
+   wire \soc_top/n_6550 ;
+   wire \soc_top/n_6551 ;
+   wire \soc_top/n_6552 ;
+   wire \soc_top/n_6553 ;
+   wire \soc_top/n_6554 ;
+   wire \soc_top/n_6555 ;
+   wire \soc_top/n_6556 ;
+   wire \soc_top/n_6557 ;
+   wire \soc_top/n_6558 ;
+   wire \soc_top/n_6559 ;
+   wire \soc_top/n_6560 ;
+   wire \soc_top/n_6561 ;
+   wire \soc_top/n_6562 ;
+   wire \soc_top/n_6563 ;
+   wire \soc_top/n_6564 ;
+   wire \soc_top/n_6565 ;
+   wire \soc_top/n_6566 ;
+   wire \soc_top/n_6567 ;
+   wire \soc_top/n_6568 ;
+   wire \soc_top/n_6569 ;
+   wire \soc_top/n_6570 ;
+   wire \soc_top/n_6571 ;
+   wire \soc_top/n_6572 ;
+   wire \soc_top/n_6573 ;
+   wire \soc_top/n_6574 ;
+   wire \soc_top/n_6575 ;
+   wire \soc_top/n_6576 ;
+   wire \soc_top/n_6577 ;
+   wire \soc_top/n_6578 ;
+   wire \soc_top/n_6579 ;
+   wire \soc_top/n_6580 ;
+   wire \soc_top/n_6581 ;
+   wire \soc_top/n_6582 ;
+   wire \soc_top/n_6583 ;
+   wire \soc_top/n_6584 ;
+   wire \soc_top/n_6585 ;
+   wire \soc_top/n_6586 ;
+   wire \soc_top/n_6587 ;
+   wire \soc_top/n_6588 ;
+   wire \soc_top/n_6589 ;
+   wire \soc_top/n_6590 ;
+   wire \soc_top/n_6591 ;
+   wire \soc_top/n_6592 ;
+   wire \soc_top/n_6593 ;
+   wire \soc_top/n_6594 ;
+   wire \soc_top/n_6595 ;
+   wire \soc_top/n_6596 ;
+   wire \soc_top/n_6597 ;
+   wire \soc_top/n_6598 ;
+   wire \soc_top/n_6599 ;
+   wire \soc_top/n_6600 ;
+   wire \soc_top/n_6601 ;
+   wire \soc_top/n_6602 ;
+   wire \soc_top/n_6603 ;
+   wire \soc_top/n_6604 ;
+   wire \soc_top/n_6605 ;
+   wire \soc_top/n_6606 ;
+   wire \soc_top/n_6607 ;
+   wire \soc_top/n_6608 ;
+   wire \soc_top/n_6609 ;
+   wire \soc_top/n_6610 ;
+   wire \soc_top/n_6611 ;
+   wire \soc_top/n_6612 ;
+   wire \soc_top/n_6885 ;
+   wire \soc_top/n_7239 ;
+   wire \soc_top/n_7240 ;
+   wire \soc_top/n_7241 ;
+   wire \soc_top/n_7242 ;
+   wire \soc_top/n_7243 ;
+   wire \soc_top/n_7244 ;
+   wire \soc_top/n_7245 ;
+   wire \soc_top/n_7246 ;
+   wire \soc_top/n_7247 ;
+   wire \soc_top/n_7248 ;
+   wire \soc_top/n_7249 ;
+   wire \soc_top/n_7250 ;
+   wire \soc_top/n_7251 ;
+   wire \soc_top/n_7252 ;
+   wire \soc_top/n_7255 ;
+   wire \soc_top/n_7256 ;
+   wire \soc_top/n_7257 ;
+   wire \soc_top/n_7258 ;
+   wire \soc_top/n_7259 ;
+   wire \soc_top/n_7260 ;
+   wire \soc_top/n_7261 ;
+   wire \soc_top/n_7262 ;
+   wire \soc_top/n_7263 ;
+   wire \soc_top/n_7264 ;
+   wire \soc_top/n_7265 ;
+   wire \soc_top/n_7266 ;
+   wire \soc_top/n_7267 ;
+   wire \soc_top/n_7268 ;
+   wire \soc_top/n_7269 ;
+   wire \soc_top/n_7270 ;
+   wire \soc_top/n_7271 ;
+   wire \soc_top/n_7326 ;
+   wire \soc_top/n_7338 ;
+   wire \soc_top/n_7339 ;
+   wire \soc_top/n_7340 ;
+   wire \soc_top/n_7341 ;
+   wire \soc_top/n_7458 ;
+   wire \soc_top/n_7459 ;
+   wire \soc_top/n_7460 ;
+   wire \soc_top/n_7461 ;
+   wire \soc_top/n_7462 ;
+   wire \soc_top/n_7463 ;
+   wire \soc_top/n_7464 ;
+   wire \soc_top/n_7465 ;
+   wire \soc_top/n_7466 ;
+   wire \soc_top/n_7467 ;
+   wire \soc_top/n_7468 ;
+   wire \soc_top/n_7469 ;
+   wire \soc_top/n_7470 ;
+   wire \soc_top/n_7471 ;
+   wire \soc_top/n_7472 ;
+   wire \soc_top/n_7473 ;
+   wire \soc_top/n_7474 ;
+   wire \soc_top/n_7475 ;
+   wire \soc_top/n_7476 ;
+   wire \soc_top/n_7477 ;
+   wire \soc_top/n_7478 ;
+   wire \soc_top/n_7479 ;
+   wire \soc_top/n_7480 ;
+   wire \soc_top/n_7481 ;
+   wire \soc_top/n_7482 ;
+   wire \soc_top/n_7483 ;
+   wire \soc_top/n_7484 ;
+   wire \soc_top/n_7485 ;
+   wire \soc_top/n_7486 ;
+   wire \soc_top/n_7487 ;
+   wire \soc_top/n_7488 ;
+   wire \soc_top/n_7489 ;
+   wire \soc_top/n_7490 ;
+   wire \soc_top/n_7491 ;
+   wire \soc_top/n_7492 ;
+   wire \soc_top/n_7493 ;
+   wire \soc_top/n_7494 ;
+   wire \soc_top/n_7495 ;
+   wire \soc_top/n_7496 ;
+   wire \soc_top/n_7497 ;
+   wire \soc_top/n_7498 ;
+   wire \soc_top/n_7499 ;
+   wire \soc_top/n_7500 ;
+   wire \soc_top/n_7501 ;
+   wire \soc_top/n_7502 ;
+   wire \soc_top/n_7503 ;
+   wire \soc_top/n_7504 ;
+   wire \soc_top/n_7505 ;
+   wire \soc_top/n_7506 ;
+   wire \soc_top/n_7507 ;
+   wire \soc_top/n_7508 ;
+   wire \soc_top/n_7509 ;
+   wire \soc_top/puart_io_done ;
+   wire \soc_top/puart_io_isStalled ;
+   wire \soc_top/puart_io_valid ;
+   wire \soc_top/reset_reg ;
+   wire \soc_top/switch_io_devOut_0_bits_cyc ;
+   wire \soc_top/switch_io_devOut_0_bits_stb ;
+   wire \soc_top/switch_io_devOut_2_valid ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_1 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_2 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_3 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_4 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_5 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_6 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_7 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_8 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_9 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_10 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_11 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_12 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_13 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_14 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_15 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_16 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_17 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_18 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_19 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_20 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_21 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_22 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_23 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_24 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_25 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_27 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_28 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_30 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_31 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_32 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_33 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_35 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_36 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_38 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_42 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_43 ;
+   wire \soc_top/core_Execute_ForwardingUnit/n_69 ;
+   wire \soc_top/core_Execute_aluCtl/n_0 ;
+   wire \soc_top/core_Execute_aluCtl/n_1 ;
+   wire \soc_top/core_Execute_aluCtl/n_2 ;
+   wire \soc_top/core_Execute_aluCtl/n_3 ;
+   wire \soc_top/core_Execute_aluCtl/n_4 ;
+   wire \soc_top/core_Execute_aluCtl/n_5 ;
+   wire \soc_top/core_Execute_aluCtl/n_6 ;
+   wire \soc_top/core_Execute_aluCtl/n_7 ;
+   wire \soc_top/core_Execute_aluCtl/n_8 ;
+   wire \soc_top/core_Execute_aluCtl/n_10 ;
+   wire \soc_top/core_Execute_aluCtl/n_11 ;
+   wire \soc_top/core_InstructionDecode_control/n_1 ;
+   wire \soc_top/core_InstructionDecode_control/n_2 ;
+   wire \soc_top/core_InstructionDecode_control/n_3 ;
+   wire \soc_top/core_InstructionDecode_control/n_5 ;
+   wire \soc_top/core_InstructionDecode_control/n_10 ;
+   wire \soc_top/core_InstructionDecode_control/n_11 ;
+   wire \soc_top/core_InstructionDecode_control/n_13 ;
+   wire \soc_top/core_InstructionDecode_control/n_15 ;
+   wire \soc_top/core_InstructionDecode_control/n_48 ;
+   wire \soc_top/core_InstructionDecode_hdu/n_1 ;
+   wire \soc_top/core_InstructionDecode_hdu/n_10 ;
+   wire \soc_top/core_InstructionDecode_hdu/n_11 ;
+   wire \soc_top/core_InstructionDecode_hdu/n_12 ;
+   wire \soc_top/core_InstructionDecode_hdu/n_13 ;
+   wire \soc_top/core_InstructionDecode_hdu/n_14 ;
+   wire \soc_top/core_InstructionDecode_hdu/n_15 ;
+   wire \soc_top/core_InstructionDecode_hdu/n_16 ;
+   wire \soc_top/core_InstructionDecode_hdu/n_17 ;
+   wire \soc_top/core_InstructionDecode_hdu/n_18 ;
+   wire \soc_top/core_InstructionDecode_hdu/n_19 ;
+   wire \soc_top/core_InstructionDecode_hdu/n_20 ;
+   wire \soc_top/core_InstructionDecode_hdu/n_21 ;
+   wire \soc_top/core_InstructionDecode_hdu/n_22 ;
+   wire \soc_top/core_InstructionDecode_hdu/n_23 ;
+   wire \soc_top/core_InstructionDecode_hdu/n_24 ;
+   wire \soc_top/core_InstructionDecode_hdu/n_25 ;
+   wire \soc_top/core_InstructionDecode_hdu/n_26 ;
+   wire \soc_top/core_InstructionDecode_hdu/n_27 ;
+   wire \soc_top/core_InstructionDecode_hdu/n_28 ;
+   wire \soc_top/core_InstructionDecode_hdu/n_29 ;
+   wire \soc_top/core_InstructionDecode_hdu/n_30 ;
+   wire \soc_top/core_InstructionDecode_hdu/n_31 ;
+   wire \soc_top/core_InstructionDecode_hdu/n_32 ;
+   wire \soc_top/core_InstructionDecode_hdu/n_33 ;
+   wire \soc_top/core_InstructionDecode_hdu/n_34 ;
+   wire \soc_top/core_InstructionDecode_hdu/n_35 ;
+   wire \soc_top/core_InstructionDecode_hdu/n_36 ;
+   wire \soc_top/core_InstructionDecode_hdu/n_37 ;
+   wire \soc_top/core_InstructionDecode_hdu/n_38 ;
+   wire \soc_top/core_InstructionDecode_hdu/n_39 ;
+   wire \soc_top/core_InstructionDecode_hdu/n_40 ;
+   wire \soc_top/core_InstructionDecode_hdu/n_41 ;
+   wire \soc_top/dmem/logic_0_1_net ;
+   wire \soc_top/dmem/n_0 ;
+   wire \soc_top/dmem/sram_csb0 ;
+   wire \soc_top/dmem/sram_web0 ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg/n_0 ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg/n_1 ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg/n_2 ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg/n_3 ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg/n_4 ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg/n_5 ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg/n_6 ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg/n_7 ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg/n_8 ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg/n_9 ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg/n_10 ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg/n_11 ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg/n_12 ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg/n_13 ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg/n_14 ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg/n_15 ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg/n_16 ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg/n_17 ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg/n_18 ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg/n_19 ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg/n_20 ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg/n_21 ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg/n_22 ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg/n_23 ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg/n_24 ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg/n_25 ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg/n_26 ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg/n_27 ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg/n_28 ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg/n_29 ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg/n_30 ;
+   wire \soc_top/gpio_gpioRegTop_data_in_reg/n_31 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_0 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_1 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_2 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_3 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_4 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_5 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_6 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_7 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_8 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_9 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_10 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_11 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_12 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_13 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_14 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_15 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_16 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_17 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_18 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_19 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_20 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_21 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_22 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_23 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_24 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_25 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_26 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_27 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_28 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_29 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_30 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_31 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_32 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_33 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_34 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_35 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_36 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_37 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_38 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_39 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_40 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_41 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_42 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_43 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_44 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_45 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_46 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_47 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_48 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_49 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_50 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_51 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_52 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_53 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_54 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_55 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_56 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_57 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_58 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_59 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_60 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_61 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_62 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_63 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_64 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_0 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_1 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_2 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_3 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_4 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_5 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_6 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_7 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_8 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_9 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_10 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_11 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_12 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_13 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_14 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_15 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_16 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_17 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_18 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_19 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_20 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_21 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_22 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_23 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_24 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_25 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_26 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_27 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_28 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_29 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_30 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_31 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_32 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_33 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_34 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_35 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_36 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_37 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_38 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_39 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_40 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_41 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_42 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_43 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_44 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_45 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_46 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_47 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_48 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_49 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_50 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_51 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_52 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_53 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_54 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_55 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_56 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_57 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_58 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_59 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_60 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_61 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_62 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_63 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_64 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_0 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_1 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_2 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_3 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_4 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_5 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_6 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_7 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_8 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_9 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_10 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_11 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_12 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_13 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_14 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_15 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_16 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_17 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_18 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_19 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_20 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_21 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_22 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_23 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_24 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_25 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_26 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_27 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_28 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_29 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_30 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_31 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_32 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_33 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_34 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_35 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_36 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_37 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_38 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_39 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_40 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_41 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_42 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_43 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_44 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_45 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_46 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_47 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_48 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_49 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_50 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_51 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_52 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_53 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_54 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_55 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_56 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_57 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_58 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_59 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_60 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_61 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_62 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_63 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_64 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_0 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_1 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_2 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_3 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_4 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_5 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_6 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_7 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_8 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_9 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_10 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_11 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_12 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_13 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_14 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_15 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_16 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_17 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_18 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_19 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_20 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_21 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_22 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_23 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_24 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_25 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_26 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_27 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_28 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_29 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_30 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_31 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_32 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_33 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_34 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_35 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_36 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_37 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_38 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_39 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_40 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_41 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_42 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_43 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_44 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_45 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_46 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_47 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_48 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_49 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_50 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_51 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_52 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_53 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_54 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_55 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_56 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_57 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_58 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_59 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_60 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_61 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_62 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_63 ;
+   wire \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_64 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_0 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_1 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_2 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_3 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_4 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_5 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_6 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_7 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_8 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_9 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_10 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_11 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_12 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_13 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_14 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_15 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_16 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_17 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_18 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_19 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_20 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_21 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_22 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_23 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_24 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_25 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_26 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_27 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_28 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_29 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_30 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_31 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_32 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_33 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_34 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_35 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_36 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_37 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_38 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_39 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_40 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_41 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_42 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_43 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_44 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_45 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_46 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_47 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_48 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_49 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_50 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_51 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_52 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_53 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_54 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_55 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_56 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_57 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_58 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_59 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_60 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_61 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_62 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_63 ;
+   wire \soc_top/gpio_gpioRegTop_intr_enable_reg/n_64 ;
+   wire \soc_top/imem/logic_0_1_net ;
+   wire \soc_top/imem/n_0 ;
+   wire \soc_top/imem/sram_web0 ;
+   wire \soc_top/puart/rx_io_data[7] ;
+   wire \soc_top/puart/rx_io_data[6] ;
+   wire \soc_top/puart/rx_io_data[5] ;
+   wire \soc_top/puart/rx_io_data[4] ;
+   wire \soc_top/puart/rx_io_data[3] ;
+   wire \soc_top/puart/rx_io_data[2] ;
+   wire \soc_top/puart/rx_io_data[1] ;
+   wire \soc_top/puart/rx_io_data[0] ;
+   wire \soc_top/puart/count[2] ;
+   wire \soc_top/puart/count[1] ;
+   wire \soc_top/puart/count[0] ;
+   wire \soc_top/puart/dataReg[7] ;
+   wire \soc_top/puart/dataReg[6] ;
+   wire \soc_top/puart/dataReg[5] ;
+   wire \soc_top/puart/dataReg[4] ;
+   wire \soc_top/puart/dataReg[3] ;
+   wire \soc_top/puart/dataReg[2] ;
+   wire \soc_top/puart/dataReg[1] ;
+   wire \soc_top/puart/dataReg[0] ;
+   wire \soc_top/puart/regLSB1[7] ;
+   wire \soc_top/puart/regLSB1[6] ;
+   wire \soc_top/puart/regLSB1[5] ;
+   wire \soc_top/puart/regLSB1[4] ;
+   wire \soc_top/puart/regLSB1[3] ;
+   wire \soc_top/puart/regLSB1[2] ;
+   wire \soc_top/puart/regLSB1[1] ;
+   wire \soc_top/puart/regLSB1[0] ;
+   wire \soc_top/puart/regLSB2[7] ;
+   wire \soc_top/puart/regLSB2[6] ;
+   wire \soc_top/puart/regLSB2[5] ;
+   wire \soc_top/puart/regLSB2[4] ;
+   wire \soc_top/puart/regLSB2[3] ;
+   wire \soc_top/puart/regLSB2[2] ;
+   wire \soc_top/puart/regLSB2[1] ;
+   wire \soc_top/puart/regLSB2[0] ;
+   wire \soc_top/puart/regMSB1[7] ;
+   wire \soc_top/puart/regMSB1[6] ;
+   wire \soc_top/puart/regMSB1[5] ;
+   wire \soc_top/puart/regMSB1[4] ;
+   wire \soc_top/puart/regMSB1[3] ;
+   wire \soc_top/puart/regMSB1[2] ;
+   wire \soc_top/puart/regMSB1[1] ;
+   wire \soc_top/puart/regMSB1[0] ;
+   wire \soc_top/puart/n_8 ;
+   wire \soc_top/puart/n_13 ;
+   wire \soc_top/puart/n_14 ;
+   wire \soc_top/puart/n_15 ;
+   wire \soc_top/puart/n_16 ;
+   wire \soc_top/puart/n_17 ;
+   wire \soc_top/puart/n_18 ;
+   wire \soc_top/puart/n_19 ;
+   wire \soc_top/puart/n_20 ;
+   wire \soc_top/puart/n_21 ;
+   wire \soc_top/puart/n_22 ;
+   wire \soc_top/puart/n_23 ;
+   wire \soc_top/puart/n_24 ;
+   wire \soc_top/puart/n_25 ;
+   wire \soc_top/puart/n_26 ;
+   wire \soc_top/puart/n_27 ;
+   wire \soc_top/puart/n_28 ;
+   wire \soc_top/puart/n_29 ;
+   wire \soc_top/puart/n_31 ;
+   wire \soc_top/puart/n_32 ;
+   wire \soc_top/puart/n_33 ;
+   wire \soc_top/puart/n_34 ;
+   wire \soc_top/puart/n_35 ;
+   wire \soc_top/puart/n_36 ;
+   wire \soc_top/puart/n_37 ;
+   wire \soc_top/puart/n_38 ;
+   wire \soc_top/puart/n_39 ;
+   wire \soc_top/puart/n_40 ;
+   wire \soc_top/puart/n_41 ;
+   wire \soc_top/puart/n_42 ;
+   wire \soc_top/puart/n_43 ;
+   wire \soc_top/puart/n_44 ;
+   wire \soc_top/puart/n_45 ;
+   wire \soc_top/puart/n_46 ;
+   wire \soc_top/puart/n_47 ;
+   wire \soc_top/puart/n_48 ;
+   wire \soc_top/puart/n_49 ;
+   wire \soc_top/puart/n_50 ;
+   wire \soc_top/puart/n_51 ;
+   wire \soc_top/puart/n_52 ;
+   wire \soc_top/puart/n_53 ;
+   wire \soc_top/puart/n_54 ;
+   wire \soc_top/puart/n_55 ;
+   wire \soc_top/puart/n_56 ;
+   wire \soc_top/puart/n_57 ;
+   wire \soc_top/puart/n_58 ;
+   wire \soc_top/puart/n_59 ;
+   wire \soc_top/puart/n_60 ;
+   wire \soc_top/puart/n_61 ;
+   wire \soc_top/puart/n_62 ;
+   wire \soc_top/puart/n_63 ;
+   wire \soc_top/puart/n_64 ;
+   wire \soc_top/puart/n_65 ;
+   wire \soc_top/puart/n_66 ;
+   wire \soc_top/puart/n_67 ;
+   wire \soc_top/puart/n_68 ;
+   wire \soc_top/puart/n_69 ;
+   wire \soc_top/puart/n_70 ;
+   wire \soc_top/puart/n_71 ;
+   wire \soc_top/puart/n_72 ;
+   wire \soc_top/puart/n_73 ;
+   wire \soc_top/puart/n_74 ;
+   wire \soc_top/puart/n_75 ;
+   wire \soc_top/puart/n_76 ;
+   wire \soc_top/puart/n_77 ;
+   wire \soc_top/puart/n_78 ;
+   wire \soc_top/puart/n_79 ;
+   wire \soc_top/puart/n_80 ;
+   wire \soc_top/puart/n_81 ;
+   wire \soc_top/puart/n_82 ;
+   wire \soc_top/puart/n_83 ;
+   wire \soc_top/puart/n_84 ;
+   wire \soc_top/puart/n_85 ;
+   wire \soc_top/puart/n_86 ;
+   wire \soc_top/puart/n_87 ;
+   wire \soc_top/puart/n_88 ;
+   wire \soc_top/puart/n_89 ;
+   wire \soc_top/puart/n_90 ;
+   wire \soc_top/puart/n_91 ;
+   wire \soc_top/puart/n_92 ;
+   wire \soc_top/puart/n_93 ;
+   wire \soc_top/puart/n_94 ;
+   wire \soc_top/puart/n_95 ;
+   wire \soc_top/puart/n_96 ;
+   wire \soc_top/puart/n_97 ;
+   wire \soc_top/puart/n_98 ;
+   wire \soc_top/puart/n_99 ;
+   wire \soc_top/puart/n_100 ;
+   wire \soc_top/puart/n_101 ;
+   wire \soc_top/puart/n_102 ;
+   wire \soc_top/puart/n_103 ;
+   wire \soc_top/puart/n_104 ;
+   wire \soc_top/puart/n_105 ;
+   wire \soc_top/puart/n_106 ;
+   wire \soc_top/puart/n_107 ;
+   wire \soc_top/puart/n_108 ;
+   wire \soc_top/puart/n_109 ;
+   wire \soc_top/puart/n_110 ;
+   wire \soc_top/puart/n_111 ;
+   wire \soc_top/puart/n_112 ;
+   wire \soc_top/puart/n_113 ;
+   wire \soc_top/puart/n_114 ;
+   wire \soc_top/puart/n_115 ;
+   wire \soc_top/puart/n_116 ;
+   wire \soc_top/puart/n_117 ;
+   wire \soc_top/puart/n_118 ;
+   wire \soc_top/puart/n_119 ;
+   wire \soc_top/puart/n_120 ;
+   wire \soc_top/puart/n_121 ;
+   wire \soc_top/puart/n_122 ;
+   wire \soc_top/puart/n_123 ;
+   wire \soc_top/puart/n_124 ;
+   wire \soc_top/puart/n_125 ;
+   wire \soc_top/puart/n_126 ;
+   wire \soc_top/puart/n_127 ;
+   wire \soc_top/puart/n_128 ;
+   wire \soc_top/puart/n_129 ;
+   wire \soc_top/puart/n_130 ;
+   wire \soc_top/puart/n_131 ;
+   wire \soc_top/puart/n_132 ;
+   wire \soc_top/puart/n_133 ;
+   wire \soc_top/puart/n_134 ;
+   wire \soc_top/puart/n_135 ;
+   wire \soc_top/puart/n_136 ;
+   wire \soc_top/puart/n_137 ;
+   wire \soc_top/puart/n_138 ;
+   wire \soc_top/puart/n_139 ;
+   wire \soc_top/puart/n_140 ;
+   wire \soc_top/puart/n_141 ;
+   wire \soc_top/puart/n_142 ;
+   wire \soc_top/puart/n_143 ;
+   wire \soc_top/puart/n_144 ;
+   wire \soc_top/puart/n_145 ;
+   wire \soc_top/puart/n_156 ;
+   wire \soc_top/puart/rx_io_valid ;
+   wire \soc_top/puart/rx/bitIndex[2] ;
+   wire \soc_top/puart/rx/bitIndex[1] ;
+   wire \soc_top/puart/rx/bitIndex[0] ;
+   wire \soc_top/puart/rx/clockCount[7] ;
+   wire \soc_top/puart/rx/clockCount[6] ;
+   wire \soc_top/puart/rx/clockCount[5] ;
+   wire \soc_top/puart/rx/clockCount[4] ;
+   wire \soc_top/puart/rx/clockCount[3] ;
+   wire \soc_top/puart/rx/clockCount[2] ;
+   wire \soc_top/puart/rx/clockCount[1] ;
+   wire \soc_top/puart/rx/clockCount[0] ;
+   wire \soc_top/puart/rx/stateReg[1] ;
+   wire \soc_top/puart/rx/stateReg[0] ;
+   wire \soc_top/puart/rx/n_1 ;
+   wire \soc_top/puart/rx/n_2 ;
+   wire \soc_top/puart/rx/n_7 ;
+   wire \soc_top/puart/rx/n_8 ;
+   wire \soc_top/puart/rx/n_9 ;
+   wire \soc_top/puart/rx/n_10 ;
+   wire \soc_top/puart/rx/n_11 ;
+   wire \soc_top/puart/rx/n_12 ;
+   wire \soc_top/puart/rx/n_13 ;
+   wire \soc_top/puart/rx/n_14 ;
+   wire \soc_top/puart/rx/n_15 ;
+   wire \soc_top/puart/rx/n_16 ;
+   wire \soc_top/puart/rx/n_17 ;
+   wire \soc_top/puart/rx/n_18 ;
+   wire \soc_top/puart/rx/n_19 ;
+   wire \soc_top/puart/rx/n_20 ;
+   wire \soc_top/puart/rx/n_21 ;
+   wire \soc_top/puart/rx/n_22 ;
+   wire \soc_top/puart/rx/n_23 ;
+   wire \soc_top/puart/rx/n_24 ;
+   wire \soc_top/puart/rx/n_25 ;
+   wire \soc_top/puart/rx/n_27 ;
+   wire \soc_top/puart/rx/n_28 ;
+   wire \soc_top/puart/rx/n_29 ;
+   wire \soc_top/puart/rx/n_30 ;
+   wire \soc_top/puart/rx/n_31 ;
+   wire \soc_top/puart/rx/n_32 ;
+   wire \soc_top/puart/rx/n_33 ;
+   wire \soc_top/puart/rx/n_34 ;
+   wire \soc_top/puart/rx/n_35 ;
+   wire \soc_top/puart/rx/n_36 ;
+   wire \soc_top/puart/rx/n_37 ;
+   wire \soc_top/puart/rx/n_38 ;
+   wire \soc_top/puart/rx/n_39 ;
+   wire \soc_top/puart/rx/n_40 ;
+   wire \soc_top/puart/rx/n_41 ;
+   wire \soc_top/puart/rx/n_42 ;
+   wire \soc_top/puart/rx/n_43 ;
+   wire \soc_top/puart/rx/n_44 ;
+   wire \soc_top/puart/rx/n_45 ;
+   wire \soc_top/puart/rx/n_46 ;
+   wire \soc_top/puart/rx/n_47 ;
+   wire \soc_top/puart/rx/n_48 ;
+   wire \soc_top/puart/rx/n_49 ;
+   wire \soc_top/puart/rx/n_50 ;
+   wire \soc_top/puart/rx/n_51 ;
+   wire \soc_top/puart/rx/n_52 ;
+   wire \soc_top/puart/rx/n_53 ;
+   wire \soc_top/puart/rx/n_54 ;
+   wire \soc_top/puart/rx/n_55 ;
+   wire \soc_top/puart/rx/n_56 ;
+   wire \soc_top/puart/rx/n_57 ;
+   wire \soc_top/puart/rx/n_58 ;
+   wire \soc_top/puart/rx/n_59 ;
+   wire \soc_top/puart/rx/n_60 ;
+   wire \soc_top/puart/rx/n_61 ;
+   wire \soc_top/puart/rx/n_62 ;
+   wire \soc_top/puart/rx/n_63 ;
+   wire \soc_top/puart/rx/n_64 ;
+   wire \soc_top/puart/rx/n_65 ;
+   wire \soc_top/puart/rx/n_66 ;
+   wire \soc_top/puart/rx/n_67 ;
+   wire \soc_top/puart/rx/n_68 ;
+   wire \soc_top/puart/rx/n_69 ;
+   wire \soc_top/puart/rx/n_70 ;
+   wire \soc_top/puart/rx/n_71 ;
+   wire \soc_top/puart/rx/n_72 ;
+   wire \soc_top/puart/rx/n_73 ;
+   wire \soc_top/puart/rx/n_74 ;
+   wire \soc_top/puart/rx/n_75 ;
+   wire \soc_top/puart/rx/n_76 ;
+   wire \soc_top/puart/rx/n_77 ;
+   wire \soc_top/puart/rx/n_78 ;
+   wire \soc_top/puart/rx/n_79 ;
+   wire \soc_top/puart/rx/n_80 ;
+   wire \soc_top/puart/rx/n_81 ;
+   wire \soc_top/puart/rx/n_82 ;
+   wire \soc_top/puart/rx/n_83 ;
+   wire \soc_top/puart/rx/n_84 ;
+   wire \soc_top/puart/rx/n_85 ;
+   wire \soc_top/puart/rx/n_86 ;
+   wire \soc_top/puart/rx/n_87 ;
+   wire \soc_top/puart/rx/n_88 ;
+   wire \soc_top/puart/rx/n_89 ;
+   wire \soc_top/puart/rx/n_90 ;
+   wire \soc_top/puart/rx/n_91 ;
+   wire \soc_top/puart/rx/n_92 ;
+   wire \soc_top/puart/rx/n_93 ;
+   wire \soc_top/puart/rx/n_94 ;
+   wire \soc_top/puart/rx/n_95 ;
+   wire \soc_top/puart/rx/n_96 ;
+   wire \soc_top/puart/rx/n_97 ;
+   wire \soc_top/puart/rx/n_98 ;
+   wire \soc_top/puart/rx/n_99 ;
+   wire \soc_top/puart/rx/n_100 ;
+   wire \soc_top/puart/rx/n_101 ;
+   wire \soc_top/puart/rx/n_102 ;
+   wire \soc_top/puart/rx/n_103 ;
+   wire \soc_top/puart/rx/n_104 ;
+   wire \soc_top/puart/rx/n_105 ;
+   wire \soc_top/puart/rx/n_106 ;
+   wire \soc_top/puart/rx/n_107 ;
+   wire \soc_top/puart/rx/n_108 ;
+   wire \soc_top/puart/rx/n_109 ;
+   wire \soc_top/puart/rx/n_110 ;
+   wire \soc_top/puart/rx/n_111 ;
+   wire \soc_top/puart/rx/n_112 ;
+   wire \soc_top/puart/rx/n_113 ;
+   wire \soc_top/puart/rx/n_114 ;
+   wire \soc_top/puart/rx/n_115 ;
+   wire \soc_top/puart/rx/n_116 ;
+   wire \soc_top/puart/rx/n_125 ;
+   wire \soc_top/puart/rx/rxReg ;
+   wire \soc_top/puart/rx/rxReg_REG ;
+   wire \soc_top/wbErr/n_9 ;
+
+   assign wbs_ack_o = io_oeb[0];
+   assign wbs_dat_o[31] = io_oeb[0];
+   assign wbs_dat_o[30] = io_oeb[0];
+   assign wbs_dat_o[29] = io_oeb[0];
+   assign wbs_dat_o[28] = io_oeb[0];
+   assign wbs_dat_o[27] = io_oeb[0];
+   assign wbs_dat_o[26] = io_oeb[0];
+   assign wbs_dat_o[25] = io_oeb[0];
+   assign wbs_dat_o[24] = io_oeb[0];
+   assign wbs_dat_o[23] = io_oeb[0];
+   assign wbs_dat_o[22] = io_oeb[0];
+   assign wbs_dat_o[21] = io_oeb[0];
+   assign wbs_dat_o[20] = io_oeb[0];
+   assign wbs_dat_o[19] = io_oeb[0];
+   assign wbs_dat_o[18] = io_oeb[0];
+   assign wbs_dat_o[17] = io_oeb[0];
+   assign wbs_dat_o[16] = io_oeb[0];
+   assign wbs_dat_o[15] = io_oeb[0];
+   assign wbs_dat_o[14] = io_oeb[0];
+   assign wbs_dat_o[13] = io_oeb[0];
+   assign wbs_dat_o[12] = io_oeb[0];
+   assign wbs_dat_o[11] = io_oeb[0];
+   assign wbs_dat_o[10] = io_oeb[0];
+   assign wbs_dat_o[9] = io_oeb[0];
+   assign wbs_dat_o[8] = io_oeb[0];
+   assign wbs_dat_o[7] = io_oeb[0];
+   assign wbs_dat_o[6] = io_oeb[0];
+   assign wbs_dat_o[5] = io_oeb[0];
+   assign wbs_dat_o[4] = io_oeb[0];
+   assign wbs_dat_o[3] = io_oeb[0];
+   assign wbs_dat_o[2] = io_oeb[0];
+   assign wbs_dat_o[1] = io_oeb[0];
+   assign wbs_dat_o[0] = io_oeb[0];
+   assign la_data_out[127] = la_data_out[100];
+   assign la_data_out[126] = la_data_out[100];
+   assign la_data_out[125] = la_data_out[100];
+   assign la_data_out[124] = la_data_out[100];
+   assign la_data_out[123] = la_data_out[100];
+   assign la_data_out[122] = la_data_out[100];
+   assign la_data_out[121] = la_data_out[100];
+   assign la_data_out[120] = la_data_out[100];
+   assign la_data_out[119] = la_data_out[100];
+   assign la_data_out[118] = la_data_out[100];
+   assign la_data_out[117] = la_data_out[100];
+   assign la_data_out[116] = la_data_out[100];
+   assign la_data_out[115] = la_data_out[100];
+   assign la_data_out[114] = la_data_out[100];
+   assign la_data_out[113] = la_data_out[100];
+   assign la_data_out[112] = la_data_out[100];
+   assign la_data_out[111] = la_data_out[100];
+   assign la_data_out[110] = la_data_out[100];
+   assign la_data_out[109] = la_data_out[100];
+   assign la_data_out[108] = la_data_out[100];
+   assign la_data_out[107] = la_data_out[100];
+   assign la_data_out[106] = la_data_out[100];
+   assign la_data_out[105] = la_data_out[100];
+   assign la_data_out[104] = la_data_out[100];
+   assign la_data_out[103] = la_data_out[100];
+   assign la_data_out[102] = la_data_out[100];
+   assign la_data_out[101] = la_data_out[100];
+   assign la_data_out[99] = la_data_out[100];
+   assign la_data_out[98] = la_data_out[100];
+   assign la_data_out[97] = la_data_out[100];
+   assign la_data_out[96] = la_data_out[100];
+   assign la_data_out[95] = la_data_out[100];
+   assign la_data_out[94] = la_data_out[100];
+   assign la_data_out[93] = la_data_out[100];
+   assign la_data_out[92] = la_data_out[100];
+   assign la_data_out[91] = la_data_out[100];
+   assign la_data_out[90] = la_data_out[100];
+   assign la_data_out[89] = la_data_out[100];
+   assign la_data_out[88] = la_data_out[100];
+   assign la_data_out[87] = la_data_out[100];
+   assign la_data_out[86] = la_data_out[100];
+   assign la_data_out[85] = la_data_out[100];
+   assign la_data_out[84] = la_data_out[100];
+   assign la_data_out[83] = la_data_out[100];
+   assign la_data_out[82] = la_data_out[100];
+   assign la_data_out[81] = la_data_out[100];
+   assign la_data_out[80] = la_data_out[100];
+   assign la_data_out[79] = la_data_out[100];
+   assign la_data_out[78] = la_data_out[100];
+   assign la_data_out[77] = la_data_out[100];
+   assign la_data_out[76] = la_data_out[100];
+   assign la_data_out[75] = la_data_out[100];
+   assign la_data_out[74] = la_data_out[100];
+   assign la_data_out[73] = la_data_out[100];
+   assign la_data_out[72] = la_data_out[100];
+   assign la_data_out[71] = la_data_out[100];
+   assign la_data_out[70] = la_data_out[100];
+   assign la_data_out[69] = la_data_out[100];
+   assign la_data_out[68] = la_data_out[100];
+   assign la_data_out[67] = la_data_out[100];
+   assign la_data_out[66] = la_data_out[100];
+   assign la_data_out[65] = la_data_out[100];
+   assign la_data_out[64] = la_data_out[100];
+   assign la_data_out[63] = la_data_out[100];
+   assign la_data_out[62] = la_data_out[100];
+   assign la_data_out[61] = la_data_out[100];
+   assign la_data_out[60] = la_data_out[100];
+   assign la_data_out[59] = io_oeb[0];
+   assign la_data_out[58] = io_oeb[0];
+   assign la_data_out[57] = io_oeb[0];
+   assign la_data_out[56] = io_oeb[0];
+   assign la_data_out[55] = io_oeb[0];
+   assign la_data_out[54] = io_oeb[0];
+   assign la_data_out[53] = io_oeb[0];
+   assign la_data_out[52] = io_oeb[0];
+   assign la_data_out[51] = io_oeb[0];
+   assign la_data_out[50] = io_oeb[0];
+   assign la_data_out[49] = io_oeb[0];
+   assign la_data_out[48] = io_oeb[0];
+   assign la_data_out[47] = io_oeb[0];
+   assign la_data_out[46] = io_oeb[0];
+   assign la_data_out[45] = io_oeb[0];
+   assign la_data_out[44] = io_oeb[0];
+   assign la_data_out[43] = io_oeb[0];
+   assign la_data_out[42] = io_oeb[0];
+   assign la_data_out[41] = la_data_out[100];
+   assign la_data_out[40] = la_data_out[100];
+   assign la_data_out[39] = la_data_out[100];
+   assign la_data_out[38] = la_data_out[100];
+   assign la_data_out[37] = la_data_out[100];
+   assign la_data_out[36] = la_data_out[100];
+   assign la_data_out[35] = la_data_out[0];
+   assign la_data_out[34] = la_data_out[0];
+   assign la_data_out[33] = la_data_out[0];
+   assign la_data_out[32] = la_data_out[0];
+   assign la_data_out[31] = la_data_out[0];
+   assign la_data_out[30] = la_data_out[0];
+   assign la_data_out[29] = la_data_out[0];
+   assign la_data_out[28] = la_data_out[0];
+   assign la_data_out[27] = la_data_out[0];
+   assign la_data_out[26] = la_data_out[0];
+   assign la_data_out[25] = la_data_out[0];
+   assign la_data_out[24] = la_data_out[0];
+   assign la_data_out[23] = la_data_out[0];
+   assign la_data_out[22] = la_data_out[0];
+   assign la_data_out[21] = la_data_out[0];
+   assign la_data_out[20] = la_data_out[0];
+   assign la_data_out[19] = la_data_out[0];
+   assign la_data_out[18] = la_data_out[0];
+   assign la_data_out[17] = la_data_out[0];
+   assign la_data_out[16] = la_data_out[0];
+   assign la_data_out[15] = la_data_out[0];
+   assign la_data_out[14] = la_data_out[0];
+   assign la_data_out[13] = la_data_out[0];
+   assign la_data_out[12] = la_data_out[0];
+   assign la_data_out[11] = la_data_out[0];
+   assign la_data_out[10] = la_data_out[0];
+   assign la_data_out[9] = la_data_out[0];
+   assign la_data_out[8] = la_data_out[0];
+   assign la_data_out[7] = la_data_out[0];
+   assign la_data_out[6] = la_data_out[0];
+   assign la_data_out[5] = la_data_out[0];
+   assign la_data_out[4] = la_data_out[0];
+   assign la_data_out[3] = la_data_out[0];
+   assign la_data_out[2] = la_data_out[0];
+   assign la_data_out[1] = la_data_out[0];
+   assign io_out[5] = io_oeb[0];
+   assign io_out[4] = io_oeb[0];
+   assign io_out[3] = io_oeb[0];
+   assign io_out[2] = io_oeb[0];
+   assign io_out[1] = io_oeb[0];
+   assign io_out[0] = io_oeb[0];
+   assign io_oeb[4] = io_oeb[0];
+   assign io_oeb[3] = io_oeb[0];
+   assign io_oeb[2] = io_oeb[0];
+   assign io_oeb[1] = io_oeb[0];
+   assign user_irq[2] = io_oeb[0];
+   assign user_irq[1] = io_oeb[0];
+   assign user_irq[0] = io_oeb[0];
+
+   // Module instantiations
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC718_io_out_28 (
+	.A(FE_OFN6691_io_out_28),
+	.X(io_out[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC41_io_oeb_0 (
+	.A(la_data_out[100]),
+	.X(la_data_out[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC40_io_oeb_0 (
+	.A(io_oeb[0]),
+	.X(la_data_out[100]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC39_io_out_22 (
+	.A(FE_OFN39_io_out_22),
+	.X(io_out[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC38_io_out_23 (
+	.A(FE_OFN38_io_out_23),
+	.X(io_out[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC37_io_out_25 (
+	.A(FE_OFN37_io_out_25),
+	.X(io_out[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC36_io_out_26 (
+	.A(FE_OFN36_io_out_26),
+	.X(io_out[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC35_io_out_27 (
+	.A(FE_OFN35_io_out_27),
+	.X(io_out[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC33_io_out_29 (
+	.A(FE_OFN33_io_out_29),
+	.X(io_out[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC32_io_out_30 (
+	.A(FE_OFN32_io_out_30),
+	.X(io_out[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC31_io_out_31 (
+	.A(FE_OFN31_io_out_31),
+	.X(io_out[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC30_io_out_33 (
+	.A(FE_OFN30_io_out_33),
+	.X(io_out[33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC29_io_out_34 (
+	.A(FE_OFN29_io_out_34),
+	.X(io_out[34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC28_io_out_35 (
+	.A(FE_OFN28_io_out_35),
+	.X(io_out[35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC27_io_out_36 (
+	.A(FE_OFN27_io_out_36),
+	.X(io_out[36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC26_io_out_37 (
+	.A(FE_OFN26_io_out_37),
+	.X(io_out[37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 \soc_top/DIODE_13  (
+	.DIODE(\soc_top/core_io_dmemReq_bits_addrRequest[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 \soc_top/DIODE_12  (
+	.DIODE(wb_rst_i), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 \soc_top/DIODE_10  (
+	.DIODE(io_in[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 \soc_top/DIODE_9  (
+	.DIODE(io_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 \soc_top/DIODE_8  (
+	.DIODE(io_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 \soc_top/DIODE_7  (
+	.DIODE(\soc_top/core_Execute_io_writeData[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 \soc_top/DIODE_6  (
+	.DIODE(\soc_top/dmem_io_rsp_bits_dataResponse[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 \soc_top/DIODE_5  (
+	.DIODE(\soc_top/dmem_io_rsp_bits_dataResponse[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 \soc_top/DIODE_4  (
+	.DIODE(\soc_top/dmem_io_rsp_bits_dataResponse[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 \soc_top/DIODE_3  (
+	.DIODE(\soc_top/dmem_io_rsp_bits_dataResponse[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 \soc_top/DIODE_2  (
+	.DIODE(\soc_top/dmem_io_rsp_bits_dataResponse[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 \soc_top/DIODE_1  (
+	.DIODE(\soc_top/dmem_io_rsp_bits_dataResponse[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC800_imem_io_rsp_bits_dataResponse_14  (
+	.A(\soc_top/imem_io_rsp_bits_dataResponse[14] ),
+	.X(\soc_top/FE_OFN6762_imem_io_rsp_bits_dataResponse_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC799_core_io_dmemReq_bits_addrRequest_6  (
+	.A(\soc_top/core_io_dmemReq_bits_addrRequest[6] ),
+	.X(\soc_top/FE_OFN6761_core_io_dmemReq_bits_addrRequest_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/FE_OFC778_n_3700  (
+	.A(\soc_top/n_3700 ),
+	.Y(\soc_top/FE_OFN5625_n_3700 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC777_core_ex_reg_ins_9  (
+	.A(\soc_top/core_ex_reg_ins[9] ),
+	.X(\soc_top/FE_OFN6740_core_ex_reg_ins_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/FE_OFC776_n_3978  (
+	.A(\soc_top/n_3978 ),
+	.Y(\soc_top/n_3979 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC775_n_6496  (
+	.A(\soc_top/n_6496 ),
+	.Y(\soc_top/n_6497 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/FE_OFC774_n_3520  (
+	.A(\soc_top/n_3520 ),
+	.Y(\soc_top/FE_OFN305_n_5936 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/FE_OFC773_n_3932  (
+	.A(\soc_top/n_3932 ),
+	.Y(\soc_top/FE_OFN6664_n_3932 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC771_gen_dmem_host_io_rspOut_valid  (
+	.A(\soc_top/n_5546 ),
+	.Y(\soc_top/FE_OFN6738_gen_dmem_host_io_rspOut_valid ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC767_gen_dmem_host_io_rspOut_valid  (
+	.A(\soc_top/gen_dmem_host_io_rspOut_valid ),
+	.Y(\soc_top/n_5546 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC766_n_6492  (
+	.A(\soc_top/n_6492 ),
+	.Y(\soc_top/n_6493 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/FE_OFC765_n_6567  (
+	.A(\soc_top/n_6567 ),
+	.Y(\soc_top/n_4001 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/FE_OFC764_n_6582  (
+	.A(\soc_top/n_3936 ),
+	.Y(\soc_top/FE_OFN6650_n_6582 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/FE_OFC763_n_6582  (
+	.A(\soc_top/n_6582 ),
+	.Y(\soc_top/n_3936 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC762_n_3401  (
+	.A(\soc_top/n_3401 ),
+	.X(\soc_top/FE_OFN282_n_3401 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 \soc_top/CTS_ccl_a_buf_00181  (
+	.A(\soc_top/CTS_29 ),
+	.X(\soc_top/CTS_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 \soc_top/CTS_ccl_a_buf_00175  (
+	.A(\soc_top/CTS_29 ),
+	.X(\soc_top/CTS_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 \soc_top/CTS_ccl_a_buf_00171  (
+	.A(\soc_top/CTS_29 ),
+	.X(\soc_top/CTS_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 \soc_top/CTS_ccl_a_buf_00167  (
+	.A(\soc_top/CTS_29 ),
+	.X(\soc_top/CTS_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 \soc_top/CTS_ccl_a_buf_00165  (
+	.A(\soc_top/CTS_29 ),
+	.X(\soc_top/CTS_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 \soc_top/CTS_ccl_a_buf_00159  (
+	.A(\soc_top/CTS_29 ),
+	.X(\soc_top/CTS_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 \soc_top/CTS_ccl_a_buf_00151  (
+	.A(\soc_top/CTS_29 ),
+	.X(\soc_top/CTS_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 \soc_top/CTS_ccl_a_buf_00197  (
+	.A(wb_clk_i),
+	.X(\soc_top/CTS_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 \soc_top/CTS_ccl_a_buf_00163  (
+	.A(\soc_top/CTS_21 ),
+	.X(\soc_top/CTS_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 \soc_top/CTS_ccl_a_buf_00161  (
+	.A(\soc_top/CTS_21 ),
+	.X(\soc_top/CTS_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 \soc_top/CTS_ccl_a_buf_00149  (
+	.A(\soc_top/CTS_21 ),
+	.X(\soc_top/CTS_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 \soc_top/CTS_ccl_a_buf_00145  (
+	.A(\soc_top/CTS_21 ),
+	.X(\soc_top/CTS_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 \soc_top/CTS_ccl_a_buf_00139  (
+	.A(\soc_top/CTS_21 ),
+	.X(\soc_top/CTS_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 \soc_top/CTS_ccl_a_buf_00137  (
+	.A(\soc_top/CTS_21 ),
+	.X(\soc_top/CTS_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 \soc_top/CTS_ccl_a_buf_00135  (
+	.A(\soc_top/CTS_21 ),
+	.X(\soc_top/CTS_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 \soc_top/CTS_ccl_a_buf_00133  (
+	.A(\soc_top/CTS_21 ),
+	.X(\soc_top/CTS_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 \soc_top/CTS_ccl_a_buf_00129  (
+	.A(\soc_top/CTS_21 ),
+	.X(\soc_top/CTS_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 \soc_top/CTS_ccl_a_buf_00195  (
+	.A(wb_clk_i),
+	.X(\soc_top/CTS_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 \soc_top/CTS_ccl_a_buf_00179  (
+	.A(\soc_top/CTS_11 ),
+	.X(\soc_top/CTS_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 \soc_top/CTS_ccl_a_buf_00177  (
+	.A(\soc_top/CTS_11 ),
+	.X(\soc_top/CTS_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 \soc_top/CTS_ccl_a_buf_00173  (
+	.A(\soc_top/CTS_11 ),
+	.X(\soc_top/CTS_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 \soc_top/CTS_ccl_a_buf_00157  (
+	.A(\soc_top/CTS_11 ),
+	.X(\soc_top/CTS_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 \soc_top/CTS_ccl_a_buf_00155  (
+	.A(\soc_top/CTS_11 ),
+	.X(\soc_top/CTS_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 \soc_top/CTS_ccl_a_buf_00153  (
+	.A(\soc_top/CTS_11 ),
+	.X(\soc_top/CTS_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 \soc_top/CTS_ccl_a_buf_00147  (
+	.A(\soc_top/CTS_11 ),
+	.X(\soc_top/CTS_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 \soc_top/CTS_ccl_a_buf_00143  (
+	.A(\soc_top/CTS_11 ),
+	.X(\soc_top/CTS_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 \soc_top/CTS_ccl_a_buf_00141  (
+	.A(\soc_top/CTS_11 ),
+	.X(\soc_top/CTS_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 \soc_top/CTS_ccl_a_buf_00131  (
+	.A(\soc_top/CTS_11 ),
+	.X(\soc_top/CTS_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 \soc_top/CTS_ccl_a_buf_00127  (
+	.A(\soc_top/CTS_11 ),
+	.X(\soc_top/CTS_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 \soc_top/CTS_ccl_a_buf_00125  (
+	.A(\soc_top/CTS_11 ),
+	.X(\soc_top/CTS_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 \soc_top/CTS_ccl_a_buf_00193  (
+	.A(wb_clk_i),
+	.X(\soc_top/CTS_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_RC_56_0  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_216 ),
+	.Y(\soc_top/FE_RN_23_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_RC_55_0  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[24] ),
+	.Y(\soc_top/FE_RN_24_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/FE_RC_54_0  (
+	.A(\soc_top/FE_RN_24_0 ),
+	.B(\soc_top/n_5702 ),
+	.Y(\soc_top/FE_RN_25_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/FE_RC_52_0  (
+	.A1(\soc_top/n_5702 ),
+	.A2(\soc_top/FE_RN_24_0 ),
+	.B1(\soc_top/FE_RN_25_0 ),
+	.Y(\soc_top/FE_RN_27_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/FE_RC_51_0  (
+	.A(\soc_top/FE_RN_27_0 ),
+	.B(\soc_top/FE_RN_23_0 ),
+	.Y(\soc_top/FE_RN_28_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/FE_RC_50_0  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[24] ),
+	.B(\soc_top/n_5702 ),
+	.Y(\soc_top/FE_RN_29_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/FE_RC_49_0  (
+	.A(\soc_top/FE_RN_29_0 ),
+	.B(\soc_top/FE_RN_35_0 ),
+	.Y(\soc_top/FE_RN_30_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/FE_RC_48_0  (
+	.A(\soc_top/FE_RN_30_0 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_216 ),
+	.Y(\soc_top/FE_RN_31_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/FE_RC_47_0  (
+	.A(\soc_top/FE_RN_31_0 ),
+	.B(\soc_top/FE_RN_28_0 ),
+	.Y(\soc_top/n_5670 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/FE_RC_45_0  (
+	.A_N(\soc_top/FE_RN_24_0 ),
+	.B(\soc_top/n_5702 ),
+	.Y(\soc_top/FE_RN_33_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/FE_RC_43_0  (
+	.A_N(\soc_top/n_5702 ),
+	.B(\soc_top/FE_RN_24_0 ),
+	.Y(\soc_top/FE_RN_35_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/FE_RC_42_0  (
+	.A(\soc_top/FE_RN_35_0 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_216 ),
+	.Y(\soc_top/FE_RN_36_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/FE_RC_41_0  (
+	.A(\soc_top/FE_RN_36_0 ),
+	.B(\soc_top/FE_RN_33_0 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_214 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_2 \soc_top/FE_RC_40_0  (
+	.A1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_95 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_101 ),
+	.A3(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_77 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_90 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/FE_RC_38_0  (
+	.A(\soc_top/core_InstructionDecode_control_io_jump[0] ),
+	.Y(\soc_top/FE_RN_21_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/FE_RC_37_0  (
+	.A(\soc_top/n_3106 ),
+	.B(\soc_top/n_2720 ),
+	.Y(\soc_top/FE_RN_22_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 \soc_top/FE_RC_36_0  (
+	.A(\soc_top/FE_RN_22_0 ),
+	.B(\soc_top/FE_RN_21_0 ),
+	.Y(\soc_top/n_3108 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \soc_top/FE_RC_35_0  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[9] ),
+	.B(\soc_top/n_5687 ),
+	.X(\soc_top/FE_RN_20_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/FE_RC_34_0  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_84 ),
+	.B(\soc_top/FE_RN_20_0 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_85 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \soc_top/FE_RC_33_0  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[19] ),
+	.B(\soc_top/n_5697 ),
+	.X(\soc_top/FE_RN_19_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/FE_RC_32_0  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_114 ),
+	.B(\soc_top/FE_RN_19_0 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_115 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \soc_top/FE_RC_31_0  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[12] ),
+	.B(\soc_top/n_5690 ),
+	.X(\soc_top/FE_RN_18_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/FE_RC_30_0  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_93 ),
+	.B(\soc_top/FE_RN_18_0 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_94 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 \soc_top/FE_OCPC735_n_3108  (
+	.A(\soc_top/n_3108 ),
+	.X(\soc_top/FE_OCPN6708_n_3108 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \soc_top/FE_RC_29_0  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[14] ),
+	.B(\soc_top/n_5692 ),
+	.X(\soc_top/FE_RN_17_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/FE_RC_28_0  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_99 ),
+	.B(\soc_top/FE_RN_17_0 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_RC_27_0  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[6] ),
+	.Y(\soc_top/FE_RN_15_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/FE_RC_26_0  (
+	.A_N(\soc_top/n_5684 ),
+	.B(\soc_top/FE_RN_15_0 ),
+	.Y(\soc_top/FE_RN_16_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/FE_RC_25_0  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_75 ),
+	.B(\soc_top/FE_RN_16_0 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_76 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/FE_RC_24_0  (
+	.A(\soc_top/n_3093 ),
+	.B(\soc_top/core_if_reg_ins[12] ),
+	.Y(\soc_top/FE_RN_14_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 \soc_top/FE_RC_23_0  (
+	.A1(\soc_top/core_if_reg_ins[12] ),
+	.A2(\soc_top/n_3093 ),
+	.B1(\soc_top/FE_RN_14_0 ),
+	.Y(\soc_top/n_3104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 \soc_top/FE_RC_22_0  (
+	.A1(\soc_top/n_3035 ),
+	.A2(\soc_top/n_3068 ),
+	.B1(\soc_top/n_3064 ),
+	.X(\soc_top/FE_RN_13_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_4 \soc_top/FE_RC_21_0  (
+	.A(\soc_top/n_3114 ),
+	.B(\soc_top/n_3149 ),
+	.C(\soc_top/FE_RN_13_0 ),
+	.Y(\soc_top/n_5680 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/FE_RC_20_0  (
+	.A(\soc_top/n_5698 ),
+	.Y(\soc_top/FE_RN_11_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/FE_RC_19_0  (
+	.A_N(\soc_top/core_InstructionDecode_io_immediate[20] ),
+	.B(\soc_top/FE_RN_11_0 ),
+	.Y(\soc_top/FE_RN_12_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/FE_RC_18_0  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_117 ),
+	.B(\soc_top/FE_RN_12_0 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_118 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 \soc_top/FE_RC_17_0  (
+	.A1(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_30 ),
+	.A2(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_64 ),
+	.B1(\soc_top/FE_RN_3_0 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_66 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_RC_16_0  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[3] ),
+	.Y(\soc_top/FE_RN_9_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_4 \soc_top/FE_RC_15_0  (
+	.A_N(\soc_top/n_5681 ),
+	.B(\soc_top/FE_RN_9_0 ),
+	.Y(\soc_top/FE_RN_10_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/FE_RC_14_0  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_66 ),
+	.B(\soc_top/FE_RN_10_0 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_67 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_RC_13_0  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[21] ),
+	.Y(\soc_top/FE_RN_6_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/FE_RC_12_0  (
+	.A(\soc_top/n_5699 ),
+	.Y(\soc_top/FE_RN_7_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/FE_RC_11_0  (
+	.A(\soc_top/FE_RN_7_0 ),
+	.B(\soc_top/FE_RN_6_0 ),
+	.Y(\soc_top/FE_RN_8_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/FE_RC_10_0  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_120 ),
+	.B(\soc_top/FE_RN_8_0 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_121 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \soc_top/FE_RC_9_0  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[18] ),
+	.B(\soc_top/n_5696 ),
+	.X(\soc_top/FE_RN_5_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/FE_RC_8_0  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_111 ),
+	.B(\soc_top/FE_RN_5_0 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_112 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OCPC733_n_3113  (
+	.A(\soc_top/n_3113 ),
+	.X(\soc_top/FE_OCPN6706_n_3113 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OCPC731_n_3113  (
+	.A(\soc_top/n_3113 ),
+	.X(\soc_top/FE_OCPN6704_n_3113 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/FE_RC_5_0  (
+	.A(\soc_top/n_5680 ),
+	.B(\soc_top/core_InstructionDecode_io_immediate[2] ),
+	.Y(\soc_top/FE_RN_3_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/FE_RC_3_0  (
+	.A1(\soc_top/FE_OFN199_n_3038 ),
+	.A2(\soc_top/core_io_dmemReq_bits_addrRequest[0] ),
+	.B1(\soc_top/core_InstructionDecode_io_readData1[0] ),
+	.B2(\soc_top/n_3060 ),
+	.Y(\soc_top/FE_RN_0_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/FE_RC_2_0  (
+	.A(\soc_top/core_Execute_io_ALUresult[0] ),
+	.B(\soc_top/n_3036 ),
+	.Y(\soc_top/FE_RN_1_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_RC_1_0  (
+	.A(\soc_top/FE_RN_2_0 ),
+	.Y(\soc_top/n_3105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/FE_RC_0_0  (
+	.A(\soc_top/FE_RN_1_0 ),
+	.B(\soc_top/FE_RN_0_0 ),
+	.Y(\soc_top/FE_RN_2_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC722_imem_io_rsp_bits_dataResponse_9  (
+	.A(\soc_top/imem_io_rsp_bits_dataResponse[9] ),
+	.X(\soc_top/FE_OFN6695_imem_io_rsp_bits_dataResponse_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC692_n_6568  (
+	.A(\soc_top/n_3997 ),
+	.X(\soc_top/FE_OFN6665_n_6568 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC691_n_6568  (
+	.A(\soc_top/n_6568 ),
+	.Y(\soc_top/n_3997 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/FE_OFC690_n_6585  (
+	.A(\soc_top/n_6585 ),
+	.Y(\soc_top/n_3929 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/FE_OFC689_n_6573  (
+	.A(\soc_top/n_6573 ),
+	.Y(\soc_top/n_3974 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/FE_OFC686_n_6574  (
+	.A(\soc_top/n_6574 ),
+	.Y(\soc_top/n_3970 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 \soc_top/FE_OFC685_n_3896  (
+	.A(\soc_top/n_3896 ),
+	.Y(\soc_top/n_3897 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC684_n_6584  (
+	.A(\soc_top/n_3931 ),
+	.X(\soc_top/FE_OFN6663_n_6584 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/FE_OFC683_n_6584  (
+	.A(\soc_top/n_3931 ),
+	.Y(\soc_top/FE_OFN6662_n_6584 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC682_n_6584  (
+	.A(\soc_top/n_6584 ),
+	.Y(\soc_top/n_3931 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/FE_OFC681_n_3938  (
+	.A(\soc_top/n_3938 ),
+	.Y(\soc_top/n_3939 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC680_n_3913  (
+	.A(\soc_top/n_3913 ),
+	.Y(\soc_top/FE_OFN6661_n_3913 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC679_n_3913  (
+	.A(\soc_top/n_3913 ),
+	.Y(\soc_top/n_3914 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC678_n_3971  (
+	.A(\soc_top/n_3972 ),
+	.X(\soc_top/FE_OFN6660_n_3971 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC677_n_3971  (
+	.A(\soc_top/n_3971 ),
+	.Y(\soc_top/n_3972 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/FE_OFC676_n_6575  (
+	.A(\soc_top/n_6575 ),
+	.Y(\soc_top/n_3966 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/FE_OFC675_n_3953  (
+	.A(\soc_top/n_3953 ),
+	.Y(\soc_top/n_3954 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC674_n_3940  (
+	.A(\soc_top/n_3940 ),
+	.Y(\soc_top/FE_OFN6659_n_3940 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC673_n_3940  (
+	.A(\soc_top/n_3940 ),
+	.Y(\soc_top/n_3941 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/FE_OFC672_n_3998  (
+	.A(\soc_top/n_3998 ),
+	.Y(\soc_top/n_3999 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 \soc_top/FE_OFC671_n_6576  (
+	.A(\soc_top/n_6576 ),
+	.Y(\soc_top/FE_OFN5677_n_6576 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC670_n_3911  (
+	.A(\soc_top/n_3911 ),
+	.X(\soc_top/FE_OFN6658_n_3911 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC669_n_3903  (
+	.A(\soc_top/n_3903 ),
+	.X(\soc_top/FE_OFN6657_n_3903 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/FE_OFC668_n_3962  (
+	.A(\soc_top/n_3962 ),
+	.Y(\soc_top/n_3963 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC667_n_6594  (
+	.A(\soc_top/n_6594 ),
+	.Y(\soc_top/FE_OFN6656_n_6594 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC666_n_6594  (
+	.A(\soc_top/n_6594 ),
+	.Y(\soc_top/n_3891 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/FE_OFC665_n_3880  (
+	.A(\soc_top/n_3880 ),
+	.Y(\soc_top/n_3881 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC664_n_6569  (
+	.A(\soc_top/n_3991 ),
+	.X(\soc_top/FE_OFN6655_n_6569 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC663_n_6569  (
+	.A(\soc_top/n_6569 ),
+	.Y(\soc_top/n_3991 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/FE_OFC662_n_4004  (
+	.A(\soc_top/n_4004 ),
+	.Y(\soc_top/n_4005 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC658_n_3978  (
+	.A(\soc_top/n_3979 ),
+	.Y(\soc_top/FE_OFN6644_n_3978 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/FE_OFC656_n_3992  (
+	.A(\soc_top/n_3992 ),
+	.Y(\soc_top/n_3993 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 \soc_top/FE_OFC655_n_6611  (
+	.A(\soc_top/n_6611 ),
+	.X(\soc_top/FE_OFN6648_n_6611 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC654_n_3984  (
+	.A(\soc_top/n_3984 ),
+	.Y(\soc_top/FE_OFN6652_n_3984 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC653_n_3984  (
+	.A(\soc_top/n_3984 ),
+	.Y(\soc_top/n_3985 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC651_n_3698  (
+	.A(\soc_top/n_3698 ),
+	.X(\soc_top/FE_OFN6651_n_3698 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC648_core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_12  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_12 ),
+	.Y(\soc_top/n_6453 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC645_n_3904  (
+	.A(\soc_top/n_3904 ),
+	.Y(\soc_top/FE_OFN6649_n_3904 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC644_n_3904  (
+	.A(\soc_top/n_3904 ),
+	.Y(\soc_top/n_3905 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC643_n_6515  (
+	.A(\soc_top/FE_OFN6641_n_6515 ),
+	.Y(\soc_top/n_5387 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC642_n_6515  (
+	.A(\soc_top/FE_OFN6641_n_6515 ),
+	.Y(\soc_top/FE_OFN6642_n_6515 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC641_n_6515  (
+	.A(\soc_top/FE_OFN6641_n_6515 ),
+	.Y(\soc_top/FE_OFN5618_n_6515 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 \soc_top/FE_OFC640_n_6515  (
+	.A(\soc_top/n_6515 ),
+	.Y(\soc_top/FE_OFN6641_n_6515 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/FE_OFC638_n_3886  (
+	.A(\soc_top/n_3886 ),
+	.Y(\soc_top/n_3887 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC637_n_3369  (
+	.A(\soc_top/n_3369 ),
+	.Y(\soc_top/core_Execute_io_writeData[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC636_n_5948  (
+	.A(\soc_top/core_Execute_alu_lt_2331_36_n_18 ),
+	.Y(\soc_top/FE_OFN6647_n_5948 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC635_n_5948  (
+	.A(\soc_top/n_5948 ),
+	.Y(\soc_top/core_Execute_alu_lt_2331_36_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/FE_OFC632_n_6589  (
+	.A(\soc_top/n_6589 ),
+	.Y(\soc_top/n_3916 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC631_n_3597  (
+	.A(\soc_top/n_3597 ),
+	.Y(\soc_top/n_3596 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC630_n_3700  (
+	.A(\soc_top/FE_OFN5625_n_3700 ),
+	.Y(\soc_top/n_3699 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC628_n_3980  (
+	.A(\soc_top/n_3981 ),
+	.Y(\soc_top/FE_OFN6645_n_3980 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/FE_OFC627_n_3980  (
+	.A(\soc_top/n_3980 ),
+	.Y(\soc_top/n_3981 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC626_n_6605  (
+	.A(\soc_top/n_6605 ),
+	.Y(\soc_top/n_6606 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC623_n_6514  (
+	.A(\soc_top/n_5428 ),
+	.Y(\soc_top/FE_OFN6643_n_6514 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC622_n_6514  (
+	.A(\soc_top/n_5428 ),
+	.Y(\soc_top/FE_OFN5642_n_6514 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 \soc_top/FE_OFC621_n_6514  (
+	.A(\soc_top/n_6514 ),
+	.X(\soc_top/n_5428 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 \soc_top/FE_OFC620_n_6430  (
+	.A(\soc_top/n_6430 ),
+	.X(\soc_top/FE_OFN247_n_6430 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC619_n_3562  (
+	.A(\soc_top/n_3562 ),
+	.Y(\soc_top/n_3561 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/FE_OFC618_n_6571  (
+	.A(\soc_top/n_6571 ),
+	.Y(\soc_top/n_3983 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC613_n_6448  (
+	.A(\soc_top/n_6448 ),
+	.Y(\soc_top/n_6449 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC612_core_InstructionDecode_io_writeData_6  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[6] ),
+	.Y(\soc_top/FE_OFN6640_core_InstructionDecode_io_writeData_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC609_n_5769  (
+	.A(\soc_top/n_5769 ),
+	.Y(\soc_top/FE_OFN5650_n_5769 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC608_n_6597  (
+	.A(\soc_top/n_6597 ),
+	.Y(\soc_top/n_3883 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC607_n_6597  (
+	.A(\soc_top/n_6597 ),
+	.Y(\soc_top/FE_OFN5641_n_6597 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/FE_OFC606_n_3918  (
+	.A(\soc_top/n_3918 ),
+	.Y(\soc_top/n_3919 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC605_n_3520  (
+	.A(\soc_top/n_5936 ),
+	.Y(\soc_top/FE_OFN6639_n_3520 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC604_n_3520  (
+	.A(\soc_top/n_5936 ),
+	.Y(\soc_top/FE_OFN6638_n_3520 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC603_n_3520  (
+	.A(\soc_top/FE_OFN305_n_5936 ),
+	.Y(\soc_top/FE_OFN6637_n_3520 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC602_n_3520  (
+	.A(\soc_top/FE_OFN299_n_3520 ),
+	.Y(\soc_top/FE_OFN6636_n_3520 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC601_n_3520  (
+	.A(\soc_top/FE_OFN299_n_3520 ),
+	.Y(\soc_top/FE_OFN6635_n_3520 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC600_n_3520  (
+	.A(\soc_top/FE_OFN299_n_3520 ),
+	.Y(\soc_top/FE_OFN6634_n_3520 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 \soc_top/FE_OFC599_n_3520  (
+	.A(\soc_top/n_3520 ),
+	.X(\soc_top/n_5936 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC596_n_3520  (
+	.A(\soc_top/n_3520 ),
+	.X(\soc_top/FE_OFN299_n_3520 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC595_n_2711  (
+	.A(\soc_top/n_2711 ),
+	.X(\soc_top/FE_OFN6633_n_2711 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC594_n_5969  (
+	.A(\soc_top/n_5969 ),
+	.X(\soc_top/FE_OFN6632_n_5969 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC590_n_3743  (
+	.A(\soc_top/n_3743 ),
+	.X(\soc_top/FE_OFN6628_n_3743 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC589_n_6604  (
+	.A(\soc_top/FE_OFN111_n_6604 ),
+	.X(\soc_top/FE_OFN6627_n ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC587_core_InstructionDecode_io_writeData_12  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[12] ),
+	.X(\soc_top/FE_OFN6625_core_InstructionDecode_io_writeData_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC585_core_InstructionDecode_io_writeData_16  (
+	.A(\soc_top/FE_OFN134_core_InstructionDecode_io_writeData_16 ),
+	.X(\soc_top/FE_OFN6623_n ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC584_core_Execute_alu_srl_2338_33_n_11  (
+	.A(\soc_top/core_Execute_alu_srl_2338_33_n_11 ),
+	.X(\soc_top/FE_OFN6622_core_Execute_alu_srl_2338_33_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 \soc_top/FE_OFC583_n_4099  (
+	.A(\soc_top/n_4099 ),
+	.X(\soc_top/FE_OFN6621_n_4099 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC582_core_InstructionDecode_io_writeData_19  (
+	.A(\soc_top/FE_OFN131_core_InstructionDecode_io_writeData_19 ),
+	.X(\soc_top/FE_OFN6620_n ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC580_core_Execute_alu_sra_2340_42_n_5  (
+	.A(\soc_top/core_Execute_alu_sra_2340_42_n_5 ),
+	.X(\soc_top/FE_OFN6618_core_Execute_alu_sra_2340_42_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC579_n_6391  (
+	.A(\soc_top/n_6391 ),
+	.X(\soc_top/FE_OFN6617_n_6391 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC578_core_id_reg_ctl_aluSrc  (
+	.A(\soc_top/FE_OFN86_core_id_reg_ctl_aluSrc ),
+	.X(\soc_top/FE_OFN6616_n ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC577_core_InstructionDecode_io_writeData_20  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[20] ),
+	.X(\soc_top/FE_OFN6615_core_InstructionDecode_io_writeData_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC576_n_6393  (
+	.A(\soc_top/n_6393 ),
+	.X(\soc_top/FE_OFN6614_n_6393 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC571_n_6612  (
+	.A(\soc_top/n_6612 ),
+	.X(\soc_top/FE_OFN6609_n_6612 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC568_n_6609  (
+	.A(\soc_top/n_6609 ),
+	.X(\soc_top/FE_OFN6606_n_6609 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC567_n_5939  (
+	.A(\soc_top/n_5939 ),
+	.X(\soc_top/FE_OFN6605_n ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC566_n_6603  (
+	.A(\soc_top/FE_OFN5609_n_6603 ),
+	.X(\soc_top/FE_OFN6604_n ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC565_n_6603  (
+	.A(\soc_top/n_6603 ),
+	.X(\soc_top/FE_OFN5940_n_6603 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC562_n_5936  (
+	.A(\soc_top/FE_OFN305_n_5936 ),
+	.X(\soc_top/FE_OFN5937_n ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC561_n_3108  (
+	.A(\soc_top/FE_OCPN6708_n_3108 ),
+	.X(\soc_top/FE_OFN5684_n_3108 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC560_n_3557  (
+	.A(\soc_top/n_3558 ),
+	.Y(\soc_top/FE_OFN5683_n_3557 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC559_n_3557  (
+	.A(\soc_top/n_3557 ),
+	.Y(\soc_top/n_3558 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC558_n_3559  (
+	.A(\soc_top/n_3560 ),
+	.Y(\soc_top/FE_OFN5682_n_3559 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC557_n_3559  (
+	.A(\soc_top/n_3559 ),
+	.Y(\soc_top/n_3560 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC555_core_InstructionDecode_io_writeData_29  (
+	.A(\soc_top/n_4045 ),
+	.Y(\soc_top/FE_OFN5680_core_InstructionDecode_io_writeData_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC552_core_InstructionDecode_io_writeData_29  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[29] ),
+	.Y(\soc_top/n_4045 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC548_n_3404  (
+	.A(\soc_top/n_3404 ),
+	.Y(\soc_top/core_Execute_io_writeData[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC547_n_3397  (
+	.A(\soc_top/n_3397 ),
+	.Y(\soc_top/core_Execute_io_writeData[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC546_n_3386  (
+	.A(\soc_top/n_3386 ),
+	.Y(\soc_top/core_Execute_io_writeData[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC545_core_InstructionDecode_io_writeData_28  (
+	.A(\soc_top/n_4100 ),
+	.Y(\soc_top/FE_OFN5676_core_InstructionDecode_io_writeData_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC539_core_InstructionDecode_io_writeData_28  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[28] ),
+	.Y(\soc_top/n_4100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC537_n_3789  (
+	.A(\soc_top/n_3788 ),
+	.Y(\soc_top/FE_OFN5669_n_3789 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC536_n_3789  (
+	.A(\soc_top/n_3789 ),
+	.Y(\soc_top/n_3788 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC535_core_InstructionDecode_io_writeData_14  (
+	.A(\soc_top/n_5005 ),
+	.Y(\soc_top/FE_OFN5668_core_InstructionDecode_io_writeData_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC533_core_InstructionDecode_io_writeData_14  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[14] ),
+	.Y(\soc_top/n_5005 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC529_n_3833  (
+	.A(\soc_top/n_3833 ),
+	.Y(\soc_top/n_3832 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC528_n_6415  (
+	.A(\soc_top/FE_OFN290_n_6415 ),
+	.Y(\soc_top/n_3854 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC527_n_6415  (
+	.A(\soc_top/n_6415 ),
+	.X(\soc_top/FE_OFN290_n_6415 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC525_n_3097  (
+	.A(\soc_top/n_3097 ),
+	.Y(\soc_top/n_3098 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC524_n_3797  (
+	.A(\soc_top/n_3796 ),
+	.Y(\soc_top/FE_OFN5664_n_3797 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC523_n_3797  (
+	.A(\soc_top/n_3797 ),
+	.Y(\soc_top/n_3796 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC522_n_3124  (
+	.A(\soc_top/n_3124 ),
+	.Y(\soc_top/n_3135 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC521_n_3370  (
+	.A(\soc_top/n_3370 ),
+	.Y(\soc_top/core_Execute_io_writeData[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/FE_OFC520_n_3967  (
+	.A(\soc_top/n_3967 ),
+	.Y(\soc_top/n_3968 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC519_n_5944  (
+	.A(\soc_top/FE_DBTN0_n_5944 ),
+	.Y(\soc_top/FE_OFN5663_n_5944 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC518_n_5944  (
+	.A(\soc_top/FE_DBTN0_n_5944 ),
+	.Y(\soc_top/FE_OFN5662_n_5944 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC517_n_5944  (
+	.A(\soc_top/FE_DBTN0_n_5944 ),
+	.Y(\soc_top/FE_OFN5661_n_5944 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC516_n_5944  (
+	.A(\soc_top/n_5944 ),
+	.Y(\soc_top/FE_OFN5660_n_5944 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC515_n_5944  (
+	.A(\soc_top/n_5944 ),
+	.X(\soc_top/FE_OFN5659_n_5944 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC514_n_5944  (
+	.A(\soc_top/n_5944 ),
+	.Y(\soc_top/FE_DBTN0_n_5944 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC513_core_InstructionDecode_io_writeData_13  (
+	.A(\soc_top/n_5002 ),
+	.Y(\soc_top/FE_OFN5658_core_InstructionDecode_io_writeData_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC512_core_InstructionDecode_io_writeData_13  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[13] ),
+	.X(\soc_top/n_5002 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC511_core_InstructionDecode_io_writeData_18  (
+	.A(\soc_top/n_4051 ),
+	.Y(\soc_top/FE_OFN5657_core_InstructionDecode_io_writeData_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC509_core_InstructionDecode_io_writeData_18  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[18] ),
+	.Y(\soc_top/n_4051 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC508_n_3381  (
+	.A(\soc_top/n_3381 ),
+	.Y(\soc_top/core_Execute_io_writeData[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC507_n_6577  (
+	.A(\soc_top/n_3959 ),
+	.Y(\soc_top/FE_OFN5655_n_6577 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/FE_OFC506_n_6577  (
+	.A(\soc_top/n_6577 ),
+	.Y(\soc_top/n_3959 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC505_n_3402  (
+	.A(\soc_top/n_3402 ),
+	.X(\soc_top/FE_OFN283_n_3402 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC503_core_InstructionDecode_io_writeData_6  (
+	.A(\soc_top/FE_OFN6640_core_InstructionDecode_io_writeData_6 ),
+	.Y(\soc_top/FE_OFN5653_core_InstructionDecode_io_writeData_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC496_n_3445  (
+	.A(\soc_top/n_3445 ),
+	.X(\soc_top/FE_OFN5648_n_3445 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC495_n_3445  (
+	.A(\soc_top/n_3445 ),
+	.Y(\soc_top/n_3444 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC491_core_InstructionDecode_io_writeData_15  (
+	.A(\soc_top/n_4882 ),
+	.Y(\soc_top/FE_OFN5644_core_InstructionDecode_io_writeData_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC489_core_InstructionDecode_io_writeData_15  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[15] ),
+	.Y(\soc_top/n_4882 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/FE_OFC488_n_6579  (
+	.A(\soc_top/n_6579 ),
+	.Y(\soc_top/n_3956 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC485_core_io_dmemReq_bits_addrRequest_0  (
+	.A(\soc_top/core_io_dmemReq_bits_addrRequest[0] ),
+	.X(\soc_top/FE_OFN83_core_io_dmemReq_bits_addrRequest_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC484_n_3396  (
+	.A(\soc_top/n_3396 ),
+	.Y(\soc_top/core_Execute_io_writeData[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 \soc_top/FE_OFC483_core_InstructionDecode_io_writeData_16  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[16] ),
+	.X(\soc_top/FE_OFN134_core_InstructionDecode_io_writeData_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC482_n_3084  (
+	.A(\soc_top/n_3084 ),
+	.Y(\soc_top/n_3090 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC481_core_io_dmemReq_bits_addrRequest_2  (
+	.A(\soc_top/core_io_dmemReq_bits_addrRequest[2] ),
+	.X(\soc_top/FE_OFN81_core_io_dmemReq_bits_addrRequest_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC480_n_3564  (
+	.A(\soc_top/n_3564 ),
+	.Y(\soc_top/n_3563 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/FE_OFC479_n_6583  (
+	.A(\soc_top/n_6583 ),
+	.Y(\soc_top/n_3935 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC476_n_3817  (
+	.A(\soc_top/n_3817 ),
+	.X(\soc_top/FE_OFN205_n_3817 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC475_gen_dmem_host_io_rspOut_bits_dataResponse_18  (
+	.A(\soc_top/n_3528 ),
+	.Y(\soc_top/FE_OFN5640_gen_dmem_host_io_rspOut_bits_dataResponse_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC473_gen_dmem_host_io_rspOut_bits_dataResponse_18  (
+	.A(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[18] ),
+	.Y(\soc_top/n_3528 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/FE_OFC472_n_5942  (
+	.A(\soc_top/FE_OFN5633_n_5942 ),
+	.Y(\soc_top/FE_OFN5638_n_5942 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC471_n_5942  (
+	.A(\soc_top/FE_OFN5633_n_5942 ),
+	.Y(\soc_top/FE_OFN5637_n_5942 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/FE_OFC470_n_5942  (
+	.A(\soc_top/FE_OFN5633_n_5942 ),
+	.Y(\soc_top/FE_OFN5636_n_5942 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/FE_OFC469_n_5942  (
+	.A(\soc_top/FE_OFN5633_n_5942 ),
+	.Y(\soc_top/FE_OFN5635_n_5942 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC468_n_5942  (
+	.A(\soc_top/FE_OFN5633_n_5942 ),
+	.Y(\soc_top/FE_OFN5634_n_5942 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC467_n_5942  (
+	.A(\soc_top/n_5942 ),
+	.Y(\soc_top/FE_OFN5633_n_5942 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC465_core_InstructionDecode_io_writeData_24  (
+	.A(\soc_top/n_4102 ),
+	.Y(\soc_top/FE_OFN5632_core_InstructionDecode_io_writeData_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC464_core_InstructionDecode_io_writeData_24  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[24] ),
+	.X(\soc_top/n_4102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC462_n_6036  (
+	.A(\soc_top/n_6036 ),
+	.Y(\soc_top/n_3746 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC461_n_3385  (
+	.A(\soc_top/n_3385 ),
+	.Y(\soc_top/core_Execute_io_writeData[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC460_core_ex_reg_ins_9  (
+	.A(\soc_top/FE_OFN6740_core_ex_reg_ins_9 ),
+	.Y(\soc_top/FE_OFN5631_core_ex_reg_ins_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC458_gen_dmem_host_io_rspOut_bits_dataResponse_20  (
+	.A(\soc_top/n_3526 ),
+	.Y(\soc_top/FE_OFN5630_gen_dmem_host_io_rspOut_bits_dataResponse_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC457_gen_dmem_host_io_rspOut_bits_dataResponse_20  (
+	.A(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[20] ),
+	.Y(\soc_top/n_3526 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC456_n_3382  (
+	.A(\soc_top/n_3382 ),
+	.Y(\soc_top/core_Execute_io_writeData[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC455_n_3394  (
+	.A(\soc_top/n_3394 ),
+	.Y(\soc_top/core_Execute_io_writeData[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC454_core_InstructionDecode_io_writeData_26  (
+	.A(\soc_top/n_4050 ),
+	.Y(\soc_top/FE_OFN5629_core_InstructionDecode_io_writeData_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC453_core_InstructionDecode_io_writeData_26  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[26] ),
+	.Y(\soc_top/n_4050 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC450_n_3099  (
+	.A(\soc_top/n_3099 ),
+	.Y(\soc_top/n_3102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC447_gen_dmem_host_io_rspOut_bits_dataResponse_4  (
+	.A(\soc_top/n_3544 ),
+	.Y(\soc_top/FE_OFN5626_gen_dmem_host_io_rspOut_bits_dataResponse_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC446_gen_dmem_host_io_rspOut_bits_dataResponse_4  (
+	.A(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[4] ),
+	.Y(\soc_top/n_3544 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC443_n_5941  (
+	.A(\soc_top/n_5941 ),
+	.X(\soc_top/FE_OFN244_n_5941 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC442_n_5943  (
+	.A(\soc_top/n_5943 ),
+	.X(\soc_top/FE_OFN245_n_5943 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 \soc_top/FE_OFC441_n_3293  (
+	.A(\soc_top/n_3293 ),
+	.X(\soc_top/FE_OFN202_n_3293 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC440_n_5938  (
+	.A(\soc_top/FE_DBTN2_n_5938 ),
+	.Y(\soc_top/FE_OFN5624_n_5938 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC439_n_5938  (
+	.A(\soc_top/FE_DBTN2_n_5938 ),
+	.Y(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 \soc_top/FE_OFC438_n_5938  (
+	.A(\soc_top/FE_DBTN2_n_5938 ),
+	.X(\soc_top/FE_OFN242_n_5938 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 \soc_top/FE_OFC437_n_5938  (
+	.A(\soc_top/n_5938 ),
+	.X(\soc_top/FE_DBTN2_n_5938 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC436_core_InstructionDecode_io_writeData_17  (
+	.A(\soc_top/FE_OFN133_core_InstructionDecode_io_writeData_17 ),
+	.X(\soc_top/FE_OFN5623_core_InstructionDecode_io_writeData_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC435_core_InstructionDecode_io_writeData_17  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[17] ),
+	.X(\soc_top/FE_OFN133_core_InstructionDecode_io_writeData_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC434_core_InstructionDecode_io_writeData_19  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[19] ),
+	.X(\soc_top/FE_OFN131_core_InstructionDecode_io_writeData_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC432_core_InstructionDecode_io_writeData_30  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[30] ),
+	.Y(\soc_top/n_4053 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC431_core_io_dmemReq_bits_addrRequest_5  (
+	.A(\soc_top/core_io_dmemReq_bits_addrRequest[5] ),
+	.X(\soc_top/FE_OFN80_core_io_dmemReq_bits_addrRequest_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC430_n_5760  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_8 ),
+	.Y(\soc_top/FE_OFN5622_n_5760 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC428_n_5760  (
+	.A(\soc_top/n_5760 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC427_core_InstructionDecode_io_writeData_31  (
+	.A(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.Y(\soc_top/n_4097 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 \soc_top/FE_OFC426_core_InstructionDecode_io_writeData_31  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[31] ),
+	.X(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC425_n_5506  (
+	.A(\soc_top/n_5506 ),
+	.X(\soc_top/FE_OFN301_n_5506 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC424_core_InstructionDecode_io_writeData_0  (
+	.A(\soc_top/FE_OFN76_core_InstructionDecode_io_writeData_0 ),
+	.X(\soc_top/FE_OFN5620_core_InstructionDecode_io_writeData_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/FE_OFC423_core_InstructionDecode_io_writeData_0  (
+	.A(\soc_top/FE_OFN76_core_InstructionDecode_io_writeData_0 ),
+	.Y(\soc_top/n_4046 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC422_core_InstructionDecode_io_writeData_0  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[0] ),
+	.X(\soc_top/FE_OFN76_core_InstructionDecode_io_writeData_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 \soc_top/FE_OFC421_n_6545  (
+	.A(\soc_top/n_4879 ),
+	.Y(\soc_top/FE_OFN5619_n_6545 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC420_n_6545  (
+	.A(\soc_top/n_6545 ),
+	.Y(\soc_top/n_4879 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC417_n_5786  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_14 ),
+	.Y(\soc_top/FE_OFN5617_n_5786 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC416_n_5786  (
+	.A(\soc_top/n_5786 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC415_n_5773  (
+	.A(\soc_top/n_5773 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC414_n_6604  (
+	.A(\soc_top/n_6604 ),
+	.X(\soc_top/FE_OFN111_n_6604 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC413_n_3373  (
+	.A(\soc_top/n_3373 ),
+	.Y(\soc_top/core_Execute_io_writeData[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC412_n_5749  (
+	.A(\soc_top/n_5749 ),
+	.Y(\soc_top/n_2705 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC411_core_id_reg_ctl_aluSrc  (
+	.A(\soc_top/FE_OFN86_core_id_reg_ctl_aluSrc ),
+	.Y(\soc_top/FE_OFN5616_core_id_reg_ctl_aluSrc ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC410_core_id_reg_ctl_aluSrc  (
+	.A(\soc_top/FE_OFN86_core_id_reg_ctl_aluSrc ),
+	.Y(\soc_top/n_3167 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC409_core_id_reg_ctl_aluSrc  (
+	.A(\soc_top/core_id_reg_ctl_aluSrc ),
+	.Y(\soc_top/FE_OFN86_core_id_reg_ctl_aluSrc ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC408_core_InstructionDecode_io_writeData_25  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[25] ),
+	.Y(\soc_top/n_4052 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC407_core_if_reg_ins_20  (
+	.A(\soc_top/FE_OFN47_core_if_reg_ins_20 ),
+	.Y(\soc_top/FE_DBTN7_core_if_reg_ins_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC406_core_if_reg_ins_20  (
+	.A(\soc_top/core_if_reg_ins[20] ),
+	.X(\soc_top/FE_OFN47_core_if_reg_ins_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC405_core_if_reg_ins_19  (
+	.A(\soc_top/FE_OFN48_core_if_reg_ins_19 ),
+	.Y(\soc_top/FE_DBTN6_core_if_reg_ins_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC404_core_if_reg_ins_19  (
+	.A(\soc_top/core_if_reg_ins[19] ),
+	.X(\soc_top/FE_OFN48_core_if_reg_ins_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC403_core_if_reg_ins_18  (
+	.A(\soc_top/FE_OFN49_core_if_reg_ins_18 ),
+	.Y(\soc_top/n_3522 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC402_core_if_reg_ins_18  (
+	.A(\soc_top/core_if_reg_ins[18] ),
+	.X(\soc_top/FE_OFN49_core_if_reg_ins_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC401_core_if_reg_ins_22  (
+	.A(\soc_top/FE_OFN45_core_if_reg_ins_22 ),
+	.Y(\soc_top/FE_DBTN9_core_if_reg_ins_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC400_core_if_reg_ins_22  (
+	.A(\soc_top/core_if_reg_ins[22] ),
+	.X(\soc_top/FE_OFN45_core_if_reg_ins_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC397_core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_10  (
+	.A(\soc_top/FE_OFN294_core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_10 ),
+	.Y(\soc_top/n_6507 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 \soc_top/FE_OFC396_core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_10  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_10 ),
+	.X(\soc_top/FE_OFN294_core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC395_n_4007  (
+	.A(\soc_top/n_4007 ),
+	.Y(\soc_top/n_4006 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC394_core_if_reg_ins_23  (
+	.A(\soc_top/FE_OFN44_core_if_reg_ins_23 ),
+	.Y(\soc_top/n_3534 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC393_core_if_reg_ins_23  (
+	.A(\soc_top/core_if_reg_ins[23] ),
+	.X(\soc_top/FE_OFN44_core_if_reg_ins_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/FE_OFC391_n_5758  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_15 ),
+	.Y(\soc_top/FE_OFN5612_n_5758 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC389_n_5758  (
+	.A(\soc_top/n_5758 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC388_core_InstructionDecode_io_readData1_12  (
+	.A(\soc_top/core_InstructionDecode_io_readData1[12] ),
+	.X(\soc_top/FE_OFN259_core_InstructionDecode_io_readData1_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC387_core_if_reg_ins_24  (
+	.A(\soc_top/FE_OFN43_core_if_reg_ins_24 ),
+	.Y(\soc_top/FE_DBTN10_core_if_reg_ins_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC386_core_if_reg_ins_24  (
+	.A(\soc_top/core_if_reg_ins[24] ),
+	.X(\soc_top/FE_OFN43_core_if_reg_ins_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC385_core_if_reg_ins_21  (
+	.A(\soc_top/FE_OFN46_core_if_reg_ins_21 ),
+	.Y(\soc_top/FE_DBTN8_core_if_reg_ins_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC384_core_if_reg_ins_21  (
+	.A(\soc_top/core_if_reg_ins[21] ),
+	.X(\soc_top/FE_OFN46_core_if_reg_ins_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC383_core_InstructionDecode_io_writeData_20  (
+	.A(\soc_top/FE_OFN6615_core_InstructionDecode_io_writeData_20 ),
+	.X(\soc_top/FE_OFN130_core_InstructionDecode_io_writeData_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC382_core_if_reg_ins_17  (
+	.A(\soc_top/FE_OFN50_core_if_reg_ins_17 ),
+	.Y(\soc_top/FE_DBTN5_core_if_reg_ins_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC381_core_if_reg_ins_17  (
+	.A(\soc_top/core_if_reg_ins[17] ),
+	.X(\soc_top/FE_OFN50_core_if_reg_ins_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC380_core_if_reg_ins_16  (
+	.A(\soc_top/FE_OFN51_core_if_reg_ins_16 ),
+	.Y(\soc_top/FE_DBTN4_core_if_reg_ins_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC379_core_if_reg_ins_16  (
+	.A(\soc_top/core_if_reg_ins[16] ),
+	.X(\soc_top/FE_OFN51_core_if_reg_ins_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC378_n_3378  (
+	.A(\soc_top/n_3378 ),
+	.X(\soc_top/FE_OFN279_n_3378 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC377_core_InstructionDecode_io_writeData_21  (
+	.A(\soc_top/FE_OFN129_core_InstructionDecode_io_writeData_21 ),
+	.Y(\soc_top/n_4049 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC376_core_InstructionDecode_io_writeData_21  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[21] ),
+	.Y(\soc_top/FE_OFN129_core_InstructionDecode_io_writeData_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC375_core_if_reg_ins_15  (
+	.A(\soc_top/FE_OFN52_core_if_reg_ins_15 ),
+	.Y(\soc_top/FE_DBTN3_core_if_reg_ins_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC374_core_if_reg_ins_15  (
+	.A(\soc_top/core_if_reg_ins[15] ),
+	.X(\soc_top/FE_OFN52_core_if_reg_ins_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC373_n_6607  (
+	.A(\soc_top/n_6607 ),
+	.Y(\soc_top/n_6608 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC372_n_5497  (
+	.A(\soc_top/n_5497 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData1[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/FE_OFC371_core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_16  (
+	.A(\soc_top/n_6487 ),
+	.Y(\soc_top/FE_OFN5610_core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/FE_OFC370_core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_16  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_16 ),
+	.Y(\soc_top/n_6487 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC369_core_InstructionDecode_io_writeData_27  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[27] ),
+	.X(\soc_top/FE_OFN123_core_InstructionDecode_io_writeData_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC368_core_InstructionDecode_io_writeData_27  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[27] ),
+	.Y(\soc_top/n_4098 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC367_n_6603  (
+	.A(\soc_top/n_6603 ),
+	.Y(\soc_top/FE_OFN5609_n_6603 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC366_n_6603  (
+	.A(\soc_top/n_6603 ),
+	.Y(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC364_core_InstructionDecode_io_writeData_7  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[7] ),
+	.X(\soc_top/FE_OFN72_core_InstructionDecode_io_writeData_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC363_core_InstructionDecode_io_writeData_7  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[7] ),
+	.Y(\soc_top/n_4047 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC362_core_InstructionDecode_io_writeData_12  (
+	.A(\soc_top/FE_OFN6625_core_InstructionDecode_io_writeData_12 ),
+	.X(\soc_top/FE_OFN138_core_InstructionDecode_io_writeData_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC361_core_InstructionDecode_io_writeData_12  (
+	.A(\soc_top/FE_OFN6625_core_InstructionDecode_io_writeData_12 ),
+	.Y(\soc_top/n_5003 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC360_n_6609  (
+	.A(\soc_top/n_6609 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC359_core_InstructionDecode_io_writeData_22  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[22] ),
+	.X(\soc_top/FE_OFN128_core_InstructionDecode_io_writeData_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/FE_OFC358_core_InstructionDecode_io_writeData_22  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[22] ),
+	.Y(\soc_top/n_4101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 \soc_top/FE_OFC357_n_6546  (
+	.A(\soc_top/FE_OFN110_n_6546 ),
+	.Y(\soc_top/FE_OFN5608_n_6546 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC356_n_6546  (
+	.A(\soc_top/FE_OFN110_n_6546 ),
+	.Y(\soc_top/n_4878 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC355_n_6546  (
+	.A(\soc_top/n_6546 ),
+	.Y(\soc_top/FE_OFN110_n_6546 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC354_core_InstructionDecode_io_writeData_23  (
+	.A(\soc_top/FE_OFN127_core_InstructionDecode_io_writeData_23 ),
+	.Y(\soc_top/n_4099 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC353_core_InstructionDecode_io_writeData_23  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[23] ),
+	.Y(\soc_top/FE_OFN127_core_InstructionDecode_io_writeData_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 \soc_top/FE_OFC351_core_InstructionDecode_io_readData1_5  (
+	.A(\soc_top/core_InstructionDecode_io_readData1[5] ),
+	.X(\soc_top/FE_OFN118_core_InstructionDecode_io_readData1_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC350_n_6458  (
+	.A(\soc_top/n_6458 ),
+	.Y(\soc_top/n_6459 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC349_n_5508  (
+	.A(\soc_top/n_5508 ),
+	.X(\soc_top/FE_OFN107_n_5508 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC347_core_InstructionDecode_io_readData1_23  (
+	.A(\soc_top/core_InstructionDecode_io_readData1[23] ),
+	.X(\soc_top/FE_OFN255_core_InstructionDecode_io_readData1_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC346_core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_21  (
+	.A(\soc_top/n_6477 ),
+	.Y(\soc_top/FE_OFN5607_core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC345_core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_21  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_21 ),
+	.Y(\soc_top/n_6477 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC344_core_InstructionDecode_io_readData1_21  (
+	.A(\soc_top/core_InstructionDecode_io_readData1[21] ),
+	.X(\soc_top/FE_OFN256_core_InstructionDecode_io_readData1_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC343_n_2722  (
+	.A(\soc_top/n_6469 ),
+	.Y(\soc_top/FE_OFN5606_n_2722 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC342_n_2722  (
+	.A(\soc_top/n_2722 ),
+	.Y(\soc_top/n_6469 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 \soc_top/FE_OFC341_core_InstructionDecode_io_writeData_1  (
+	.A(\soc_top/FE_OFN75_core_InstructionDecode_io_writeData_1 ),
+	.Y(\soc_top/n_2728 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC340_core_InstructionDecode_io_writeData_1  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[1] ),
+	.Y(\soc_top/FE_OFN75_core_InstructionDecode_io_writeData_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC339_core_InstructionDecode_io_readData1_15  (
+	.A(\soc_top/core_InstructionDecode_io_readData1[15] ),
+	.X(\soc_top/FE_OFN258_core_InstructionDecode_io_readData1_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC338_n_6498  (
+	.A(\soc_top/n_6498 ),
+	.Y(\soc_top/n_6499 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC336_core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_2  (
+	.A(\soc_top/n_6505 ),
+	.Y(\soc_top/FE_OFN5605_core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/FE_OFC335_core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_2  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_2 ),
+	.Y(\soc_top/n_6505 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC334_core_InstructionDecode_io_writeData_3  (
+	.A(\soc_top/FE_OFN74_core_InstructionDecode_io_writeData_3 ),
+	.Y(\soc_top/n_2711 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC333_core_InstructionDecode_io_writeData_3  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[3] ),
+	.Y(\soc_top/FE_OFN74_core_InstructionDecode_io_writeData_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 \soc_top/FE_OFC331_n_3317  (
+	.A(\soc_top/n_3317 ),
+	.X(\soc_top/FE_OFN203_n_3317 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC330_core_InstructionDecode_io_readData1_16  (
+	.A(\soc_top/core_InstructionDecode_io_readData1[16] ),
+	.X(\soc_top/FE_OFN257_core_InstructionDecode_io_readData1_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC329_core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_63  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_64 ),
+	.Y(\soc_top/FE_OFN5604_core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 \soc_top/FE_OFC328_core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_63  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_63 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC327_n_6544  (
+	.A(\soc_top/n_3165 ),
+	.Y(\soc_top/FE_OFN5603_n_6544 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC326_n_6544  (
+	.A(\soc_top/FE_OFN109_n_6544 ),
+	.Y(\soc_top/n_3165 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC325_n_6544  (
+	.A(\soc_top/n_6544 ),
+	.X(\soc_top/FE_OFN109_n_6544 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 \soc_top/FE_OFC324_n_3400  (
+	.A(\soc_top/n_3400 ),
+	.X(\soc_top/FE_OFN281_n_3400 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 \soc_top/FE_OFC319_n_3113  (
+	.A(\soc_top/n_3113 ),
+	.Y(\soc_top/n_3112 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC303_n_6488  (
+	.A(\soc_top/n_6488 ),
+	.X(\soc_top/FE_OFN303_n_6488 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC297_n_2056  (
+	.A(\soc_top/n_2056 ),
+	.X(\soc_top/FE_OFN297_n_2056 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC296_n_1566  (
+	.A(\soc_top/n_1566 ),
+	.X(\soc_top/FE_OFN296_n_1566 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC295_core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_1  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_1 ),
+	.X(\soc_top/FE_OFN295_core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC285_n_5438  (
+	.A(\soc_top/n_5438 ),
+	.X(\soc_top/FE_OFN285_n_5438 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC284_n_3403  (
+	.A(\soc_top/n_3403 ),
+	.X(\soc_top/FE_OFN284_n_3403 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC280_n_3379  (
+	.A(\soc_top/n_3379 ),
+	.X(\soc_top/FE_OFN280_n_3379 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC278_n_3159  (
+	.A(\soc_top/n_3159 ),
+	.X(\soc_top/FE_OFN278_n_3159 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC277_n_2537  (
+	.A(\soc_top/n_2537 ),
+	.X(\soc_top/FE_OFN277_n_2537 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC276_n_2536  (
+	.A(\soc_top/n_2536 ),
+	.X(\soc_top/FE_OFN276_n_2536 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC275_n_2534  (
+	.A(\soc_top/n_2534 ),
+	.X(\soc_top/FE_OFN275_n_2534 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC274_n_2527  (
+	.A(\soc_top/n_2527 ),
+	.X(\soc_top/FE_OFN274_n_2527 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC273_n_2524  (
+	.A(\soc_top/n_2524 ),
+	.X(\soc_top/FE_OFN273_n_2524 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC272_n_2523  (
+	.A(\soc_top/n_2523 ),
+	.X(\soc_top/FE_OFN272_n_2523 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC271_n_2522  (
+	.A(\soc_top/n_2522 ),
+	.X(\soc_top/FE_OFN271_n_2522 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC270_n_2521  (
+	.A(\soc_top/n_2521 ),
+	.X(\soc_top/FE_OFN270_n_2521 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC269_n_2520  (
+	.A(\soc_top/n_2520 ),
+	.X(\soc_top/FE_OFN269_n_2520 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC268_n_2519  (
+	.A(\soc_top/n_2519 ),
+	.X(\soc_top/FE_OFN268_n_2519 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC267_n_2518  (
+	.A(\soc_top/n_2518 ),
+	.X(\soc_top/FE_OFN267_n_2518 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC266_n_2517  (
+	.A(\soc_top/n_2517 ),
+	.X(\soc_top/FE_OFN266_n_2517 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC265_n_2516  (
+	.A(\soc_top/n_2516 ),
+	.X(\soc_top/FE_OFN265_n_2516 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC264_n_1851  (
+	.A(\soc_top/n_1851 ),
+	.X(\soc_top/FE_OFN264_n_1851 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC263_n_1845  (
+	.A(\soc_top/n_1845 ),
+	.X(\soc_top/FE_OFN263_n_1845 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC262_n_407  (
+	.A(\soc_top/n_407 ),
+	.X(\soc_top/FE_OFN262_n_407 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC261_n_168  (
+	.A(\soc_top/n_168 ),
+	.X(\soc_top/FE_OFN261_n_168 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC249_n_6445  (
+	.A(\soc_top/FE_OFN248_n_6445 ),
+	.X(\soc_top/FE_OFN249_n_6445 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC248_n_6445  (
+	.A(\soc_top/n_6445 ),
+	.X(\soc_top/FE_OFN248_n_6445 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC237_n_5586  (
+	.A(\soc_top/n_5586 ),
+	.X(\soc_top/FE_OFN237_n_5586 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC200_n_3067  (
+	.A(\soc_top/n_3067 ),
+	.X(\soc_top/FE_OFN200_n_3067 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC199_n_3038  (
+	.A(\soc_top/n_3038 ),
+	.X(\soc_top/FE_OFN199_n_3038 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC197_n_2672  (
+	.A(\soc_top/n_2672 ),
+	.X(\soc_top/FE_OFN197_n_2672 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC196_n_1842  (
+	.A(\soc_top/n_1842 ),
+	.X(\soc_top/FE_OFN196_n_1842 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC195_n_1833  (
+	.A(\soc_top/n_1833 ),
+	.X(\soc_top/FE_OFN195_n_1833 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC194_n_1827  (
+	.A(\soc_top/n_1827 ),
+	.X(\soc_top/FE_OFN194_n_1827 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC192_n_1555  (
+	.A(\soc_top/n_1555 ),
+	.X(\soc_top/FE_OFN192_n_1555 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC191_n_1427  (
+	.A(\soc_top/n_1427 ),
+	.X(\soc_top/FE_OFN191_n_1427 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC190_n_1425  (
+	.A(\soc_top/n_1425 ),
+	.X(\soc_top/FE_OFN190_n_1425 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC189_n_1415  (
+	.A(\soc_top/n_1415 ),
+	.X(\soc_top/FE_OFN189_n_1415 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC188_n_274  (
+	.A(\soc_top/n_274 ),
+	.X(\soc_top/FE_OFN188_n_274 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC187_n_273  (
+	.A(\soc_top/n_273 ),
+	.X(\soc_top/FE_OFN187_n_273 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC186_n_269  (
+	.A(\soc_top/n_269 ),
+	.X(\soc_top/FE_OFN186_n_269 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC185_n_267  (
+	.A(\soc_top/n_267 ),
+	.X(\soc_top/FE_OFN185_n_267 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC184_n_263  (
+	.A(\soc_top/n_263 ),
+	.X(\soc_top/FE_OFN184_n_263 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC183_n_262  (
+	.A(\soc_top/n_262 ),
+	.X(\soc_top/FE_OFN183_n_262 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC182_n_261  (
+	.A(\soc_top/n_261 ),
+	.X(\soc_top/FE_OFN182_n_261 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC181_n_260  (
+	.A(\soc_top/n_260 ),
+	.X(\soc_top/FE_OFN181_n_260 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC180_n_257  (
+	.A(\soc_top/n_257 ),
+	.X(\soc_top/FE_OFN180_n_257 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC179_n_255  (
+	.A(\soc_top/n_255 ),
+	.X(\soc_top/FE_OFN179_n_255 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC178_n_253  (
+	.A(\soc_top/n_253 ),
+	.X(\soc_top/FE_OFN178_n_253 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC177_n_252  (
+	.A(\soc_top/n_252 ),
+	.X(\soc_top/FE_OFN177_n_252 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC176_n_251  (
+	.A(\soc_top/n_251 ),
+	.X(\soc_top/FE_OFN176_n_251 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC175_n_248  (
+	.A(\soc_top/n_248 ),
+	.X(\soc_top/FE_OFN175_n_248 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC174_n_244  (
+	.A(\soc_top/n_244 ),
+	.X(\soc_top/FE_OFN174_n_244 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC173_n_242  (
+	.A(\soc_top/n_242 ),
+	.X(\soc_top/FE_OFN173_n_242 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC172_n_183  (
+	.A(\soc_top/n_183 ),
+	.X(\soc_top/FE_OFN172_n_183 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC171_n_182  (
+	.A(\soc_top/n_182 ),
+	.X(\soc_top/FE_OFN171_n_182 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC170_n_179  (
+	.A(\soc_top/n_179 ),
+	.X(\soc_top/FE_OFN170_n_179 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC169_n_178  (
+	.A(\soc_top/n_178 ),
+	.X(\soc_top/FE_OFN169_n_178 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC168_n_175  (
+	.A(\soc_top/n_175 ),
+	.X(\soc_top/FE_OFN168_n_175 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC167_n_173  (
+	.A(\soc_top/n_173 ),
+	.X(\soc_top/FE_OFN167_n_173 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC166_n_171  (
+	.A(\soc_top/n_171 ),
+	.X(\soc_top/FE_OFN166_n_171 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC165_n_169  (
+	.A(\soc_top/n_169 ),
+	.X(\soc_top/FE_OFN165_n_169 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC162_core_InstructionDecode_io_hdu_pcWrite  (
+	.A(\soc_top/core_InstructionDecode_io_hdu_pcWrite ),
+	.X(\soc_top/FE_OFN162_core_InstructionDecode_io_hdu_pcWrite ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC142_core_InstructionDecode_io_writeData_8  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[8] ),
+	.X(\soc_top/FE_OFN142_core_InstructionDecode_io_writeData_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC141_core_InstructionDecode_io_writeData_9  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[9] ),
+	.X(\soc_top/FE_OFN141_core_InstructionDecode_io_writeData_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC140_core_InstructionDecode_io_writeData_10  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[10] ),
+	.X(\soc_top/FE_OFN140_core_InstructionDecode_io_writeData_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC139_core_InstructionDecode_io_writeData_11  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[11] ),
+	.X(\soc_top/FE_OFN139_core_InstructionDecode_io_writeData_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC137_core_InstructionDecode_io_writeData_13  (
+	.A(\soc_top/n_5002 ),
+	.X(\soc_top/FE_OFN137_core_InstructionDecode_io_writeData_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC136_core_InstructionDecode_io_writeData_14  (
+	.A(\soc_top/FE_OFN5668_core_InstructionDecode_io_writeData_14 ),
+	.X(\soc_top/FE_OFN136_core_InstructionDecode_io_writeData_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC135_core_InstructionDecode_io_writeData_15  (
+	.A(\soc_top/FE_OFN5644_core_InstructionDecode_io_writeData_15 ),
+	.X(\soc_top/FE_OFN135_core_InstructionDecode_io_writeData_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC132_core_InstructionDecode_io_writeData_18  (
+	.A(\soc_top/FE_OFN5657_core_InstructionDecode_io_writeData_18 ),
+	.X(\soc_top/FE_OFN132_core_InstructionDecode_io_writeData_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC126_core_InstructionDecode_io_writeData_24  (
+	.A(\soc_top/n_4102 ),
+	.X(\soc_top/FE_OFN126_core_InstructionDecode_io_writeData_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC125_core_InstructionDecode_io_writeData_25  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[25] ),
+	.X(\soc_top/FE_OFN125_core_InstructionDecode_io_writeData_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC124_core_InstructionDecode_io_writeData_26  (
+	.A(\soc_top/FE_OFN5629_core_InstructionDecode_io_writeData_26 ),
+	.X(\soc_top/FE_OFN124_core_InstructionDecode_io_writeData_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC122_core_InstructionDecode_io_writeData_28  (
+	.A(\soc_top/FE_OFN5676_core_InstructionDecode_io_writeData_28 ),
+	.X(\soc_top/FE_OFN122_core_InstructionDecode_io_writeData_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC121_core_InstructionDecode_io_writeData_29  (
+	.A(\soc_top/FE_OFN5680_core_InstructionDecode_io_writeData_29 ),
+	.X(\soc_top/FE_OFN121_core_InstructionDecode_io_writeData_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC120_core_InstructionDecode_io_writeData_30  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[30] ),
+	.X(\soc_top/FE_OFN120_core_InstructionDecode_io_writeData_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC108_n_6438  (
+	.A(\soc_top/n_6438 ),
+	.X(\soc_top/FE_OFN108_n_6438 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC104_n_5476  (
+	.A(\soc_top/n_5476 ),
+	.X(\soc_top/FE_OFN104_n_5476 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC103_n_5475  (
+	.A(\soc_top/n_5475 ),
+	.X(\soc_top/FE_OFN103_n_5475 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC100_n_5466  (
+	.A(\soc_top/n_5466 ),
+	.X(\soc_top/FE_OFN100_n_5466 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC99_n_5459  (
+	.A(\soc_top/n_5459 ),
+	.X(\soc_top/FE_OFN99_n_5459 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC96_n_5439  (
+	.A(\soc_top/n_5439 ),
+	.X(\soc_top/FE_OFN96_n_5439 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC93_n_2923  (
+	.A(\soc_top/n_2923 ),
+	.X(\soc_top/FE_OFN93_n_2923 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC92_n_2127  (
+	.A(\soc_top/n_2127 ),
+	.X(\soc_top/FE_OFN92_n_2127 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC91_n_2125  (
+	.A(\soc_top/n_2125 ),
+	.X(\soc_top/FE_OFN91_n_2125 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC90_n_2035  (
+	.A(\soc_top/n_2035 ),
+	.X(\soc_top/FE_OFN90_n_2035 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC89_n_382  (
+	.A(\soc_top/n_382 ),
+	.X(\soc_top/FE_OFN89_n_382 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC88_gen_imem_host_startWBTransaction  (
+	.A(\soc_top/gen_imem_host_startWBTransaction ),
+	.X(\soc_top/FE_OFN88_gen_imem_host_startWBTransaction ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC87_gen_dmem_host_startWBTransaction  (
+	.A(\soc_top/gen_dmem_host_startWBTransaction ),
+	.X(\soc_top/FE_OFN87_gen_dmem_host_startWBTransaction ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC85_core_ex_reg_ctl_memRead  (
+	.A(\soc_top/core_ex_reg_ctl_memRead ),
+	.X(\soc_top/FE_OFN85_core_ex_reg_ctl_memRead ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC82_core_io_dmemReq_bits_addrRequest_1  (
+	.A(\soc_top/core_io_dmemReq_bits_addrRequest[1] ),
+	.X(\soc_top/FE_OFN82_core_io_dmemReq_bits_addrRequest_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC73_core_InstructionDecode_io_writeData_6  (
+	.A(\soc_top/FE_OFN5653_core_InstructionDecode_io_writeData_6 ),
+	.X(\soc_top/FE_OFN73_core_InstructionDecode_io_writeData_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC71_imem_io_rsp_bits_dataResponse_0  (
+	.A(\soc_top/imem_io_rsp_bits_dataResponse[0] ),
+	.X(\soc_top/FE_OFN71_imem_io_rsp_bits_dataResponse_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC70_imem_io_rsp_bits_dataResponse_1  (
+	.A(\soc_top/imem_io_rsp_bits_dataResponse[1] ),
+	.X(\soc_top/FE_OFN70_imem_io_rsp_bits_dataResponse_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC69_imem_io_rsp_bits_dataResponse_2  (
+	.A(\soc_top/imem_io_rsp_bits_dataResponse[2] ),
+	.X(\soc_top/FE_OFN69_imem_io_rsp_bits_dataResponse_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC68_imem_io_rsp_bits_dataResponse_3  (
+	.A(\soc_top/imem_io_rsp_bits_dataResponse[3] ),
+	.X(\soc_top/FE_OFN68_imem_io_rsp_bits_dataResponse_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC67_imem_io_rsp_bits_dataResponse_4  (
+	.A(\soc_top/imem_io_rsp_bits_dataResponse[4] ),
+	.X(\soc_top/FE_OFN67_imem_io_rsp_bits_dataResponse_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC66_imem_io_rsp_bits_dataResponse_5  (
+	.A(\soc_top/imem_io_rsp_bits_dataResponse[5] ),
+	.X(\soc_top/FE_OFN66_imem_io_rsp_bits_dataResponse_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC65_imem_io_rsp_bits_dataResponse_6  (
+	.A(\soc_top/imem_io_rsp_bits_dataResponse[6] ),
+	.X(\soc_top/FE_OFN65_imem_io_rsp_bits_dataResponse_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC64_imem_io_rsp_bits_dataResponse_7  (
+	.A(\soc_top/imem_io_rsp_bits_dataResponse[7] ),
+	.X(\soc_top/FE_OFN64_imem_io_rsp_bits_dataResponse_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC63_imem_io_rsp_bits_dataResponse_12  (
+	.A(\soc_top/imem_io_rsp_bits_dataResponse[12] ),
+	.X(\soc_top/FE_OFN63_imem_io_rsp_bits_dataResponse_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC62_dmem_io_rsp_bits_dataResponse_0  (
+	.A(\soc_top/dmem_io_rsp_bits_dataResponse[0] ),
+	.X(\soc_top/FE_OFN62_dmem_io_rsp_bits_dataResponse_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC61_dmem_io_rsp_bits_dataResponse_1  (
+	.A(\soc_top/dmem_io_rsp_bits_dataResponse[1] ),
+	.X(\soc_top/FE_OFN61_dmem_io_rsp_bits_dataResponse_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC60_dmem_io_rsp_bits_dataResponse_2  (
+	.A(\soc_top/dmem_io_rsp_bits_dataResponse[2] ),
+	.X(\soc_top/FE_OFN60_dmem_io_rsp_bits_dataResponse_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC59_dmem_io_rsp_bits_dataResponse_3  (
+	.A(\soc_top/dmem_io_rsp_bits_dataResponse[3] ),
+	.X(\soc_top/FE_OFN59_dmem_io_rsp_bits_dataResponse_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC57_dmem_io_rsp_bits_dataResponse_6  (
+	.A(\soc_top/dmem_io_rsp_bits_dataResponse[6] ),
+	.X(\soc_top/FE_OFN57_dmem_io_rsp_bits_dataResponse_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC56_dmem_io_rsp_bits_dataResponse_8  (
+	.A(\soc_top/dmem_io_rsp_bits_dataResponse[8] ),
+	.X(\soc_top/FE_OFN56_dmem_io_rsp_bits_dataResponse_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC55_dmem_io_rsp_bits_dataResponse_9  (
+	.A(\soc_top/dmem_io_rsp_bits_dataResponse[9] ),
+	.X(\soc_top/FE_OFN55_dmem_io_rsp_bits_dataResponse_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC54_dmem_io_rsp_bits_dataResponse_25  (
+	.A(\soc_top/dmem_io_rsp_bits_dataResponse[25] ),
+	.X(\soc_top/FE_OFN54_dmem_io_rsp_bits_dataResponse_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC53_core_if_reg_ins_14  (
+	.A(\soc_top/core_if_reg_ins[14] ),
+	.X(\soc_top/FE_OFN53_core_if_reg_ins_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 \soc_top/FE_OFC25_FE_DBTN13_wb_rst_i  (
+	.A(\soc_top/FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC24_wb_rst_i  (
+	.A(\soc_top/FE_OFN7_wb_rst_i ),
+	.X(\soc_top/FE_OFN24_wb_rst_i ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC23_wb_rst_i  (
+	.A(\soc_top/FE_OFN7_wb_rst_i ),
+	.X(\soc_top/FE_OFN23_wb_rst_i ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 \soc_top/FE_OFC22_wb_rst_i  (
+	.A(\soc_top/FE_OFN7_wb_rst_i ),
+	.X(\soc_top/FE_OFN22_wb_rst_i ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC21_wb_rst_i  (
+	.A(\soc_top/FE_OFN6_wb_rst_i ),
+	.X(\soc_top/FE_OFN21_wb_rst_i ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC20_wb_rst_i  (
+	.A(\soc_top/FE_OFN6_wb_rst_i ),
+	.X(\soc_top/FE_OFN20_wb_rst_i ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC19_wb_rst_i  (
+	.A(\soc_top/FE_OFN6_wb_rst_i ),
+	.X(\soc_top/FE_OFN19_wb_rst_i ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 \soc_top/FE_OFC18_wb_rst_i  (
+	.A(\soc_top/FE_OFN5_wb_rst_i ),
+	.X(\soc_top/FE_OFN18_wb_rst_i ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC17_wb_rst_i  (
+	.A(\soc_top/FE_OFN5_wb_rst_i ),
+	.X(\soc_top/FE_OFN17_wb_rst_i ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC16_wb_rst_i  (
+	.A(\soc_top/FE_OFN4_wb_rst_i ),
+	.X(\soc_top/FE_OFN16_wb_rst_i ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC15_wb_rst_i  (
+	.A(\soc_top/FE_OFN4_wb_rst_i ),
+	.X(\soc_top/FE_OFN15_wb_rst_i ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/FE_OFC14_wb_rst_i  (
+	.A(\soc_top/FE_OFN4_wb_rst_i ),
+	.X(\soc_top/FE_OFN14_wb_rst_i ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/FE_OFC13_wb_rst_i  (
+	.A(\soc_top/FE_OFN4_wb_rst_i ),
+	.X(\soc_top/FE_OFN13_wb_rst_i ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC12_wb_rst_i  (
+	.A(\soc_top/FE_OFN4_wb_rst_i ),
+	.X(\soc_top/FE_OFN12_wb_rst_i ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC11_wb_rst_i  (
+	.A(\soc_top/FE_OFN4_wb_rst_i ),
+	.X(\soc_top/FE_OFN11_wb_rst_i ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC10_wb_rst_i  (
+	.A(\soc_top/FE_OFN4_wb_rst_i ),
+	.X(\soc_top/FE_OFN10_wb_rst_i ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 \soc_top/FE_OFC9_wb_rst_i  (
+	.A(\soc_top/FE_OFN2_wb_rst_i ),
+	.X(\soc_top/FE_OFN9_wb_rst_i ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC8_wb_rst_i  (
+	.A(\soc_top/FE_OFN1_wb_rst_i ),
+	.X(\soc_top/FE_OFN8_wb_rst_i ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC7_wb_rst_i  (
+	.A(\soc_top/FE_OFN0_wb_rst_i ),
+	.Y(\soc_top/FE_OFN7_wb_rst_i ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC6_wb_rst_i  (
+	.A(\soc_top/FE_OFN0_wb_rst_i ),
+	.Y(\soc_top/FE_OFN6_wb_rst_i ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC5_wb_rst_i  (
+	.A(\soc_top/FE_OFN0_wb_rst_i ),
+	.Y(\soc_top/FE_OFN5_wb_rst_i ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC4_wb_rst_i  (
+	.A(\soc_top/FE_OFN0_wb_rst_i ),
+	.Y(\soc_top/FE_OFN4_wb_rst_i ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC3_wb_rst_i  (
+	.A(\soc_top/FE_OFN0_wb_rst_i ),
+	.Y(\soc_top/FE_OFN3_wb_rst_i ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC2_wb_rst_i  (
+	.A(wb_rst_i),
+	.X(\soc_top/FE_OFN2_wb_rst_i ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/FE_OFC1_wb_rst_i  (
+	.A(wb_rst_i),
+	.X(\soc_top/FE_OFN1_wb_rst_i ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/FE_OFC0_wb_rst_i  (
+	.A(wb_rst_i),
+	.Y(\soc_top/FE_OFN0_wb_rst_i ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 \soc_top/FE_DBTC13_wb_rst_i  (
+	.A(\soc_top/FE_OFN2_wb_rst_i ),
+	.Y(\soc_top/FE_DBTN13_wb_rst_i ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/FE_DBTC12_core_mem_reg_ins_11  (
+	.A(\soc_top/core_mem_reg_ins[11] ),
+	.Y(\soc_top/FE_DBTN12_core_mem_reg_ins_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/FE_DBTC11_core_id_reg_ins_11  (
+	.A(\soc_top/core_id_reg_ins[11] ),
+	.Y(\soc_top/FE_DBTN11_core_id_reg_ins_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/core_Execute_ForwardingUnit/FE_RC_7_0  (
+	.A_N(\soc_top/core_Execute_ForwardingUnit/n_25 ),
+	.B(\soc_top/core_Execute_ForwardingUnit/n_28 ),
+	.Y(\soc_top/core_Execute_ForwardingUnit/FE_RN_4_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_2 \soc_top/core_Execute_ForwardingUnit/FE_RC_6_0  (
+	.A(\soc_top/core_Execute_ForwardingUnit/n_23 ),
+	.B(\soc_top/core_Execute_ForwardingUnit/FE_RN_4_0 ),
+	.C(\soc_top/core_Execute_ForwardingUnit/n_43 ),
+	.Y(\soc_top/core_Execute_ForwardingUnit_io_forwardB[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/core_Execute_ForwardingUnit/g1967__2398  (
+	.A(\soc_top/core_Execute_ForwardingUnit/n_14 ),
+	.B(\soc_top/core_Execute_ForwardingUnit/n_12 ),
+	.C(\soc_top/core_Execute_ForwardingUnit/n_69 ),
+	.D(\soc_top/core_Execute_ForwardingUnit/n_22 ),
+	.Y(\soc_top/core_Execute_ForwardingUnit/n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \soc_top/core_Execute_ForwardingUnit/g1968__5107  (
+	.A_N(\soc_top/core_Execute_ForwardingUnit_io_forwardA[0] ),
+	.B(\soc_top/core_Execute_ForwardingUnit/n_16 ),
+	.C(\soc_top/core_Execute_ForwardingUnit/n_19 ),
+	.Y(\soc_top/core_Execute_ForwardingUnit/n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/core_Execute_ForwardingUnit/g1971  (
+	.A(\soc_top/core_Execute_ForwardingUnit/n_38 ),
+	.Y(\soc_top/core_Execute_ForwardingUnit_io_forwardB[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/core_Execute_ForwardingUnit/g1972__6260  (
+	.A(\soc_top/core_ex_reg_ctl_regWrite ),
+	.B(\soc_top/core_Execute_ForwardingUnit/n_35 ),
+	.Y(\soc_top/core_Execute_ForwardingUnit/n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \soc_top/core_Execute_ForwardingUnit/g1973__4319  (
+	.A(\soc_top/core_ex_reg_ctl_regWrite ),
+	.B(\soc_top/core_Execute_ForwardingUnit/n_32 ),
+	.C(\soc_top/core_Execute_ForwardingUnit/n_31 ),
+	.X(\soc_top/core_Execute_ForwardingUnit_io_forwardA[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_ForwardingUnit/g1974__8428  (
+	.A(\soc_top/core_Execute_ForwardingUnit/n_20 ),
+	.B(\soc_top/core_Execute_ForwardingUnit/n_33 ),
+	.Y(\soc_top/core_Execute_ForwardingUnit/n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/core_Execute_ForwardingUnit/g1975__5526  (
+	.A(\soc_top/core_Execute_ForwardingUnit/n_9 ),
+	.B(\soc_top/core_Execute_ForwardingUnit/n_27 ),
+	.C(\soc_top/core_Execute_ForwardingUnit/n_30 ),
+	.Y(\soc_top/core_Execute_ForwardingUnit/n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/core_Execute_ForwardingUnit/g1977__6783  (
+	.A(\soc_top/core_Execute_ForwardingUnit/n_18 ),
+	.B(\soc_top/core_Execute_ForwardingUnit/n_10 ),
+	.C(\soc_top/core_mem_reg_ctl_regWrite ),
+	.Y(\soc_top/core_Execute_ForwardingUnit/n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/core_Execute_ForwardingUnit/g1978__3680  (
+	.A(\soc_top/core_Execute_ForwardingUnit/n_17 ),
+	.B(\soc_top/core_Execute_ForwardingUnit/n_5 ),
+	.C(\soc_top/core_Execute_ForwardingUnit/n_27 ),
+	.Y(\soc_top/core_Execute_ForwardingUnit/n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/core_Execute_ForwardingUnit/g1979__1617  (
+	.A(\soc_top/core_Execute_ForwardingUnit/n_15 ),
+	.B(\soc_top/core_Execute_ForwardingUnit/n_11 ),
+	.C(\soc_top/core_Execute_ForwardingUnit/n_13 ),
+	.Y(\soc_top/core_Execute_ForwardingUnit/n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/core_Execute_ForwardingUnit/g1980__2802  (
+	.A(\soc_top/core_Execute_ForwardingUnit/n_7 ),
+	.B(\soc_top/core_Execute_ForwardingUnit/n_21 ),
+	.C(\soc_top/core_Execute_ForwardingUnit/n_6 ),
+	.D(\soc_top/core_Execute_ForwardingUnit/n_8 ),
+	.Y(\soc_top/core_Execute_ForwardingUnit/n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/core_Execute_ForwardingUnit/g1982__1705  (
+	.A(\soc_top/core_Execute_ForwardingUnit/n_4 ),
+	.B(\soc_top/core_Execute_ForwardingUnit/n_3 ),
+	.C(\soc_top/core_Execute_ForwardingUnit/n_1 ),
+	.Y(\soc_top/core_Execute_ForwardingUnit/n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_2 \soc_top/core_Execute_ForwardingUnit/g1984__5122  (
+	.A(\soc_top/core_ex_reg_ins[10] ),
+	.B(\soc_top/core_ex_reg_ins[11] ),
+	.C(\soc_top/core_Execute_ForwardingUnit/n_24 ),
+	.Y(\soc_top/core_Execute_ForwardingUnit/n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_ForwardingUnit/g1985__8246  (
+	.A1(\soc_top/core_Execute_ForwardingUnit/n_2 ),
+	.A2(\soc_top/core_mem_reg_ins[11] ),
+	.B1(\soc_top/core_mem_reg_ctl_regWrite ),
+	.Y(\soc_top/core_Execute_ForwardingUnit/n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_4 \soc_top/core_Execute_ForwardingUnit/g1986__7098  (
+	.A(\soc_top/core_ex_reg_ins[7] ),
+	.B(\soc_top/core_ex_reg_ins[8] ),
+	.C(\soc_top/core_ex_reg_ins[9] ),
+	.X(\soc_top/core_Execute_ForwardingUnit/n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 \soc_top/core_Execute_ForwardingUnit/g1987__6131  (
+	.A0(\soc_top/core_Execute_ForwardingUnit/n_1 ),
+	.A1(\soc_top/core_mem_reg_ins[9] ),
+	.S(\soc_top/core_id_reg_ins[22] ),
+	.Y(\soc_top/core_Execute_ForwardingUnit/n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top/core_Execute_ForwardingUnit/g1988__1881  (
+	.A1_N(\soc_top/core_id_reg_ins[23] ),
+	.A2_N(\soc_top/core_mem_reg_ins[10] ),
+	.B1(\soc_top/core_id_reg_ins[23] ),
+	.B2(\soc_top/core_mem_reg_ins[10] ),
+	.Y(\soc_top/core_Execute_ForwardingUnit/n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top/core_Execute_ForwardingUnit/g1989__5115  (
+	.A1_N(\soc_top/core_id_reg_ins[23] ),
+	.A2_N(\soc_top/core_ex_reg_ins[10] ),
+	.B1(\soc_top/core_id_reg_ins[23] ),
+	.B2(\soc_top/core_ex_reg_ins[10] ),
+	.Y(\soc_top/core_Execute_ForwardingUnit/n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top/core_Execute_ForwardingUnit/g1990__7482  (
+	.A1_N(\soc_top/core_id_reg_ins[15] ),
+	.A2_N(\soc_top/core_mem_reg_ins[7] ),
+	.B1(\soc_top/core_id_reg_ins[15] ),
+	.B2(\soc_top/core_mem_reg_ins[7] ),
+	.Y(\soc_top/core_Execute_ForwardingUnit/n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top/core_Execute_ForwardingUnit/g1991__4733  (
+	.A1_N(\soc_top/core_id_reg_ins[19] ),
+	.A2_N(\soc_top/core_mem_reg_ins[11] ),
+	.B1(\soc_top/core_id_reg_ins[19] ),
+	.B2(\soc_top/core_mem_reg_ins[11] ),
+	.Y(\soc_top/core_Execute_ForwardingUnit/n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_Execute_ForwardingUnit/g1992__6161  (
+	.A(\soc_top/core_id_reg_ins[16] ),
+	.B(\soc_top/core_mem_reg_ins[8] ),
+	.Y(\soc_top/core_Execute_ForwardingUnit/n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_ForwardingUnit/g1993__9315  (
+	.A(\soc_top/core_id_reg_ins[15] ),
+	.B(\soc_top/core_ex_reg_ins[7] ),
+	.X(\soc_top/core_Execute_ForwardingUnit/n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_Execute_ForwardingUnit/g1994__9945  (
+	.A(\soc_top/core_id_reg_ins[18] ),
+	.B(\soc_top/core_mem_reg_ins[10] ),
+	.Y(\soc_top/core_Execute_ForwardingUnit/n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_ForwardingUnit/g1995__2883  (
+	.A(\soc_top/core_id_reg_ins[18] ),
+	.B(\soc_top/core_ex_reg_ins[10] ),
+	.X(\soc_top/core_Execute_ForwardingUnit/n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_Execute_ForwardingUnit/g1996__2346  (
+	.A(\soc_top/core_id_reg_ins[21] ),
+	.B(\soc_top/core_mem_reg_ins[8] ),
+	.Y(\soc_top/core_Execute_ForwardingUnit/n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_ForwardingUnit/g1997__1666  (
+	.A(\soc_top/core_id_reg_ins[17] ),
+	.B(\soc_top/core_ex_reg_ins[9] ),
+	.X(\soc_top/core_Execute_ForwardingUnit/n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_Execute_ForwardingUnit/g1998__7410  (
+	.A(\soc_top/core_id_reg_ins[20] ),
+	.B(\soc_top/core_mem_reg_ins[7] ),
+	.Y(\soc_top/core_Execute_ForwardingUnit/n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_ForwardingUnit/g1999__6417  (
+	.A(\soc_top/core_id_reg_ins[19] ),
+	.B(\soc_top/core_ex_reg_ins[11] ),
+	.X(\soc_top/core_Execute_ForwardingUnit/n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_Execute_ForwardingUnit/g2000__5477  (
+	.A(\soc_top/core_id_reg_ins[17] ),
+	.B(\soc_top/core_mem_reg_ins[9] ),
+	.Y(\soc_top/core_Execute_ForwardingUnit/n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top/core_Execute_ForwardingUnit/g2001__2398  (
+	.A1_N(\soc_top/core_Execute_ForwardingUnit/n_2 ),
+	.A2_N(\soc_top/core_ex_reg_ins[11] ),
+	.B1(\soc_top/core_Execute_ForwardingUnit/n_2 ),
+	.B2(\soc_top/core_ex_reg_ins[11] ),
+	.Y(\soc_top/core_Execute_ForwardingUnit/n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_Execute_ForwardingUnit/g2002__5107  (
+	.A(\soc_top/core_id_reg_ins[20] ),
+	.B(\soc_top/core_ex_reg_ins[7] ),
+	.Y(\soc_top/core_Execute_ForwardingUnit/n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_Execute_ForwardingUnit/g2003__6260  (
+	.A(\soc_top/core_id_reg_ins[22] ),
+	.B(\soc_top/core_ex_reg_ins[9] ),
+	.Y(\soc_top/core_Execute_ForwardingUnit/n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_Execute_ForwardingUnit/g2004__4319  (
+	.A(\soc_top/core_id_reg_ins[21] ),
+	.B(\soc_top/core_ex_reg_ins[8] ),
+	.Y(\soc_top/core_Execute_ForwardingUnit/n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_ForwardingUnit/g2005__8428  (
+	.A(\soc_top/core_id_reg_ins[16] ),
+	.B(\soc_top/core_ex_reg_ins[8] ),
+	.X(\soc_top/core_Execute_ForwardingUnit/n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_ForwardingUnit/g2006__5526  (
+	.A(\soc_top/core_mem_reg_ins[8] ),
+	.B(\soc_top/core_mem_reg_ins[10] ),
+	.Y(\soc_top/core_Execute_ForwardingUnit/n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_ForwardingUnit/g2007__6783  (
+	.A(\soc_top/core_mem_reg_ins[7] ),
+	.B(\soc_top/core_mem_reg_ins[11] ),
+	.Y(\soc_top/core_Execute_ForwardingUnit/n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/core_Execute_ForwardingUnit/g2008  (
+	.A(\soc_top/core_id_reg_ins[24] ),
+	.Y(\soc_top/core_Execute_ForwardingUnit/n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/core_Execute_ForwardingUnit/g2009  (
+	.A(\soc_top/core_mem_reg_ins[9] ),
+	.Y(\soc_top/core_Execute_ForwardingUnit/n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 \soc_top/core_Execute_ForwardingUnit/g2__3680  (
+	.A1(\soc_top/core_id_reg_ins[24] ),
+	.A2(\soc_top/FE_DBTN12_core_mem_reg_ins_11 ),
+	.B1(\soc_top/core_Execute_ForwardingUnit/n_38 ),
+	.X(\soc_top/core_Execute_ForwardingUnit/n_69 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 \soc_top/core_Execute_ForwardingUnit/g2012__1617  (
+	.A_N(\soc_top/core_Execute_ForwardingUnit/n_42 ),
+	.B(\soc_top/core_Execute_ForwardingUnit/n_28 ),
+	.C(\soc_top/core_Execute_ForwardingUnit/n_36 ),
+	.X(\soc_top/core_Execute_ForwardingUnit_io_forwardA[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/core_Execute_aluCtl/FE_OFC452_core_id_reg_f3_2  (
+	.A(\soc_top/core_Execute_aluCtl/n_0 ),
+	.Y(\soc_top/core_Execute_aluCtl/FE_OFN5628_core_id_reg_f3_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/core_Execute_aluCtl/FE_OFC451_core_id_reg_f3_2  (
+	.A(\soc_top/core_id_reg_f3[2] ),
+	.Y(\soc_top/core_Execute_aluCtl/n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 \soc_top/core_Execute_aluCtl/g554__1705  (
+	.A1(\soc_top/core_Execute_aluCtl/n_2 ),
+	.A2(\soc_top/core_Execute_aluCtl/n_7 ),
+	.B1(\soc_top/core_Execute_aluCtl/n_4 ),
+	.C1(\soc_top/core_Execute_aluCtl/n_10 ),
+	.Y(\soc_top/core_Execute_aluCtl_io_out[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_aluCtl/g555__5122  (
+	.A(\soc_top/core_Execute_aluCtl/n_11 ),
+	.B(\soc_top/core_id_reg_ctl_aluOp[1] ),
+	.Y(\soc_top/core_Execute_aluCtl_io_out[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_aluCtl/g556__8246  (
+	.A1(\soc_top/core_Execute_aluCtl/n_3 ),
+	.A2(\soc_top/core_Execute_aluCtl/n_2 ),
+	.B1(\soc_top/core_Execute_aluCtl/n_8 ),
+	.Y(\soc_top/core_Execute_aluCtl_io_out[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/core_Execute_aluCtl/g557__7098  (
+	.A_N(\soc_top/core_id_reg_f3[1] ),
+	.B(\soc_top/core_Execute_aluCtl/n_6 ),
+	.Y(\soc_top/core_Execute_aluCtl/n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_aluCtl/g558__6131  (
+	.A(\soc_top/core_Execute_aluCtl/n_5 ),
+	.B(\soc_top/core_id_reg_f3[1] ),
+	.Y(\soc_top/core_Execute_aluCtl/n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_aluCtl/g559__1881  (
+	.A(\soc_top/core_Execute_aluCtl/n_2 ),
+	.B(\soc_top/core_Execute_aluCtl/n_6 ),
+	.Y(\soc_top/core_Execute_aluCtl_io_out[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 \soc_top/core_Execute_aluCtl/g560__5115  (
+	.A1(\soc_top/core_Execute_aluCtl/n_0 ),
+	.A2(\soc_top/core_id_reg_ctl_aluOp[1] ),
+	.A3(\soc_top/core_id_reg_f3[1] ),
+	.B1(\soc_top/core_Execute_aluCtl/n_5 ),
+	.Y(\soc_top/core_Execute_aluCtl/n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 \soc_top/core_Execute_aluCtl/g561__7482  (
+	.A1(\soc_top/core_id_reg_f3[0] ),
+	.A2(\soc_top/core_Execute_aluCtl/n_1 ),
+	.B1(\soc_top/core_id_reg_f7 ),
+	.B2(\soc_top/core_Execute_aluCtl/n_0 ),
+	.X(\soc_top/core_Execute_aluCtl/n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_aluCtl/g562__4733  (
+	.A(\soc_top/core_Execute_aluCtl/n_3 ),
+	.B(\soc_top/core_Execute_aluCtl/FE_OFN5628_core_id_reg_f3_2 ),
+	.Y(\soc_top/core_Execute_aluCtl/n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \soc_top/core_Execute_aluCtl/g563__6161  (
+	.A_N(\soc_top/core_id_reg_f3[0] ),
+	.B(\soc_top/core_Execute_aluCtl/FE_OFN5628_core_id_reg_f3_2 ),
+	.C(\soc_top/core_id_reg_ctl_aluOp[1] ),
+	.Y(\soc_top/core_Execute_aluCtl/n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \soc_top/core_Execute_aluCtl/g564__9315  (
+	.A(\soc_top/core_Execute_aluCtl/n_0 ),
+	.B(\soc_top/core_id_reg_ctl_aluOp[1] ),
+	.C(\soc_top/core_id_reg_f3[0] ),
+	.X(\soc_top/core_Execute_aluCtl/n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/core_Execute_aluCtl/g565__9945  (
+	.A_N(\soc_top/core_id_reg_f7 ),
+	.B(\soc_top/core_id_reg_f3[0] ),
+	.Y(\soc_top/core_Execute_aluCtl/n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_aluCtl/g566__2883  (
+	.A(\soc_top/core_id_reg_f7 ),
+	.B(\soc_top/core_id_reg_ctl_aluSrc ),
+	.Y(\soc_top/core_Execute_aluCtl/n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/core_Execute_aluCtl/g567__2346  (
+	.A_N(\soc_top/core_id_reg_f3[1] ),
+	.B(\soc_top/core_id_reg_ctl_aluOp[1] ),
+	.Y(\soc_top/core_Execute_aluCtl/n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/core_InstructionDecode_control/FE_OFC84_core_InstructionDecode_control_io_branch  (
+	.A(\soc_top/core_InstructionDecode_control/FE_OFN84_core_InstructionDecode_control_io_branch ),
+	.X(\soc_top/core_InstructionDecode_control_io_branch ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 \soc_top/core_InstructionDecode_control/g1013__1666  (
+	.A1(\soc_top/core_if_reg_ins[5] ),
+	.A2(\soc_top/core_InstructionDecode_control/n_10 ),
+	.B1(\soc_top/core_InstructionDecode_control/n_11 ),
+	.C1(\soc_top/core_InstructionDecode_control/n_48 ),
+	.Y(\soc_top/core_InstructionDecode_control_io_regWrite ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/core_InstructionDecode_control/g1014__7410  (
+	.A1(\soc_top/core_InstructionDecode_io_ctl_aluOp[1] ),
+	.A2(\soc_top/core_if_reg_ins[5] ),
+	.B1(\soc_top/core_InstructionDecode_control_io_branch ),
+	.X(\soc_top/core_InstructionDecode_io_ctl_aluSrc ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_InstructionDecode_control/g1015__6417  (
+	.A(\soc_top/core_if_reg_ins[5] ),
+	.B(\soc_top/core_InstructionDecode_control/n_15 ),
+	.Y(\soc_top/core_InstructionDecode_io_ctl_aluSrc1[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/core_InstructionDecode_control/g1016__5477  (
+	.A(\soc_top/core_InstructionDecode_control/n_13 ),
+	.B(\soc_top/core_if_reg_ins[5] ),
+	.X(\soc_top/core_InstructionDecode_control_io_memWrite ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_InstructionDecode_control/g1017__2398  (
+	.A(\soc_top/core_if_reg_ins[5] ),
+	.B_N(\soc_top/core_InstructionDecode_control/n_13 ),
+	.Y(\soc_top/core_InstructionDecode_io_ctl_memToReg[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/core_InstructionDecode_control/g1019__5107  (
+	.A_N(\soc_top/core_InstructionDecode_control/n_11 ),
+	.B(\soc_top/core_if_reg_ins[2] ),
+	.Y(\soc_top/core_InstructionDecode_control/n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_InstructionDecode_control/g1020__6260  (
+	.A(\soc_top/core_InstructionDecode_control/n_10 ),
+	.B_N(\soc_top/core_if_reg_ins[4] ),
+	.Y(\soc_top/core_InstructionDecode_io_ctl_aluOp[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_InstructionDecode_control/g1021__4319  (
+	.A(\soc_top/core_InstructionDecode_control/n_48 ),
+	.B_N(\soc_top/core_if_reg_ins[3] ),
+	.Y(\soc_top/core_InstructionDecode_control_io_jump[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_InstructionDecode_control/g1022__8428  (
+	.A(\soc_top/core_if_reg_ins[4] ),
+	.B(\soc_top/core_InstructionDecode_control/n_10 ),
+	.Y(\soc_top/core_InstructionDecode_control/n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_control/g1023__5526  (
+	.A(\soc_top/core_InstructionDecode_control/n_5 ),
+	.B(\soc_top/core_if_reg_ins[4] ),
+	.Y(\soc_top/core_InstructionDecode_control/n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/core_InstructionDecode_control/g1024__6783  (
+	.A_N(\soc_top/core_if_reg_ins[2] ),
+	.B(\soc_top/core_InstructionDecode_control/n_5 ),
+	.Y(\soc_top/core_InstructionDecode_control/n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/core_InstructionDecode_control/g1025  (
+	.A(\soc_top/core_InstructionDecode_control/n_48 ),
+	.Y(\soc_top/core_InstructionDecode_io_ctl_memToReg[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_InstructionDecode_control/g1026__3680  (
+	.A(\soc_top/core_InstructionDecode_control/n_1 ),
+	.B(\soc_top/core_InstructionDecode_control/n_3 ),
+	.Y(\soc_top/core_InstructionDecode_control_io_jump[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/core_InstructionDecode_control/g1027__1617  (
+	.A(\soc_top/core_if_reg_ins[2] ),
+	.B(\soc_top/core_InstructionDecode_control/n_2 ),
+	.C(\soc_top/core_InstructionDecode_control/n_1 ),
+	.Y(\soc_top/core_InstructionDecode_control/FE_OFN84_core_InstructionDecode_control_io_branch ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_InstructionDecode_control/g1029__2802  (
+	.A(\soc_top/core_if_reg_ins[6] ),
+	.B(\soc_top/core_InstructionDecode_control/n_1 ),
+	.Y(\soc_top/core_InstructionDecode_control/n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/core_InstructionDecode_control/g1031__1705  (
+	.A_N(\soc_top/core_InstructionDecode_control/n_2 ),
+	.B(\soc_top/core_if_reg_ins[2] ),
+	.Y(\soc_top/core_InstructionDecode_control/n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \soc_top/core_InstructionDecode_control/g1032__5122  (
+	.A_N(\soc_top/core_if_reg_ins[4] ),
+	.B(\soc_top/core_if_reg_ins[5] ),
+	.C(\soc_top/core_if_reg_ins[6] ),
+	.Y(\soc_top/core_InstructionDecode_control/n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \soc_top/core_InstructionDecode_control/g1033__8246  (
+	.A_N(\soc_top/core_if_reg_ins[3] ),
+	.B(\soc_top/core_if_reg_ins[0] ),
+	.C(\soc_top/core_if_reg_ins[1] ),
+	.Y(\soc_top/core_InstructionDecode_control/n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \soc_top/core_InstructionDecode_control/g2__7098  (
+	.A_N(\soc_top/core_InstructionDecode_control/n_3 ),
+	.B(\soc_top/core_if_reg_ins[1] ),
+	.C(\soc_top/core_if_reg_ins[0] ),
+	.Y(\soc_top/core_InstructionDecode_control/n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_InstructionDecode_control/g1035__6131  (
+	.A(\soc_top/core_InstructionDecode_control/n_15 ),
+	.B_N(\soc_top/core_if_reg_ins[5] ),
+	.Y(\soc_top/core_InstructionDecode_io_ctl_aluSrc1[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 \soc_top/core_InstructionDecode_hdu/g1756__1881  (
+	.A1(\soc_top/core_InstructionDecode_hdu/n_41 ),
+	.A2(\soc_top/core_InstructionDecode_hdu/n_37 ),
+	.A3(\soc_top/core_InstructionDecode_hdu/n_38 ),
+	.B1(\soc_top/core_id_reg_ctl_memRead ),
+	.B2(\soc_top/core_InstructionDecode_control_io_branch ),
+	.Y(\soc_top/core_InstructionDecode_io_hdu_pcWrite ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/core_InstructionDecode_hdu/g1757__5115  (
+	.A1(\soc_top/core_InstructionDecode_hdu/n_40 ),
+	.A2(\soc_top/core_InstructionDecode_hdu/n_39 ),
+	.B1(\soc_top/core_InstructionDecode_io_id_ex_branch ),
+	.Y(\soc_top/core_InstructionDecode_hdu/n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top/core_InstructionDecode_hdu/g1758__7482  (
+	.A1(\soc_top/FE_OFN52_core_if_reg_ins_15 ),
+	.A2(\soc_top/core_InstructionDecode_hdu/n_33 ),
+	.B1(\soc_top/core_InstructionDecode_hdu/n_24 ),
+	.C1(\soc_top/core_InstructionDecode_hdu/n_28 ),
+	.D1(\soc_top/core_InstructionDecode_hdu/n_30 ),
+	.Y(\soc_top/core_InstructionDecode_hdu/n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top/core_InstructionDecode_hdu/g1759__4733  (
+	.A1(\soc_top/FE_OFN47_core_if_reg_ins_20 ),
+	.A2(\soc_top/core_InstructionDecode_hdu/n_32 ),
+	.B1(\soc_top/core_InstructionDecode_hdu/n_22 ),
+	.C1(\soc_top/core_InstructionDecode_hdu/n_29 ),
+	.D1(\soc_top/core_InstructionDecode_hdu/n_31 ),
+	.Y(\soc_top/core_InstructionDecode_hdu/n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/core_InstructionDecode_hdu/g1760__6161  (
+	.A1(\soc_top/FE_DBTN3_core_if_reg_ins_15 ),
+	.A2(\soc_top/core_ex_reg_ins[7] ),
+	.B1(\soc_top/FE_DBTN5_core_if_reg_ins_17 ),
+	.B2(\soc_top/FE_OFN6740_core_ex_reg_ins_9 ),
+	.C1(\soc_top/core_InstructionDecode_hdu/n_36 ),
+	.Y(\soc_top/core_InstructionDecode_hdu/n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/core_InstructionDecode_hdu/g1761__9315  (
+	.A(\soc_top/core_InstructionDecode_hdu/n_23 ),
+	.B(\soc_top/core_InstructionDecode_hdu/n_34 ),
+	.C(\soc_top/core_InstructionDecode_hdu/n_13 ),
+	.Y(\soc_top/core_InstructionDecode_hdu/n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top/core_InstructionDecode_hdu/g1762__9945  (
+	.A1(\soc_top/core_ex_reg_ins[7] ),
+	.A2(\soc_top/FE_DBTN3_core_if_reg_ins_15 ),
+	.B1(\soc_top/core_InstructionDecode_hdu/n_11 ),
+	.C1(\soc_top/core_InstructionDecode_hdu/n_18 ),
+	.D1(\soc_top/core_InstructionDecode_hdu/n_35 ),
+	.Y(\soc_top/core_InstructionDecode_hdu/n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/core_InstructionDecode_hdu/g1763__2883  (
+	.A(\soc_top/core_InstructionDecode_hdu/n_26 ),
+	.B(\soc_top/core_InstructionDecode_hdu/n_17 ),
+	.C(\soc_top/core_InstructionDecode_hdu/n_13 ),
+	.Y(\soc_top/core_InstructionDecode_hdu/n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/core_InstructionDecode_hdu/g1764__2346  (
+	.A(\soc_top/core_InstructionDecode_hdu/n_15 ),
+	.B(\soc_top/core_InstructionDecode_hdu/n_19 ),
+	.C(\soc_top/core_InstructionDecode_hdu/n_16 ),
+	.D(\soc_top/core_InstructionDecode_hdu/n_20 ),
+	.Y(\soc_top/core_InstructionDecode_hdu/n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_InstructionDecode_hdu/g1765__1666  (
+	.A(\soc_top/core_id_reg_ins[7] ),
+	.B(\soc_top/core_InstructionDecode_hdu/n_14 ),
+	.Y(\soc_top/core_InstructionDecode_hdu/n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_InstructionDecode_hdu/g1766__7410  (
+	.A(\soc_top/core_id_reg_ins[7] ),
+	.B(\soc_top/core_InstructionDecode_hdu/n_27 ),
+	.Y(\soc_top/core_InstructionDecode_hdu/n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/core_InstructionDecode_hdu/g1767__6417  (
+	.A1(\soc_top/FE_DBTN9_core_if_reg_ins_22 ),
+	.A2(\soc_top/core_id_reg_ins[9] ),
+	.B1(\soc_top/FE_DBTN10_core_if_reg_ins_24 ),
+	.B2(\soc_top/core_id_reg_ins[11] ),
+	.C1(\soc_top/core_InstructionDecode_hdu/n_25 ),
+	.Y(\soc_top/core_InstructionDecode_hdu/n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/core_InstructionDecode_hdu/g1768__5477  (
+	.A1(\soc_top/FE_DBTN4_core_if_reg_ins_16 ),
+	.A2(\soc_top/core_id_reg_ins[8] ),
+	.B1(\soc_top/FE_DBTN5_core_if_reg_ins_17 ),
+	.B2(\soc_top/core_id_reg_ins[9] ),
+	.C1(\soc_top/core_InstructionDecode_hdu/n_21 ),
+	.Y(\soc_top/core_InstructionDecode_hdu/n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 \soc_top/core_InstructionDecode_hdu/g1769__2398  (
+	.A1(\soc_top/core_id_reg_ins[9] ),
+	.A2(\soc_top/FE_DBTN9_core_if_reg_ins_22 ),
+	.B1(\soc_top/core_id_reg_ins[8] ),
+	.B2(\soc_top/FE_DBTN8_core_if_reg_ins_21 ),
+	.C1(\soc_top/core_InstructionDecode_hdu/n_12 ),
+	.X(\soc_top/core_InstructionDecode_hdu/n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/core_InstructionDecode_hdu/g1770__5107  (
+	.A1(\soc_top/FE_OFN51_core_if_reg_ins_16 ),
+	.A2(\soc_top/core_InstructionDecode_hdu/n_10 ),
+	.B1(\soc_top/FE_OFN49_core_if_reg_ins_18 ),
+	.B2(\soc_top/core_InstructionDecode_hdu/n_1 ),
+	.C1(\soc_top/FE_DBTN11_core_id_reg_ins_11 ),
+	.C2(\soc_top/FE_OFN48_core_if_reg_ins_19 ),
+	.Y(\soc_top/core_InstructionDecode_hdu/n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \soc_top/core_InstructionDecode_hdu/g1771__6260  (
+	.A(\soc_top/core_id_reg_ins[10] ),
+	.B(\soc_top/FE_OFN46_core_if_reg_ins_21 ),
+	.C(\soc_top/core_id_reg_ins[11] ),
+	.D(\soc_top/FE_OFN45_core_if_reg_ins_22 ),
+	.Y(\soc_top/core_InstructionDecode_hdu/n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_InstructionDecode_hdu/g1772__4319  (
+	.A1(\soc_top/FE_OFN6740_core_ex_reg_ins_9 ),
+	.A2(\soc_top/FE_DBTN5_core_if_reg_ins_17 ),
+	.B1(\soc_top/core_ex_reg_ins[11] ),
+	.B2(\soc_top/FE_DBTN6_core_if_reg_ins_19 ),
+	.Y(\soc_top/core_InstructionDecode_hdu/n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_InstructionDecode_hdu/g1773__8428  (
+	.A1(\soc_top/FE_OFN44_core_if_reg_ins_23 ),
+	.A2(\soc_top/core_InstructionDecode_hdu/n_1 ),
+	.B1(\soc_top/core_id_reg_ins[7] ),
+	.B2(\soc_top/FE_DBTN7_core_if_reg_ins_20 ),
+	.Y(\soc_top/core_InstructionDecode_hdu/n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 \soc_top/core_InstructionDecode_hdu/g1774__5526  (
+	.A1(\soc_top/FE_OFN49_core_if_reg_ins_18 ),
+	.A2(\soc_top/core_InstructionDecode_hdu/n_1 ),
+	.B1(\soc_top/core_id_reg_ins[9] ),
+	.B2(\soc_top/FE_DBTN5_core_if_reg_ins_17 ),
+	.X(\soc_top/core_InstructionDecode_hdu/n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top/core_InstructionDecode_hdu/g1775__6783  (
+	.A1_N(\soc_top/FE_OFN6740_core_ex_reg_ins_9 ),
+	.A2_N(\soc_top/FE_OFN45_core_if_reg_ins_22 ),
+	.B1(\soc_top/FE_OFN6740_core_ex_reg_ins_9 ),
+	.B2(\soc_top/FE_OFN45_core_if_reg_ins_22 ),
+	.Y(\soc_top/core_InstructionDecode_hdu/n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_InstructionDecode_hdu/g1776__3680  (
+	.A1(\soc_top/FE_DBTN8_core_if_reg_ins_21 ),
+	.A2(\soc_top/core_id_reg_ins[8] ),
+	.B1(\soc_top/FE_DBTN11_core_id_reg_ins_11 ),
+	.B2(\soc_top/FE_OFN43_core_if_reg_ins_24 ),
+	.Y(\soc_top/core_InstructionDecode_hdu/n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_InstructionDecode_hdu/g1777__1617  (
+	.A1(\soc_top/FE_OFN48_core_if_reg_ins_19 ),
+	.A2(\soc_top/FE_DBTN11_core_id_reg_ins_11 ),
+	.B1(\soc_top/core_id_reg_ins[7] ),
+	.B2(\soc_top/FE_DBTN3_core_if_reg_ins_15 ),
+	.Y(\soc_top/core_InstructionDecode_hdu/n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_InstructionDecode_hdu/g1778__2802  (
+	.A(\soc_top/core_ex_reg_ins[10] ),
+	.B(\soc_top/FE_OFN44_core_if_reg_ins_23 ),
+	.Y(\soc_top/core_InstructionDecode_hdu/n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_InstructionDecode_hdu/g1779__1705  (
+	.A(\soc_top/core_ex_reg_ins[8] ),
+	.B(\soc_top/FE_OFN46_core_if_reg_ins_21 ),
+	.Y(\soc_top/core_InstructionDecode_hdu/n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_InstructionDecode_hdu/g1780__5122  (
+	.A(\soc_top/core_ex_reg_ins[10] ),
+	.B(\soc_top/FE_OFN49_core_if_reg_ins_18 ),
+	.Y(\soc_top/core_InstructionDecode_hdu/n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top/core_InstructionDecode_hdu/g1781__8246  (
+	.A1_N(\soc_top/core_ex_reg_ins[8] ),
+	.A2_N(\soc_top/FE_DBTN4_core_if_reg_ins_16 ),
+	.B1(\soc_top/core_ex_reg_ins[8] ),
+	.B2(\soc_top/FE_DBTN4_core_if_reg_ins_16 ),
+	.Y(\soc_top/core_InstructionDecode_hdu/n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_InstructionDecode_hdu/g1782__7098  (
+	.A(\soc_top/core_ex_reg_ins[7] ),
+	.B(\soc_top/FE_OFN47_core_if_reg_ins_20 ),
+	.Y(\soc_top/core_InstructionDecode_hdu/n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_InstructionDecode_hdu/g1783__6131  (
+	.A(\soc_top/core_ex_reg_ins[11] ),
+	.B(\soc_top/FE_OFN43_core_if_reg_ins_24 ),
+	.Y(\soc_top/core_InstructionDecode_hdu/n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \soc_top/core_InstructionDecode_hdu/g1784__1881  (
+	.A(\soc_top/FE_OFN50_core_if_reg_ins_17 ),
+	.B(\soc_top/FE_OFN51_core_if_reg_ins_16 ),
+	.C(\soc_top/core_id_reg_ins[11] ),
+	.D(\soc_top/FE_OFN49_core_if_reg_ins_18 ),
+	.Y(\soc_top/core_InstructionDecode_hdu/n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_hdu/g1785__5115  (
+	.A(\soc_top/core_InstructionDecode_control_io_branch ),
+	.B(\soc_top/core_ex_reg_ctl_memRead ),
+	.Y(\soc_top/core_InstructionDecode_hdu/n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_hdu/g1786__7482  (
+	.A(\soc_top/core_InstructionDecode_hdu/n_1 ),
+	.B(\soc_top/FE_OFN44_core_if_reg_ins_23 ),
+	.Y(\soc_top/core_InstructionDecode_hdu/n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_hdu/g1787__4733  (
+	.A(\soc_top/FE_DBTN6_core_if_reg_ins_19 ),
+	.B(\soc_top/core_ex_reg_ins[11] ),
+	.Y(\soc_top/core_InstructionDecode_hdu/n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/core_InstructionDecode_hdu/g1788  (
+	.A(\soc_top/core_id_reg_ins[8] ),
+	.Y(\soc_top/core_InstructionDecode_hdu/n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/core_InstructionDecode_hdu/g1797  (
+	.A(\soc_top/core_id_reg_ins[10] ),
+	.Y(\soc_top/core_InstructionDecode_hdu/n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 \soc_top/core_InstructionDecode_hdu/g961__6161  (
+	.A(\soc_top/core_InstructionDecode_control_io_jump[1] ),
+	.B(\soc_top/core_InstructionDecode_control_io_jump[0] ),
+	.C(\soc_top/core_InstructionDecode_bu_io_taken ),
+	.X(\soc_top/core_InstructionDecode_io_ifid_flush ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 \soc_top/dmem/FE_OFC798_FE_OFN6731_FE_OFN6690_FE_OFN160_gen_dmem_slave_io_wbMasterReceiver_bits_sel_1  (
+	.A(\soc_top/dmem/FE_OFN6731_FE_OFN6690_FE_OFN160_gen_dmem_slave_io_wbMasterReceiver_bits_sel_1 ),
+	.X(\soc_top/dmem/FE_OFN6760_FE_OFN6731_FE_OFN6690_FE_OFN160_gen_dmem_slave_io_wbMasterReceiver_bits_sel_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 \soc_top/dmem/FE_OFC797_FE_OFN6727_FE_OFN6688_FE_OFN5599_n  (
+	.A(\soc_top/dmem/FE_OFN6727_FE_OFN6688_FE_OFN5599_n ),
+	.X(\soc_top/dmem/FE_OFN6759_FE_OFN6727_FE_OFN6688_FE_OFN5599_n ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 \soc_top/dmem/FE_OFC796_FE_OFN6730_FE_OFN6692_FE_OFN5597_n  (
+	.A(\soc_top/dmem/FE_OFN6730_FE_OFN6692_FE_OFN5597_n ),
+	.X(\soc_top/dmem/FE_OFN6758_FE_OFN6730_FE_OFN6692_FE_OFN5597_n ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 \soc_top/dmem/FE_OFC795_FE_OFN6723_FE_OFN6687_FE_OFN5594_n  (
+	.A(\soc_top/dmem/FE_OFN6723_FE_OFN6687_FE_OFN5594_n ),
+	.X(\soc_top/dmem/FE_OFN6757_FE_OFN6723_FE_OFN6687_FE_OFN5594_n ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 \soc_top/dmem/FE_OFC794_FE_OFN6729_FE_OFN6689_FE_OFN5596_n  (
+	.A(\soc_top/dmem/FE_OFN6729_FE_OFN6689_FE_OFN5596_n ),
+	.X(\soc_top/dmem/FE_OFN6756_FE_OFN6729_FE_OFN6689_FE_OFN5596_n ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 \soc_top/dmem/FE_OFC793_FE_OFN6725_FE_OFN6686_FE_OFN161_switch_io_devOut_0_bits_sel_0  (
+	.A(\soc_top/dmem/FE_OFN6725_FE_OFN6686_FE_OFN161_switch_io_devOut_0_bits_sel_0 ),
+	.X(\soc_top/dmem/FE_OFN6755_FE_OFN6725_FE_OFN6686_FE_OFN161_switch_io_devOut_0_bits_sel_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 \soc_top/dmem/FE_OFC792_FE_OFN6724_FE_OFN6685_FE_OFN198_n_2784  (
+	.A(\soc_top/dmem/FE_OFN6724_FE_OFN6685_FE_OFN198_n_2784 ),
+	.X(\soc_top/dmem/FE_OFN6754_FE_OFN6724_FE_OFN6685_FE_OFN198_n_2784 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 \soc_top/dmem/FE_OFC787_FE_OFN6715_FE_OFN6677_FE_OFN5601_n  (
+	.A(\soc_top/dmem/FE_OFN6715_FE_OFN6677_FE_OFN5601_n ),
+	.X(\soc_top/dmem/FE_OFN6749_FE_OFN6715_FE_OFN6677_FE_OFN5601_n ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 \soc_top/dmem/FE_OFC786_FE_OFN6714_FE_OFN6676_FE_OFN5602_n  (
+	.A(\soc_top/dmem/FE_OFN6714_FE_OFN6676_FE_OFN5602_n ),
+	.X(\soc_top/dmem/FE_OFN6748_FE_OFN6714_FE_OFN6676_FE_OFN5602_n ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 \soc_top/dmem/FE_OFC784_FE_OFN6711_FE_OFN6675_FE_OFN143_gen_dmem_slave_io_wbMasterReceiver_bits_adr_7  (
+	.A(\soc_top/dmem/FE_OFN6711_FE_OFN6675_FE_OFN143_gen_dmem_slave_io_wbMasterReceiver_bits_adr_7 ),
+	.X(\soc_top/dmem/FE_OFN6746_FE_OFN6711_FE_OFN6675_FE_OFN143_gen_dmem_slave_io_wbMasterReceiver_bits_adr_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 \soc_top/dmem/FE_OFC781_FE_OFN6712_FE_OFN6673_FE_OFN5600_n  (
+	.A(\soc_top/dmem/FE_OFN6712_FE_OFN6673_FE_OFN5600_n ),
+	.X(\soc_top/dmem/FE_OFN6743_FE_OFN6712_FE_OFN6673_FE_OFN5600_n ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 \soc_top/dmem/FE_OFC780_FE_OFN6709_FE_OFN6672_FE_OFN147_gen_dmem_slave_io_wbMasterReceiver_bits_adr_1  (
+	.A(\soc_top/dmem/FE_OFN6709_FE_OFN6672_FE_OFN147_gen_dmem_slave_io_wbMasterReceiver_bits_adr_1 ),
+	.X(\soc_top/dmem/FE_OFN6742_FE_OFN6709_FE_OFN6672_FE_OFN147_gen_dmem_slave_io_wbMasterReceiver_bits_adr_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 \soc_top/dmem/FE_OFC758_FE_OFN6690_FE_OFN160_gen_dmem_slave_io_wbMasterReceiver_bits_sel_1  (
+	.A(\soc_top/gen_dmem_slave_io_wbMasterReceiver_bits_sel[1] ),
+	.X(\soc_top/dmem/FE_OFN6731_FE_OFN6690_FE_OFN160_gen_dmem_slave_io_wbMasterReceiver_bits_sel_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 \soc_top/dmem/FE_OFC757_FE_OFN6692_FE_OFN5597_n  (
+	.A(\soc_top/gen_dmem_slave_io_wbMasterReceiver_bits_sel[3] ),
+	.X(\soc_top/dmem/FE_OFN6730_FE_OFN6692_FE_OFN5597_n ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 \soc_top/dmem/FE_OFC756_FE_OFN6689_FE_OFN5596_n  (
+	.A(\soc_top/gen_dmem_slave_io_wbMasterReceiver_bits_sel[2] ),
+	.X(\soc_top/dmem/FE_OFN6729_FE_OFN6689_FE_OFN5596_n ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 \soc_top/dmem/FE_OFC754_FE_OFN6688_FE_OFN5599_n  (
+	.A(\soc_top/switch_io_devOut_0_bits_adr[0] ),
+	.X(\soc_top/dmem/FE_OFN6727_FE_OFN6688_FE_OFN5599_n ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 \soc_top/dmem/FE_OFC752_FE_OFN6686_FE_OFN161_switch_io_devOut_0_bits_sel_0  (
+	.A(\soc_top/switch_io_devOut_0_bits_sel[0] ),
+	.X(\soc_top/dmem/FE_OFN6725_FE_OFN6686_FE_OFN161_switch_io_devOut_0_bits_sel_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 \soc_top/dmem/FE_OFC751_FE_OFN6685_FE_OFN198_n_2784  (
+	.A(\soc_top/n_2784 ),
+	.X(\soc_top/dmem/FE_OFN6724_FE_OFN6685_FE_OFN198_n_2784 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 \soc_top/dmem/FE_OFC750_FE_OFN6687_FE_OFN5594_n  (
+	.A(\soc_top/n_5593 ),
+	.X(\soc_top/dmem/FE_OFN6723_FE_OFN6687_FE_OFN5594_n ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 \soc_top/dmem/FE_OFC742_FE_OFN6677_FE_OFN5601_n  (
+	.A(\soc_top/gen_dmem_slave_io_wbMasterReceiver_bits_adr[4] ),
+	.X(\soc_top/dmem/FE_OFN6715_FE_OFN6677_FE_OFN5601_n ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 \soc_top/dmem/FE_OFC741_FE_OFN6676_FE_OFN5602_n  (
+	.A(\soc_top/gen_dmem_slave_io_wbMasterReceiver_bits_adr[6] ),
+	.X(\soc_top/dmem/FE_OFN6714_FE_OFN6676_FE_OFN5602_n ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 \soc_top/dmem/FE_OFC739_FE_OFN6673_FE_OFN5600_n  (
+	.A(\soc_top/gen_dmem_slave_io_wbMasterReceiver_bits_adr[3] ),
+	.X(\soc_top/dmem/FE_OFN6712_FE_OFN6673_FE_OFN5600_n ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 \soc_top/dmem/FE_OFC738_FE_OFN6675_FE_OFN143_gen_dmem_slave_io_wbMasterReceiver_bits_adr_7  (
+	.A(\soc_top/gen_dmem_slave_io_wbMasterReceiver_bits_adr[7] ),
+	.X(\soc_top/dmem/FE_OFN6711_FE_OFN6675_FE_OFN143_gen_dmem_slave_io_wbMasterReceiver_bits_adr_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 \soc_top/dmem/FE_OFC736_FE_OFN6672_FE_OFN147_gen_dmem_slave_io_wbMasterReceiver_bits_adr_1  (
+	.A(\soc_top/gen_dmem_slave_io_wbMasterReceiver_bits_adr[1] ),
+	.X(\soc_top/dmem/FE_OFN6709_FE_OFN6672_FE_OFN147_gen_dmem_slave_io_wbMasterReceiver_bits_adr_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/dmem/FE_OFC726_FE_OFN207_n_5558  (
+	.A(\soc_top/n_5558 ),
+	.X(\soc_top/dmem/FE_OFN6699_FE_OFN207_n_5558 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/dmem/FE_OFC724_FE_OFN212_n_5563  (
+	.A(\soc_top/n_5563 ),
+	.X(\soc_top/dmem/FE_OFN6697_FE_OFN212_n_5563 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/dmem/FE_OFC721_FE_OFN208_n_5559  (
+	.A(\soc_top/n_5559 ),
+	.X(\soc_top/dmem/FE_OFN6694_FE_OFN208_n_5559 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/dmem/FE_OFC720_FE_OFN210_n_5561  (
+	.A(\soc_top/n_5561 ),
+	.X(\soc_top/dmem/FE_OFN6693_FE_OFN210_n_5561 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/dmem/FE_OFC701_FE_OFN233_n_5582  (
+	.A(\soc_top/n_5582 ),
+	.X(\soc_top/dmem/FE_OFN6674_FE_OFN233_n_5582 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/dmem/FE_OFC698_FE_OFN214_n_5565  (
+	.A(\soc_top/n_5565 ),
+	.X(\soc_top/dmem/FE_OFN6671_FE_OFN214_n_5565 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/dmem/FE_OFC697_FE_OFN209_n_5560  (
+	.A(\soc_top/n_5560 ),
+	.X(\soc_top/dmem/FE_OFN6670_FE_OFN209_n_5560 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/dmem/FE_OFC696_FE_OFN239_n_5588  (
+	.A(\soc_top/n_5588 ),
+	.X(\soc_top/dmem/FE_OFN6669_FE_OFN239_n_5588 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 \soc_top/dmem/FE_OFC310_n_5562  (
+	.A(\soc_top/n_5562 ),
+	.X(\soc_top/dmem/FE_OFN5595_n ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/dmem/FE_OFC250_sram_web0  (
+	.A(\soc_top/dmem/sram_web0 ),
+	.X(\soc_top/dmem/FE_OFN250_sram_web0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/dmem/FE_OFC240_n_5589  (
+	.A(\soc_top/n_5589 ),
+	.X(\soc_top/dmem/FE_OFN240_n_5589 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/dmem/FE_OFC238_n_5587  (
+	.A(\soc_top/n_5587 ),
+	.X(\soc_top/dmem/FE_OFN238_n_5587 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/dmem/FE_OFC236_n_5585  (
+	.A(\soc_top/n_5585 ),
+	.X(\soc_top/dmem/FE_OFN236_n_5585 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/dmem/FE_OFC235_n_5584  (
+	.A(\soc_top/n_5584 ),
+	.X(\soc_top/dmem/FE_OFN235_n_5584 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/dmem/FE_OFC234_n_5583  (
+	.A(\soc_top/n_5583 ),
+	.X(\soc_top/dmem/FE_OFN234_n_5583 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/dmem/FE_OFC232_n_5581  (
+	.A(\soc_top/n_5581 ),
+	.X(\soc_top/dmem/FE_OFN232_n_5581 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/dmem/FE_OFC231_n_5580  (
+	.A(\soc_top/n_5580 ),
+	.X(\soc_top/dmem/FE_OFN231_n_5580 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/dmem/FE_OFC230_n_5579  (
+	.A(\soc_top/n_5579 ),
+	.X(\soc_top/dmem/FE_OFN230_n_5579 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/dmem/FE_OFC229_n_5578  (
+	.A(\soc_top/n_5578 ),
+	.X(\soc_top/dmem/FE_OFN229_n_5578 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/dmem/FE_OFC228_n_5577  (
+	.A(\soc_top/n_5577 ),
+	.X(\soc_top/dmem/FE_OFN228_n_5577 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/dmem/FE_OFC227_n_5576  (
+	.A(\soc_top/n_5576 ),
+	.X(\soc_top/dmem/FE_OFN227_n_5576 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/dmem/FE_OFC226_n_5575  (
+	.A(\soc_top/n_5575 ),
+	.X(\soc_top/dmem/FE_OFN226_n_5575 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/dmem/FE_OFC225_n_5574  (
+	.A(\soc_top/n_5574 ),
+	.X(\soc_top/dmem/FE_OFN225_n_5574 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/dmem/FE_OFC224_n_5573  (
+	.A(\soc_top/n_5573 ),
+	.X(\soc_top/dmem/FE_OFN224_n_5573 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/dmem/FE_OFC223_n_5572  (
+	.A(\soc_top/n_5572 ),
+	.X(\soc_top/dmem/FE_OFN223_n_5572 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/dmem/FE_OFC222_n_5571  (
+	.A(\soc_top/n_5571 ),
+	.X(\soc_top/dmem/FE_OFN222_n_5571 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/dmem/FE_OFC220_n_5570  (
+	.A(\soc_top/dmem/FE_OFN219_n_5570 ),
+	.Y(\soc_top/dmem/FE_OFN220_n_5570 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/dmem/FE_OFC219_n_5570  (
+	.A(\soc_top/n_5570 ),
+	.Y(\soc_top/dmem/FE_OFN219_n_5570 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 \soc_top/dmem/FE_OFC218_n_5569  (
+	.A(\soc_top/n_5569 ),
+	.X(\soc_top/dmem/FE_OFN218_n_5569 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/dmem/FE_OFC217_n_5568  (
+	.A(\soc_top/n_5568 ),
+	.X(\soc_top/dmem/FE_OFN217_n_5568 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/dmem/FE_OFC216_n_5567  (
+	.A(\soc_top/n_5567 ),
+	.X(\soc_top/dmem/FE_OFN216_n_5567 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/dmem/FE_OFC215_n_5566  (
+	.A(\soc_top/n_5566 ),
+	.X(\soc_top/dmem/FE_OFN215_n_5566 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/dmem/FE_OFC213_n_5564  (
+	.A(\soc_top/n_5564 ),
+	.X(\soc_top/dmem/FE_OFN213_n_5564 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/dmem/FE_OFC113_sram_csb0  (
+	.A(\soc_top/dmem/sram_csb0 ),
+	.X(\soc_top/dmem/FE_OFN113_sram_csb0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_sram_1kbyte_1rw1r_32x256_8 \soc_top/dmem/sram  (
+	.din0({ \soc_top/dmem/FE_OFN235_n_5584 ,
+		\soc_top/dmem/FE_OFN234_n_5583 ,
+		\soc_top/dmem/FE_OFN6674_FE_OFN233_n_5582 ,
+		\soc_top/dmem/FE_OFN232_n_5581 ,
+		\soc_top/dmem/FE_OFN231_n_5580 ,
+		\soc_top/dmem/FE_OFN230_n_5579 ,
+		\soc_top/dmem/FE_OFN229_n_5578 ,
+		\soc_top/dmem/FE_OFN228_n_5577 ,
+		\soc_top/dmem/FE_OFN225_n_5574 ,
+		\soc_top/dmem/FE_OFN227_n_5576 ,
+		\soc_top/dmem/FE_OFN226_n_5575 ,
+		\soc_top/dmem/FE_OFN223_n_5572 ,
+		\soc_top/dmem/FE_OFN224_n_5573 ,
+		\soc_top/dmem/FE_OFN222_n_5571 ,
+		\soc_top/dmem/FE_OFN236_n_5585 ,
+		\soc_top/FE_OFN237_n_5586 ,
+		\soc_top/dmem/FE_OFN216_n_5567 ,
+		\soc_top/dmem/FE_OFN215_n_5566 ,
+		\soc_top/dmem/FE_OFN6671_FE_OFN214_n_5565 ,
+		\soc_top/dmem/FE_OFN213_n_5564 ,
+		\soc_top/dmem/FE_OFN6697_FE_OFN212_n_5563 ,
+		\soc_top/dmem/FE_OFN5595_n ,
+		\soc_top/dmem/FE_OFN6693_FE_OFN210_n_5561 ,
+		\soc_top/dmem/FE_OFN220_n_5570 ,
+		\soc_top/dmem/FE_OFN6670_FE_OFN209_n_5560 ,
+		\soc_top/dmem/FE_OFN6694_FE_OFN208_n_5559 ,
+		\soc_top/dmem/FE_OFN6699_FE_OFN207_n_5558 ,
+		\soc_top/dmem/FE_OFN240_n_5589 ,
+		\soc_top/dmem/FE_OFN6669_FE_OFN239_n_5588 ,
+		\soc_top/dmem/FE_OFN238_n_5587 ,
+		\soc_top/dmem/FE_OFN217_n_5568 ,
+		\soc_top/dmem/FE_OFN218_n_5569  }),
+	.dout0({ \soc_top/dmem_io_rsp_bits_dataResponse[31] ,
+		\soc_top/dmem_io_rsp_bits_dataResponse[30] ,
+		\soc_top/dmem_io_rsp_bits_dataResponse[29] ,
+		\soc_top/dmem_io_rsp_bits_dataResponse[28] ,
+		\soc_top/dmem_io_rsp_bits_dataResponse[27] ,
+		\soc_top/dmem_io_rsp_bits_dataResponse[26] ,
+		\soc_top/dmem_io_rsp_bits_dataResponse[25] ,
+		\soc_top/dmem_io_rsp_bits_dataResponse[24] ,
+		\soc_top/dmem_io_rsp_bits_dataResponse[23] ,
+		\soc_top/dmem_io_rsp_bits_dataResponse[22] ,
+		\soc_top/dmem_io_rsp_bits_dataResponse[21] ,
+		\soc_top/dmem_io_rsp_bits_dataResponse[20] ,
+		\soc_top/dmem_io_rsp_bits_dataResponse[19] ,
+		\soc_top/dmem_io_rsp_bits_dataResponse[18] ,
+		\soc_top/dmem_io_rsp_bits_dataResponse[17] ,
+		\soc_top/dmem_io_rsp_bits_dataResponse[16] ,
+		\soc_top/dmem_io_rsp_bits_dataResponse[15] ,
+		\soc_top/dmem_io_rsp_bits_dataResponse[14] ,
+		\soc_top/dmem_io_rsp_bits_dataResponse[13] ,
+		\soc_top/dmem_io_rsp_bits_dataResponse[12] ,
+		\soc_top/dmem_io_rsp_bits_dataResponse[11] ,
+		\soc_top/dmem_io_rsp_bits_dataResponse[10] ,
+		\soc_top/dmem_io_rsp_bits_dataResponse[9] ,
+		\soc_top/dmem_io_rsp_bits_dataResponse[8] ,
+		\soc_top/dmem_io_rsp_bits_dataResponse[7] ,
+		\soc_top/dmem_io_rsp_bits_dataResponse[6] ,
+		\soc_top/dmem_io_rsp_bits_dataResponse[5] ,
+		\soc_top/dmem_io_rsp_bits_dataResponse[4] ,
+		\soc_top/dmem_io_rsp_bits_dataResponse[3] ,
+		\soc_top/dmem_io_rsp_bits_dataResponse[2] ,
+		\soc_top/dmem_io_rsp_bits_dataResponse[1] ,
+		\soc_top/dmem_io_rsp_bits_dataResponse[0]  }),
+	.addr0({ \soc_top/dmem/FE_OFN6746_FE_OFN6711_FE_OFN6675_FE_OFN143_gen_dmem_slave_io_wbMasterReceiver_bits_adr_7 ,
+		\soc_top/dmem/FE_OFN6748_FE_OFN6714_FE_OFN6676_FE_OFN5602_n ,
+		\soc_top/dmem/FE_OFN6757_FE_OFN6723_FE_OFN6687_FE_OFN5594_n ,
+		\soc_top/dmem/FE_OFN6749_FE_OFN6715_FE_OFN6677_FE_OFN5601_n ,
+		\soc_top/dmem/FE_OFN6743_FE_OFN6712_FE_OFN6673_FE_OFN5600_n ,
+		\soc_top/dmem/FE_OFN6754_FE_OFN6724_FE_OFN6685_FE_OFN198_n_2784 ,
+		\soc_top/dmem/FE_OFN6742_FE_OFN6709_FE_OFN6672_FE_OFN147_gen_dmem_slave_io_wbMasterReceiver_bits_adr_1 ,
+		\soc_top/dmem/FE_OFN6759_FE_OFN6727_FE_OFN6688_FE_OFN5599_n  }),
+	.wmask0({ \soc_top/dmem/FE_OFN6758_FE_OFN6730_FE_OFN6692_FE_OFN5597_n ,
+		\soc_top/dmem/FE_OFN6756_FE_OFN6729_FE_OFN6689_FE_OFN5596_n ,
+		\soc_top/dmem/FE_OFN6760_FE_OFN6731_FE_OFN6690_FE_OFN160_gen_dmem_slave_io_wbMasterReceiver_bits_sel_1 ,
+		\soc_top/dmem/FE_OFN6755_FE_OFN6725_FE_OFN6686_FE_OFN161_switch_io_devOut_0_bits_sel_0  }),
+	.csb0(\soc_top/dmem/FE_OFN113_sram_csb0 ),
+	.web0(\soc_top/dmem/FE_OFN250_sram_web0 ),
+	.clk0(\soc_top/CTS_26 ),
+	.addr1({ \soc_top/dmem/logic_0_1_net ,
+		\soc_top/dmem/logic_0_1_net ,
+		\soc_top/dmem/logic_0_1_net ,
+		\soc_top/dmem/logic_0_1_net ,
+		\soc_top/dmem/logic_0_1_net ,
+		\soc_top/dmem/logic_0_1_net ,
+		\soc_top/dmem/logic_0_1_net ,
+		\soc_top/dmem/logic_0_1_net  }),
+	.csb1(\soc_top/dmem/logic_0_1_net ),
+	.clk1(\soc_top/dmem/logic_0_1_net ), 
+	.vssd1(vssd1), 
+	.vccd1(vccd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/dmem/g68__9315  (
+	.A(\soc_top/gen_dmem_slave_io_reqOut_bits_isWrite ),
+	.B(\soc_top/dmem/sram_csb0 ),
+	.Y(\soc_top/dmem/sram_web0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/dmem/g69  (
+	.A(\soc_top/n_6610 ),
+	.Y(\soc_top/dmem/sram_csb0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/dmem/validReg_reg  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/dmem/n_0 ),
+	.Q(\soc_top/dmem_io_rsp_valid ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/dmem/g63__9945  (
+	.A(\soc_top/FE_OFN17_wb_rst_i ),
+	.B_N(\soc_top/n_6610 ),
+	.Y(\soc_top/dmem/n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 \soc_top/dmem/tie_0_cell  (
+	.LO(\soc_top/dmem/logic_0_1_net ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 \soc_top/gpio_gpioRegTop_data_in_reg/DIODE_11  (
+	.DIODE(io_in[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_data_in_reg/q_reg_reg[10]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_data_in_reg/n_20 ),
+	.Q(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_data_in_reg/q_reg_reg[30]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_data_in_reg/n_4 ),
+	.Q(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_data_in_reg/q_reg_reg[28]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_data_in_reg/n_5 ),
+	.Q(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_data_in_reg/q_reg_reg[24]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_data_in_reg/n_8 ),
+	.Q(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_data_in_reg/q_reg_reg[16]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_data_in_reg/n_14 ),
+	.Q(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_data_in_reg/q_reg_reg[0]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_data_in_reg/n_30 ),
+	.Q(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_data_in_reg/q_reg_reg[15]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_data_in_reg/n_6 ),
+	.Q(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_data_in_reg/q_reg_reg[23]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_data_in_reg/n_18 ),
+	.Q(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_data_in_reg/q_reg_reg[14]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_data_in_reg/n_0 ),
+	.Q(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_data_in_reg/q_reg_reg[13]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_data_in_reg/n_31 ),
+	.Q(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_data_in_reg/q_reg_reg[27]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_data_in_reg/n_25 ),
+	.Q(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_data_in_reg/q_reg_reg[22]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_data_in_reg/n_10 ),
+	.Q(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_data_in_reg/q_reg_reg[12]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_data_in_reg/n_17 ),
+	.Q(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_data_in_reg/q_reg_reg[11]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_data_in_reg/n_3 ),
+	.Q(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_data_in_reg/q_reg_reg[21]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_data_in_reg/n_19 ),
+	.Q(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_data_in_reg/q_reg_reg[31]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_data_in_reg/n_16 ),
+	.Q(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_data_in_reg/q_reg_reg[1]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/gpio_gpioRegTop_data_in_reg/n_28 ),
+	.Q(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_data_in_reg/q_reg_reg[29]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_data_in_reg/n_29 ),
+	.Q(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_data_in_reg/q_reg_reg[26]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_data_in_reg/n_7 ),
+	.Q(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_data_in_reg/q_reg_reg[20]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_data_in_reg/n_11 ),
+	.Q(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_data_in_reg/q_reg_reg[8]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/gpio_gpioRegTop_data_in_reg/n_22 ),
+	.Q(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_data_in_reg/q_reg_reg[7]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/gpio_gpioRegTop_data_in_reg/n_2 ),
+	.Q(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_data_in_reg/q_reg_reg[19]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/gpio_gpioRegTop_data_in_reg/n_9 ),
+	.Q(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_data_in_reg/q_reg_reg[6]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_data_in_reg/n_23 ),
+	.Q(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_data_in_reg/q_reg_reg[5]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_data_in_reg/n_15 ),
+	.Q(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_data_in_reg/q_reg_reg[25]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_data_in_reg/n_26 ),
+	.Q(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_data_in_reg/q_reg_reg[18]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_data_in_reg/n_12 ),
+	.Q(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_data_in_reg/q_reg_reg[4]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/gpio_gpioRegTop_data_in_reg/n_24 ),
+	.Q(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_data_in_reg/q_reg_reg[3]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_data_in_reg/n_1 ),
+	.Q(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_data_in_reg/q_reg_reg[17]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/gpio_gpioRegTop_data_in_reg/n_13 ),
+	.Q(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_data_in_reg/q_reg_reg[2]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_data_in_reg/n_27 ),
+	.Q(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_data_in_reg/q_reg_reg[9]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/gpio_gpioRegTop_data_in_reg/n_21 ),
+	.Q(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/gpio_gpioRegTop_data_in_reg/g35__2883  (
+	.A(\soc_top/FE_OFN23_wb_rst_i ),
+	.B_N(io_in[19]),
+	.Y(\soc_top/gpio_gpioRegTop_data_in_reg/n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/gpio_gpioRegTop_data_in_reg/g36__2346  (
+	.A(\soc_top/FE_OFN22_wb_rst_i ),
+	.B_N(io_in[6]),
+	.Y(\soc_top/gpio_gpioRegTop_data_in_reg/n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/gpio_gpioRegTop_data_in_reg/g37__1666  (
+	.A(\soc_top/FE_OFN24_wb_rst_i ),
+	.B_N(io_in[35]),
+	.Y(\soc_top/gpio_gpioRegTop_data_in_reg/n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/gpio_gpioRegTop_data_in_reg/g38__7410  (
+	.A(\soc_top/FE_OFN6_wb_rst_i ),
+	.B_N(io_in[7]),
+	.Y(\soc_top/gpio_gpioRegTop_data_in_reg/n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/gpio_gpioRegTop_data_in_reg/g39__6417  (
+	.A(\soc_top/FE_OFN24_wb_rst_i ),
+	.B_N(io_in[8]),
+	.Y(\soc_top/gpio_gpioRegTop_data_in_reg/n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/gpio_gpioRegTop_data_in_reg/g40__5477  (
+	.A(\soc_top/FE_OFN6_wb_rst_i ),
+	.B_N(io_in[31]),
+	.Y(\soc_top/gpio_gpioRegTop_data_in_reg/n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/gpio_gpioRegTop_data_in_reg/g41__2398  (
+	.A(\soc_top/FE_OFN24_wb_rst_i ),
+	.B_N(io_in[33]),
+	.Y(\soc_top/gpio_gpioRegTop_data_in_reg/n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/gpio_gpioRegTop_data_in_reg/g42__5107  (
+	.A(\soc_top/FE_OFN20_wb_rst_i ),
+	.B_N(io_in[10]),
+	.Y(\soc_top/gpio_gpioRegTop_data_in_reg/n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/gpio_gpioRegTop_data_in_reg/g43__6260  (
+	.A(\soc_top/FE_OFN19_wb_rst_i ),
+	.B_N(io_in[12]),
+	.Y(\soc_top/gpio_gpioRegTop_data_in_reg/n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/gpio_gpioRegTop_data_in_reg/g44__4319  (
+	.A(\soc_top/FE_OFN22_wb_rst_i ),
+	.B_N(io_in[14]),
+	.Y(\soc_top/gpio_gpioRegTop_data_in_reg/n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/gpio_gpioRegTop_data_in_reg/g45__8428  (
+	.A(\soc_top/FE_OFN20_wb_rst_i ),
+	.B_N(io_in[15]),
+	.Y(\soc_top/gpio_gpioRegTop_data_in_reg/n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/gpio_gpioRegTop_data_in_reg/g46__5526  (
+	.A(\soc_top/FE_OFN21_wb_rst_i ),
+	.B_N(io_in[16]),
+	.Y(\soc_top/gpio_gpioRegTop_data_in_reg/n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/gpio_gpioRegTop_data_in_reg/g47__6783  (
+	.A(\soc_top/FE_OFN7_wb_rst_i ),
+	.B_N(io_in[27]),
+	.Y(\soc_top/gpio_gpioRegTop_data_in_reg/n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/gpio_gpioRegTop_data_in_reg/g48__3680  (
+	.A(\soc_top/FE_OFN22_wb_rst_i ),
+	.B_N(io_in[29]),
+	.Y(\soc_top/gpio_gpioRegTop_data_in_reg/n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/gpio_gpioRegTop_data_in_reg/g49__1617  (
+	.A(\soc_top/FE_OFN23_wb_rst_i ),
+	.B_N(io_in[18]),
+	.Y(\soc_top/gpio_gpioRegTop_data_in_reg/n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/gpio_gpioRegTop_data_in_reg/g50__2802  (
+	.A(\soc_top/FE_OFN21_wb_rst_i ),
+	.B_N(io_in[37]),
+	.Y(\soc_top/gpio_gpioRegTop_data_in_reg/n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/gpio_gpioRegTop_data_in_reg/g51__1705  (
+	.A(\soc_top/FE_OFN20_wb_rst_i ),
+	.B_N(io_in[11]),
+	.Y(\soc_top/gpio_gpioRegTop_data_in_reg/n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/gpio_gpioRegTop_data_in_reg/g52__5122  (
+	.A(\soc_top/FE_OFN24_wb_rst_i ),
+	.B_N(io_in[22]),
+	.Y(\soc_top/gpio_gpioRegTop_data_in_reg/n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/gpio_gpioRegTop_data_in_reg/g53__8246  (
+	.A(\soc_top/FE_OFN7_wb_rst_i ),
+	.B_N(io_in[23]),
+	.Y(\soc_top/gpio_gpioRegTop_data_in_reg/n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/gpio_gpioRegTop_data_in_reg/g54__7098  (
+	.A(\soc_top/FE_OFN24_wb_rst_i ),
+	.B_N(io_in[24]),
+	.Y(\soc_top/gpio_gpioRegTop_data_in_reg/n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/gpio_gpioRegTop_data_in_reg/g55__6131  (
+	.A(\soc_top/FE_OFN7_wb_rst_i ),
+	.B_N(io_in[26]),
+	.Y(\soc_top/gpio_gpioRegTop_data_in_reg/n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/gpio_gpioRegTop_data_in_reg/g56__1881  (
+	.A(\soc_top/FE_OFN19_wb_rst_i ),
+	.B_N(io_in[28]),
+	.Y(\soc_top/gpio_gpioRegTop_data_in_reg/n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/gpio_gpioRegTop_data_in_reg/g57__5115  (
+	.A(\soc_top/FE_OFN19_wb_rst_i ),
+	.B_N(io_in[25]),
+	.Y(\soc_top/gpio_gpioRegTop_data_in_reg/n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/gpio_gpioRegTop_data_in_reg/g58__7482  (
+	.A(\soc_top/FE_OFN6_wb_rst_i ),
+	.B_N(io_in[30]),
+	.Y(\soc_top/gpio_gpioRegTop_data_in_reg/n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/gpio_gpioRegTop_data_in_reg/g59__4733  (
+	.A(\soc_top/FE_OFN21_wb_rst_i ),
+	.B_N(io_in[32]),
+	.Y(\soc_top/gpio_gpioRegTop_data_in_reg/n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/gpio_gpioRegTop_data_in_reg/g60__6161  (
+	.A(\soc_top/FE_OFN21_wb_rst_i ),
+	.B_N(io_in[21]),
+	.Y(\soc_top/gpio_gpioRegTop_data_in_reg/n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/gpio_gpioRegTop_data_in_reg/g61__9315  (
+	.A(\soc_top/FE_OFN23_wb_rst_i ),
+	.B_N(io_in[34]),
+	.Y(\soc_top/gpio_gpioRegTop_data_in_reg/n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/gpio_gpioRegTop_data_in_reg/g62__9945  (
+	.A(\soc_top/FE_OFN6_wb_rst_i ),
+	.B_N(io_in[36]),
+	.Y(\soc_top/gpio_gpioRegTop_data_in_reg/n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/gpio_gpioRegTop_data_in_reg/g63__2883  (
+	.A(\soc_top/FE_OFN21_wb_rst_i ),
+	.B_N(io_in[17]),
+	.Y(\soc_top/gpio_gpioRegTop_data_in_reg/n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/gpio_gpioRegTop_data_in_reg/g64__2346  (
+	.A(\soc_top/FE_OFN22_wb_rst_i ),
+	.B_N(io_in[13]),
+	.Y(\soc_top/gpio_gpioRegTop_data_in_reg/n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/gpio_gpioRegTop_data_in_reg/g65__1666  (
+	.A(\soc_top/FE_OFN22_wb_rst_i ),
+	.B_N(io_in[9]),
+	.Y(\soc_top/gpio_gpioRegTop_data_in_reg/n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/gpio_gpioRegTop_data_in_reg/g66__7410  (
+	.A(\soc_top/FE_OFN6_wb_rst_i ),
+	.B_N(io_in[20]),
+	.Y(\soc_top/gpio_gpioRegTop_data_in_reg/n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/FE_OFC163_gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we  (
+	.A(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ),
+	.X(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/FE_OFN163_gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/q_reg_reg[22]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_33 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/q_reg_reg[1]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_60 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/q_reg_reg[11]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_48 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/q_reg_reg[12]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_63 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/q_reg_reg[13]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_62 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/q_reg_reg[14]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_61 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/q_reg_reg[15]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_59 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/q_reg_reg[16]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_58 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/q_reg_reg[17]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_56 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/q_reg_reg[2]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_57 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/q_reg_reg[18]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_55 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/q_reg_reg[19]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_52 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/q_reg_reg[20]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_51 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/q_reg_reg[3]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_53 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/q_reg_reg[21]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_50 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/q_reg_reg[0]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_49 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/q_reg_reg[10]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_34 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/q_reg_reg[23]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_47 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/q_reg_reg[5]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_46 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/q_reg_reg[6]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_43 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/q_reg_reg[24]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_45 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/q_reg_reg[25]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_44 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/q_reg_reg[26]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_42 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/q_reg_reg[7]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_38 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/q_reg_reg[27]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_41 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/q_reg_reg[28]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_40 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/q_reg_reg[29]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_39 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/q_reg_reg[30]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_54 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/q_reg_reg[8]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_37 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/q_reg_reg[9]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_35 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/q_reg_reg[31]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_36 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/q_reg_reg[4]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_64 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g99__6417  (
+	.A(\soc_top/FE_OFN20_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_1 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g100__5477  (
+	.A(\soc_top/FE_OFN23_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_17 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g101__2398  (
+	.A(\soc_top/FE_OFN23_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_31 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g102__5107  (
+	.A(\soc_top/FE_OFN6_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_30 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g103__6260  (
+	.A(\soc_top/FE_OFN17_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_28 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g104__4319  (
+	.A(\soc_top/FE_OFN21_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_27 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g105__8428  (
+	.A(\soc_top/FE_OFN7_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_26 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g106__5526  (
+	.A(\soc_top/FE_OFN24_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_21 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g107__6783  (
+	.A(\soc_top/FE_OFN17_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_25 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g108__3680  (
+	.A(\soc_top/FE_OFN23_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_23 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g109__1617  (
+	.A(\soc_top/FE_OFN19_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_6 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g110__2802  (
+	.A(\soc_top/FE_OFN22_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_20 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g111__1705  (
+	.A(\soc_top/FE_OFN19_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_22 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g112__5122  (
+	.A(\soc_top/FE_OFN17_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_19 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g113__8246  (
+	.A(\soc_top/FE_OFN7_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_18 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_50 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g114__7098  (
+	.A(\soc_top/FE_OFN22_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_24 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_49 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g115__6131  (
+	.A(\soc_top/FE_OFN21_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_16 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g116__1881  (
+	.A(\soc_top/FE_OFN22_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_14 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g117__5115  (
+	.A(\soc_top/FE_OFN20_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_13 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g118__7482  (
+	.A(\soc_top/FE_OFN6_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_29 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g119__4733  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_12 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g120__6161  (
+	.A(\soc_top/FE_OFN19_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_11 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g121__9315  (
+	.A(\soc_top/FE_OFN21_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_10 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g122__9945  (
+	.A(\soc_top/FE_OFN24_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_9 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g123__2883  (
+	.A(\soc_top/FE_OFN23_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_7 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g124__2346  (
+	.A(\soc_top/FE_OFN23_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_15 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g125__1666  (
+	.A(\soc_top/FE_OFN22_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_8 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g126__7410  (
+	.A(\soc_top/FE_OFN22_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_5 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g127__6417  (
+	.A(\soc_top/FE_OFN21_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_3 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g128__5477  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_4 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g129__2398  (
+	.A(\soc_top/FE_OFN21_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_2 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g130__5107  (
+	.A(\soc_top/FE_OFN19_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_32 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g131__6260  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[22] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ),
+	.B2(\soc_top/n_5576 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g132__4319  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[13] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/FE_OFN163_gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ),
+	.B2(\soc_top/n_5565 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g133__8428  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[14] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ),
+	.B2(\soc_top/n_5566 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g134__5526  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[24] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ),
+	.B2(\soc_top/n_5577 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g135__6783  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[1] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ),
+	.B2(\soc_top/n_5568 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g136__3680  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[15] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ),
+	.B2(\soc_top/n_5567 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g137__1617  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[16] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/FE_OFN163_gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ),
+	.B2(\soc_top/n_5586 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g138__2802  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[17] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ),
+	.B2(\soc_top/n_5585 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g139__1705  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[0] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/FE_OFN163_gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ),
+	.B2(\soc_top/n_5569 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g140__5122  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[18] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/FE_OFN163_gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ),
+	.B2(\soc_top/n_5571 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g141__8246  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[19] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ),
+	.B2(\soc_top/n_5573 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g142__7098  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[2] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/FE_OFN163_gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ),
+	.B2(\soc_top/n_5587 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g143__6131  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[3] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/FE_OFN163_gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ),
+	.B2(\soc_top/n_5588 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g144__1881  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[20] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/FE_OFN163_gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ),
+	.B2(\soc_top/n_5572 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g145__5115  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[21] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/FE_OFN163_gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ),
+	.B2(\soc_top/n_5575 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g146__7482  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[12] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/FE_OFN163_gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ),
+	.B2(\soc_top/n_5564 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g147__4733  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[11] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/FE_OFN163_gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ),
+	.B2(\soc_top/n_5563 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g148__6161  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[29] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/FE_OFN163_gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ),
+	.B2(\soc_top/n_5582 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g149__9315  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[23] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/FE_OFN163_gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ),
+	.B2(\soc_top/n_5574 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g150__9945  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[5] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ),
+	.B2(\soc_top/n_5558 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g151__2883  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[25] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ),
+	.B2(\soc_top/n_5578 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g152__2346  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[6] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ),
+	.B2(\soc_top/n_5559 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g153__1666  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[26] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ),
+	.B2(\soc_top/n_5579 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g154__7410  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[27] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/FE_OFN163_gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ),
+	.B2(\soc_top/n_5580 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g155__6417  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[7] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/FE_OFN163_gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ),
+	.B2(\soc_top/n_5560 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g156__5477  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[28] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/FE_OFN163_gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ),
+	.B2(\soc_top/n_5581 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g157__2398  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[30] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/FE_OFN163_gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ),
+	.B2(\soc_top/n_5583 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g158__5107  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[8] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/FE_OFN163_gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ),
+	.B2(\soc_top/n_5570 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g159__6260  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[9] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ),
+	.B2(\soc_top/n_5561 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g160__4319  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[31] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ),
+	.B2(\soc_top/n_5584 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g161__8428  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[10] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ),
+	.B2(\soc_top/n_5562 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g162__5526  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[4] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ),
+	.B2(\soc_top/n_5589 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/g163  (
+	.A(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg/n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/q_reg_reg[22]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_33 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/q_reg_reg[1]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_60 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/q_reg_reg[11]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_48 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/q_reg_reg[12]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_63 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/q_reg_reg[13]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_62 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/q_reg_reg[14]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_61 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/q_reg_reg[15]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_59 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/q_reg_reg[16]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_58 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/q_reg_reg[17]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_56 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/q_reg_reg[2]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_57 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/q_reg_reg[18]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_55 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/q_reg_reg[19]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_52 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/q_reg_reg[20]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_51 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/q_reg_reg[3]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_53 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/q_reg_reg[21]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_50 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/q_reg_reg[0]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_49 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/q_reg_reg[10]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_34 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/q_reg_reg[23]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_47 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/q_reg_reg[5]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_46 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/q_reg_reg[6]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_43 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/q_reg_reg[24]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_45 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/q_reg_reg[25]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_44 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/q_reg_reg[26]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_42 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/q_reg_reg[7]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_38 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/q_reg_reg[27]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_41 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/q_reg_reg[28]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_40 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/q_reg_reg[29]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_39 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/q_reg_reg[30]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_54 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/q_reg_reg[8]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_37 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/q_reg_reg[9]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_35 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/q_reg_reg[31]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_36 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/q_reg_reg[4]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_64 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g99__6783  (
+	.A(\soc_top/FE_OFN20_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_1 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g100__3680  (
+	.A(\soc_top/FE_OFN24_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_17 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g101__1617  (
+	.A(\soc_top/FE_OFN24_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_31 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g102__2802  (
+	.A(\soc_top/FE_OFN6_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_30 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g103__1705  (
+	.A(\soc_top/FE_OFN17_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_28 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g104__5122  (
+	.A(\soc_top/FE_OFN21_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_27 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g105__8246  (
+	.A(\soc_top/FE_OFN22_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_26 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g106__7098  (
+	.A(\soc_top/FE_OFN24_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_21 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g107__6131  (
+	.A(\soc_top/FE_OFN17_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_25 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g108__1881  (
+	.A(\soc_top/FE_OFN23_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_23 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g109__5115  (
+	.A(\soc_top/FE_OFN6_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_6 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g110__7482  (
+	.A(\soc_top/FE_OFN7_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_20 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g111__4733  (
+	.A(\soc_top/FE_OFN19_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_22 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g112__6161  (
+	.A(\soc_top/FE_OFN17_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_19 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g113__9315  (
+	.A(\soc_top/FE_OFN17_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_18 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_50 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g114__9945  (
+	.A(\soc_top/FE_OFN22_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_24 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_49 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g115__2883  (
+	.A(\soc_top/FE_OFN21_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_16 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g116__2346  (
+	.A(\soc_top/FE_OFN22_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_14 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g117__1666  (
+	.A(\soc_top/FE_OFN20_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_13 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g118__7410  (
+	.A(\soc_top/FE_OFN6_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_29 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g119__6417  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_12 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g120__5477  (
+	.A(\soc_top/FE_OFN6_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_11 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g121__2398  (
+	.A(\soc_top/FE_OFN21_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_10 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g122__5107  (
+	.A(\soc_top/FE_OFN21_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_9 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g123__6260  (
+	.A(\soc_top/FE_OFN23_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_7 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g124__4319  (
+	.A(\soc_top/FE_OFN23_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_15 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g125__8428  (
+	.A(\soc_top/FE_OFN22_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_8 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g126__5526  (
+	.A(\soc_top/FE_OFN22_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_5 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g127__6783  (
+	.A(\soc_top/FE_OFN6_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_3 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g128__3680  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_4 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g129__1617  (
+	.A(\soc_top/FE_OFN21_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_2 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g130__2802  (
+	.A(\soc_top/FE_OFN19_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_32 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g131__1705  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[22] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ),
+	.B2(\soc_top/n_5576 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g132__5122  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[13] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ),
+	.B2(\soc_top/n_5565 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g133__8246  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[14] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ),
+	.B2(\soc_top/n_5566 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g134__7098  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[24] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ),
+	.B2(\soc_top/n_5577 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g135__6131  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[1] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ),
+	.B2(\soc_top/n_5568 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g136__1881  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[15] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ),
+	.B2(\soc_top/n_5567 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g137__5115  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[16] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ),
+	.B2(\soc_top/n_5586 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g138__7482  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[17] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ),
+	.B2(\soc_top/n_5585 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g139__4733  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[0] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ),
+	.B2(\soc_top/n_5569 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g140__6161  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[18] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ),
+	.B2(\soc_top/n_5571 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g141__9315  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[19] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ),
+	.B2(\soc_top/n_5573 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g142__9945  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[2] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ),
+	.B2(\soc_top/n_5587 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g143__2883  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[3] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ),
+	.B2(\soc_top/n_5588 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g144__2346  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[20] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ),
+	.B2(\soc_top/n_5572 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g145__1666  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[21] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ),
+	.B2(\soc_top/n_5575 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g146__7410  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[12] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ),
+	.B2(\soc_top/n_5564 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g147__6417  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[11] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ),
+	.B2(\soc_top/n_5563 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g148__5477  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[29] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ),
+	.B2(\soc_top/n_5582 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g149__2398  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[23] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ),
+	.B2(\soc_top/n_5574 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g150__5107  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[5] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ),
+	.B2(\soc_top/n_5558 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g151__6260  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[25] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ),
+	.B2(\soc_top/n_5578 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g152__4319  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[6] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ),
+	.B2(\soc_top/n_5559 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g153__8428  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[26] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ),
+	.B2(\soc_top/n_5579 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g154__5526  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[27] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ),
+	.B2(\soc_top/n_5580 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g155__6783  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[7] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ),
+	.B2(\soc_top/n_5560 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g156__3680  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[28] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ),
+	.B2(\soc_top/n_5581 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g157__1617  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[30] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ),
+	.B2(\soc_top/n_5583 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g158__2802  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[8] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ),
+	.B2(\soc_top/n_5570 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g159__1705  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[9] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ),
+	.B2(\soc_top/n_5561 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g160__5122  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[31] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ),
+	.B2(\soc_top/n_5584 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g161__8246  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[10] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ),
+	.B2(\soc_top/n_5562 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g162__7098  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[4] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ),
+	.B2(\soc_top/n_5589 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/g163  (
+	.A(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg/n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/FE_OFC164_gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we  (
+	.A(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ),
+	.X(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/FE_OFN164_gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/q_reg_reg[22]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_33 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/q_reg_reg[1]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_60 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/q_reg_reg[11]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_48 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/q_reg_reg[12]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_63 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/q_reg_reg[13]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_62 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/q_reg_reg[14]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_61 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/q_reg_reg[15]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_59 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/q_reg_reg[16]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_58 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/q_reg_reg[17]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_56 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/q_reg_reg[2]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_57 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/q_reg_reg[18]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_55 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/q_reg_reg[19]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_52 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/q_reg_reg[20]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_51 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/q_reg_reg[3]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_53 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/q_reg_reg[21]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_50 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/q_reg_reg[0]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_49 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/q_reg_reg[10]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_34 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/q_reg_reg[23]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_47 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/q_reg_reg[5]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_46 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/q_reg_reg[6]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_43 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/q_reg_reg[24]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_45 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/q_reg_reg[25]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_44 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/q_reg_reg[26]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_42 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/q_reg_reg[7]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_38 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/q_reg_reg[27]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_41 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/q_reg_reg[28]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_40 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/q_reg_reg[29]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_39 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/q_reg_reg[30]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_54 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/q_reg_reg[8]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_37 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/q_reg_reg[9]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_35 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/q_reg_reg[31]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_36 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/q_reg_reg[4]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_64 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g99__6131  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_1 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g100__1881  (
+	.A(\soc_top/FE_OFN24_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_17 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g101__5115  (
+	.A(\soc_top/FE_OFN24_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_31 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g102__7482  (
+	.A(\soc_top/FE_OFN19_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_30 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g103__4733  (
+	.A(\soc_top/FE_OFN17_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_28 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g104__6161  (
+	.A(\soc_top/FE_OFN21_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_27 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g105__9315  (
+	.A(\soc_top/FE_OFN22_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_26 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g106__9945  (
+	.A(\soc_top/FE_OFN24_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_21 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g107__2883  (
+	.A(\soc_top/FE_OFN17_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_25 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g108__2346  (
+	.A(\soc_top/FE_OFN23_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_23 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g109__1666  (
+	.A(\soc_top/FE_OFN22_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_6 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g110__7410  (
+	.A(\soc_top/FE_OFN7_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_20 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g111__6417  (
+	.A(\soc_top/FE_OFN19_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_22 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g112__5477  (
+	.A(\soc_top/FE_OFN17_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_19 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g113__2398  (
+	.A(\soc_top/FE_OFN7_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_18 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_50 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g114__5107  (
+	.A(\soc_top/FE_OFN22_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_24 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_49 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g115__6260  (
+	.A(\soc_top/FE_OFN21_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_16 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g116__4319  (
+	.A(\soc_top/FE_OFN22_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_14 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g117__8428  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_13 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g118__5526  (
+	.A(\soc_top/FE_OFN6_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_29 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g119__6783  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_12 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g120__3680  (
+	.A(\soc_top/FE_OFN20_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_11 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g121__1617  (
+	.A(\soc_top/FE_OFN21_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_10 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g122__2802  (
+	.A(\soc_top/FE_OFN24_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_9 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g123__1705  (
+	.A(\soc_top/FE_OFN23_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_7 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g124__5122  (
+	.A(\soc_top/FE_OFN7_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_15 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g125__8246  (
+	.A(\soc_top/FE_OFN22_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_8 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g126__7098  (
+	.A(\soc_top/FE_OFN22_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_5 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g127__6131  (
+	.A(\soc_top/FE_OFN6_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_3 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g128__1881  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_4 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g129__5115  (
+	.A(\soc_top/FE_OFN21_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_2 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g130__7482  (
+	.A(\soc_top/FE_OFN19_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_32 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g131__4733  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[22] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ),
+	.B2(\soc_top/n_5576 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g132__6161  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[13] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/FE_OFN164_gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ),
+	.B2(\soc_top/n_5565 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g133__9315  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[14] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ),
+	.B2(\soc_top/n_5566 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g134__9945  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[24] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ),
+	.B2(\soc_top/n_5577 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g135__2883  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[1] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ),
+	.B2(\soc_top/n_5568 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g136__2346  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[15] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/FE_OFN164_gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ),
+	.B2(\soc_top/n_5567 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g137__1666  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[16] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/FE_OFN164_gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ),
+	.B2(\soc_top/n_5586 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g138__7410  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[17] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ),
+	.B2(\soc_top/n_5585 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g139__6417  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[0] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/FE_OFN164_gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ),
+	.B2(\soc_top/n_5569 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g140__5477  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[18] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/FE_OFN164_gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ),
+	.B2(\soc_top/n_5571 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g141__2398  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[19] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ),
+	.B2(\soc_top/n_5573 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g142__5107  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[2] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/FE_OFN164_gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ),
+	.B2(\soc_top/n_5587 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g143__6260  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[3] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/FE_OFN164_gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ),
+	.B2(\soc_top/n_5588 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g144__4319  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[20] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/FE_OFN164_gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ),
+	.B2(\soc_top/n_5572 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g145__8428  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[21] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/FE_OFN164_gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ),
+	.B2(\soc_top/n_5575 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g146__5526  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[12] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/FE_OFN164_gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ),
+	.B2(\soc_top/n_5564 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g147__6783  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[11] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/FE_OFN164_gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ),
+	.B2(\soc_top/n_5563 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g148__3680  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[29] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/FE_OFN164_gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ),
+	.B2(\soc_top/n_5582 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g149__1617  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[23] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/FE_OFN164_gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ),
+	.B2(\soc_top/n_5574 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g150__2802  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[5] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ),
+	.B2(\soc_top/n_5558 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g151__1705  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[25] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ),
+	.B2(\soc_top/n_5578 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g152__5122  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[6] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ),
+	.B2(\soc_top/n_5559 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g153__8246  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[26] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ),
+	.B2(\soc_top/n_5579 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g154__7098  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[27] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/FE_OFN164_gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ),
+	.B2(\soc_top/n_5580 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g155__6131  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[7] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/FE_OFN164_gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ),
+	.B2(\soc_top/n_5560 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g156__1881  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[28] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/FE_OFN164_gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ),
+	.B2(\soc_top/n_5581 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g157__5115  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[30] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/FE_OFN164_gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ),
+	.B2(\soc_top/n_5583 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g158__7482  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[8] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/FE_OFN164_gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ),
+	.B2(\soc_top/n_5570 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g159__4733  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[9] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ),
+	.B2(\soc_top/n_5561 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g160__6161  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[31] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ),
+	.B2(\soc_top/n_5584 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g161__9315  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[10] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/FE_OFN164_gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ),
+	.B2(\soc_top/n_5562 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g162__9945  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[4] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ),
+	.B2(\soc_top/n_5589 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/g163  (
+	.A(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg/n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/q_reg_reg[22]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_33 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/q_reg_reg[1]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_60 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/q_reg_reg[11]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_48 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/q_reg_reg[12]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_63 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/q_reg_reg[13]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_62 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/q_reg_reg[14]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_61 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/q_reg_reg[15]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_59 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/q_reg_reg[16]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_58 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/q_reg_reg[17]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_56 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/q_reg_reg[2]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_57 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/q_reg_reg[18]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_55 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/q_reg_reg[19]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_52 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/q_reg_reg[20]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_51 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/q_reg_reg[3]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_53 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/q_reg_reg[21]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_50 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/q_reg_reg[0]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_49 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/q_reg_reg[10]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_34 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/q_reg_reg[23]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_47 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/q_reg_reg[5]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_46 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/q_reg_reg[6]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_43 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/q_reg_reg[24]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_45 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/q_reg_reg[25]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_44 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/q_reg_reg[26]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_42 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/q_reg_reg[7]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_38 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/q_reg_reg[27]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_41 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/q_reg_reg[28]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_40 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/q_reg_reg[29]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_39 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/q_reg_reg[30]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_54 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/q_reg_reg[8]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_37 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/q_reg_reg[9]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_35 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/q_reg_reg[31]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_36 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/q_reg_reg[4]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_64 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g99__2883  (
+	.A(\soc_top/FE_OFN20_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_1 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g100__2346  (
+	.A(\soc_top/FE_OFN23_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_17 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g101__1666  (
+	.A(\soc_top/FE_OFN23_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_31 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g102__7410  (
+	.A(\soc_top/FE_OFN19_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_30 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g103__6417  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_28 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g104__5477  (
+	.A(\soc_top/FE_OFN21_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_27 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g105__2398  (
+	.A(\soc_top/FE_OFN7_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_26 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g106__5107  (
+	.A(\soc_top/FE_OFN24_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_21 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g107__6260  (
+	.A(\soc_top/FE_OFN17_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_25 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g108__4319  (
+	.A(\soc_top/FE_OFN23_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_23 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g109__8428  (
+	.A(\soc_top/FE_OFN6_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_6 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g110__5526  (
+	.A(\soc_top/FE_OFN22_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_20 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g111__6783  (
+	.A(\soc_top/FE_OFN19_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_22 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g112__3680  (
+	.A(\soc_top/FE_OFN7_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_19 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g113__1617  (
+	.A(\soc_top/FE_OFN17_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_18 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_50 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g114__2802  (
+	.A(\soc_top/FE_OFN22_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_24 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_49 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g115__1705  (
+	.A(\soc_top/FE_OFN21_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_16 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g116__5122  (
+	.A(\soc_top/FE_OFN22_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_14 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g117__8246  (
+	.A(\soc_top/FE_OFN20_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_13 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g118__7098  (
+	.A(\soc_top/FE_OFN6_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_29 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g119__6131  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_12 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g120__1881  (
+	.A(\soc_top/FE_OFN19_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_11 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g121__5115  (
+	.A(\soc_top/FE_OFN21_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_10 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g122__7482  (
+	.A(\soc_top/FE_OFN24_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_9 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g123__4733  (
+	.A(\soc_top/FE_OFN23_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_7 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g124__6161  (
+	.A(\soc_top/FE_OFN23_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_15 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g125__9315  (
+	.A(\soc_top/FE_OFN22_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_8 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g126__9945  (
+	.A(\soc_top/FE_OFN22_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_5 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g127__2883  (
+	.A(\soc_top/FE_OFN21_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_3 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g128__2346  (
+	.A(\soc_top/FE_OFN20_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_4 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g129__1666  (
+	.A(\soc_top/FE_OFN21_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_2 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g130__7410  (
+	.A(\soc_top/FE_OFN19_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_32 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g131__6417  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[22] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ),
+	.B2(\soc_top/n_5576 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g132__5477  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[13] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ),
+	.B2(\soc_top/n_5565 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g133__2398  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[14] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ),
+	.B2(\soc_top/n_5566 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g134__5107  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[24] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ),
+	.B2(\soc_top/n_5577 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g135__6260  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[1] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ),
+	.B2(\soc_top/n_5568 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g136__4319  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[15] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ),
+	.B2(\soc_top/n_5567 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g137__8428  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[16] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ),
+	.B2(\soc_top/n_5586 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g138__5526  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[17] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ),
+	.B2(\soc_top/n_5585 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g139__6783  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[0] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ),
+	.B2(\soc_top/n_5569 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g140__3680  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[18] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ),
+	.B2(\soc_top/n_5571 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g141__1617  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[19] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ),
+	.B2(\soc_top/n_5573 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g142__2802  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[2] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ),
+	.B2(\soc_top/n_5587 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g143__1705  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[3] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ),
+	.B2(\soc_top/n_5588 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g144__5122  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[20] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ),
+	.B2(\soc_top/n_5572 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g145__8246  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[21] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ),
+	.B2(\soc_top/n_5575 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g146__7098  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[12] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ),
+	.B2(\soc_top/n_5564 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g147__6131  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[11] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ),
+	.B2(\soc_top/n_5563 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g148__1881  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[29] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ),
+	.B2(\soc_top/n_5582 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g149__5115  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[23] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ),
+	.B2(\soc_top/n_5574 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g150__7482  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[5] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ),
+	.B2(\soc_top/n_5558 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g151__4733  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[25] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ),
+	.B2(\soc_top/n_5578 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g152__6161  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[6] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ),
+	.B2(\soc_top/n_5559 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g153__9315  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[26] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ),
+	.B2(\soc_top/n_5579 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g154__9945  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[27] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ),
+	.B2(\soc_top/n_5580 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g155__2883  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[7] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ),
+	.B2(\soc_top/n_5560 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g156__2346  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[28] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ),
+	.B2(\soc_top/n_5581 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g157__1666  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[30] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ),
+	.B2(\soc_top/n_5583 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g158__7410  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[8] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ),
+	.B2(\soc_top/n_5570 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g159__6417  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[9] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ),
+	.B2(\soc_top/n_5561 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g160__5477  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[31] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ),
+	.B2(\soc_top/n_5584 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g161__2398  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[10] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ),
+	.B2(\soc_top/n_5562 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g162__5107  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[4] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ),
+	.B2(\soc_top/n_5589 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/g163  (
+	.A(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg/n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/gpio_gpioRegTop_intr_enable_reg/FE_OFC260_gpio_gpioRegTop_intr_enable_reg_io_we  (
+	.A(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_we ),
+	.X(\soc_top/gpio_gpioRegTop_intr_enable_reg/FE_OFN260_gpio_gpioRegTop_intr_enable_reg_io_we ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/q_reg_reg[22]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_33 ),
+	.Q(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/q_reg_reg[1]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_60 ),
+	.Q(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/q_reg_reg[11]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_48 ),
+	.Q(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/q_reg_reg[12]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_63 ),
+	.Q(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/q_reg_reg[13]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_62 ),
+	.Q(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/q_reg_reg[14]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_61 ),
+	.Q(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/q_reg_reg[15]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_59 ),
+	.Q(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/q_reg_reg[16]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_58 ),
+	.Q(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/q_reg_reg[17]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_56 ),
+	.Q(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/q_reg_reg[2]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_57 ),
+	.Q(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/q_reg_reg[18]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_55 ),
+	.Q(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/q_reg_reg[19]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_52 ),
+	.Q(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/q_reg_reg[20]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_51 ),
+	.Q(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/q_reg_reg[3]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_53 ),
+	.Q(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/q_reg_reg[21]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_50 ),
+	.Q(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/q_reg_reg[0]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_49 ),
+	.Q(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/q_reg_reg[10]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_34 ),
+	.Q(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/q_reg_reg[23]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_47 ),
+	.Q(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/q_reg_reg[5]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_46 ),
+	.Q(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/q_reg_reg[6]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_43 ),
+	.Q(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/q_reg_reg[24]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_45 ),
+	.Q(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/q_reg_reg[25]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_44 ),
+	.Q(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/q_reg_reg[26]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_42 ),
+	.Q(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/q_reg_reg[7]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_38 ),
+	.Q(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/q_reg_reg[27]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_41 ),
+	.Q(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/q_reg_reg[28]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_40 ),
+	.Q(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/q_reg_reg[29]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_39 ),
+	.Q(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/q_reg_reg[30]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_54 ),
+	.Q(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/q_reg_reg[8]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_37 ),
+	.Q(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/q_reg_reg[9]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_35 ),
+	.Q(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/q_reg_reg[31]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_36 ),
+	.Q(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/q_reg_reg[4]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_64 ),
+	.Q(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g99__6260  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_1 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g100__4319  (
+	.A(\soc_top/FE_OFN23_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_17 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g101__8428  (
+	.A(\soc_top/FE_OFN24_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_31 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g102__5526  (
+	.A(\soc_top/FE_OFN19_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_30 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g103__6783  (
+	.A(\soc_top/FE_OFN17_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_28 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g104__3680  (
+	.A(\soc_top/FE_OFN21_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_27 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g105__1617  (
+	.A(\soc_top/FE_OFN17_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_26 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g106__2802  (
+	.A(\soc_top/FE_OFN24_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_21 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g107__1705  (
+	.A(\soc_top/FE_OFN17_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_25 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g108__5122  (
+	.A(\soc_top/FE_OFN7_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_23 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g109__8246  (
+	.A(\soc_top/FE_OFN19_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_6 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g110__7098  (
+	.A(\soc_top/FE_OFN7_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_20 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g111__6131  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_22 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g112__1881  (
+	.A(\soc_top/FE_OFN17_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_19 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g113__5115  (
+	.A(\soc_top/FE_OFN17_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_18 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_50 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g114__7482  (
+	.A(\soc_top/FE_OFN7_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_24 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_49 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g115__4733  (
+	.A(\soc_top/FE_OFN21_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_16 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g116__6161  (
+	.A(\soc_top/FE_OFN22_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_14 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g117__9315  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_13 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g118__9945  (
+	.A(\soc_top/FE_OFN19_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_29 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g119__2883  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_12 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g120__2346  (
+	.A(\soc_top/FE_OFN6_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_11 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g121__1666  (
+	.A(\soc_top/FE_OFN21_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_10 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g122__7410  (
+	.A(\soc_top/FE_OFN24_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_9 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g123__6417  (
+	.A(\soc_top/FE_OFN24_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_7 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g124__5477  (
+	.A(\soc_top/FE_OFN24_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_15 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g125__2398  (
+	.A(\soc_top/FE_OFN22_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_8 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g126__5107  (
+	.A(\soc_top/FE_OFN22_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_5 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g127__6260  (
+	.A(\soc_top/FE_OFN24_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_3 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g128__4319  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_4 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g129__8428  (
+	.A(\soc_top/FE_OFN21_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_2 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g130__5526  (
+	.A(\soc_top/FE_OFN6_wb_rst_i ),
+	.B(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_32 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g131__6783  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[22] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_we ),
+	.B2(\soc_top/n_5576 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g132__3680  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[13] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_enable_reg/FE_OFN260_gpio_gpioRegTop_intr_enable_reg_io_we ),
+	.B2(\soc_top/n_5565 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g133__1617  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[14] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_we ),
+	.B2(\soc_top/n_5566 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g134__2802  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[24] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_we ),
+	.B2(\soc_top/n_5577 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g135__1705  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[1] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_we ),
+	.B2(\soc_top/n_5568 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g136__5122  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[15] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_enable_reg/FE_OFN260_gpio_gpioRegTop_intr_enable_reg_io_we ),
+	.B2(\soc_top/n_5567 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g137__8246  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[16] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_we ),
+	.B2(\soc_top/FE_OFN237_n_5586 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g138__7098  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[17] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_we ),
+	.B2(\soc_top/n_5585 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g139__6131  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[0] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_enable_reg/FE_OFN260_gpio_gpioRegTop_intr_enable_reg_io_we ),
+	.B2(\soc_top/n_5569 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g140__1881  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[18] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_enable_reg/FE_OFN260_gpio_gpioRegTop_intr_enable_reg_io_we ),
+	.B2(\soc_top/n_5571 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g141__5115  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[19] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_we ),
+	.B2(\soc_top/n_5573 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g142__7482  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[2] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_enable_reg/FE_OFN260_gpio_gpioRegTop_intr_enable_reg_io_we ),
+	.B2(\soc_top/n_5587 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g143__4733  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[3] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_enable_reg/FE_OFN260_gpio_gpioRegTop_intr_enable_reg_io_we ),
+	.B2(\soc_top/n_5588 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g144__6161  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[20] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_enable_reg/FE_OFN260_gpio_gpioRegTop_intr_enable_reg_io_we ),
+	.B2(\soc_top/n_5572 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g145__9315  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[21] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_we ),
+	.B2(\soc_top/n_5575 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g146__9945  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[12] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_enable_reg/FE_OFN260_gpio_gpioRegTop_intr_enable_reg_io_we ),
+	.B2(\soc_top/n_5564 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g147__2883  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[11] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_enable_reg/FE_OFN260_gpio_gpioRegTop_intr_enable_reg_io_we ),
+	.B2(\soc_top/n_5563 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g148__2346  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[29] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_enable_reg/FE_OFN260_gpio_gpioRegTop_intr_enable_reg_io_we ),
+	.B2(\soc_top/n_5582 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g149__1666  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[23] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_enable_reg/FE_OFN260_gpio_gpioRegTop_intr_enable_reg_io_we ),
+	.B2(\soc_top/n_5574 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g150__7410  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[5] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_we ),
+	.B2(\soc_top/n_5558 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g151__6417  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[25] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_we ),
+	.B2(\soc_top/n_5578 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g152__5477  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[6] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_we ),
+	.B2(\soc_top/n_5559 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g153__2398  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[26] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_enable_reg/FE_OFN260_gpio_gpioRegTop_intr_enable_reg_io_we ),
+	.B2(\soc_top/n_5579 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g154__5107  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[27] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_enable_reg/FE_OFN260_gpio_gpioRegTop_intr_enable_reg_io_we ),
+	.B2(\soc_top/n_5580 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g155__6260  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[7] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_enable_reg/FE_OFN260_gpio_gpioRegTop_intr_enable_reg_io_we ),
+	.B2(\soc_top/n_5560 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g156__4319  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[28] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_enable_reg/FE_OFN260_gpio_gpioRegTop_intr_enable_reg_io_we ),
+	.B2(\soc_top/n_5581 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g157__8428  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[30] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_we ),
+	.B2(\soc_top/n_5583 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g158__5526  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[8] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_enable_reg/FE_OFN260_gpio_gpioRegTop_intr_enable_reg_io_we ),
+	.B2(\soc_top/n_5570 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g159__6783  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[9] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_we ),
+	.B2(\soc_top/n_5561 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g160__3680  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[31] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_enable_reg/FE_OFN260_gpio_gpioRegTop_intr_enable_reg_io_we ),
+	.B2(\soc_top/n_5584 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g161__1617  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[10] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_enable_reg/FE_OFN260_gpio_gpioRegTop_intr_enable_reg_io_we ),
+	.B2(\soc_top/n_5562 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/gpio_gpioRegTop_intr_enable_reg/g162__2802  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_0 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[4] ),
+	.B1(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_we ),
+	.B2(\soc_top/n_5589 ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/gpio_gpioRegTop_intr_enable_reg/g163  (
+	.A(\soc_top/gpio_gpioRegTop_intr_enable_reg/FE_OFN260_gpio_gpioRegTop_intr_enable_reg_io_we ),
+	.Y(\soc_top/gpio_gpioRegTop_intr_enable_reg/n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 \soc_top/imem/FE_OFC802_FE_OFN6720_FE_OFN6702_n  (
+	.A(\soc_top/imem/FE_OFN6741_FE_OFN6720_FE_OFN6702_n ),
+	.X(\soc_top/imem/FE_OFN6764_n ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 \soc_top/imem/FE_OFC780_FE_OFN6720_FE_OFN6702_n  (
+	.A(\soc_top/imem/FE_OFN6741_FE_OFN6720_FE_OFN6702_n ),
+	.X(\soc_top/imem/FE_OFN780_FE_OFN6720_FE_OFN6702_n ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 \soc_top/imem/FE_OFC801_FE_OFN6702_n  (
+	.A(\soc_top/imem/FE_OFN731_FE_OFN6702_n ),
+	.X(\soc_top/imem/FE_OFN6763_n ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 \soc_top/imem/FE_OFC791_FE_OFN6718_FE_OFN6681_FE_OFN155_gen_imem_host_io_wbMasterTransmitter_bits_adr_1  (
+	.A(\soc_top/imem/FE_OFN6718_FE_OFN6681_FE_OFN155_gen_imem_host_io_wbMasterTransmitter_bits_adr_1 ),
+	.X(\soc_top/imem/FE_OFN6753_FE_OFN6718_FE_OFN6681_FE_OFN155_gen_imem_host_io_wbMasterTransmitter_bits_adr_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 \soc_top/imem/FE_OFC790_FE_OFN6721_FE_OFN6684_FE_OFN5598_n  (
+	.A(\soc_top/imem/FE_OFN6721_FE_OFN6684_FE_OFN5598_n ),
+	.X(\soc_top/imem/FE_OFN6752_FE_OFN6721_FE_OFN6684_FE_OFN5598_n ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 \soc_top/imem/FE_OFC789_FE_OFN6719_FE_OFN6683_FE_OFN153_gen_imem_host_io_wbMasterTransmitter_bits_adr_3  (
+	.A(\soc_top/imem/FE_OFN6719_FE_OFN6683_FE_OFN153_gen_imem_host_io_wbMasterTransmitter_bits_adr_3 ),
+	.X(\soc_top/imem/FE_OFN6751_FE_OFN6719_FE_OFN6683_FE_OFN153_gen_imem_host_io_wbMasterTransmitter_bits_adr_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 \soc_top/imem/FE_OFC788_FE_OFN6713_FE_OFN6678_FE_OFN149_gen_imem_host_io_wbMasterTransmitter_bits_adr_7  (
+	.A(\soc_top/imem/FE_OFN6713_FE_OFN6678_FE_OFN149_gen_imem_host_io_wbMasterTransmitter_bits_adr_7 ),
+	.X(\soc_top/imem/FE_OFN6750_FE_OFN6713_FE_OFN6678_FE_OFN149_gen_imem_host_io_wbMasterTransmitter_bits_adr_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 \soc_top/imem/FE_OFC785_FE_OFN6716_FE_OFN6682_FE_OFN151_gen_imem_host_io_wbMasterTransmitter_bits_adr_5  (
+	.A(\soc_top/imem/FE_OFN6716_FE_OFN6682_FE_OFN151_gen_imem_host_io_wbMasterTransmitter_bits_adr_5 ),
+	.X(\soc_top/imem/FE_OFN6747_FE_OFN6716_FE_OFN6682_FE_OFN151_gen_imem_host_io_wbMasterTransmitter_bits_adr_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 \soc_top/imem/FE_OFC783_FE_OFN6717_FE_OFN6679_FE_OFN150_gen_imem_host_io_wbMasterTransmitter_bits_adr_6  (
+	.A(\soc_top/imem/FE_OFN6717_FE_OFN6679_FE_OFN150_gen_imem_host_io_wbMasterTransmitter_bits_adr_6 ),
+	.X(\soc_top/imem/FE_OFN6745_FE_OFN6717_FE_OFN6679_FE_OFN150_gen_imem_host_io_wbMasterTransmitter_bits_adr_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 \soc_top/imem/FE_OFC782_FE_OFN6710_FE_OFN6680_FE_OFN152_gen_imem_host_io_wbMasterTransmitter_bits_adr_4  (
+	.A(\soc_top/imem/FE_OFN6710_FE_OFN6680_FE_OFN152_gen_imem_host_io_wbMasterTransmitter_bits_adr_4 ),
+	.X(\soc_top/imem/FE_OFN6744_FE_OFN6710_FE_OFN6680_FE_OFN152_gen_imem_host_io_wbMasterTransmitter_bits_adr_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 \soc_top/imem/FE_OFC779_FE_OFN6720_FE_OFN6702_n  (
+	.A(\soc_top/imem/FE_OFN6720_FE_OFN6702_n ),
+	.X(\soc_top/imem/FE_OFN6741_FE_OFN6720_FE_OFN6702_n ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 \soc_top/imem/FE_OFC759_FE_OFN6728_n  (
+	.A(\soc_top/imem/FE_OFN731_FE_OFN6702_n ),
+	.X(\soc_top/imem/FE_OFN6732_FE_OFN6728_n ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 \soc_top/imem/FE_OFC731_FE_OFN6702_n  (
+	.A(\soc_top/imem/FE_OFN6720_FE_OFN6702_n ),
+	.X(\soc_top/imem/FE_OFN731_FE_OFN6702_n ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 \soc_top/imem/FE_OFC748_FE_OFN6684_FE_OFN5598_n  (
+	.A(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_adr[2] ),
+	.X(\soc_top/imem/FE_OFN6721_FE_OFN6684_FE_OFN5598_n ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 \soc_top/imem/FE_OFC747_FE_OFN6702_n  (
+	.A(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_sel[2] ),
+	.X(\soc_top/imem/FE_OFN6720_FE_OFN6702_n ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 \soc_top/imem/FE_OFC746_FE_OFN6683_FE_OFN153_gen_imem_host_io_wbMasterTransmitter_bits_adr_3  (
+	.A(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_adr[3] ),
+	.X(\soc_top/imem/FE_OFN6719_FE_OFN6683_FE_OFN153_gen_imem_host_io_wbMasterTransmitter_bits_adr_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 \soc_top/imem/FE_OFC745_FE_OFN6681_FE_OFN155_gen_imem_host_io_wbMasterTransmitter_bits_adr_1  (
+	.A(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_adr[1] ),
+	.X(\soc_top/imem/FE_OFN6718_FE_OFN6681_FE_OFN155_gen_imem_host_io_wbMasterTransmitter_bits_adr_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 \soc_top/imem/FE_OFC744_FE_OFN6679_FE_OFN150_gen_imem_host_io_wbMasterTransmitter_bits_adr_6  (
+	.A(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_adr[6] ),
+	.X(\soc_top/imem/FE_OFN6717_FE_OFN6679_FE_OFN150_gen_imem_host_io_wbMasterTransmitter_bits_adr_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 \soc_top/imem/FE_OFC743_FE_OFN6682_FE_OFN151_gen_imem_host_io_wbMasterTransmitter_bits_adr_5  (
+	.A(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_adr[5] ),
+	.X(\soc_top/imem/FE_OFN6716_FE_OFN6682_FE_OFN151_gen_imem_host_io_wbMasterTransmitter_bits_adr_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 \soc_top/imem/FE_OFC740_FE_OFN6678_FE_OFN149_gen_imem_host_io_wbMasterTransmitter_bits_adr_7  (
+	.A(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_adr[7] ),
+	.X(\soc_top/imem/FE_OFN6713_FE_OFN6678_FE_OFN149_gen_imem_host_io_wbMasterTransmitter_bits_adr_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 \soc_top/imem/FE_OFC737_FE_OFN6680_FE_OFN152_gen_imem_host_io_wbMasterTransmitter_bits_adr_4  (
+	.A(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_adr[4] ),
+	.X(\soc_top/imem/FE_OFN6710_FE_OFN6680_FE_OFN152_gen_imem_host_io_wbMasterTransmitter_bits_adr_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/imem/FE_OFC723_FE_OFN246_n_6001  (
+	.A(\soc_top/n_6001 ),
+	.X(\soc_top/imem/FE_OFN6696_FE_OFN246_n_6001 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/imem/FE_OFC291_sram_web0  (
+	.A(\soc_top/imem/sram_web0 ),
+	.X(\soc_top/imem/FE_OFN291_sram_web0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 \soc_top/imem/FE_OFC156_gen_imem_host_io_wbMasterTransmitter_bits_adr_0  (
+	.A(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_adr[0] ),
+	.X(\soc_top/imem/FE_OFN156_gen_imem_host_io_wbMasterTransmitter_bits_adr_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_sram_1kbyte_1rw1r_32x256_8 \soc_top/imem/sram  (
+	.din0({ \soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[31] ,
+		\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[30] ,
+		\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[29] ,
+		\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[28] ,
+		\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[27] ,
+		\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[26] ,
+		\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[25] ,
+		\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[24] ,
+		\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[23] ,
+		\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[22] ,
+		\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[21] ,
+		\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[20] ,
+		\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[19] ,
+		\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[18] ,
+		\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[17] ,
+		\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[16] ,
+		\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[15] ,
+		\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[14] ,
+		\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[13] ,
+		\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[12] ,
+		\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[11] ,
+		\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[10] ,
+		\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[9] ,
+		\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[8] ,
+		\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[7] ,
+		\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[6] ,
+		\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[5] ,
+		\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[4] ,
+		\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[3] ,
+		\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[2] ,
+		\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[1] ,
+		\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[0]  }),
+	.dout0({ \soc_top/imem_io_rsp_bits_dataResponse[31] ,
+		\soc_top/imem_io_rsp_bits_dataResponse[30] ,
+		\soc_top/imem_io_rsp_bits_dataResponse[29] ,
+		\soc_top/imem_io_rsp_bits_dataResponse[28] ,
+		\soc_top/imem_io_rsp_bits_dataResponse[27] ,
+		\soc_top/imem_io_rsp_bits_dataResponse[26] ,
+		\soc_top/imem_io_rsp_bits_dataResponse[25] ,
+		\soc_top/imem_io_rsp_bits_dataResponse[24] ,
+		\soc_top/imem_io_rsp_bits_dataResponse[23] ,
+		\soc_top/imem_io_rsp_bits_dataResponse[22] ,
+		\soc_top/imem_io_rsp_bits_dataResponse[21] ,
+		\soc_top/imem_io_rsp_bits_dataResponse[20] ,
+		\soc_top/imem_io_rsp_bits_dataResponse[19] ,
+		\soc_top/imem_io_rsp_bits_dataResponse[18] ,
+		\soc_top/imem_io_rsp_bits_dataResponse[17] ,
+		\soc_top/imem_io_rsp_bits_dataResponse[16] ,
+		\soc_top/imem_io_rsp_bits_dataResponse[15] ,
+		\soc_top/imem_io_rsp_bits_dataResponse[14] ,
+		\soc_top/imem_io_rsp_bits_dataResponse[13] ,
+		\soc_top/imem_io_rsp_bits_dataResponse[12] ,
+		\soc_top/imem_io_rsp_bits_dataResponse[11] ,
+		\soc_top/imem_io_rsp_bits_dataResponse[10] ,
+		\soc_top/imem_io_rsp_bits_dataResponse[9] ,
+		\soc_top/imem_io_rsp_bits_dataResponse[8] ,
+		\soc_top/imem_io_rsp_bits_dataResponse[7] ,
+		\soc_top/imem_io_rsp_bits_dataResponse[6] ,
+		\soc_top/imem_io_rsp_bits_dataResponse[5] ,
+		\soc_top/imem_io_rsp_bits_dataResponse[4] ,
+		\soc_top/imem_io_rsp_bits_dataResponse[3] ,
+		\soc_top/imem_io_rsp_bits_dataResponse[2] ,
+		\soc_top/imem_io_rsp_bits_dataResponse[1] ,
+		\soc_top/imem_io_rsp_bits_dataResponse[0]  }),
+	.addr0({ \soc_top/imem/FE_OFN6750_FE_OFN6713_FE_OFN6678_FE_OFN149_gen_imem_host_io_wbMasterTransmitter_bits_adr_7 ,
+		\soc_top/imem/FE_OFN6745_FE_OFN6717_FE_OFN6679_FE_OFN150_gen_imem_host_io_wbMasterTransmitter_bits_adr_6 ,
+		\soc_top/imem/FE_OFN6747_FE_OFN6716_FE_OFN6682_FE_OFN151_gen_imem_host_io_wbMasterTransmitter_bits_adr_5 ,
+		\soc_top/imem/FE_OFN6744_FE_OFN6710_FE_OFN6680_FE_OFN152_gen_imem_host_io_wbMasterTransmitter_bits_adr_4 ,
+		\soc_top/imem/FE_OFN6751_FE_OFN6719_FE_OFN6683_FE_OFN153_gen_imem_host_io_wbMasterTransmitter_bits_adr_3 ,
+		\soc_top/imem/FE_OFN6752_FE_OFN6721_FE_OFN6684_FE_OFN5598_n ,
+		\soc_top/imem/FE_OFN6753_FE_OFN6718_FE_OFN6681_FE_OFN155_gen_imem_host_io_wbMasterTransmitter_bits_adr_1 ,
+		\soc_top/imem/FE_OFN156_gen_imem_host_io_wbMasterTransmitter_bits_adr_0  }),
+	.wmask0({ \soc_top/imem/FE_OFN6764_n ,
+		\soc_top/imem/FE_OFN780_FE_OFN6720_FE_OFN6702_n ,
+		\soc_top/imem/FE_OFN6732_FE_OFN6728_n ,
+		\soc_top/imem/FE_OFN6763_n  }),
+	.csb0(\soc_top/imem/FE_OFN6696_FE_OFN246_n_6001 ),
+	.web0(\soc_top/imem/FE_OFN291_sram_web0 ),
+	.clk0(\soc_top/CTS_25 ),
+	.addr1({ \soc_top/imem/logic_0_1_net ,
+		\soc_top/imem/logic_0_1_net ,
+		\soc_top/imem/logic_0_1_net ,
+		\soc_top/imem/logic_0_1_net ,
+		\soc_top/imem/logic_0_1_net ,
+		\soc_top/imem/logic_0_1_net ,
+		\soc_top/imem/logic_0_1_net ,
+		\soc_top/imem/logic_0_1_net  }),
+	.csb1(\soc_top/imem/logic_0_1_net ),
+	.clk1(\soc_top/imem/logic_0_1_net ), 
+	.vssd1(vssd1), 
+	.vccd1(vccd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/imem/g68__1705  (
+	.A(\soc_top/gen_imem_slave_io_reqOut_bits_isWrite ),
+	.B(\soc_top/n_6001 ),
+	.Y(\soc_top/imem/sram_web0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/imem/validReg_reg  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/imem/n_0 ),
+	.Q(\soc_top/imem_io_rsp_valid ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/imem/g63__5122  (
+	.A(\soc_top/FE_OFN2_wb_rst_i ),
+	.B_N(\soc_top/n_5590 ),
+	.Y(\soc_top/imem/n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 \soc_top/imem/tie_0_cell  (
+	.LO(\soc_top/imem/logic_0_1_net ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/puart/FE_OFC114_n_156  (
+	.A(\soc_top/puart/n_156 ),
+	.X(\soc_top/puart/FE_OFN114_n_156 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 \soc_top/puart/rx/CTS_ccl_a_buf_00169  (
+	.A(\soc_top/CTS_29 ),
+	.X(\soc_top/puart/rx/CTS_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/puart/rx/FE_OFC695_n_101  (
+	.A(\soc_top/puart/rx/n_101 ),
+	.X(\soc_top/puart/rx/FE_OFN6668_n_101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/puart/rx/FE_OFC694_n_75  (
+	.A(\soc_top/puart/rx/n_75 ),
+	.X(\soc_top/puart/rx/FE_OFN6667_n_75 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top/puart/rx/FE_OFC693_n_77  (
+	.A(\soc_top/puart/rx/n_77 ),
+	.X(\soc_top/puart/rx/FE_OFN6666_n_77 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/puart/rx/FE_OFC252_n_89  (
+	.A(\soc_top/puart/rx/n_89 ),
+	.X(\soc_top/puart/rx/FE_OFN252_n_89 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 \soc_top/puart/rx/FE_OFC251_n_78  (
+	.A(\soc_top/puart/rx/n_78 ),
+	.X(\soc_top/puart/rx/FE_OFN251_n_78 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top/puart/rx/bitIndex_reg[0]  (
+	.CLK(\soc_top/puart/rx/CTS_1 ),
+	.D(\soc_top/puart/rx/n_84 ),
+	.Q(\soc_top/puart/rx/bitIndex[0] ),
+	.SCD(\soc_top/puart/rx/n_83 ),
+	.SCE(\soc_top/puart/rx/bitIndex[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/rx/bitIndex_reg[1]  (
+	.CLK(\soc_top/puart/rx/CTS_1 ),
+	.D(\soc_top/puart/rx/n_90 ),
+	.Q(\soc_top/puart/rx/bitIndex[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/rx/bitIndex_reg[2]  (
+	.CLK(\soc_top/puart/rx/CTS_1 ),
+	.D(\soc_top/puart/rx/n_91 ),
+	.Q(\soc_top/puart/rx/bitIndex[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/rx/clockCount_reg[0]  (
+	.CLK(\soc_top/puart/rx/CTS_1 ),
+	.D(\soc_top/puart/rx/n_110 ),
+	.Q(\soc_top/puart/rx/clockCount[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/rx/clockCount_reg[1]  (
+	.CLK(\soc_top/puart/rx/CTS_1 ),
+	.D(\soc_top/puart/rx/n_114 ),
+	.Q(\soc_top/puart/rx/clockCount[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/rx/clockCount_reg[2]  (
+	.CLK(\soc_top/puart/rx/CTS_1 ),
+	.D(\soc_top/puart/rx/n_116 ),
+	.Q(\soc_top/puart/rx/clockCount[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/rx/clockCount_reg[3]  (
+	.CLK(\soc_top/puart/rx/CTS_1 ),
+	.D(\soc_top/puart/rx/n_107 ),
+	.Q(\soc_top/puart/rx/clockCount[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/rx/clockCount_reg[4]  (
+	.CLK(\soc_top/puart/rx/CTS_1 ),
+	.D(\soc_top/puart/rx/n_108 ),
+	.Q(\soc_top/puart/rx/clockCount[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/rx/clockCount_reg[5]  (
+	.CLK(\soc_top/puart/rx/CTS_1 ),
+	.D(\soc_top/puart/rx/n_106 ),
+	.Q(\soc_top/puart/rx/clockCount[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/rx/clockCount_reg[6]  (
+	.CLK(\soc_top/puart/rx/CTS_1 ),
+	.D(\soc_top/puart/rx/n_115 ),
+	.Q(\soc_top/puart/rx/clockCount[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/rx/clockCount_reg[7]  (
+	.CLK(\soc_top/puart/rx/CTS_1 ),
+	.D(\soc_top/puart/rx/n_113 ),
+	.Q(\soc_top/puart/rx/clockCount[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/rx/rxReg_REG_reg  (
+	.CLK(\soc_top/puart/rx/CTS_1 ),
+	.D(\soc_top/puart/rx/n_16 ),
+	.Q(\soc_top/puart/rx/rxReg_REG ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/rx/rxReg_reg  (
+	.CLK(\soc_top/puart/rx/CTS_1 ),
+	.D(\soc_top/puart/rx/n_28 ),
+	.Q(\soc_top/puart/rx/rxReg ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/rx/shiftReg_reg[0]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/puart/rx/n_102 ),
+	.Q(\soc_top/puart/rx_io_data[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/rx/shiftReg_reg[1]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/puart/rx/n_99 ),
+	.Q(\soc_top/puart/rx_io_data[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/rx/shiftReg_reg[2]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/rx/n_98 ),
+	.Q(\soc_top/puart/rx_io_data[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/rx/shiftReg_reg[3]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/rx/n_97 ),
+	.Q(\soc_top/puart/rx_io_data[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/rx/shiftReg_reg[4]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/rx/n_96 ),
+	.Q(\soc_top/puart/rx_io_data[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/rx/shiftReg_reg[5]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/rx/n_95 ),
+	.Q(\soc_top/puart/rx_io_data[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/rx/shiftReg_reg[6]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/rx/n_104 ),
+	.Q(\soc_top/puart/rx_io_data[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/rx/shiftReg_reg[7]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/rx/n_94 ),
+	.Q(\soc_top/puart/rx_io_data[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/rx/stateReg_reg[0]  (
+	.CLK(\soc_top/puart/rx/CTS_1 ),
+	.D(\soc_top/puart/rx/n_112 ),
+	.Q(\soc_top/puart/rx/stateReg[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/rx/stateReg_reg[1]  (
+	.CLK(\soc_top/puart/rx/CTS_1 ),
+	.D(\soc_top/puart/rx/n_92 ),
+	.Q(\soc_top/puart/rx/stateReg[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/rx/stateReg_reg[2]  (
+	.CLK(\soc_top/puart/rx/CTS_1 ),
+	.D(\soc_top/puart/rx/n_86 ),
+	.Q(\soc_top/puart/rx_io_valid ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top/puart/rx/g5462__8246  (
+	.A1_N(\soc_top/puart/rx/n_24 ),
+	.A2_N(\soc_top/puart/rx/FE_OFN6668_n_101 ),
+	.B1(\soc_top/puart/rx/n_1 ),
+	.B2(\soc_top/puart/rx/n_111 ),
+	.Y(\soc_top/puart/rx/n_116 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 \soc_top/puart/rx/g5464__7098  (
+	.A1(\soc_top/puart/rx/clockCount[6] ),
+	.A2(\soc_top/puart/rx/n_59 ),
+	.A3(\soc_top/puart/rx/n_100 ),
+	.B1(\soc_top/puart/rx/n_7 ),
+	.B2(\soc_top/puart/rx/n_109 ),
+	.Y(\soc_top/puart/rx/n_115 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/puart/rx/g5465__6131  (
+	.A1(\soc_top/puart/rx/n_2 ),
+	.A2(\soc_top/puart/rx/n_111 ),
+	.B1(\soc_top/puart/rx/n_103 ),
+	.Y(\soc_top/puart/rx/n_114 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/puart/rx/g5466__1881  (
+	.A1(\soc_top/puart/rx/n_9 ),
+	.A2(\soc_top/puart/rx/n_109 ),
+	.B1(\soc_top/puart/rx/n_66 ),
+	.B2(\soc_top/puart/rx/n_100 ),
+	.Y(\soc_top/puart/rx/n_113 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/puart/rx/g5469__5115  (
+	.A1(\soc_top/puart/rx/n_29 ),
+	.A2(\soc_top/puart/rx/n_85 ),
+	.B1(\soc_top/puart/rx_io_valid ),
+	.B2(\soc_top/puart/rx/n_93 ),
+	.C1(\soc_top/puart/rx/n_33 ),
+	.Y(\soc_top/puart/rx/n_112 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/puart/rx/g5472__7482  (
+	.A1(\soc_top/puart/rx/n_79 ),
+	.A2(\soc_top/puart/rx/clockCount[0] ),
+	.B1(\soc_top/puart/rx/n_105 ),
+	.X(\soc_top/puart/rx/n_110 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/puart/rx/g5474__4733  (
+	.A(\soc_top/puart/rx/n_79 ),
+	.B(\soc_top/puart/rx/n_105 ),
+	.Y(\soc_top/puart/rx/n_111 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/rx/g5476__6161  (
+	.A1(\soc_top/puart/rx/FE_OFN6668_n_101 ),
+	.A2(\soc_top/puart/rx/n_53 ),
+	.B1(\soc_top/puart/rx/n_79 ),
+	.B2(\soc_top/puart/rx/clockCount[4] ),
+	.X(\soc_top/puart/rx/n_108 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/rx/g5477__9315  (
+	.A1(\soc_top/puart/rx/FE_OFN6668_n_101 ),
+	.A2(\soc_top/puart/rx/n_40 ),
+	.B1(\soc_top/puart/rx/n_79 ),
+	.B2(\soc_top/puart/rx/clockCount[3] ),
+	.X(\soc_top/puart/rx/n_107 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/rx/g5478__9945  (
+	.A1(\soc_top/puart/rx/FE_OFN6668_n_101 ),
+	.A2(\soc_top/puart/rx/n_60 ),
+	.B1(\soc_top/puart/rx/n_79 ),
+	.B2(\soc_top/puart/rx/clockCount[5] ),
+	.X(\soc_top/puart/rx/n_106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/puart/rx/g5479__2883  (
+	.A1(\soc_top/puart/rx/FE_OFN6668_n_101 ),
+	.A2(\soc_top/puart/rx/n_59 ),
+	.B1(\soc_top/puart/rx/n_79 ),
+	.Y(\soc_top/puart/rx/n_109 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/puart/rx/g5485__2346  (
+	.A1(\soc_top/puart/rx/n_84 ),
+	.A2(\soc_top/puart/rx_io_data[7] ),
+	.B1(\soc_top/puart/rx/FE_OFN252_n_89 ),
+	.B2(\soc_top/puart/rx_io_data[6] ),
+	.C1(\soc_top/FE_OFN2_wb_rst_i ),
+	.X(\soc_top/puart/rx/n_104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/puart/rx/g5486__1666  (
+	.A(\soc_top/puart/rx/FE_OFN6668_n_101 ),
+	.B(\soc_top/puart/rx/n_2 ),
+	.C(\soc_top/puart/rx/clockCount[0] ),
+	.Y(\soc_top/puart/rx/n_103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/puart/rx/g5487__7410  (
+	.A1(\soc_top/puart/rx/n_84 ),
+	.A2(\soc_top/puart/rx_io_data[1] ),
+	.B1(\soc_top/puart/rx/FE_OFN252_n_89 ),
+	.B2(\soc_top/puart/rx_io_data[0] ),
+	.C1(\soc_top/FE_OFN2_wb_rst_i ),
+	.X(\soc_top/puart/rx/n_102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/puart/rx/g5488__6417  (
+	.A(\soc_top/puart/rx/clockCount[0] ),
+	.B(\soc_top/puart/rx/n_100 ),
+	.Y(\soc_top/puart/rx/n_105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/puart/rx/g5490  (
+	.A(\soc_top/puart/rx/FE_OFN6668_n_101 ),
+	.Y(\soc_top/puart/rx/n_100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/rx/g5491__5477  (
+	.A1(\soc_top/puart/rx/n_84 ),
+	.A2(\soc_top/puart/rx_io_data[2] ),
+	.B1(\soc_top/puart/rx/FE_OFN252_n_89 ),
+	.B2(\soc_top/puart/rx_io_data[1] ),
+	.X(\soc_top/puart/rx/n_99 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/puart/rx/g5496__2398  (
+	.A(\soc_top/puart/rx_io_valid ),
+	.B(\soc_top/puart/rx/n_17 ),
+	.C(\soc_top/puart/rx/n_87 ),
+	.Y(\soc_top/puart/rx/n_101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/rx/g5497__5107  (
+	.A1(\soc_top/puart/rx/n_84 ),
+	.A2(\soc_top/puart/rx_io_data[3] ),
+	.B1(\soc_top/puart/rx/FE_OFN252_n_89 ),
+	.B2(\soc_top/puart/rx_io_data[2] ),
+	.X(\soc_top/puart/rx/n_98 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/rx/g5498__6260  (
+	.A1(\soc_top/puart/rx/n_84 ),
+	.A2(\soc_top/puart/rx_io_data[4] ),
+	.B1(\soc_top/puart/rx/FE_OFN252_n_89 ),
+	.B2(\soc_top/puart/rx_io_data[3] ),
+	.X(\soc_top/puart/rx/n_97 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/rx/g5499__4319  (
+	.A1(\soc_top/puart/rx/n_84 ),
+	.A2(\soc_top/puart/rx_io_data[5] ),
+	.B1(\soc_top/puart/rx/FE_OFN252_n_89 ),
+	.B2(\soc_top/puart/rx_io_data[4] ),
+	.X(\soc_top/puart/rx/n_96 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/rx/g5500__8428  (
+	.A1(\soc_top/puart/rx/n_84 ),
+	.A2(\soc_top/puart/rx_io_data[6] ),
+	.B1(\soc_top/puart/rx/FE_OFN252_n_89 ),
+	.B2(\soc_top/puart/rx_io_data[5] ),
+	.X(\soc_top/puart/rx/n_95 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/rx/g5501__5526  (
+	.A1(\soc_top/puart/rx/n_84 ),
+	.A2(\soc_top/puart/rx/rxReg ),
+	.B1(\soc_top/puart/rx/FE_OFN252_n_89 ),
+	.B2(\soc_top/puart/rx_io_data[7] ),
+	.X(\soc_top/puart/rx/n_94 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top/puart/rx/g5502__6783  (
+	.A1_N(\soc_top/puart/rx/n_8 ),
+	.A2_N(\soc_top/puart/rx/n_87 ),
+	.B1(\soc_top/puart/rx/n_15 ),
+	.B2(\soc_top/puart/rx/n_17 ),
+	.Y(\soc_top/puart/rx/n_93 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 \soc_top/puart/rx/g5503__3680  (
+	.A1(\soc_top/puart/rx/n_8 ),
+	.A2(\soc_top/puart/rx/n_125 ),
+	.A3(\soc_top/puart/rx/n_76 ),
+	.B1(\soc_top/puart/rx/n_88 ),
+	.Y(\soc_top/puart/rx/n_92 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/rx/g5505__1617  (
+	.A1(\soc_top/puart/rx/n_84 ),
+	.A2(\soc_top/puart/rx/n_34 ),
+	.B1(\soc_top/puart/rx/n_83 ),
+	.B2(\soc_top/puart/rx/bitIndex[2] ),
+	.X(\soc_top/puart/rx/n_91 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/rx/g5506__2802  (
+	.A1(\soc_top/puart/rx/n_84 ),
+	.A2(\soc_top/puart/rx/n_20 ),
+	.B1(\soc_top/puart/rx/n_83 ),
+	.B2(\soc_top/puart/rx/bitIndex[1] ),
+	.X(\soc_top/puart/rx/n_90 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/puart/rx/g5507__1705  (
+	.A1(\soc_top/puart/rx/n_125 ),
+	.A2(\soc_top/puart/rx/stateReg[1] ),
+	.B1(\soc_top/puart/rx/n_82 ),
+	.Y(\soc_top/puart/rx/n_88 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/puart/rx/g5508__5122  (
+	.A1(\soc_top/puart/rx/n_81 ),
+	.A2(\soc_top/puart/rx/n_18 ),
+	.B1(\soc_top/FE_OFN2_wb_rst_i ),
+	.Y(\soc_top/puart/rx/n_89 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \soc_top/puart/rx/g5509__8246  (
+	.A1(\soc_top/puart/rx/n_8 ),
+	.A2(\soc_top/puart/rx/n_80 ),
+	.B1_N(\soc_top/puart/rx/n_125 ),
+	.Y(\soc_top/puart/rx/n_86 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 \soc_top/puart/rx/g5510__7098  (
+	.A(\soc_top/FE_OFN2_wb_rst_i ),
+	.B(\soc_top/puart/rx/FE_OFN6667_n_75 ),
+	.C(\soc_top/puart/rx/n_81 ),
+	.X(\soc_top/puart/rx/n_87 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/puart/rx/g5511  (
+	.A(\soc_top/puart/rx/n_84 ),
+	.Y(\soc_top/puart/rx/n_85 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 \soc_top/puart/rx/g5512__6131  (
+	.A(\soc_top/puart/rx/n_80 ),
+	.B_N(\soc_top/puart/rx/n_18 ),
+	.Y(\soc_top/puart/rx/n_84 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/puart/rx/g5513__1881  (
+	.A1(\soc_top/puart/rx/FE_OFN6666_n_77 ),
+	.A2(\soc_top/puart/rx/stateReg[0] ),
+	.B1(\soc_top/puart/rx/n_12 ),
+	.Y(\soc_top/puart/rx/n_82 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/puart/rx/g5514__5115  (
+	.A1(\soc_top/puart/rx/n_12 ),
+	.A2(\soc_top/puart/rx/FE_OFN6666_n_77 ),
+	.B1(\soc_top/FE_OFN2_wb_rst_i ),
+	.B2(\soc_top/puart/rx/n_18 ),
+	.Y(\soc_top/puart/rx/n_83 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/puart/rx/g5515__7482  (
+	.A(\soc_top/puart/rx/FE_OFN6666_n_77 ),
+	.B(\soc_top/puart/rx/stateReg[1] ),
+	.X(\soc_top/puart/rx/n_81 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/puart/rx/g5516__4733  (
+	.A_N(\soc_top/puart/rx/n_12 ),
+	.B(\soc_top/puart/rx/FE_OFN6666_n_77 ),
+	.Y(\soc_top/puart/rx/n_80 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/puart/rx/g5517__6161  (
+	.A(wb_rst_i),
+	.B(\soc_top/puart/rx/FE_OFN251_n_78 ),
+	.Y(\soc_top/puart/rx/n_79 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 \soc_top/puart/rx/g5518__9315  (
+	.A1(\soc_top/puart/rx/FE_OFN6667_n_75 ),
+	.A2(\soc_top/puart/rx/stateReg[0] ),
+	.A3(io_in[5]),
+	.B1(\soc_top/puart/rx_io_valid ),
+	.Y(\soc_top/puart/rx/n_78 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \soc_top/puart/rx/g5519__9945  (
+	.A(la_data_in[12]),
+	.B(la_data_in[11]),
+	.C(la_data_in[10]),
+	.D(\soc_top/puart/rx/n_74 ),
+	.Y(\soc_top/puart/rx/n_77 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/puart/rx/g5520__2883  (
+	.A(\soc_top/puart/rx/FE_OFN6667_n_75 ),
+	.B(\soc_top/puart/rx/n_15 ),
+	.Y(\soc_top/puart/rx/n_76 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \soc_top/puart/rx/g5521__2346  (
+	.A(la_data_in[11]),
+	.B(la_data_in[10]),
+	.C(\soc_top/puart/rx/stateReg[1] ),
+	.D(\soc_top/puart/rx/n_73 ),
+	.Y(\soc_top/puart/rx/n_75 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 \soc_top/puart/rx/g5522__1666  (
+	.A(la_data_in[15]),
+	.B(la_data_in[13]),
+	.C(la_data_in[14]),
+	.D(\soc_top/puart/rx/n_72 ),
+	.X(\soc_top/puart/rx/n_74 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 \soc_top/puart/rx/g5523__7410  (
+	.A(la_data_in[13]),
+	.B(la_data_in[12]),
+	.C(\soc_top/puart/rx/n_48 ),
+	.D(\soc_top/puart/rx/n_70 ),
+	.X(\soc_top/puart/rx/n_73 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \soc_top/puart/rx/g5524__6417  (
+	.A_N(\soc_top/puart/rx/n_48 ),
+	.B(\soc_top/puart/rx/n_71 ),
+	.C(\soc_top/puart/rx/n_58 ),
+	.Y(\soc_top/puart/rx/n_72 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 \soc_top/puart/rx/g5525__5477  (
+	.A(\soc_top/puart/rx/clockCount[7] ),
+	.B(\soc_top/puart/rx/n_69 ),
+	.C(\soc_top/puart/rx/n_44 ),
+	.X(\soc_top/puart/rx/n_71 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 \soc_top/puart/rx/g5526__2398  (
+	.A(la_data_in[14]),
+	.B(la_data_in[15]),
+	.C(\soc_top/puart/rx/n_65 ),
+	.D(\soc_top/puart/rx/n_67 ),
+	.X(\soc_top/puart/rx/n_70 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 \soc_top/puart/rx/g5527__5107  (
+	.A(\soc_top/puart/rx/clockCount[6] ),
+	.B(\soc_top/puart/rx/n_68 ),
+	.C(\soc_top/puart/rx/n_54 ),
+	.X(\soc_top/puart/rx/n_69 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 \soc_top/puart/rx/g5528__6260  (
+	.A(\soc_top/puart/rx/clockCount[5] ),
+	.B(\soc_top/puart/rx/n_64 ),
+	.C(\soc_top/puart/rx/n_37 ),
+	.X(\soc_top/puart/rx/n_68 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/puart/rx/g5529__4319  (
+	.A(\soc_top/puart/rx/n_56 ),
+	.B(\soc_top/puart/rx/n_63 ),
+	.C(\soc_top/puart/rx/n_52 ),
+	.D(\soc_top/puart/rx/n_61 ),
+	.Y(\soc_top/puart/rx/n_67 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32a_1 \soc_top/puart/rx/g5530__8428  (
+	.A1(\soc_top/puart/rx/clockCount[7] ),
+	.A2(\soc_top/puart/rx/n_7 ),
+	.A3(\soc_top/puart/rx/n_59 ),
+	.B1(\soc_top/puart/rx/clockCount[6] ),
+	.B2(\soc_top/puart/rx/n_9 ),
+	.X(\soc_top/puart/rx/n_66 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/puart/rx/g5531__5526  (
+	.A(\soc_top/puart/rx/n_47 ),
+	.B(\soc_top/puart/rx/n_36 ),
+	.C(\soc_top/puart/rx/n_57 ),
+	.D(\soc_top/puart/rx/n_46 ),
+	.Y(\soc_top/puart/rx/n_65 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 \soc_top/puart/rx/g5532__6783  (
+	.A(\soc_top/puart/rx/clockCount[4] ),
+	.B(\soc_top/puart/rx/n_62 ),
+	.C(\soc_top/puart/rx/n_51 ),
+	.X(\soc_top/puart/rx/n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top/puart/rx/g5533__3680  (
+	.A1_N(\soc_top/puart/rx/n_58 ),
+	.A2_N(\soc_top/puart/rx/clockCount[7] ),
+	.B1(\soc_top/puart/rx/n_58 ),
+	.B2(\soc_top/puart/rx/clockCount[7] ),
+	.Y(\soc_top/puart/rx/n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/puart/rx/g5534__1617  (
+	.A1(\soc_top/puart/rx/n_1 ),
+	.A2(\soc_top/puart/rx/n_50 ),
+	.B1(\soc_top/puart/rx/n_45 ),
+	.B2(\soc_top/puart/rx/n_49 ),
+	.C1(\soc_top/puart/rx/n_43 ),
+	.Y(\soc_top/puart/rx/n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/puart/rx/g5535__2802  (
+	.A(\soc_top/puart/rx/n_54 ),
+	.B(\soc_top/puart/rx/clockCount[5] ),
+	.X(\soc_top/puart/rx/n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/puart/rx/g5536__1705  (
+	.A(\soc_top/puart/rx/clockCount[5] ),
+	.B(\soc_top/puart/rx/n_55 ),
+	.X(\soc_top/puart/rx/n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/puart/rx/g5537__5122  (
+	.A(\soc_top/puart/rx/n_55 ),
+	.B(\soc_top/puart/rx/clockCount[5] ),
+	.Y(\soc_top/puart/rx/n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/puart/rx/g5538__8246  (
+	.A1(\soc_top/puart/rx/n_38 ),
+	.A2(la_data_in[8]),
+	.B1(\soc_top/puart/rx/n_42 ),
+	.Y(\soc_top/puart/rx/n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/puart/rx/g5539__7098  (
+	.A(\soc_top/puart/rx/n_51 ),
+	.B(\soc_top/puart/rx/clockCount[3] ),
+	.X(\soc_top/puart/rx/n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top/puart/rx/g5540__6131  (
+	.A1_N(\soc_top/puart/rx/n_44 ),
+	.A2_N(\soc_top/puart/rx/clockCount[6] ),
+	.B1(\soc_top/puart/rx/n_44 ),
+	.B2(\soc_top/puart/rx/clockCount[6] ),
+	.Y(\soc_top/puart/rx/n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \soc_top/puart/rx/g5541__1881  (
+	.A(\soc_top/puart/rx/clockCount[4] ),
+	.B(\soc_top/puart/rx/n_39 ),
+	.COUT(\soc_top/puart/rx/n_55 ),
+	.SUM(\soc_top/puart/rx/n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/puart/rx/g5542__5115  (
+	.A1(\soc_top/puart/rx/n_32 ),
+	.A2(la_data_in[6]),
+	.B1(\soc_top/puart/rx/n_31 ),
+	.Y(\soc_top/puart/rx/n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/puart/rx/g5543__7482  (
+	.A(\soc_top/puart/rx/n_37 ),
+	.B(\soc_top/puart/rx/clockCount[4] ),
+	.X(\soc_top/puart/rx/n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/puart/rx/g5544__4733  (
+	.A_N(\soc_top/puart/rx/n_45 ),
+	.B(\soc_top/puart/rx/n_41 ),
+	.Y(\soc_top/puart/rx/n_50 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/puart/rx/g5545__6161  (
+	.A1(\soc_top/puart/rx/n_41 ),
+	.A2(\soc_top/puart/rx/clockCount[2] ),
+	.B1(\soc_top/puart/rx/n_35 ),
+	.Y(\soc_top/puart/rx/n_49 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/puart/rx/g5546__9315  (
+	.A1(\soc_top/puart/rx/n_27 ),
+	.A2(la_data_in[4]),
+	.B1(\soc_top/puart/rx/n_25 ),
+	.Y(\soc_top/puart/rx/n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/puart/rx/g5547__9945  (
+	.A(\soc_top/puart/rx/n_41 ),
+	.B(\soc_top/puart/rx/clockCount[1] ),
+	.X(\soc_top/puart/rx/n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/puart/rx/g5548__2883  (
+	.A(\soc_top/puart/rx/n_30 ),
+	.B(\soc_top/puart/rx/clockCount[2] ),
+	.X(\soc_top/puart/rx/n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/puart/rx/g5549__2346  (
+	.A(la_data_in[9]),
+	.B(\soc_top/puart/rx/n_42 ),
+	.X(\soc_top/puart/rx/n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/puart/rx/g5550__1666  (
+	.A(\soc_top/puart/rx/n_30 ),
+	.B(\soc_top/puart/rx/clockCount[3] ),
+	.Y(\soc_top/puart/rx/n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/puart/rx/g5551__7410  (
+	.A(\soc_top/puart/rx/clockCount[3] ),
+	.B(\soc_top/puart/rx/n_30 ),
+	.Y(\soc_top/puart/rx/n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/puart/rx/g5552__6417  (
+	.A(la_data_in[7]),
+	.B(\soc_top/puart/rx/n_31 ),
+	.Y(\soc_top/puart/rx/n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \soc_top/puart/rx/g5553__5477  (
+	.A(\soc_top/puart/rx/clockCount[3] ),
+	.B(\soc_top/puart/rx/n_23 ),
+	.COUT(\soc_top/puart/rx/n_39 ),
+	.SUM(\soc_top/puart/rx/n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/puart/rx/g5554__2398  (
+	.A_N(la_data_in[7]),
+	.B(\soc_top/puart/rx/n_31 ),
+	.Y(\soc_top/puart/rx/n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \soc_top/puart/rx/g5555__5107  (
+	.A(la_data_in[7]),
+	.B(la_data_in[8]),
+	.C_N(\soc_top/puart/rx/n_31 ),
+	.Y(\soc_top/puart/rx/n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/puart/rx/g5556__6260  (
+	.A1(\soc_top/puart/rx/n_14 ),
+	.A2(la_data_in[2]),
+	.B1(\soc_top/puart/rx/n_21 ),
+	.Y(\soc_top/puart/rx/n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/puart/rx/g5557__4319  (
+	.A(\soc_top/puart/rx/n_22 ),
+	.B(\soc_top/puart/rx/clockCount[0] ),
+	.X(\soc_top/puart/rx/n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 \soc_top/puart/rx/g5558__8428  (
+	.A(\soc_top/puart/rx/clockCount[1] ),
+	.B(\soc_top/puart/rx/n_10 ),
+	.C(\soc_top/puart/rx/n_22 ),
+	.X(\soc_top/puart/rx/n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top/puart/rx/g5559__5526  (
+	.A1_N(\soc_top/puart/rx/bitIndex[2] ),
+	.A2_N(\soc_top/puart/rx/n_11 ),
+	.B1(\soc_top/puart/rx/bitIndex[2] ),
+	.B2(\soc_top/puart/rx/n_11 ),
+	.Y(\soc_top/puart/rx/n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/puart/rx/g5560__6783  (
+	.A(la_data_in[5]),
+	.B(\soc_top/puart/rx/n_25 ),
+	.Y(\soc_top/puart/rx/n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/puart/rx/g5562__3680  (
+	.A(\soc_top/puart/rx/n_125 ),
+	.B(\soc_top/puart/rx/stateReg[0] ),
+	.Y(\soc_top/puart/rx/n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/puart/rx/g5563__1617  (
+	.A_N(la_data_in[5]),
+	.B(\soc_top/puart/rx/n_25 ),
+	.Y(\soc_top/puart/rx/n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/puart/rx/g5564__2802  (
+	.A_N(\soc_top/puart/rx/n_11 ),
+	.B(\soc_top/puart/rx/bitIndex[2] ),
+	.Y(\soc_top/puart/rx/n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \soc_top/puart/rx/g5565__1705  (
+	.A(la_data_in[5]),
+	.B(la_data_in[6]),
+	.C_N(\soc_top/puart/rx/n_25 ),
+	.Y(\soc_top/puart/rx/n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/puart/rx/g5566__5122  (
+	.A(la_data_in[3]),
+	.B(\soc_top/puart/rx/n_21 ),
+	.Y(\soc_top/puart/rx/n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \soc_top/puart/rx/g5567__8246  (
+	.A(\soc_top/FE_OFN2_wb_rst_i ),
+	.B(\soc_top/puart/rx/rxReg_REG ),
+	.X(\soc_top/puart/rx/n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/puart/rx/g5568__7098  (
+	.A_N(la_data_in[3]),
+	.B(\soc_top/puart/rx/n_21 ),
+	.Y(\soc_top/puart/rx/n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/puart/rx/g5569__6131  (
+	.A1(\soc_top/puart/rx/clockCount[2] ),
+	.A2(\soc_top/puart/rx/n_19 ),
+	.B1(\soc_top/puart/rx/clockCount[1] ),
+	.B2(\soc_top/puart/rx/n_1 ),
+	.Y(\soc_top/puart/rx/n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \soc_top/puart/rx/g5571__1881  (
+	.A(la_data_in[3]),
+	.B(la_data_in[4]),
+	.C_N(\soc_top/puart/rx/n_21 ),
+	.Y(\soc_top/puart/rx/n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/puart/rx/g5573__5115  (
+	.A(\soc_top/puart/rx/n_1 ),
+	.B(\soc_top/puart/rx/n_19 ),
+	.Y(\soc_top/puart/rx/n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/puart/rx/g5574__7482  (
+	.A(\soc_top/puart/rx/bitIndex[0] ),
+	.B(\soc_top/puart/rx/bitIndex[1] ),
+	.X(\soc_top/puart/rx/n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/puart/rx/g5575__4733  (
+	.A1(la_data_in[1]),
+	.A2(la_data_in[0]),
+	.B1(\soc_top/puart/rx/n_13 ),
+	.Y(\soc_top/puart/rx/n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/puart/rx/g5576__6161  (
+	.A(la_data_in[1]),
+	.B(la_data_in[2]),
+	.C(la_data_in[0]),
+	.Y(\soc_top/puart/rx/n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/puart/rx/g5577  (
+	.A(\soc_top/puart/rx/n_15 ),
+	.Y(\soc_top/puart/rx/n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/puart/rx/g5578__9315  (
+	.A(\soc_top/puart/rx/clockCount[0] ),
+	.B(\soc_top/puart/rx/clockCount[1] ),
+	.Y(\soc_top/puart/rx/n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/puart/rx/g5579__9945  (
+	.A(\soc_top/puart/rx_io_valid ),
+	.B(\soc_top/puart/rx/stateReg[0] ),
+	.Y(\soc_top/puart/rx/n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/puart/rx/g5580__2883  (
+	.A(\soc_top/puart/rx/stateReg[0] ),
+	.B(\soc_top/puart/rx/stateReg[1] ),
+	.Y(\soc_top/puart/rx/n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/puart/rx/g5581__2346  (
+	.A(\soc_top/FE_OFN2_wb_rst_i ),
+	.B(io_in[5]),
+	.Y(\soc_top/puart/rx/n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/puart/rx/g5582  (
+	.A(\soc_top/puart/rx/n_13 ),
+	.Y(\soc_top/puart/rx/n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \soc_top/puart/rx/g5583__1666  (
+	.A(la_data_in[0]),
+	.B(\soc_top/puart/rx/clockCount[0] ),
+	.X(\soc_top/puart/rx/n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/puart/rx/g5584__7410  (
+	.A(la_data_in[0]),
+	.B(la_data_in[1]),
+	.Y(\soc_top/puart/rx/n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/puart/rx/g5585__6417  (
+	.A(\soc_top/puart/rx/stateReg[1] ),
+	.B(\soc_top/FE_DBTN13_wb_rst_i ),
+	.Y(\soc_top/puart/rx/n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/puart/rx/g5586__5477  (
+	.A(\soc_top/puart/rx/bitIndex[0] ),
+	.B(\soc_top/puart/rx/bitIndex[1] ),
+	.Y(\soc_top/puart/rx/n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/puart/rx/g5587  (
+	.A(\soc_top/puart/rx/clockCount[7] ),
+	.Y(\soc_top/puart/rx/n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/puart/rx/g5588  (
+	.A(\soc_top/puart/rx/stateReg[0] ),
+	.Y(\soc_top/puart/rx/n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/puart/rx/g5589  (
+	.A(\soc_top/puart/rx/clockCount[6] ),
+	.Y(\soc_top/puart/rx/n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/puart/rx/g5594  (
+	.A(\soc_top/puart/rx/clockCount[1] ),
+	.Y(\soc_top/puart/rx/n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/puart/rx/g5595  (
+	.A(\soc_top/puart/rx/clockCount[2] ),
+	.Y(\soc_top/puart/rx/n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \soc_top/puart/rx/g2__2398  (
+	.A(\soc_top/puart/rx/n_17 ),
+	.B(\soc_top/FE_OFN2_wb_rst_i ),
+	.C_N(\soc_top/puart/rx_io_valid ),
+	.Y(\soc_top/puart/rx/n_125 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/count_reg[0]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/puart/n_80 ),
+	.Q(\soc_top/puart/count[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/count_reg[1]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/n_85 ),
+	.Q(\soc_top/puart/count[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/count_reg[2]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/n_86 ),
+	.Q(\soc_top/puart/count[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/dataReg_reg[0]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/puart/n_78 ),
+	.Q(\soc_top/puart/dataReg[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/dataReg_reg[1]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/n_77 ),
+	.Q(\soc_top/puart/dataReg[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/dataReg_reg[2]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/puart/n_76 ),
+	.Q(\soc_top/puart/dataReg[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/dataReg_reg[3]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/n_75 ),
+	.Q(\soc_top/puart/dataReg[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/dataReg_reg[4]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/n_74 ),
+	.Q(\soc_top/puart/dataReg[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/dataReg_reg[5]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/n_73 ),
+	.Q(\soc_top/puart/dataReg[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/dataReg_reg[6]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/n_79 ),
+	.Q(\soc_top/puart/dataReg[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/dataReg_reg[7]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/n_72 ),
+	.Q(\soc_top/puart/dataReg[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regAddr_reg[0]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/puart/n_138 ),
+	.Q(\soc_top/puart_io_addr_o[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regAddr_reg[1]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/puart/n_137 ),
+	.Q(\soc_top/puart_io_addr_o[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regAddr_reg[2]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/puart/n_139 ),
+	.Q(\soc_top/puart_io_addr_o[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regAddr_reg[3]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/puart/n_142 ),
+	.Q(\soc_top/puart_io_addr_o[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regAddr_reg[4]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/puart/n_144 ),
+	.Q(\soc_top/puart_io_addr_o[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regAddr_reg[5]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/puart/n_145 ),
+	.Q(\soc_top/puart_io_addr_o[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regDone_reg  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/puart/n_132 ),
+	.Q(\soc_top/puart_io_done ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regFinalData_reg[0]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/puart/n_104 ),
+	.Q(\soc_top/puart_io_rx_data_o[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regFinalData_reg[1]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/puart/n_103 ),
+	.Q(\soc_top/puart_io_rx_data_o[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regFinalData_reg[2]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/puart/n_102 ),
+	.Q(\soc_top/puart_io_rx_data_o[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regFinalData_reg[3]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/puart/n_101 ),
+	.Q(\soc_top/puart_io_rx_data_o[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regFinalData_reg[4]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/puart/n_128 ),
+	.Q(\soc_top/puart_io_rx_data_o[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regFinalData_reg[5]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/puart/n_130 ),
+	.Q(\soc_top/puart_io_rx_data_o[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regFinalData_reg[6]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/puart/n_129 ),
+	.Q(\soc_top/puart_io_rx_data_o[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regFinalData_reg[7]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/puart/n_127 ),
+	.Q(\soc_top/puart_io_rx_data_o[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regFinalData_reg[8]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/puart/n_135 ),
+	.Q(\soc_top/puart_io_rx_data_o[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regFinalData_reg[9]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/puart/n_125 ),
+	.Q(\soc_top/puart_io_rx_data_o[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regFinalData_reg[10]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/puart/n_124 ),
+	.Q(\soc_top/puart_io_rx_data_o[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regFinalData_reg[11]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/puart/n_123 ),
+	.Q(\soc_top/puart_io_rx_data_o[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regFinalData_reg[12]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/puart/n_122 ),
+	.Q(\soc_top/puart_io_rx_data_o[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regFinalData_reg[13]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/n_121 ),
+	.Q(\soc_top/puart_io_rx_data_o[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regFinalData_reg[14]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/n_120 ),
+	.Q(\soc_top/puart_io_rx_data_o[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regFinalData_reg[15]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/n_126 ),
+	.Q(\soc_top/puart_io_rx_data_o[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regFinalData_reg[16]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/n_131 ),
+	.Q(\soc_top/puart_io_rx_data_o[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regFinalData_reg[17]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/n_110 ),
+	.Q(\soc_top/puart_io_rx_data_o[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regFinalData_reg[18]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/n_118 ),
+	.Q(\soc_top/puart_io_rx_data_o[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regFinalData_reg[19]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/n_117 ),
+	.Q(\soc_top/puart_io_rx_data_o[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regFinalData_reg[20]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/n_116 ),
+	.Q(\soc_top/puart_io_rx_data_o[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regFinalData_reg[21]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/puart/n_115 ),
+	.Q(\soc_top/puart_io_rx_data_o[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regFinalData_reg[22]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/puart/n_114 ),
+	.Q(\soc_top/puart_io_rx_data_o[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regFinalData_reg[23]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/puart/n_113 ),
+	.Q(\soc_top/puart_io_rx_data_o[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regFinalData_reg[24]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/puart/n_112 ),
+	.Q(\soc_top/puart_io_rx_data_o[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regFinalData_reg[25]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/puart/n_111 ),
+	.Q(\soc_top/puart_io_rx_data_o[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regFinalData_reg[26]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/puart/n_119 ),
+	.Q(\soc_top/puart_io_rx_data_o[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regFinalData_reg[27]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/puart/n_109 ),
+	.Q(\soc_top/puart_io_rx_data_o[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regFinalData_reg[28]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/puart/n_108 ),
+	.Q(\soc_top/puart_io_rx_data_o[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regFinalData_reg[29]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/puart/n_107 ),
+	.Q(\soc_top/puart_io_rx_data_o[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regFinalData_reg[30]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/puart/n_106 ),
+	.Q(\soc_top/puart_io_rx_data_o[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regFinalData_reg[31]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/puart/n_105 ),
+	.Q(\soc_top/puart_io_rx_data_o[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regLSB1_reg[0]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/n_68 ),
+	.Q(\soc_top/puart/regLSB1[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regLSB1_reg[1]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/n_67 ),
+	.Q(\soc_top/puart/regLSB1[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regLSB1_reg[2]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/n_70 ),
+	.Q(\soc_top/puart/regLSB1[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regLSB1_reg[3]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/n_66 ),
+	.Q(\soc_top/puart/regLSB1[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regLSB1_reg[4]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/n_65 ),
+	.Q(\soc_top/puart/regLSB1[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regLSB1_reg[5]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/n_64 ),
+	.Q(\soc_top/puart/regLSB1[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regLSB1_reg[6]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/n_69 ),
+	.Q(\soc_top/puart/regLSB1[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regLSB1_reg[7]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/n_63 ),
+	.Q(\soc_top/puart/regLSB1[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regLSB2_reg[0]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/puart/n_62 ),
+	.Q(\soc_top/puart/regLSB2[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regLSB2_reg[1]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/puart/n_61 ),
+	.Q(\soc_top/puart/regLSB2[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regLSB2_reg[2]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/puart/n_60 ),
+	.Q(\soc_top/puart/regLSB2[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regLSB2_reg[3]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/n_59 ),
+	.Q(\soc_top/puart/regLSB2[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regLSB2_reg[4]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/n_58 ),
+	.Q(\soc_top/puart/regLSB2[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regLSB2_reg[5]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/n_57 ),
+	.Q(\soc_top/puart/regLSB2[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regLSB2_reg[6]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/n_56 ),
+	.Q(\soc_top/puart/regLSB2[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regLSB2_reg[7]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/n_55 ),
+	.Q(\soc_top/puart/regLSB2[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regMSB1_reg[0]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/n_54 ),
+	.Q(\soc_top/puart/regMSB1[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regMSB1_reg[1]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/n_53 ),
+	.Q(\soc_top/puart/regMSB1[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regMSB1_reg[2]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/n_52 ),
+	.Q(\soc_top/puart/regMSB1[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regMSB1_reg[3]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/puart/n_51 ),
+	.Q(\soc_top/puart/regMSB1[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regMSB1_reg[4]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/puart/n_50 ),
+	.Q(\soc_top/puart/regMSB1[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regMSB1_reg[5]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/puart/n_49 ),
+	.Q(\soc_top/puart/regMSB1[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regMSB1_reg[6]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/puart/n_48 ),
+	.Q(\soc_top/puart/regMSB1[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regMSB1_reg[7]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/puart/n_47 ),
+	.Q(\soc_top/puart/regMSB1[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/puart/regValid_reg  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/puart/n_98 ),
+	.Q(\soc_top/puart_io_valid ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/puart/g6606__5107  (
+	.A(\soc_top/puart/n_143 ),
+	.B(\soc_top/puart/n_141 ),
+	.C(\soc_top/FE_DBTN13_wb_rst_i ),
+	.Y(\soc_top/puart/n_145 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/puart/g6608__6260  (
+	.A1(\soc_top/puart/n_140 ),
+	.A2(\soc_top/puart_io_addr_o[4] ),
+	.B1(\soc_top/puart/n_133 ),
+	.B2(\soc_top/puart_io_addr_o[3] ),
+	.C1(\soc_top/FE_OFN9_wb_rst_i ),
+	.X(\soc_top/puart/n_144 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/puart/g6609__4319  (
+	.A1(\soc_top/puart/n_100 ),
+	.A2(\soc_top/puart/n_140 ),
+	.B1(\soc_top/puart_io_addr_o[5] ),
+	.Y(\soc_top/puart/n_143 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/puart/g6610__8428  (
+	.A1(\soc_top/puart/n_8 ),
+	.A2(\soc_top/puart/n_136 ),
+	.B1(\soc_top/puart_io_addr_o[3] ),
+	.B2(\soc_top/puart/n_99 ),
+	.C1(\soc_top/FE_DBTN13_wb_rst_i ),
+	.Y(\soc_top/puart/n_142 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/puart/g6613__5526  (
+	.A(\soc_top/puart/n_134 ),
+	.B(\soc_top/puart_io_addr_o[3] ),
+	.C(\soc_top/puart_io_addr_o[4] ),
+	.Y(\soc_top/puart/n_141 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/puart/g6633__6783  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/n_46 ),
+	.B1(\soc_top/puart/n_33 ),
+	.B2(\soc_top/puart_io_addr_o[2] ),
+	.C1(\soc_top/FE_OFN9_wb_rst_i ),
+	.X(\soc_top/puart/n_139 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/puart/g6634__3680  (
+	.A1(\soc_top/puart_io_addr_o[0] ),
+	.A2(\soc_top/puart/n_95 ),
+	.B1(\soc_top/puart/n_13 ),
+	.B2(\soc_top/puart/n_32 ),
+	.C1(\soc_top/FE_DBTN13_wb_rst_i ),
+	.Y(\soc_top/puart/n_138 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/puart/g6635__1617  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/n_23 ),
+	.B1(\soc_top/puart/n_33 ),
+	.B2(\soc_top/puart_io_addr_o[1] ),
+	.C1(\soc_top/FE_OFN9_wb_rst_i ),
+	.X(\soc_top/puart/n_137 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/puart/g6649__2802  (
+	.A1(\soc_top/puart_io_addr_o[3] ),
+	.A2(\soc_top/puart/n_95 ),
+	.B1(\soc_top/puart/n_136 ),
+	.Y(\soc_top/puart/n_140 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6652__1705  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/regLSB2[0] ),
+	.B1(\soc_top/puart/n_33 ),
+	.B2(\soc_top/puart_io_rx_data_o[8] ),
+	.X(\soc_top/puart/n_135 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/puart/g6653__5122  (
+	.A(\soc_top/puart_io_addr_o[5] ),
+	.B(\soc_top/puart/n_99 ),
+	.Y(\soc_top/puart/n_134 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/puart/g6654__8246  (
+	.A(\soc_top/puart_io_addr_o[4] ),
+	.B(\soc_top/puart/n_99 ),
+	.Y(\soc_top/puart/n_133 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top/puart/g6655__7098  (
+	.A1_N(\soc_top/puart_io_done ),
+	.A2_N(\soc_top/puart/n_33 ),
+	.B1(\soc_top/puart/n_16 ),
+	.B2(\soc_top/puart/n_97 ),
+	.Y(\soc_top/puart/n_132 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6656__6131  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/regMSB1[0] ),
+	.B1(\soc_top/puart/n_33 ),
+	.B2(\soc_top/puart_io_rx_data_o[16] ),
+	.X(\soc_top/puart/n_131 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6657__1881  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/regLSB1[5] ),
+	.B1(\soc_top/puart/n_33 ),
+	.B2(\soc_top/puart_io_rx_data_o[5] ),
+	.X(\soc_top/puart/n_130 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6658__5115  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/regLSB1[6] ),
+	.B1(\soc_top/puart/n_33 ),
+	.B2(\soc_top/puart_io_rx_data_o[6] ),
+	.X(\soc_top/puart/n_129 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6659__7482  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/regLSB1[4] ),
+	.B1(\soc_top/puart/n_33 ),
+	.B2(\soc_top/puart_io_rx_data_o[4] ),
+	.X(\soc_top/puart/n_128 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6660__4733  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/regLSB1[7] ),
+	.B1(\soc_top/puart/n_33 ),
+	.B2(\soc_top/puart_io_rx_data_o[7] ),
+	.X(\soc_top/puart/n_127 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6661__6161  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/regLSB2[7] ),
+	.B1(\soc_top/puart/n_33 ),
+	.B2(\soc_top/puart_io_rx_data_o[15] ),
+	.X(\soc_top/puart/n_126 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6662__9315  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/regLSB2[1] ),
+	.B1(\soc_top/puart/n_33 ),
+	.B2(\soc_top/puart_io_rx_data_o[9] ),
+	.X(\soc_top/puart/n_125 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6663__9945  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/regLSB2[2] ),
+	.B1(\soc_top/puart/n_33 ),
+	.B2(\soc_top/puart_io_rx_data_o[10] ),
+	.X(\soc_top/puart/n_124 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6664__2883  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/regLSB2[3] ),
+	.B1(\soc_top/puart/n_33 ),
+	.B2(\soc_top/puart_io_rx_data_o[11] ),
+	.X(\soc_top/puart/n_123 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6665__2346  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/regLSB2[4] ),
+	.B1(\soc_top/puart/n_33 ),
+	.B2(\soc_top/puart_io_rx_data_o[12] ),
+	.X(\soc_top/puart/n_122 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6666__1666  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/regLSB2[5] ),
+	.B1(\soc_top/puart/n_33 ),
+	.B2(\soc_top/puart_io_rx_data_o[13] ),
+	.X(\soc_top/puart/n_121 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6667__7410  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/regLSB2[6] ),
+	.B1(\soc_top/puart/n_33 ),
+	.B2(\soc_top/puart_io_rx_data_o[14] ),
+	.X(\soc_top/puart/n_120 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/puart/g6669__6417  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/n_45 ),
+	.B1(\soc_top/puart/n_33 ),
+	.Y(\soc_top/puart/n_136 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6670__5477  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/dataReg[2] ),
+	.B1(\soc_top/puart/n_33 ),
+	.B2(\soc_top/puart_io_rx_data_o[26] ),
+	.X(\soc_top/puart/n_119 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6671__2398  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/regMSB1[2] ),
+	.B1(\soc_top/puart/n_33 ),
+	.B2(\soc_top/puart_io_rx_data_o[18] ),
+	.X(\soc_top/puart/n_118 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6672__5107  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/regMSB1[3] ),
+	.B1(\soc_top/puart/n_33 ),
+	.B2(\soc_top/puart_io_rx_data_o[19] ),
+	.X(\soc_top/puart/n_117 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6673__6260  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/regMSB1[4] ),
+	.B1(\soc_top/puart/n_33 ),
+	.B2(\soc_top/puart_io_rx_data_o[20] ),
+	.X(\soc_top/puart/n_116 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6674__4319  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/regMSB1[5] ),
+	.B1(\soc_top/puart/n_33 ),
+	.B2(\soc_top/puart_io_rx_data_o[21] ),
+	.X(\soc_top/puart/n_115 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6675__8428  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/regMSB1[6] ),
+	.B1(\soc_top/puart/n_33 ),
+	.B2(\soc_top/puart_io_rx_data_o[22] ),
+	.X(\soc_top/puart/n_114 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6676__5526  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/regMSB1[7] ),
+	.B1(\soc_top/puart/n_33 ),
+	.B2(\soc_top/puart_io_rx_data_o[23] ),
+	.X(\soc_top/puart/n_113 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6677__6783  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/dataReg[0] ),
+	.B1(\soc_top/puart/n_33 ),
+	.B2(\soc_top/puart_io_rx_data_o[24] ),
+	.X(\soc_top/puart/n_112 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6678__3680  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/dataReg[1] ),
+	.B1(\soc_top/puart/n_33 ),
+	.B2(\soc_top/puart_io_rx_data_o[25] ),
+	.X(\soc_top/puart/n_111 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6679__1617  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/regMSB1[1] ),
+	.B1(\soc_top/puart/n_33 ),
+	.B2(\soc_top/puart_io_rx_data_o[17] ),
+	.X(\soc_top/puart/n_110 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6680__2802  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/dataReg[3] ),
+	.B1(\soc_top/puart/n_33 ),
+	.B2(\soc_top/puart_io_rx_data_o[27] ),
+	.X(\soc_top/puart/n_109 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6681__1705  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/dataReg[4] ),
+	.B1(\soc_top/puart/n_33 ),
+	.B2(\soc_top/puart_io_rx_data_o[28] ),
+	.X(\soc_top/puart/n_108 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6682__5122  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/dataReg[5] ),
+	.B1(\soc_top/puart/n_33 ),
+	.B2(\soc_top/puart_io_rx_data_o[29] ),
+	.X(\soc_top/puart/n_107 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6683__8246  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/dataReg[6] ),
+	.B1(\soc_top/puart/n_33 ),
+	.B2(\soc_top/puart_io_rx_data_o[30] ),
+	.X(\soc_top/puart/n_106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6684__7098  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/dataReg[7] ),
+	.B1(\soc_top/puart/n_33 ),
+	.B2(\soc_top/puart_io_rx_data_o[31] ),
+	.X(\soc_top/puart/n_105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6685__6131  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/regLSB1[0] ),
+	.B1(\soc_top/puart/n_33 ),
+	.B2(\soc_top/puart_io_rx_data_o[0] ),
+	.X(\soc_top/puart/n_104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6686__1881  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/regLSB1[1] ),
+	.B1(\soc_top/puart/n_33 ),
+	.B2(\soc_top/puart_io_rx_data_o[1] ),
+	.X(\soc_top/puart/n_103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6687__5115  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/regLSB1[2] ),
+	.B1(\soc_top/puart/n_33 ),
+	.B2(\soc_top/puart_io_rx_data_o[2] ),
+	.X(\soc_top/puart/n_102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6688__7482  (
+	.A1(\soc_top/puart/n_96 ),
+	.A2(\soc_top/puart/regLSB1[3] ),
+	.B1(\soc_top/puart/n_33 ),
+	.B2(\soc_top/puart_io_rx_data_o[3] ),
+	.X(\soc_top/puart/n_101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/puart/g6689__4733  (
+	.A(\soc_top/puart_io_addr_o[4] ),
+	.B(\soc_top/puart/n_95 ),
+	.Y(\soc_top/puart/n_100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31o_1 \soc_top/puart/g6690__6161  (
+	.A1(\soc_top/puart/n_26 ),
+	.A2(\soc_top/puart/n_33 ),
+	.A3(\soc_top/puart_io_valid ),
+	.B1(\soc_top/puart/n_96 ),
+	.X(\soc_top/puart/n_98 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 \soc_top/puart/g6691__9315  (
+	.A(\soc_top/puart/n_45 ),
+	.B(\soc_top/puart/n_95 ),
+	.X(\soc_top/puart/n_99 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/puart/g6692__9945  (
+	.A1(\soc_top/puart_io_done ),
+	.A2(\soc_top/puart/n_94 ),
+	.B1(\soc_top/puart/n_22 ),
+	.Y(\soc_top/puart/n_97 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/puart/g6693  (
+	.A(\soc_top/puart/n_96 ),
+	.Y(\soc_top/puart/n_95 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_2 \soc_top/puart/g6694__2883  (
+	.A_N(\soc_top/puart/n_94 ),
+	.B(\soc_top/puart/n_22 ),
+	.C(\soc_top/puart/n_15 ),
+	.X(\soc_top/puart/n_96 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \soc_top/puart/g6695__2346  (
+	.A(\soc_top/puart/dataReg[6] ),
+	.B(\soc_top/puart/dataReg[7] ),
+	.C(\soc_top/puart/dataReg[5] ),
+	.D(\soc_top/puart/n_93 ),
+	.Y(\soc_top/puart/n_94 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 \soc_top/puart/g6696__1666  (
+	.A(\soc_top/puart/dataReg[3] ),
+	.B(\soc_top/puart/dataReg[2] ),
+	.C(\soc_top/puart/dataReg[4] ),
+	.D(\soc_top/puart/n_92 ),
+	.X(\soc_top/puart/n_93 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 \soc_top/puart/g6697__7410  (
+	.A(\soc_top/puart/dataReg[1] ),
+	.B(\soc_top/puart/regMSB1[7] ),
+	.C(\soc_top/puart/dataReg[0] ),
+	.D(\soc_top/puart/n_91 ),
+	.X(\soc_top/puart/n_92 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 \soc_top/puart/g6698__6417  (
+	.A(\soc_top/puart/regMSB1[6] ),
+	.B(\soc_top/puart/regMSB1[4] ),
+	.C(\soc_top/puart/regMSB1[5] ),
+	.D(\soc_top/puart/n_90 ),
+	.X(\soc_top/puart/n_91 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 \soc_top/puart/g6699__5477  (
+	.A(\soc_top/puart/regMSB1[3] ),
+	.B(\soc_top/puart/regMSB1[1] ),
+	.C(\soc_top/puart/regMSB1[2] ),
+	.D(\soc_top/puart/n_89 ),
+	.X(\soc_top/puart/n_90 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 \soc_top/puart/g6700__2398  (
+	.A(\soc_top/puart/regMSB1[0] ),
+	.B(\soc_top/puart/regLSB2[6] ),
+	.C(\soc_top/puart/regLSB2[7] ),
+	.D(\soc_top/puart/n_88 ),
+	.X(\soc_top/puart/n_89 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 \soc_top/puart/g6701__5107  (
+	.A(\soc_top/puart/regLSB2[4] ),
+	.B(\soc_top/puart/regLSB2[5] ),
+	.C(\soc_top/puart/n_87 ),
+	.X(\soc_top/puart/n_88 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/puart/g6702__6260  (
+	.A(\soc_top/puart/n_84 ),
+	.B(\soc_top/puart/regLSB2[2] ),
+	.C(\soc_top/puart/regLSB2[1] ),
+	.D(\soc_top/puart/regLSB2[3] ),
+	.Y(\soc_top/puart/n_87 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/puart/g6705__4319  (
+	.A(\soc_top/puart/n_32 ),
+	.B(\soc_top/puart/n_83 ),
+	.Y(\soc_top/puart/n_86 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/puart/g6706__8428  (
+	.A(\soc_top/puart/n_32 ),
+	.B(\soc_top/puart/n_82 ),
+	.Y(\soc_top/puart/n_85 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 \soc_top/puart/g6707__5526  (
+	.A_N(\soc_top/puart/n_71 ),
+	.B(\soc_top/puart/regLSB2[0] ),
+	.C(\soc_top/puart/regLSB1[5] ),
+	.D(\soc_top/puart/regLSB1[7] ),
+	.X(\soc_top/puart/n_84 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 \soc_top/puart/g6708__6783  (
+	.A1(\soc_top/puart/n_35 ),
+	.A2(\soc_top/puart/count[2] ),
+	.B1(\soc_top/puart/n_22 ),
+	.C1(\soc_top/puart/n_81 ),
+	.Y(\soc_top/puart/n_83 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top/puart/g6710__3680  (
+	.A1_N(\soc_top/puart/count[1] ),
+	.A2_N(\soc_top/puart/n_35 ),
+	.B1(\soc_top/puart/count[1] ),
+	.B2(\soc_top/puart/n_35 ),
+	.Y(\soc_top/puart/n_82 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/puart/g6728__1617  (
+	.A(\soc_top/puart/n_20 ),
+	.B(\soc_top/puart/n_35 ),
+	.Y(\soc_top/puart/n_81 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/puart/g6729__2802  (
+	.A(\soc_top/puart/n_32 ),
+	.B(\soc_top/puart/n_36 ),
+	.Y(\soc_top/puart/n_80 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/puart/g6745__1705  (
+	.A(\soc_top/FE_OFN2_wb_rst_i ),
+	.B(\soc_top/puart/n_37 ),
+	.Y(\soc_top/puart/n_79 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/puart/g6746__5122  (
+	.A(\soc_top/FE_OFN2_wb_rst_i ),
+	.B(\soc_top/puart/n_41 ),
+	.Y(\soc_top/puart/n_78 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/puart/g6747__8246  (
+	.A(\soc_top/FE_OFN2_wb_rst_i ),
+	.B(\soc_top/puart/n_42 ),
+	.Y(\soc_top/puart/n_77 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/puart/g6748__7098  (
+	.A(\soc_top/FE_OFN2_wb_rst_i ),
+	.B(\soc_top/puart/n_38 ),
+	.Y(\soc_top/puart/n_76 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/puart/g6749__6131  (
+	.A(\soc_top/FE_OFN2_wb_rst_i ),
+	.B(\soc_top/puart/n_39 ),
+	.Y(\soc_top/puart/n_75 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/puart/g6750__1881  (
+	.A(\soc_top/FE_OFN2_wb_rst_i ),
+	.B(\soc_top/puart/n_43 ),
+	.Y(\soc_top/puart/n_74 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/puart/g6751__5115  (
+	.A(\soc_top/FE_OFN2_wb_rst_i ),
+	.B(\soc_top/puart/n_44 ),
+	.Y(\soc_top/puart/n_73 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/puart/g6752__7482  (
+	.A(\soc_top/FE_OFN2_wb_rst_i ),
+	.B(\soc_top/puart/n_40 ),
+	.Y(\soc_top/puart/n_72 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/puart/g6753__4733  (
+	.A(\soc_top/puart/n_24 ),
+	.B(\soc_top/puart/regLSB1[4] ),
+	.C(\soc_top/puart/regLSB1[3] ),
+	.D(\soc_top/puart/regLSB1[6] ),
+	.Y(\soc_top/puart/n_71 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6754__6161  (
+	.A1(\soc_top/puart/n_34 ),
+	.A2(\soc_top/puart/regLSB1[2] ),
+	.B1(\soc_top/puart/n_29 ),
+	.B2(\soc_top/puart/dataReg[2] ),
+	.X(\soc_top/puart/n_70 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6755__9315  (
+	.A1(\soc_top/puart/n_34 ),
+	.A2(\soc_top/puart/regLSB1[6] ),
+	.B1(\soc_top/puart/n_29 ),
+	.B2(\soc_top/puart/dataReg[6] ),
+	.X(\soc_top/puart/n_69 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6756__9945  (
+	.A1(\soc_top/puart/n_34 ),
+	.A2(\soc_top/puart/regLSB1[0] ),
+	.B1(\soc_top/puart/n_29 ),
+	.B2(\soc_top/puart/dataReg[0] ),
+	.X(\soc_top/puart/n_68 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6757__2883  (
+	.A1(\soc_top/puart/n_34 ),
+	.A2(\soc_top/puart/regLSB1[1] ),
+	.B1(\soc_top/puart/n_29 ),
+	.B2(\soc_top/puart/dataReg[1] ),
+	.X(\soc_top/puart/n_67 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6758__2346  (
+	.A1(\soc_top/puart/n_34 ),
+	.A2(\soc_top/puart/regLSB1[3] ),
+	.B1(\soc_top/puart/n_29 ),
+	.B2(\soc_top/puart/dataReg[3] ),
+	.X(\soc_top/puart/n_66 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6759__1666  (
+	.A1(\soc_top/puart/n_34 ),
+	.A2(\soc_top/puart/regLSB1[4] ),
+	.B1(\soc_top/puart/n_29 ),
+	.B2(\soc_top/puart/dataReg[4] ),
+	.X(\soc_top/puart/n_65 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6760__7410  (
+	.A1(\soc_top/puart/n_34 ),
+	.A2(\soc_top/puart/regLSB1[5] ),
+	.B1(\soc_top/puart/n_29 ),
+	.B2(\soc_top/puart/dataReg[5] ),
+	.X(\soc_top/puart/n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6761__6417  (
+	.A1(\soc_top/puart/n_34 ),
+	.A2(\soc_top/puart/regLSB1[7] ),
+	.B1(\soc_top/puart/n_29 ),
+	.B2(\soc_top/puart/dataReg[7] ),
+	.X(\soc_top/puart/n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6762__5477  (
+	.A1(\soc_top/puart/n_27 ),
+	.A2(\soc_top/puart/dataReg[0] ),
+	.B1(\soc_top/puart/n_31 ),
+	.B2(\soc_top/puart/regLSB2[0] ),
+	.X(\soc_top/puart/n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6763__2398  (
+	.A1(\soc_top/puart/n_27 ),
+	.A2(\soc_top/puart/dataReg[1] ),
+	.B1(\soc_top/puart/n_31 ),
+	.B2(\soc_top/puart/regLSB2[1] ),
+	.X(\soc_top/puart/n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6764__5107  (
+	.A1(\soc_top/puart/n_27 ),
+	.A2(\soc_top/puart/dataReg[2] ),
+	.B1(\soc_top/puart/n_31 ),
+	.B2(\soc_top/puart/regLSB2[2] ),
+	.X(\soc_top/puart/n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6765__6260  (
+	.A1(\soc_top/puart/n_27 ),
+	.A2(\soc_top/puart/dataReg[3] ),
+	.B1(\soc_top/puart/n_31 ),
+	.B2(\soc_top/puart/regLSB2[3] ),
+	.X(\soc_top/puart/n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6766__4319  (
+	.A1(\soc_top/puart/n_27 ),
+	.A2(\soc_top/puart/dataReg[4] ),
+	.B1(\soc_top/puart/n_31 ),
+	.B2(\soc_top/puart/regLSB2[4] ),
+	.X(\soc_top/puart/n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6767__8428  (
+	.A1(\soc_top/puart/n_27 ),
+	.A2(\soc_top/puart/dataReg[5] ),
+	.B1(\soc_top/puart/n_31 ),
+	.B2(\soc_top/puart/regLSB2[5] ),
+	.X(\soc_top/puart/n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6768__5526  (
+	.A1(\soc_top/puart/n_27 ),
+	.A2(\soc_top/puart/dataReg[6] ),
+	.B1(\soc_top/puart/n_31 ),
+	.B2(\soc_top/puart/regLSB2[6] ),
+	.X(\soc_top/puart/n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6769__6783  (
+	.A1(\soc_top/puart/n_27 ),
+	.A2(\soc_top/puart/dataReg[7] ),
+	.B1(\soc_top/puart/n_31 ),
+	.B2(\soc_top/puart/regLSB2[7] ),
+	.X(\soc_top/puart/n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6770__3680  (
+	.A1(\soc_top/puart/n_28 ),
+	.A2(\soc_top/puart/regMSB1[0] ),
+	.B1(\soc_top/puart/FE_OFN114_n_156 ),
+	.B2(\soc_top/puart/dataReg[0] ),
+	.X(\soc_top/puart/n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6771__1617  (
+	.A1(\soc_top/puart/n_28 ),
+	.A2(\soc_top/puart/regMSB1[1] ),
+	.B1(\soc_top/puart/FE_OFN114_n_156 ),
+	.B2(\soc_top/puart/dataReg[1] ),
+	.X(\soc_top/puart/n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6772__2802  (
+	.A1(\soc_top/puart/n_28 ),
+	.A2(\soc_top/puart/regMSB1[2] ),
+	.B1(\soc_top/puart/FE_OFN114_n_156 ),
+	.B2(\soc_top/puart/dataReg[2] ),
+	.X(\soc_top/puart/n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6773__1705  (
+	.A1(\soc_top/puart/n_28 ),
+	.A2(\soc_top/puart/regMSB1[3] ),
+	.B1(\soc_top/puart/FE_OFN114_n_156 ),
+	.B2(\soc_top/puart/dataReg[3] ),
+	.X(\soc_top/puart/n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6774__5122  (
+	.A1(\soc_top/puart/n_28 ),
+	.A2(\soc_top/puart/regMSB1[4] ),
+	.B1(\soc_top/puart/FE_OFN114_n_156 ),
+	.B2(\soc_top/puart/dataReg[4] ),
+	.X(\soc_top/puart/n_50 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6775__8246  (
+	.A1(\soc_top/puart/n_28 ),
+	.A2(\soc_top/puart/regMSB1[5] ),
+	.B1(\soc_top/puart/FE_OFN114_n_156 ),
+	.B2(\soc_top/puart/dataReg[5] ),
+	.X(\soc_top/puart/n_49 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6776__7098  (
+	.A1(\soc_top/puart/n_28 ),
+	.A2(\soc_top/puart/regMSB1[6] ),
+	.B1(\soc_top/puart/FE_OFN114_n_156 ),
+	.B2(\soc_top/puart/dataReg[6] ),
+	.X(\soc_top/puart/n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/puart/g6777__6131  (
+	.A1(\soc_top/puart/n_28 ),
+	.A2(\soc_top/puart/regMSB1[7] ),
+	.B1(\soc_top/puart/FE_OFN114_n_156 ),
+	.B2(\soc_top/puart/dataReg[7] ),
+	.X(\soc_top/puart/n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top/puart/g6778__1881  (
+	.A1_N(\soc_top/puart_io_addr_o[2] ),
+	.A2_N(\soc_top/puart/n_17 ),
+	.B1(\soc_top/puart_io_addr_o[2] ),
+	.B2(\soc_top/puart/n_17 ),
+	.Y(\soc_top/puart/n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/puart/g6779__5115  (
+	.A1(\soc_top/puart/n_25 ),
+	.A2(\soc_top/puart/rx_io_data[5] ),
+	.B1(\soc_top/puart/n_26 ),
+	.B2(\soc_top/puart/dataReg[5] ),
+	.Y(\soc_top/puart/n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/puart/g6780__7482  (
+	.A1(\soc_top/puart/n_25 ),
+	.A2(\soc_top/puart/rx_io_data[4] ),
+	.B1(\soc_top/puart/n_26 ),
+	.B2(\soc_top/puart/dataReg[4] ),
+	.Y(\soc_top/puart/n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/puart/g6781__4733  (
+	.A1(\soc_top/puart/n_25 ),
+	.A2(\soc_top/puart/rx_io_data[1] ),
+	.B1(\soc_top/puart/n_26 ),
+	.B2(\soc_top/puart/dataReg[1] ),
+	.Y(\soc_top/puart/n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/puart/g6782__6161  (
+	.A1(\soc_top/puart/n_25 ),
+	.A2(\soc_top/puart/rx_io_data[0] ),
+	.B1(\soc_top/puart/n_26 ),
+	.B2(\soc_top/puart/dataReg[0] ),
+	.Y(\soc_top/puart/n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/puart/g6783__9315  (
+	.A_N(\soc_top/puart/n_17 ),
+	.B(\soc_top/puart_io_addr_o[2] ),
+	.Y(\soc_top/puart/n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/puart/g6784__9945  (
+	.A1(\soc_top/puart/n_25 ),
+	.A2(\soc_top/puart/rx_io_data[7] ),
+	.B1(\soc_top/puart/n_26 ),
+	.B2(\soc_top/puart/dataReg[7] ),
+	.Y(\soc_top/puart/n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/puart/g6785__2883  (
+	.A1(\soc_top/puart/n_25 ),
+	.A2(\soc_top/puart/rx_io_data[3] ),
+	.B1(\soc_top/puart/n_26 ),
+	.B2(\soc_top/puart/dataReg[3] ),
+	.Y(\soc_top/puart/n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/puart/g6786__2346  (
+	.A1(\soc_top/puart/n_25 ),
+	.A2(\soc_top/puart/rx_io_data[2] ),
+	.B1(\soc_top/puart/n_26 ),
+	.B2(\soc_top/puart/dataReg[2] ),
+	.Y(\soc_top/puart/n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/puart/g6787__1666  (
+	.A1(\soc_top/puart/n_25 ),
+	.A2(\soc_top/puart/rx_io_data[6] ),
+	.B1(\soc_top/puart/n_26 ),
+	.B2(\soc_top/puart/dataReg[6] ),
+	.Y(\soc_top/puart/n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top/puart/g6788__7410  (
+	.A1_N(\soc_top/puart/count[0] ),
+	.A2_N(\soc_top/puart/n_26 ),
+	.B1(\soc_top/puart/count[0] ),
+	.B2(\soc_top/puart/n_26 ),
+	.Y(\soc_top/puart/n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/puart/g6789  (
+	.A(\soc_top/puart/n_33 ),
+	.Y(\soc_top/puart/n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/puart/g6790__6417  (
+	.A(\soc_top/puart/n_25 ),
+	.B(\soc_top/puart/count[0] ),
+	.Y(\soc_top/puart/n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/puart/g6791__5477  (
+	.A1(\soc_top/FE_OFN2_wb_rst_i ),
+	.A2(\soc_top/puart/n_18 ),
+	.B1(\soc_top/puart/n_16 ),
+	.Y(\soc_top/puart/n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_2 \soc_top/puart/g6792__2398  (
+	.A1(\soc_top/puart/count[0] ),
+	.A2(\soc_top/puart/n_21 ),
+	.B1(\soc_top/FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/puart/n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 \soc_top/puart/g6793__5107  (
+	.A1(\soc_top/puart/count[0] ),
+	.A2(\soc_top/puart/n_20 ),
+	.B1(\soc_top/FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/puart/n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \soc_top/puart/g6795__6260  (
+	.A(\soc_top/puart/n_18 ),
+	.B(\soc_top/puart/count[0] ),
+	.C(\soc_top/FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/puart/n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/puart/g6796__4319  (
+	.A1(\soc_top/FE_OFN9_wb_rst_i ),
+	.A2(\soc_top/puart/n_19 ),
+	.B1(\soc_top/puart/n_16 ),
+	.Y(\soc_top/puart/n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/puart/g6797  (
+	.A(\soc_top/puart/n_26 ),
+	.Y(\soc_top/puart/n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/puart/g6798__8428  (
+	.A(\soc_top/puart/n_20 ),
+	.B(\soc_top/puart/n_16 ),
+	.Y(\soc_top/puart/n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \soc_top/puart/g6799__5526  (
+	.A_N(\soc_top/puart_io_done ),
+	.B(\soc_top/puart_io_isStalled ),
+	.C(\soc_top/puart/rx_io_valid ),
+	.Y(\soc_top/puart/n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \soc_top/puart/g6800__6783  (
+	.A(\soc_top/puart/regLSB1[0] ),
+	.B(\soc_top/puart/regLSB1[2] ),
+	.C(\soc_top/puart/regLSB1[1] ),
+	.X(\soc_top/puart/n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/puart/g6801__3680  (
+	.A(\soc_top/puart_io_addr_o[1] ),
+	.B(\soc_top/puart_io_addr_o[0] ),
+	.X(\soc_top/puart/n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/puart/g6802  (
+	.A(\soc_top/puart/n_21 ),
+	.Y(\soc_top/puart/n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/puart/g6803  (
+	.A(\soc_top/puart/n_19 ),
+	.Y(\soc_top/puart/n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/puart/g6804__1617  (
+	.A(\soc_top/puart/n_14 ),
+	.B(\soc_top/puart/count[2] ),
+	.Y(\soc_top/puart/n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/puart/g6805__2802  (
+	.A(\soc_top/puart/count[2] ),
+	.B(\soc_top/puart/n_14 ),
+	.Y(\soc_top/puart/n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/puart/g6806  (
+	.A(\soc_top/puart/n_15 ),
+	.Y(\soc_top/puart/n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/puart/g6807__1705  (
+	.A(\soc_top/puart/count[2] ),
+	.B(\soc_top/puart/count[1] ),
+	.Y(\soc_top/puart/n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/puart/g6808__5122  (
+	.A(\soc_top/puart_io_addr_o[0] ),
+	.B(\soc_top/puart_io_addr_o[1] ),
+	.Y(\soc_top/puart/n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/puart/g6809__8246  (
+	.A(\soc_top/FE_OFN9_wb_rst_i ),
+	.B(\soc_top/puart/count[0] ),
+	.Y(\soc_top/puart/n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/puart/g6810  (
+	.A(\soc_top/puart/count[1] ),
+	.Y(\soc_top/puart/n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/puart/g6811  (
+	.A(\soc_top/puart_io_addr_o[0] ),
+	.Y(\soc_top/puart/n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/puart/g6816  (
+	.A(\soc_top/puart_io_addr_o[3] ),
+	.Y(\soc_top/puart/n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \soc_top/puart/g2__7098  (
+	.A(\soc_top/puart/n_20 ),
+	.B(\soc_top/FE_OFN2_wb_rst_i ),
+	.C_N(\soc_top/puart/count[0] ),
+	.Y(\soc_top/puart/n_156 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/wbErr/dataReg_reg[0]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/wbErr/n_9 ),
+	.Q(\soc_top/switch_io_devIn_2_bits_dat[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 \soc_top/wbErr/g2__6131  (
+	.A_N(\soc_top/FE_OFN17_wb_rst_i ),
+	.B(\soc_top/switch_io_devOut_2_valid ),
+	.C(\soc_top/switch_io_devOut_0_bits_cyc ),
+	.D(\soc_top/switch_io_devOut_0_bits_stb ),
+	.X(\soc_top/wbErr/n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77806  (
+	.A(\soc_top/n_6003 ),
+	.Y(\soc_top/n_5593 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77807  (
+	.A(\soc_top/n_6002 ),
+	.Y(\soc_top/n_2784 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/g77809  (
+	.A(\soc_top/n_6001 ),
+	.Y(\soc_top/n_5590 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77810  (
+	.A(\soc_top/n_6008 ),
+	.Y(\soc_top/n_5589 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77811  (
+	.A(\soc_top/n_6007 ),
+	.Y(\soc_top/n_5588 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77812  (
+	.A(\soc_top/n_6006 ),
+	.Y(\soc_top/n_5587 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77813  (
+	.A(\soc_top/n_6020 ),
+	.Y(\soc_top/n_5586 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77814  (
+	.A(\soc_top/n_6021 ),
+	.Y(\soc_top/n_5585 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77815  (
+	.A(\soc_top/n_6035 ),
+	.Y(\soc_top/n_5584 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77816  (
+	.A(\soc_top/n_6034 ),
+	.Y(\soc_top/n_5583 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77817  (
+	.A(\soc_top/n_6033 ),
+	.Y(\soc_top/n_5582 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77818  (
+	.A(\soc_top/n_6032 ),
+	.Y(\soc_top/n_5581 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77819  (
+	.A(\soc_top/n_6031 ),
+	.Y(\soc_top/n_5580 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77820  (
+	.A(\soc_top/n_6030 ),
+	.Y(\soc_top/n_5579 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77821  (
+	.A(\soc_top/n_6029 ),
+	.Y(\soc_top/n_5578 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77822  (
+	.A(\soc_top/n_6028 ),
+	.Y(\soc_top/n_5577 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77823  (
+	.A(\soc_top/n_6026 ),
+	.Y(\soc_top/n_5576 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77824  (
+	.A(\soc_top/n_6025 ),
+	.Y(\soc_top/n_5575 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77825  (
+	.A(\soc_top/n_6027 ),
+	.Y(\soc_top/n_5574 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77826  (
+	.A(\soc_top/n_6023 ),
+	.Y(\soc_top/n_5573 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77827  (
+	.A(\soc_top/n_6024 ),
+	.Y(\soc_top/n_5572 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77828  (
+	.A(\soc_top/n_6022 ),
+	.Y(\soc_top/n_5571 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77829  (
+	.A(\soc_top/n_6012 ),
+	.Y(\soc_top/n_5570 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77830  (
+	.A(\soc_top/n_6004 ),
+	.Y(\soc_top/n_5569 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77831  (
+	.A(\soc_top/n_6005 ),
+	.Y(\soc_top/n_5568 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77832  (
+	.A(\soc_top/n_6019 ),
+	.Y(\soc_top/n_5567 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77833  (
+	.A(\soc_top/n_6018 ),
+	.Y(\soc_top/n_5566 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77834  (
+	.A(\soc_top/n_6017 ),
+	.Y(\soc_top/n_5565 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77835  (
+	.A(\soc_top/n_6016 ),
+	.Y(\soc_top/n_5564 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77836  (
+	.A(\soc_top/n_6015 ),
+	.Y(\soc_top/n_5563 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77837  (
+	.A(\soc_top/n_6014 ),
+	.Y(\soc_top/n_5562 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77838  (
+	.A(\soc_top/n_6013 ),
+	.Y(\soc_top/n_5561 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77839  (
+	.A(\soc_top/n_6011 ),
+	.Y(\soc_top/n_5560 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77840  (
+	.A(\soc_top/n_6010 ),
+	.Y(\soc_top/n_5559 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77841  (
+	.A(\soc_top/n_6009 ),
+	.Y(\soc_top/n_5558 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g77855  (
+	.A(\soc_top/core_MEM_offset[1] ),
+	.Y(\soc_top/n_5544 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_2 \soc_top/core_ex_reg_ins_reg[7]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_3581 ),
+	.Q(\soc_top/core_ex_reg_ins[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_2 \soc_top/core_ex_reg_ins_reg[8]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_3579 ),
+	.Q(\soc_top/core_ex_reg_ins[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_ins_reg[9]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_3593 ),
+	.Q(\soc_top/core_ex_reg_ins[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_ins_reg[10]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_3591 ),
+	.Q(\soc_top/core_ex_reg_ins[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_ins_reg[11]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_3583 ),
+	.Q(\soc_top/core_ex_reg_ins[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_ctl_aluSrc_reg  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_3589 ),
+	.Q(\soc_top/core_id_reg_ctl_aluSrc ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_ins_reg[15]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_3551 ),
+	.Q(\soc_top/core_id_reg_ins[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_ins_reg[16]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_3553 ),
+	.Q(\soc_top/core_id_reg_ins[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_ins_reg[17]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_3547 ),
+	.Q(\soc_top/core_id_reg_ins[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_ins_reg[18]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_3554 ),
+	.Q(\soc_top/core_id_reg_ins[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_ins_reg[19]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_3552 ),
+	.Q(\soc_top/core_id_reg_ins[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_ins_reg[20]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_3549 ),
+	.Q(\soc_top/core_id_reg_ins[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_ins_reg[21]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_3546 ),
+	.Q(\soc_top/core_id_reg_ins[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_ins_reg[22]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_3555 ),
+	.Q(\soc_top/core_id_reg_ins[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_ins_reg[23]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_3550 ),
+	.Q(\soc_top/core_id_reg_ins[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_ins_reg[24]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_3556 ),
+	.Q(\soc_top/core_id_reg_ins[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_2 \soc_top/core_mem_reg_ctl_memToReg_reg[0]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_3586 ),
+	.Q(\soc_top/core_mem_reg_ctl_memToReg[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_2 \soc_top/core_mem_reg_ctl_memToReg_reg[1]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_3587 ),
+	.Q(\soc_top/core_mem_reg_ctl_memToReg[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_2 \soc_top/core_mem_reg_ctl_regWrite_reg  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_3580 ),
+	.Q(\soc_top/core_mem_reg_ctl_regWrite ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_wra_reg[0]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_3582 ),
+	.Q(\soc_top/core_mem_reg_wra[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_wra_reg[1]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_3588 ),
+	.Q(\soc_top/core_mem_reg_wra[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_wra_reg[2]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_3548 ),
+	.Q(\soc_top/core_mem_reg_wra[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_wra_reg[3]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_3585 ),
+	.Q(\soc_top/core_mem_reg_wra[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_wra_reg[4]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_3592 ),
+	.Q(\soc_top/core_mem_reg_wra[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125908__1881  (
+	.A1(\soc_top/n_3854 ),
+	.A2(\soc_top/n_5504 ),
+	.B1(\soc_top/n_4895 ),
+	.Y(\soc_top/n_5769 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125909__5115  (
+	.A1(\soc_top/n_3854 ),
+	.A2(\soc_top/FE_OFN301_n_5506 ),
+	.B1(\soc_top/n_4910 ),
+	.Y(\soc_top/n_5765 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/g125913__7482  (
+	.A1(\soc_top/core_InstructionDecode_io_readData1[27] ),
+	.A2(\soc_top/n_6414 ),
+	.B1(\soc_top/n_4908 ),
+	.X(\soc_top/n_5800 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125919__4733  (
+	.A1(\soc_top/n_3854 ),
+	.A2(\soc_top/n_5505 ),
+	.B1(\soc_top/n_4902 ),
+	.Y(\soc_top/n_5764 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/g125924__6161  (
+	.A1(\soc_top/core_InstructionDecode_io_readData1[20] ),
+	.A2(\soc_top/n_6414 ),
+	.B1(\soc_top/n_4900 ),
+	.X(\soc_top/n_5793 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125925__9315  (
+	.A1(\soc_top/n_3854 ),
+	.A2(\soc_top/n_5495 ),
+	.B1(\soc_top/n_5306 ),
+	.Y(\soc_top/n_5752 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/g125928__9945  (
+	.A1(\soc_top/core_InstructionDecode_io_readData1[17] ),
+	.A2(\soc_top/n_6414 ),
+	.B1(\soc_top/n_4896 ),
+	.X(\soc_top/n_5790 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/g125929__2883  (
+	.A1(\soc_top/FE_OFN257_core_InstructionDecode_io_readData1_16 ),
+	.A2(\soc_top/n_6414 ),
+	.B1(\soc_top/n_4894 ),
+	.X(\soc_top/n_5789 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125930__2346  (
+	.A1(\soc_top/n_3854 ),
+	.A2(\soc_top/n_5507 ),
+	.B1(\soc_top/n_4899 ),
+	.Y(\soc_top/n_5772 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/g125931__1666  (
+	.A1(\soc_top/core_InstructionDecode_io_readData2[22] ),
+	.A2(\soc_top/FE_OFN290_n_6415 ),
+	.B1(\soc_top/n_4893 ),
+	.X(\soc_top/n_5763 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/g125934__7410  (
+	.A1(\soc_top/core_InstructionDecode_io_readData1[14] ),
+	.A2(\soc_top/n_6414 ),
+	.B1(\soc_top/n_5305 ),
+	.X(\soc_top/n_5787 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125935__6417  (
+	.A1(\soc_top/n_5643 ),
+	.A2(\soc_top/FE_OFN107_n_5508 ),
+	.B1(\soc_top/n_5303 ),
+	.Y(\soc_top/n_5786 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 \soc_top/g125938__5477  (
+	.A1(\soc_top/n_3854 ),
+	.A2(\soc_top/n_5494 ),
+	.B1(\soc_top/n_5300 ),
+	.Y(\soc_top/n_5750 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/g125939__2398  (
+	.A1(\soc_top/core_InstructionDecode_io_readData2[26] ),
+	.A2(\soc_top/FE_OFN290_n_6415 ),
+	.B1(\soc_top/n_4883 ),
+	.X(\soc_top/n_5767 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/g125942__5107  (
+	.A1(\soc_top/core_InstructionDecode_io_readData2[29] ),
+	.A2(\soc_top/FE_OFN290_n_6415 ),
+	.B1(\soc_top/n_4912 ),
+	.X(\soc_top/n_5770 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/g125943__6260  (
+	.A1(\soc_top/core_InstructionDecode_io_readData2[27] ),
+	.A2(\soc_top/FE_OFN290_n_6415 ),
+	.B1(\soc_top/n_4890 ),
+	.X(\soc_top/n_5768 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125946__4319  (
+	.A1(\soc_top/n_3854 ),
+	.A2(\soc_top/n_5503 ),
+	.B1(\soc_top/n_4888 ),
+	.Y(\soc_top/n_5761 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 \soc_top/g125947__8428  (
+	.A1(\soc_top/n_3854 ),
+	.A2(\soc_top/n_5493 ),
+	.B1(\soc_top/n_5296 ),
+	.Y(\soc_top/n_5749 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 \soc_top/g125953__5526  (
+	.A1(\soc_top/n_5643 ),
+	.A2(\soc_top/n_5498 ),
+	.B1(\soc_top/n_4887 ),
+	.Y(\soc_top/n_5774 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/g125954__6783  (
+	.A1(\soc_top/core_InstructionDecode_io_readData2[30] ),
+	.A2(\soc_top/FE_OFN290_n_6415 ),
+	.B1(\soc_top/n_4884 ),
+	.X(\soc_top/n_5771 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125956__3680  (
+	.A1(\soc_top/n_3854 ),
+	.A2(\soc_top/n_5502 ),
+	.B1(\soc_top/n_4885 ),
+	.Y(\soc_top/n_5760 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/g125957__1617  (
+	.A1(\soc_top/core_InstructionDecode_io_readData2[6] ),
+	.A2(\soc_top/FE_OFN290_n_6415 ),
+	.B1(\soc_top/n_5137 ),
+	.X(\soc_top/n_5747 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125958__2802  (
+	.A1(\soc_top/n_5643 ),
+	.A2(\soc_top/n_5497 ),
+	.B1(\soc_top/n_4886 ),
+	.Y(\soc_top/n_5773 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125960__1705  (
+	.A1(\soc_top/n_3854 ),
+	.A2(\soc_top/n_5501 ),
+	.B1(\soc_top/n_4914 ),
+	.Y(\soc_top/n_5759 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/g125962__5122  (
+	.A1(\soc_top/core_InstructionDecode_io_readData2[3] ),
+	.A2(\soc_top/FE_OFN290_n_6415 ),
+	.B1(\soc_top/n_5134 ),
+	.X(\soc_top/n_5744 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/g125964__8246  (
+	.A1(\soc_top/core_InstructionDecode_io_readData2[25] ),
+	.A2(\soc_top/FE_OFN290_n_6415 ),
+	.B1(\soc_top/n_4918 ),
+	.X(\soc_top/n_5766 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125965__7098  (
+	.A1(\soc_top/n_3854 ),
+	.A2(\soc_top/n_5496 ),
+	.B1(\soc_top/n_4915 ),
+	.Y(\soc_top/n_5758 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/g125966__6131  (
+	.A1(\soc_top/core_InstructionDecode_io_readData2[1] ),
+	.A2(\soc_top/FE_OFN290_n_6415 ),
+	.B1(\soc_top/n_4916 ),
+	.X(\soc_top/n_5742 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/g125967__1881  (
+	.A1(\soc_top/core_InstructionDecode_io_readData2[0] ),
+	.A2(\soc_top/FE_OFN290_n_6415 ),
+	.B1(\soc_top/n_4917 ),
+	.X(\soc_top/n_5741 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125968__5115  (
+	.A1(\soc_top/n_3854 ),
+	.A2(\soc_top/n_5499 ),
+	.B1(\soc_top/n_4919 ),
+	.Y(\soc_top/n_5757 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/g125970__7482  (
+	.A1(\soc_top/core_InstructionDecode_io_readData2[15] ),
+	.A2(\soc_top/FE_OFN290_n_6415 ),
+	.B1(\soc_top/n_5144 ),
+	.X(\soc_top/n_5756 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g126003__4733  (
+	.A1(\soc_top/FE_OFN6640_core_InstructionDecode_io_writeData_6 ),
+	.A2(\soc_top/FE_OFN5618_n_6515 ),
+	.B1(\soc_top/n_5500 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData1[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g126004  (
+	.A(\soc_top/FE_OFN107_n_5508 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData1[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126012__6161  (
+	.A(\soc_top/n_5369 ),
+	.B(\soc_top/n_5441 ),
+	.Y(\soc_top/n_5500 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g126013__9315  (
+	.A(\soc_top/n_5461 ),
+	.B(\soc_top/n_5446 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData1[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g126014__9945  (
+	.A(\soc_top/n_5437 ),
+	.B(\soc_top/n_5442 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData1[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g126015__2883  (
+	.A1(\soc_top/n_4053 ),
+	.A2(\soc_top/FE_OFN5618_n_6515 ),
+	.B1(\soc_top/n_5456 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData1[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g126016__2346  (
+	.A1(\soc_top/n_4045 ),
+	.A2(\soc_top/FE_OFN5618_n_6515 ),
+	.B1(\soc_top/n_5455 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData1[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g126017__1666  (
+	.A1(\soc_top/n_4100 ),
+	.A2(\soc_top/FE_OFN5618_n_6515 ),
+	.B1(\soc_top/n_5454 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData1[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 \soc_top/g126018__7410  (
+	.A1(\soc_top/n_4050 ),
+	.A2(\soc_top/FE_OFN5618_n_6515 ),
+	.B1(\soc_top/n_5480 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData1[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g126019__6417  (
+	.A1(\soc_top/n_4052 ),
+	.A2(\soc_top/FE_OFN6642_n_6515 ),
+	.B1(\soc_top/n_5452 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData1[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 \soc_top/g126020__5477  (
+	.A1(\soc_top/FE_OFN5632_core_InstructionDecode_io_writeData_24 ),
+	.A2(\soc_top/n_5387 ),
+	.B1(\soc_top/n_5451 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData1[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g126021__2398  (
+	.A1(\soc_top/FE_OFN127_core_InstructionDecode_io_writeData_23 ),
+	.A2(\soc_top/n_5387 ),
+	.B1(\soc_top/n_5479 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData1[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g126022__5107  (
+	.A1(\soc_top/FE_OFN129_core_InstructionDecode_io_writeData_21 ),
+	.A2(\soc_top/n_5387 ),
+	.B1(\soc_top/n_5448 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData1[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g126023__6260  (
+	.A1(\soc_top/n_4051 ),
+	.A2(\soc_top/n_5387 ),
+	.B1(\soc_top/n_5471 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData1[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_4 \soc_top/g126024__4319  (
+	.A1(\soc_top/n_4097 ),
+	.A2(\soc_top/n_5387 ),
+	.B1(\soc_top/FE_OFN285_n_5438 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData1[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g126025__8428  (
+	.A(\soc_top/n_5453 ),
+	.B(\soc_top/n_5411 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData1[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g126026__5526  (
+	.A(\soc_top/n_5447 ),
+	.B(\soc_top/n_5408 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData1[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g126027__6783  (
+	.A(\soc_top/n_5444 ),
+	.B(\soc_top/n_5407 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData1[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g126028__3680  (
+	.A(\soc_top/n_5449 ),
+	.B(\soc_top/n_5450 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData1[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126029__1617  (
+	.A(\soc_top/n_5443 ),
+	.B(\soc_top/n_5337 ),
+	.C(\soc_top/n_5083 ),
+	.D(\soc_top/n_5082 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData1[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g126030__2802  (
+	.A(\soc_top/n_5249 ),
+	.B(\soc_top/n_5355 ),
+	.C(\soc_top/n_5436 ),
+	.Y(\soc_top/n_5508 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g126031__1705  (
+	.A1(\soc_top/n_4045 ),
+	.A2(\soc_top/n_5428 ),
+	.B1(\soc_top/n_5491 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData2[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_4 \soc_top/g126032__5122  (
+	.A1(\soc_top/FE_OFN6643_n_6514 ),
+	.A2(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.B1(\soc_top/n_5362 ),
+	.C1(\soc_top/n_5413 ),
+	.Y(\soc_top/n_5507 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 \soc_top/g126033__8246  (
+	.A1(\soc_top/FE_OFN6643_n_6514 ),
+	.A2(\soc_top/n_4102 ),
+	.B1(\soc_top/n_5365 ),
+	.C1(\soc_top/n_5410 ),
+	.Y(\soc_top/n_5506 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g126034__7098  (
+	.A1(\soc_top/FE_OFN5642_n_6514 ),
+	.A2(\soc_top/n_4099 ),
+	.B1(\soc_top/n_5431 ),
+	.Y(\soc_top/n_5505 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g126035__6131  (
+	.A1(\soc_top/FE_OFN5642_n_6514 ),
+	.A2(\soc_top/FE_OFN5676_core_InstructionDecode_io_writeData_28 ),
+	.B1(\soc_top/n_5469 ),
+	.Y(\soc_top/n_5504 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g126036__1881  (
+	.A1(\soc_top/n_4101 ),
+	.A2(\soc_top/n_5428 ),
+	.B1(\soc_top/n_5490 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData2[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g126037__5115  (
+	.A1(\soc_top/FE_OFN129_core_InstructionDecode_io_writeData_21 ),
+	.A2(\soc_top/n_5428 ),
+	.B1(\soc_top/FE_OFN100_n_5466 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData2[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g126038__7482  (
+	.A1(\soc_top/n_4098 ),
+	.A2(\soc_top/n_5428 ),
+	.B1(\soc_top/n_5488 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData2[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g126039__4733  (
+	.A1(\soc_top/FE_OFN5642_n_6514 ),
+	.A2(\soc_top/FE_OFN6615_core_InstructionDecode_io_writeData_20 ),
+	.B1(\soc_top/n_5430 ),
+	.Y(\soc_top/n_5503 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g126040__6161  (
+	.A1(\soc_top/FE_OFN5642_n_6514 ),
+	.A2(\soc_top/FE_OFN131_core_InstructionDecode_io_writeData_19 ),
+	.B1(\soc_top/n_5445 ),
+	.Y(\soc_top/n_5502 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g126041__9315  (
+	.A1(\soc_top/n_4053 ),
+	.A2(\soc_top/n_5428 ),
+	.B1(\soc_top/n_5460 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData2[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g126042__9945  (
+	.A1(\soc_top/n_4050 ),
+	.A2(\soc_top/n_5428 ),
+	.B1(\soc_top/n_5484 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData2[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 \soc_top/g126043__2883  (
+	.A1(\soc_top/FE_OFN6643_n_6514 ),
+	.A2(\soc_top/FE_OFN5657_core_InstructionDecode_io_writeData_18 ),
+	.B1(\soc_top/n_5346 ),
+	.C1(\soc_top/n_5397 ),
+	.Y(\soc_top/n_5501 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g126045  (
+	.A(\soc_top/n_5498 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData1[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g126051__2346  (
+	.A1(\soc_top/n_4879 ),
+	.A2(\soc_top/FE_OFN5618_n_6515 ),
+	.B1(\soc_top/n_5463 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData1[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g126052__1666  (
+	.A1(\soc_top/n_4052 ),
+	.A2(\soc_top/n_5428 ),
+	.B1(\soc_top/n_5457 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData2[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_2 \soc_top/g126053__7410  (
+	.A1(\soc_top/FE_OFN5642_n_6514 ),
+	.A2(\soc_top/FE_OFN134_core_InstructionDecode_io_writeData_16 ),
+	.B1(\soc_top/n_5344 ),
+	.C1(\soc_top/n_5393 ),
+	.Y(\soc_top/n_5499 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g126054__6417  (
+	.A1(\soc_top/FE_OFN6641_n_6515 ),
+	.A2(\soc_top/core_InstructionDecode_io_writeData[1] ),
+	.B1(\soc_top/n_5432 ),
+	.Y(\soc_top/n_5498 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g126055__5477  (
+	.A1(\soc_top/n_4047 ),
+	.A2(\soc_top/FE_OFN5618_n_6515 ),
+	.B1(\soc_top/n_5434 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData1[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g126056__2398  (
+	.A1(\soc_top/FE_OFN6641_n_6515 ),
+	.A2(\soc_top/FE_OFN76_core_InstructionDecode_io_writeData_0 ),
+	.B1(\soc_top/n_5433 ),
+	.Y(\soc_top/n_5497 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g126057__5107  (
+	.A1(\soc_top/FE_OFN75_core_InstructionDecode_io_writeData_1 ),
+	.A2(\soc_top/n_5428 ),
+	.B1(\soc_top/n_5482 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData2[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g126058__6260  (
+	.A1(\soc_top/n_4047 ),
+	.A2(\soc_top/n_5428 ),
+	.B1(\soc_top/n_5462 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData2[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g126059__4319  (
+	.A1(\soc_top/n_4046 ),
+	.A2(\soc_top/n_5428 ),
+	.B1(\soc_top/n_5481 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData2[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g126060__8428  (
+	.A1(\soc_top/n_4882 ),
+	.A2(\soc_top/n_5387 ),
+	.B1(\soc_top/n_5478 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData1[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g126061__5526  (
+	.A1(\soc_top/n_5003 ),
+	.A2(\soc_top/n_5387 ),
+	.B1(\soc_top/n_5468 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData1[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g126062__6783  (
+	.A(\soc_top/n_5418 ),
+	.B(\soc_top/n_5477 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData1[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g126063__3680  (
+	.A1(\soc_top/n_5004 ),
+	.A2(\soc_top/FE_OFN6642_n_6515 ),
+	.B1(\soc_top/n_5435 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData1[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/g126064__1617  (
+	.A(\soc_top/n_5426 ),
+	.B(\soc_top/FE_OFN104_n_5476 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData1[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g126065__2802  (
+	.A(\soc_top/n_5425 ),
+	.B(\soc_top/FE_OFN103_n_5475 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData1[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g126066__1705  (
+	.A1(\soc_top/FE_OFN110_n_6546 ),
+	.A2(\soc_top/n_5387 ),
+	.B1(\soc_top/n_5464 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData1[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g126067__5122  (
+	.A1(\soc_top/FE_OFN5642_n_6514 ),
+	.A2(\soc_top/FE_OFN133_core_InstructionDecode_io_writeData_17 ),
+	.B1(\soc_top/n_5458 ),
+	.Y(\soc_top/n_5496 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 \soc_top/g126068__8246  (
+	.A1(\soc_top/FE_OFN74_core_InstructionDecode_io_writeData_3 ),
+	.A2(\soc_top/FE_OFN6642_n_6515 ),
+	.B1(\soc_top/n_5440 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData1[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 \soc_top/g126069__7098  (
+	.A(\soc_top/n_5424 ),
+	.B(\soc_top/FE_OFN96_n_5439 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData1[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g126070__6131  (
+	.A1(\soc_top/n_4882 ),
+	.A2(\soc_top/n_5428 ),
+	.B1(\soc_top/n_5492 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData2[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g126071__1881  (
+	.A1(\soc_top/n_5005 ),
+	.A2(\soc_top/n_5428 ),
+	.B1(\soc_top/n_5474 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData2[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g126072__5115  (
+	.A1(\soc_top/FE_OFN5658_core_InstructionDecode_io_writeData_13 ),
+	.A2(\soc_top/n_5428 ),
+	.B1(\soc_top/n_5473 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData2[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g126073__7482  (
+	.A1(\soc_top/n_5003 ),
+	.A2(\soc_top/n_5428 ),
+	.B1(\soc_top/n_5472 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData2[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g126074__4733  (
+	.A1(\soc_top/FE_OFN5642_n_6514 ),
+	.A2(\soc_top/core_InstructionDecode_io_writeData[11] ),
+	.B1(\soc_top/n_5470 ),
+	.Y(\soc_top/n_5495 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g126075__6161  (
+	.A1(\soc_top/n_5004 ),
+	.A2(\soc_top/n_5428 ),
+	.B1(\soc_top/n_5489 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData2[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g126076__9315  (
+	.A1(\soc_top/FE_OFN5642_n_6514 ),
+	.A2(\soc_top/core_InstructionDecode_io_writeData[9] ),
+	.B1(\soc_top/n_5467 ),
+	.Y(\soc_top/n_5494 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g126077__9945  (
+	.A1(\soc_top/FE_OFN5642_n_6514 ),
+	.A2(\soc_top/core_InstructionDecode_io_writeData[8] ),
+	.B1(\soc_top/n_5465 ),
+	.Y(\soc_top/n_5493 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g126078__2883  (
+	.A1(\soc_top/FE_OFN6640_core_InstructionDecode_io_writeData_6 ),
+	.A2(\soc_top/n_5428 ),
+	.B1(\soc_top/n_5487 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData2[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g126079__2346  (
+	.A1(\soc_top/FE_OFN110_n_6546 ),
+	.A2(\soc_top/n_5428 ),
+	.B1(\soc_top/n_5486 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData2[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 \soc_top/g126080__1666  (
+	.A1(\soc_top/n_4879 ),
+	.A2(\soc_top/n_5428 ),
+	.B1(\soc_top/n_5485 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData2[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g126081__7410  (
+	.A1(\soc_top/FE_OFN74_core_InstructionDecode_io_writeData_3 ),
+	.A2(\soc_top/n_5428 ),
+	.B1(\soc_top/n_5483 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData2[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g126082__6417  (
+	.A1(\soc_top/n_3165 ),
+	.A2(\soc_top/n_5428 ),
+	.B1(\soc_top/FE_OFN99_n_5459 ),
+	.Y(\soc_top/core_InstructionDecode_io_readData2[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g126083__5477  (
+	.A(\soc_top/n_5287 ),
+	.B(\soc_top/n_5286 ),
+	.C(\soc_top/n_5412 ),
+	.Y(\soc_top/n_5492 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g126084__2398  (
+	.A(\soc_top/n_5282 ),
+	.B(\soc_top/n_5275 ),
+	.C(\soc_top/n_5409 ),
+	.Y(\soc_top/n_5491 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g126085__5107  (
+	.A(\soc_top/n_5252 ),
+	.B(\soc_top/n_5250 ),
+	.C(\soc_top/n_5405 ),
+	.Y(\soc_top/n_5490 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g126086__6260  (
+	.A(\soc_top/n_5247 ),
+	.B(\soc_top/n_5248 ),
+	.C(\soc_top/n_5406 ),
+	.Y(\soc_top/n_5489 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g126087__4319  (
+	.A(\soc_top/n_5223 ),
+	.B(\soc_top/n_5217 ),
+	.C(\soc_top/n_5403 ),
+	.Y(\soc_top/n_5488 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g126088__8428  (
+	.A(\soc_top/n_5215 ),
+	.B(\soc_top/n_5213 ),
+	.C(\soc_top/n_5401 ),
+	.Y(\soc_top/n_5487 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_2 \soc_top/g126089__5526  (
+	.A(\soc_top/n_5211 ),
+	.B(\soc_top/n_5209 ),
+	.C(\soc_top/n_5400 ),
+	.Y(\soc_top/n_5486 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_2 \soc_top/g126090__6783  (
+	.A(\soc_top/n_5205 ),
+	.B(\soc_top/n_5204 ),
+	.C(\soc_top/n_5399 ),
+	.Y(\soc_top/n_5485 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g126091__3680  (
+	.A(\soc_top/n_5200 ),
+	.B(\soc_top/n_5197 ),
+	.C(\soc_top/n_5395 ),
+	.Y(\soc_top/n_5484 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g126092__1617  (
+	.A(\soc_top/n_5201 ),
+	.B(\soc_top/n_5199 ),
+	.C(\soc_top/n_5398 ),
+	.Y(\soc_top/n_5483 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_2 \soc_top/g126093__2802  (
+	.A(\soc_top/n_5191 ),
+	.B(\soc_top/n_5189 ),
+	.C(\soc_top/n_5396 ),
+	.Y(\soc_top/n_5482 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g126094__1705  (
+	.A(\soc_top/n_5184 ),
+	.B(\soc_top/n_5183 ),
+	.C(\soc_top/n_5394 ),
+	.Y(\soc_top/n_5481 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_2 \soc_top/g126095__5122  (
+	.A1(\soc_top/n_6611 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_1[26] ),
+	.B1(\soc_top/n_5378 ),
+	.C1(\soc_top/n_5421 ),
+	.Y(\soc_top/n_5480 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_4 \soc_top/g126096__8246  (
+	.A(\soc_top/n_5170 ),
+	.B(\soc_top/n_5169 ),
+	.C(\soc_top/n_5272 ),
+	.D(\soc_top/n_5295 ),
+	.Y(\soc_top/n_5479 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_2 \soc_top/g126097__7098  (
+	.A(\soc_top/n_5164 ),
+	.B(\soc_top/n_5255 ),
+	.C(\soc_top/n_5293 ),
+	.D(\soc_top/n_5356 ),
+	.Y(\soc_top/n_5478 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_2 \soc_top/g126098__6131  (
+	.A(\soc_top/n_5160 ),
+	.B(\soc_top/n_5159 ),
+	.C(\soc_top/n_5244 ),
+	.D(\soc_top/n_5384 ),
+	.Y(\soc_top/n_5477 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \soc_top/g126099__1881  (
+	.A(\soc_top/n_5157 ),
+	.B(\soc_top/n_5238 ),
+	.C(\soc_top/n_5239 ),
+	.D(\soc_top/n_5353 ),
+	.Y(\soc_top/n_5476 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \soc_top/g126100__5115  (
+	.A(\soc_top/n_5156 ),
+	.B(\soc_top/n_5235 ),
+	.C(\soc_top/n_5236 ),
+	.D(\soc_top/n_5352 ),
+	.Y(\soc_top/n_5475 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \soc_top/g126101__7482  (
+	.A(\soc_top/n_5280 ),
+	.B(\soc_top/n_5279 ),
+	.C(\soc_top/n_5278 ),
+	.D(\soc_top/n_5316 ),
+	.Y(\soc_top/n_5474 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g126102__4733  (
+	.A(\soc_top/n_5273 ),
+	.B(\soc_top/n_5271 ),
+	.C(\soc_top/n_5392 ),
+	.Y(\soc_top/n_5473 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_2 \soc_top/g126103__6161  (
+	.A(\soc_top/n_5265 ),
+	.B(\soc_top/n_5264 ),
+	.C(\soc_top/n_5262 ),
+	.D(\soc_top/n_5315 ),
+	.Y(\soc_top/n_5472 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_2 \soc_top/g126104__9315  (
+	.A(\soc_top/n_5165 ),
+	.B(\soc_top/n_5253 ),
+	.C(\soc_top/n_5260 ),
+	.D(\soc_top/n_5363 ),
+	.Y(\soc_top/n_5471 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126105__9945  (
+	.A(\soc_top/n_5358 ),
+	.B(\soc_top/n_5319 ),
+	.C(\soc_top/n_5078 ),
+	.D(\soc_top/n_5077 ),
+	.Y(\soc_top/n_5470 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126106__2883  (
+	.A(\soc_top/n_5357 ),
+	.B(\soc_top/n_5314 ),
+	.C(\soc_top/n_5065 ),
+	.D(\soc_top/n_5061 ),
+	.Y(\soc_top/n_5469 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_2 \soc_top/g126107__2346  (
+	.A(\soc_top/n_5161 ),
+	.B(\soc_top/n_5292 ),
+	.C(\soc_top/n_5246 ),
+	.D(\soc_top/n_5385 ),
+	.Y(\soc_top/n_5468 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126108__1666  (
+	.A(\soc_top/n_5354 ),
+	.B(\soc_top/n_5313 ),
+	.C(\soc_top/n_5063 ),
+	.D(\soc_top/n_5060 ),
+	.Y(\soc_top/n_5467 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \soc_top/g126109__7410  (
+	.A(\soc_top/n_5237 ),
+	.B(\soc_top/n_5234 ),
+	.C(\soc_top/n_5231 ),
+	.D(\soc_top/n_5311 ),
+	.Y(\soc_top/n_5466 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126110__6417  (
+	.A(\soc_top/n_5351 ),
+	.B(\soc_top/n_5312 ),
+	.C(\soc_top/n_5049 ),
+	.D(\soc_top/n_5048 ),
+	.Y(\soc_top/n_5465 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_2 \soc_top/g126111__5477  (
+	.A(\soc_top/n_5152 ),
+	.B(\soc_top/n_5290 ),
+	.C(\soc_top/n_5230 ),
+	.D(\soc_top/n_5383 ),
+	.Y(\soc_top/n_5464 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_4 \soc_top/g126112__2398  (
+	.A(\soc_top/n_5151 ),
+	.B(\soc_top/n_5289 ),
+	.C(\soc_top/n_5228 ),
+	.D(\soc_top/n_5382 ),
+	.Y(\soc_top/n_5463 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g126113__5107  (
+	.A(\soc_top/n_5227 ),
+	.B(\soc_top/n_5225 ),
+	.C(\soc_top/n_5391 ),
+	.Y(\soc_top/n_5462 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g126114__6260  (
+	.A1(\soc_top/FE_OFN6641_n_6515 ),
+	.A2(\soc_top/FE_OFN131_core_InstructionDecode_io_writeData_19 ),
+	.B1(\soc_top/n_5374 ),
+	.Y(\soc_top/n_5461 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g126115__4319  (
+	.A(\soc_top/n_5202 ),
+	.B(\soc_top/n_5190 ),
+	.C(\soc_top/n_5390 ),
+	.Y(\soc_top/n_5460 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \soc_top/g126116__8428  (
+	.A(\soc_top/n_5196 ),
+	.B(\soc_top/n_5194 ),
+	.C(\soc_top/n_5193 ),
+	.D(\soc_top/n_5340 ),
+	.Y(\soc_top/n_5459 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126117__5526  (
+	.A(\soc_top/n_5325 ),
+	.B(\soc_top/n_5345 ),
+	.C(\soc_top/n_4929 ),
+	.D(\soc_top/n_4928 ),
+	.Y(\soc_top/n_5458 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g126118__6783  (
+	.A(\soc_top/n_5181 ),
+	.B(\soc_top/n_5180 ),
+	.C(\soc_top/n_5389 ),
+	.Y(\soc_top/n_5457 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_2 \soc_top/g126119__3680  (
+	.A1(\soc_top/n_6611 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_1[30] ),
+	.B1(\soc_top/n_5381 ),
+	.C1(\soc_top/n_5423 ),
+	.Y(\soc_top/n_5456 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_2 \soc_top/g126120__1617  (
+	.A(\soc_top/n_5175 ),
+	.B(\soc_top/n_5285 ),
+	.C(\soc_top/n_5284 ),
+	.D(\soc_top/n_5366 ),
+	.Y(\soc_top/n_5455 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_2 \soc_top/g126121__2802  (
+	.A1(\soc_top/n_6611 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_1[28] ),
+	.B1(\soc_top/n_5380 ),
+	.C1(\soc_top/n_5422 ),
+	.Y(\soc_top/n_5454 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g126122__1705  (
+	.A1(\soc_top/FE_OFN6641_n_6515 ),
+	.A2(\soc_top/core_InstructionDecode_io_writeData[27] ),
+	.B1(\soc_top/n_5379 ),
+	.Y(\soc_top/n_5453 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \soc_top/g126123__5122  (
+	.A(\soc_top/n_5172 ),
+	.B(\soc_top/n_5276 ),
+	.C(\soc_top/n_5277 ),
+	.D(\soc_top/n_5386 ),
+	.Y(\soc_top/n_5452 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_4 \soc_top/g126124__8246  (
+	.A1(\soc_top/FE_OFN6648_n_6611 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_1[24] ),
+	.B1(\soc_top/n_5377 ),
+	.C1(\soc_top/n_5420 ),
+	.Y(\soc_top/n_5451 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 \soc_top/g126125__7098  (
+	.A1(\soc_top/FE_OFN6648_n_6611 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_1[22] ),
+	.B1(\soc_top/n_5419 ),
+	.Y(\soc_top/n_5450 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g126126__6131  (
+	.A1(\soc_top/FE_OFN6641_n_6515 ),
+	.A2(\soc_top/core_InstructionDecode_io_writeData[22] ),
+	.B1(\soc_top/n_5376 ),
+	.Y(\soc_top/n_5449 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_4 \soc_top/g126127__1881  (
+	.A(\soc_top/n_5167 ),
+	.B(\soc_top/n_5269 ),
+	.C(\soc_top/n_5268 ),
+	.D(\soc_top/n_5361 ),
+	.Y(\soc_top/n_5448 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g126128__5115  (
+	.A1(\soc_top/FE_OFN6641_n_6515 ),
+	.A2(\soc_top/FE_OFN6615_core_InstructionDecode_io_writeData_20 ),
+	.B1(\soc_top/n_5375 ),
+	.Y(\soc_top/n_5447 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g126129__7482  (
+	.A1(\soc_top/FE_OFN6648_n_6611 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_1[19] ),
+	.B1(\soc_top/n_5417 ),
+	.Y(\soc_top/n_5446 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126130__4733  (
+	.A(\soc_top/n_5347 ),
+	.B(\soc_top/n_5310 ),
+	.C(\soc_top/n_5039 ),
+	.D(\soc_top/n_5038 ),
+	.Y(\soc_top/n_5445 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g126131__6161  (
+	.A1(\soc_top/FE_OFN6641_n_6515 ),
+	.A2(\soc_top/FE_OFN133_core_InstructionDecode_io_writeData_17 ),
+	.B1(\soc_top/n_5373 ),
+	.Y(\soc_top/n_5444 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g126132__9315  (
+	.A1(\soc_top/FE_OFN6641_n_6515 ),
+	.A2(\soc_top/FE_OFN134_core_InstructionDecode_io_writeData_16 ),
+	.B1(\soc_top/n_5372 ),
+	.Y(\soc_top/n_5443 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g126133__9945  (
+	.A1(\soc_top/n_6611 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_1[14] ),
+	.B1(\soc_top/n_5416 ),
+	.Y(\soc_top/n_5442 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 \soc_top/g126134__2883  (
+	.A(\soc_top/n_5154 ),
+	.B(\soc_top/n_5153 ),
+	.C(\soc_top/n_4024 ),
+	.D(\soc_top/n_5050 ),
+	.Y(\soc_top/n_5441 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_4 \soc_top/g126135__2346  (
+	.A(\soc_top/n_5150 ),
+	.B(\soc_top/n_5224 ),
+	.C(\soc_top/n_5226 ),
+	.D(\soc_top/n_5350 ),
+	.Y(\soc_top/n_5440 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \soc_top/g126136__1666  (
+	.A(\soc_top/n_5149 ),
+	.B(\soc_top/n_5222 ),
+	.C(\soc_top/n_5318 ),
+	.D(\soc_top/n_5349 ),
+	.Y(\soc_top/n_5439 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 \soc_top/g126137__7410  (
+	.A1(\soc_top/FE_OFN6648_n_6611 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_1[31] ),
+	.B1(\soc_top/n_5367 ),
+	.C1(\soc_top/n_5414 ),
+	.Y(\soc_top/n_5438 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g126138__6417  (
+	.A1(\soc_top/FE_OFN6641_n_6515 ),
+	.A2(\soc_top/FE_OFN5668_core_InstructionDecode_io_writeData_14 ),
+	.B1(\soc_top/n_5371 ),
+	.Y(\soc_top/n_5437 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top/g126139__5477  (
+	.A1(\soc_top/FE_OFN5658_core_InstructionDecode_io_writeData_13 ),
+	.A2(\soc_top/n_5387 ),
+	.B1(\soc_top/n_5069 ),
+	.C1(\soc_top/n_5068 ),
+	.D1(\soc_top/n_5162 ),
+	.Y(\soc_top/n_5436 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_2 \soc_top/g126140__2398  (
+	.A(\soc_top/n_5158 ),
+	.B(\soc_top/n_5291 ),
+	.C(\soc_top/n_5242 ),
+	.D(\soc_top/n_5294 ),
+	.Y(\soc_top/n_5435 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126141__5107  (
+	.A(\soc_top/n_5370 ),
+	.B(\soc_top/n_5404 ),
+	.Y(\soc_top/n_5434 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126142__6260  (
+	.A(\soc_top/n_5402 ),
+	.B(\soc_top/n_5147 ),
+	.C(\soc_top/n_5041 ),
+	.D(\soc_top/n_5040 ),
+	.Y(\soc_top/n_5433 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 \soc_top/g126143__4319  (
+	.A(\soc_top/n_5368 ),
+	.B(\soc_top/n_5333 ),
+	.C(\soc_top/n_5044 ),
+	.D(\soc_top/n_5043 ),
+	.Y(\soc_top/n_5432 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126144__8428  (
+	.A(\soc_top/n_5427 ),
+	.B(\soc_top/n_5006 ),
+	.C(\soc_top/n_4697 ),
+	.D(\soc_top/n_5007 ),
+	.Y(\soc_top/n_5431 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126145__5526  (
+	.A(\soc_top/n_5415 ),
+	.B(\soc_top/n_4949 ),
+	.C(\soc_top/n_4361 ),
+	.D(\soc_top/n_4952 ),
+	.Y(\soc_top/n_5430 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g126147__6783  (
+	.A(\soc_top/n_5261 ),
+	.B(\soc_top/n_5258 ),
+	.C(\soc_top/n_5263 ),
+	.Y(\soc_top/n_5427 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g126148__3680  (
+	.A(\soc_top/FE_OFN6641_n_6515 ),
+	.B(\soc_top/core_InstructionDecode_io_writeData[9] ),
+	.Y(\soc_top/n_5426 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g126149__1617  (
+	.A(\soc_top/FE_OFN6641_n_6515 ),
+	.B(\soc_top/core_InstructionDecode_io_writeData[8] ),
+	.Y(\soc_top/n_5425 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g126150__2802  (
+	.A(\soc_top/FE_OFN6641_n_6515 ),
+	.B(\soc_top/FE_OFN109_n_6544 ),
+	.Y(\soc_top/n_5424 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126151__1705  (
+	.A(\soc_top/n_5176 ),
+	.B(\soc_top/n_5124 ),
+	.C(\soc_top/n_4856 ),
+	.D(\soc_top/n_5123 ),
+	.Y(\soc_top/n_5423 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126152__5122  (
+	.A(\soc_top/n_5174 ),
+	.B(\soc_top/n_5119 ),
+	.C(\soc_top/n_4811 ),
+	.D(\soc_top/n_5118 ),
+	.Y(\soc_top/n_5422 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 \soc_top/g126153__8246  (
+	.A(\soc_top/n_5173 ),
+	.B(\soc_top/n_5108 ),
+	.C(\soc_top/n_5017 ),
+	.D(\soc_top/n_6519 ),
+	.Y(\soc_top/n_5421 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126154__7098  (
+	.A(\soc_top/n_5212 ),
+	.B(\soc_top/n_5103 ),
+	.C(\soc_top/n_4741 ),
+	.D(\soc_top/n_5104 ),
+	.Y(\soc_top/n_5420 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126155__6131  (
+	.A(\soc_top/n_5168 ),
+	.B(\soc_top/n_5099 ),
+	.C(\soc_top/n_4706 ),
+	.D(\soc_top/n_5100 ),
+	.Y(\soc_top/n_5419 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g126156__1881  (
+	.A(\soc_top/FE_OFN6641_n_6515 ),
+	.B(\soc_top/core_InstructionDecode_io_writeData[11] ),
+	.Y(\soc_top/n_5418 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126157__5115  (
+	.A(\soc_top/n_5166 ),
+	.B(\soc_top/n_5092 ),
+	.C(\soc_top/n_4662 ),
+	.D(\soc_top/n_5091 ),
+	.Y(\soc_top/n_5417 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126158__7482  (
+	.A(\soc_top/n_5163 ),
+	.B(\soc_top/n_5074 ),
+	.C(\soc_top/n_4573 ),
+	.D(\soc_top/n_5073 ),
+	.Y(\soc_top/n_5416 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g126159__4733  (
+	.A(\soc_top/n_5216 ),
+	.B(\soc_top/n_5214 ),
+	.C(\soc_top/n_5219 ),
+	.Y(\soc_top/n_5415 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126160__6161  (
+	.A(\soc_top/n_5146 ),
+	.B(\soc_top/n_5025 ),
+	.C(\soc_top/n_4117 ),
+	.D(\soc_top/n_5128 ),
+	.Y(\soc_top/n_5414 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 \soc_top/g126161__9315  (
+	.A(\soc_top/n_5338 ),
+	.B(\soc_top/n_4646 ),
+	.C(\soc_top/n_4791 ),
+	.D(\soc_top/n_4712 ),
+	.Y(\soc_top/n_5413 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126162__9945  (
+	.A(\soc_top/n_5341 ),
+	.B(\soc_top/n_4828 ),
+	.C(\soc_top/n_4824 ),
+	.D(\soc_top/n_4821 ),
+	.Y(\soc_top/n_5412 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126163__2883  (
+	.A(\soc_top/n_5281 ),
+	.B(\soc_top/n_5364 ),
+	.Y(\soc_top/n_5411 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126164__2346  (
+	.A(\soc_top/n_5343 ),
+	.B(\soc_top/n_4746 ),
+	.C(\soc_top/n_4761 ),
+	.D(\soc_top/n_4749 ),
+	.Y(\soc_top/n_5410 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126165__1666  (
+	.A(\soc_top/n_5317 ),
+	.B(\soc_top/n_4699 ),
+	.C(\soc_top/n_4718 ),
+	.D(\soc_top/n_4705 ),
+	.Y(\soc_top/n_5409 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126167__7410  (
+	.A(\soc_top/n_5267 ),
+	.B(\soc_top/n_5360 ),
+	.Y(\soc_top/n_5408 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126168__6417  (
+	.A(\soc_top/n_5259 ),
+	.B(\soc_top/n_5359 ),
+	.Y(\soc_top/n_5407 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126169__5477  (
+	.A(\soc_top/n_5336 ),
+	.B(\soc_top/n_4525 ),
+	.C(\soc_top/n_4530 ),
+	.D(\soc_top/n_4527 ),
+	.Y(\soc_top/n_5406 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126170__2398  (
+	.A(\soc_top/n_5335 ),
+	.B(\soc_top/n_4522 ),
+	.C(\soc_top/n_4540 ),
+	.D(\soc_top/n_4529 ),
+	.Y(\soc_top/n_5405 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 \soc_top/g126171__5107  (
+	.A(\soc_top/n_5155 ),
+	.B(\soc_top/n_5055 ),
+	.C(\soc_top/n_4969 ),
+	.D(\soc_top/n_5054 ),
+	.Y(\soc_top/n_5404 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126172__6260  (
+	.A(\soc_top/n_5331 ),
+	.B(\soc_top/n_4293 ),
+	.C(\soc_top/n_4296 ),
+	.D(\soc_top/n_4492 ),
+	.Y(\soc_top/n_5403 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126173__4319  (
+	.A(\soc_top/n_5218 ),
+	.B(\soc_top/n_5348 ),
+	.Y(\soc_top/n_5402 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 \soc_top/g126174__8428  (
+	.A(\soc_top/n_5332 ),
+	.B(\soc_top/n_4310 ),
+	.C(\soc_top/n_4309 ),
+	.D(\soc_top/n_4307 ),
+	.Y(\soc_top/n_5401 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 \soc_top/g126175__5526  (
+	.A(\soc_top/n_5330 ),
+	.B(\soc_top/n_4282 ),
+	.C(\soc_top/n_4285 ),
+	.D(\soc_top/n_4283 ),
+	.Y(\soc_top/n_5400 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_4 \soc_top/g126176__6783  (
+	.A(\soc_top/n_5329 ),
+	.B(\soc_top/n_4256 ),
+	.C(\soc_top/n_4258 ),
+	.D(\soc_top/n_4257 ),
+	.Y(\soc_top/n_5399 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126177__3680  (
+	.A(\soc_top/n_5328 ),
+	.B(\soc_top/n_4242 ),
+	.C(\soc_top/n_4240 ),
+	.D(\soc_top/n_4238 ),
+	.Y(\soc_top/n_5398 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126178__1617  (
+	.A(\soc_top/n_5327 ),
+	.B(\soc_top/n_4223 ),
+	.C(\soc_top/n_4227 ),
+	.D(\soc_top/n_4225 ),
+	.Y(\soc_top/n_5397 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 \soc_top/g126179__2802  (
+	.A(\soc_top/n_5326 ),
+	.B(\soc_top/n_4185 ),
+	.C(\soc_top/n_4181 ),
+	.D(\soc_top/n_4183 ),
+	.Y(\soc_top/n_5396 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126180__1705  (
+	.A(\soc_top/n_5324 ),
+	.B(\soc_top/n_4199 ),
+	.C(\soc_top/n_4200 ),
+	.D(\soc_top/n_4201 ),
+	.Y(\soc_top/n_5395 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126181__5122  (
+	.A(\soc_top/n_5322 ),
+	.B(\soc_top/n_4158 ),
+	.C(\soc_top/n_4156 ),
+	.D(\soc_top/n_4157 ),
+	.Y(\soc_top/n_5394 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126182__8246  (
+	.A(\soc_top/n_5321 ),
+	.B(\soc_top/n_4135 ),
+	.C(\soc_top/n_4140 ),
+	.D(\soc_top/n_4137 ),
+	.Y(\soc_top/n_5393 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126183__7098  (
+	.A(\soc_top/n_5339 ),
+	.B(\soc_top/n_5009 ),
+	.C(\soc_top/n_5010 ),
+	.Y(\soc_top/n_5392 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 \soc_top/g126184__6131  (
+	.A(\soc_top/n_5334 ),
+	.B(\soc_top/n_4950 ),
+	.C(\soc_top/n_4951 ),
+	.Y(\soc_top/n_5391 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126185__1881  (
+	.A(\soc_top/n_5323 ),
+	.B(\soc_top/n_4924 ),
+	.C(\soc_top/n_4927 ),
+	.Y(\soc_top/n_5390 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126186__5115  (
+	.A(\soc_top/n_5342 ),
+	.B(\soc_top/n_5024 ),
+	.C(\soc_top/n_4922 ),
+	.Y(\soc_top/n_5389 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 \soc_top/g126188__7482  (
+	.A(\soc_top/n_6520 ),
+	.B(\soc_top/n_4771 ),
+	.C(\soc_top/n_4768 ),
+	.D(\soc_top/n_4767 ),
+	.Y(\soc_top/n_5386 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126189__4733  (
+	.A(\soc_top/n_4980 ),
+	.B(\soc_top/n_5067 ),
+	.C(\soc_top/n_4524 ),
+	.D(\soc_top/n_4523 ),
+	.Y(\soc_top/n_5385 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126190__6161  (
+	.A(\soc_top/n_4979 ),
+	.B(\soc_top/n_5066 ),
+	.C(\soc_top/n_4500 ),
+	.D(\soc_top/n_4499 ),
+	.Y(\soc_top/n_5384 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 \soc_top/g126191__9315  (
+	.A(\soc_top/n_4963 ),
+	.B(\soc_top/n_5047 ),
+	.C(\soc_top/n_4401 ),
+	.D(\soc_top/n_4400 ),
+	.Y(\soc_top/n_5383 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 \soc_top/g126192__9945  (
+	.A(\soc_top/n_6530 ),
+	.B(\soc_top/n_5046 ),
+	.C(\soc_top/n_4387 ),
+	.D(\soc_top/n_4386 ),
+	.Y(\soc_top/n_5382 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126193__2883  (
+	.A(\soc_top/n_5125 ),
+	.B(\soc_top/n_5126 ),
+	.C(\soc_top/n_4862 ),
+	.D(\soc_top/n_5127 ),
+	.Y(\soc_top/n_5381 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126194__2346  (
+	.A(\soc_top/n_5122 ),
+	.B(\soc_top/n_5121 ),
+	.C(\soc_top/n_4823 ),
+	.D(\soc_top/n_5120 ),
+	.Y(\soc_top/n_5380 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126195__1666  (
+	.A(\soc_top/n_5115 ),
+	.B(\soc_top/n_5114 ),
+	.C(\soc_top/n_4799 ),
+	.D(\soc_top/n_5116 ),
+	.Y(\soc_top/n_5379 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126196__7410  (
+	.A(\soc_top/n_5111 ),
+	.B(\soc_top/n_5110 ),
+	.C(\soc_top/n_4789 ),
+	.D(\soc_top/n_5112 ),
+	.Y(\soc_top/n_5378 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 \soc_top/g126197__6417  (
+	.A(\soc_top/n_5105 ),
+	.B(\soc_top/n_5107 ),
+	.C(\soc_top/n_4748 ),
+	.D(\soc_top/n_5106 ),
+	.Y(\soc_top/n_5377 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126198__5477  (
+	.A(\soc_top/n_5098 ),
+	.B(\soc_top/n_5097 ),
+	.C(\soc_top/n_4703 ),
+	.D(\soc_top/n_5096 ),
+	.Y(\soc_top/n_5376 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126199__2398  (
+	.A(\soc_top/n_5095 ),
+	.B(\soc_top/n_5094 ),
+	.C(\soc_top/n_4670 ),
+	.D(\soc_top/n_5093 ),
+	.Y(\soc_top/n_5375 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126200__5107  (
+	.A(\soc_top/n_5088 ),
+	.B(\soc_top/n_5090 ),
+	.C(\soc_top/n_4650 ),
+	.D(\soc_top/n_5089 ),
+	.Y(\soc_top/n_5374 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126201__6260  (
+	.A(\soc_top/n_5085 ),
+	.B(\soc_top/n_5086 ),
+	.C(\soc_top/n_4615 ),
+	.D(\soc_top/n_5084 ),
+	.Y(\soc_top/n_5373 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126202__4319  (
+	.A(\soc_top/n_5081 ),
+	.B(\soc_top/n_5080 ),
+	.C(\soc_top/n_4604 ),
+	.D(\soc_top/n_5079 ),
+	.Y(\soc_top/n_5372 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126203__8428  (
+	.A(\soc_top/n_5070 ),
+	.B(\soc_top/n_5072 ),
+	.C(\soc_top/n_4569 ),
+	.D(\soc_top/n_5071 ),
+	.Y(\soc_top/n_5371 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126204__5526  (
+	.A(\soc_top/n_5057 ),
+	.B(\soc_top/n_5056 ),
+	.C(\soc_top/n_4447 ),
+	.D(\soc_top/n_5058 ),
+	.Y(\soc_top/n_5370 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126205__6783  (
+	.A(\soc_top/n_5051 ),
+	.B(\soc_top/n_5052 ),
+	.C(\soc_top/n_4431 ),
+	.D(\soc_top/n_5053 ),
+	.Y(\soc_top/n_5369 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126206__3680  (
+	.A(\soc_top/n_5148 ),
+	.B(\soc_top/n_5220 ),
+	.Y(\soc_top/n_5368 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 \soc_top/g126207__1617  (
+	.A(\soc_top/n_5026 ),
+	.B(\soc_top/n_5028 ),
+	.C(\soc_top/n_4145 ),
+	.D(\soc_top/n_5027 ),
+	.Y(\soc_top/n_5367 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126208__2802  (
+	.A(\soc_top/n_6518 ),
+	.B(\soc_top/n_4845 ),
+	.C(\soc_top/n_4842 ),
+	.D(\soc_top/n_4843 ),
+	.Y(\soc_top/n_5366 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126209__1705  (
+	.A(\soc_top/n_5109 ),
+	.B(\soc_top/n_5113 ),
+	.C(\soc_top/n_5020 ),
+	.D(\soc_top/n_5117 ),
+	.Y(\soc_top/n_5365 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126210__5122  (
+	.A(\soc_top/n_5019 ),
+	.B(\soc_top/n_4802 ),
+	.C(\soc_top/n_4801 ),
+	.D(\soc_top/n_4800 ),
+	.Y(\soc_top/n_5364 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126211__8246  (
+	.A(\soc_top/n_4995 ),
+	.B(\soc_top/n_5087 ),
+	.C(\soc_top/n_4627 ),
+	.D(\soc_top/n_4626 ),
+	.Y(\soc_top/n_5363 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126212__7098  (
+	.A(\soc_top/n_5032 ),
+	.B(\soc_top/n_5034 ),
+	.C(\soc_top/n_4999 ),
+	.D(\soc_top/n_5033 ),
+	.Y(\soc_top/n_5362 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126213__6131  (
+	.A(\soc_top/n_5008 ),
+	.B(\soc_top/n_4694 ),
+	.C(\soc_top/n_4695 ),
+	.D(\soc_top/n_4698 ),
+	.Y(\soc_top/n_5361 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126214__1881  (
+	.A(\soc_top/n_4920 ),
+	.B(\soc_top/n_4674 ),
+	.C(\soc_top/n_4673 ),
+	.D(\soc_top/n_4672 ),
+	.Y(\soc_top/n_5360 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126215__5115  (
+	.A(\soc_top/n_4992 ),
+	.B(\soc_top/n_4616 ),
+	.C(\soc_top/n_4618 ),
+	.D(\soc_top/n_4617 ),
+	.Y(\soc_top/n_5359 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126216__7482  (
+	.A(\soc_top/n_5257 ),
+	.B(\soc_top/n_5256 ),
+	.Y(\soc_top/n_5358 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126217__4733  (
+	.A(\soc_top/n_5254 ),
+	.B(\soc_top/n_5251 ),
+	.Y(\soc_top/n_5357 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 \soc_top/g126218__6161  (
+	.A(\soc_top/n_5076 ),
+	.B(\soc_top/n_4583 ),
+	.C(\soc_top/n_4585 ),
+	.D(\soc_top/n_4582 ),
+	.Y(\soc_top/n_5356 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126219__9315  (
+	.A(\soc_top/n_4983 ),
+	.B(\soc_top/n_4557 ),
+	.C(\soc_top/n_4554 ),
+	.D(\soc_top/n_4555 ),
+	.Y(\soc_top/n_5355 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126220__9945  (
+	.A(\soc_top/n_5241 ),
+	.B(\soc_top/n_5240 ),
+	.Y(\soc_top/n_5354 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126221__2883  (
+	.A(\soc_top/n_4470 ),
+	.B(\soc_top/n_5062 ),
+	.C(\soc_top/n_4473 ),
+	.D(\soc_top/n_4472 ),
+	.Y(\soc_top/n_5353 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126222__2346  (
+	.A(\soc_top/n_5059 ),
+	.B(\soc_top/n_4450 ),
+	.C(\soc_top/n_4452 ),
+	.D(\soc_top/n_4451 ),
+	.Y(\soc_top/n_5352 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126223__1666  (
+	.A(\soc_top/n_5233 ),
+	.B(\soc_top/n_5232 ),
+	.Y(\soc_top/n_5351 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 \soc_top/g126224__7410  (
+	.A(\soc_top/n_4956 ),
+	.B(\soc_top/n_4385 ),
+	.C(\soc_top/n_4384 ),
+	.D(\soc_top/n_4383 ),
+	.Y(\soc_top/n_5350 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 \soc_top/g126225__6417  (
+	.A(\soc_top/n_4359 ),
+	.B(\soc_top/n_5045 ),
+	.C(\soc_top/n_4358 ),
+	.D(\soc_top/n_4794 ),
+	.Y(\soc_top/n_5349 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126226__5477  (
+	.A(\soc_top/n_6534 ),
+	.B(\soc_top/n_4323 ),
+	.C(\soc_top/n_4448 ),
+	.D(\soc_top/n_4322 ),
+	.Y(\soc_top/n_5348 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126227__2398  (
+	.A(\soc_top/n_5210 ),
+	.B(\soc_top/n_5207 ),
+	.Y(\soc_top/n_5347 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126228__5107  (
+	.A(\soc_top/n_5036 ),
+	.B(\soc_top/n_5035 ),
+	.C(\soc_top/n_4934 ),
+	.D(\soc_top/n_5037 ),
+	.Y(\soc_top/n_5346 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126229__6260  (
+	.A(\soc_top/n_5192 ),
+	.B(\soc_top/n_5187 ),
+	.Y(\soc_top/n_5345 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126230__4319  (
+	.A(\soc_top/n_5029 ),
+	.B(\soc_top/n_5031 ),
+	.C(\soc_top/n_4923 ),
+	.D(\soc_top/n_5030 ),
+	.Y(\soc_top/n_5344 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126231__8428  (
+	.A(\soc_top/n_4743 ),
+	.B(\soc_top/n_5274 ),
+	.Y(\soc_top/n_5343 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126232__5526  (
+	.A(\soc_top/n_4863 ),
+	.B(\soc_top/n_5288 ),
+	.Y(\soc_top/n_5342 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126233__6783  (
+	.A(\soc_top/n_4819 ),
+	.B(\soc_top/n_5283 ),
+	.Y(\soc_top/n_5341 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126235__3680  (
+	.A(\soc_top/n_4205 ),
+	.B(\soc_top/n_4204 ),
+	.C(\soc_top/n_4202 ),
+	.D(\soc_top/n_4203 ),
+	.Y(\soc_top/n_5340 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126236__1617  (
+	.A1(\soc_top/n_3901 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[13] ),
+	.B1(\soc_top/n_3974 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[13] ),
+	.C1(\soc_top/n_5270 ),
+	.Y(\soc_top/n_5339 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126237__2802  (
+	.A(\soc_top/n_4567 ),
+	.B(\soc_top/n_5229 ),
+	.Y(\soc_top/n_5338 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \soc_top/g126238__1705  (
+	.A(\soc_top/n_4988 ),
+	.B(\soc_top/n_4990 ),
+	.C(\soc_top/n_4989 ),
+	.X(\soc_top/n_5337 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126239__5122  (
+	.A(\soc_top/n_4521 ),
+	.B(\soc_top/n_5245 ),
+	.Y(\soc_top/n_5336 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126240__8246  (
+	.A(\soc_top/n_4514 ),
+	.B(\soc_top/n_5243 ),
+	.Y(\soc_top/n_5335 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126241__7098  (
+	.A(\soc_top/n_4355 ),
+	.B(\soc_top/n_5221 ),
+	.Y(\soc_top/n_5334 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \soc_top/g126242__6131  (
+	.A(\soc_top/n_6531 ),
+	.B(\soc_top/n_6532 ),
+	.C(\soc_top/n_6533 ),
+	.X(\soc_top/n_5333 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126243__1881  (
+	.A(\soc_top/n_4305 ),
+	.B(\soc_top/n_5171 ),
+	.Y(\soc_top/n_5332 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126244__5115  (
+	.A(\soc_top/n_4286 ),
+	.B(\soc_top/n_5208 ),
+	.Y(\soc_top/n_5331 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126245__7482  (
+	.A(\soc_top/n_4281 ),
+	.B(\soc_top/n_5206 ),
+	.Y(\soc_top/n_5330 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126246__4733  (
+	.A(\soc_top/n_4255 ),
+	.B(\soc_top/n_5203 ),
+	.Y(\soc_top/n_5329 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126247__6161  (
+	.A(\soc_top/n_4236 ),
+	.B(\soc_top/n_5198 ),
+	.Y(\soc_top/n_5328 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126248__9315  (
+	.A(\soc_top/n_4221 ),
+	.B(\soc_top/n_5195 ),
+	.Y(\soc_top/n_5327 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126249__9945  (
+	.A(\soc_top/n_4179 ),
+	.B(\soc_top/n_5186 ),
+	.Y(\soc_top/n_5326 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126250__2883  (
+	.A(\soc_top/n_4169 ),
+	.B(\soc_top/n_5185 ),
+	.Y(\soc_top/n_5325 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126251__2346  (
+	.A(\soc_top/n_4195 ),
+	.B(\soc_top/n_5188 ),
+	.Y(\soc_top/n_5324 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126252__1666  (
+	.A(\soc_top/n_4149 ),
+	.B(\soc_top/n_5178 ),
+	.Y(\soc_top/n_5323 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126253__7410  (
+	.A(\soc_top/n_4155 ),
+	.B(\soc_top/n_5182 ),
+	.Y(\soc_top/n_5322 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126254__6417  (
+	.A(\soc_top/n_4131 ),
+	.B(\soc_top/n_5179 ),
+	.Y(\soc_top/n_5321 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 \soc_top/g126256__5477  (
+	.A(\soc_top/n_4584 ),
+	.B(\soc_top/n_4576 ),
+	.C(\soc_top/n_4580 ),
+	.D(\soc_top/n_4574 ),
+	.X(\soc_top/n_5319 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126257__2398  (
+	.A(\soc_top/n_4198 ),
+	.B(\soc_top/n_4360 ),
+	.C(\soc_top/n_4068 ),
+	.D(\soc_top/n_4362 ),
+	.Y(\soc_top/n_5318 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126258__5107  (
+	.A(\soc_top/n_4876 ),
+	.B(\soc_top/n_5266 ),
+	.Y(\soc_top/n_5317 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126259__6260  (
+	.A(\soc_top/n_5013 ),
+	.B(\soc_top/n_5014 ),
+	.C(\soc_top/n_4752 ),
+	.Y(\soc_top/n_5316 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126260__4319  (
+	.A(\soc_top/n_4993 ),
+	.B(\soc_top/n_4994 ),
+	.C(\soc_top/n_4630 ),
+	.Y(\soc_top/n_5315 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \soc_top/g126261__8428  (
+	.A(\soc_top/n_4966 ),
+	.B(\soc_top/n_4968 ),
+	.C(\soc_top/n_4442 ),
+	.X(\soc_top/n_5314 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \soc_top/g126262__5526  (
+	.A(\soc_top/n_4971 ),
+	.B(\soc_top/n_4970 ),
+	.C(\soc_top/n_4457 ),
+	.X(\soc_top/n_5313 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \soc_top/g126263__6783  (
+	.A(\soc_top/n_4961 ),
+	.B(\soc_top/n_4962 ),
+	.C(\soc_top/n_4402 ),
+	.X(\soc_top/n_5312 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126264__3680  (
+	.A(\soc_top/n_4960 ),
+	.B(\soc_top/n_4955 ),
+	.C(\soc_top/n_4398 ),
+	.Y(\soc_top/n_5311 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \soc_top/g126265__1617  (
+	.A(\soc_top/n_4937 ),
+	.B(\soc_top/n_4938 ),
+	.C(\soc_top/n_4260 ),
+	.X(\soc_top/n_5310 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126269__2802  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[11] ),
+	.A2(\soc_top/n_3867 ),
+	.B1(\soc_top/FE_OFN205_n_3817 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[11] ),
+	.Y(\soc_top/n_5306 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top/g126270__1705  (
+	.A1_N(\soc_top/core_io_dmemReq_bits_addrRequest[14] ),
+	.A2_N(\soc_top/n_6600 ),
+	.B1(\soc_top/n_3852 ),
+	.B2(\soc_top/n_5005 ),
+	.Y(\soc_top/n_5305 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126272__5122  (
+	.A1(\soc_top/n_5002 ),
+	.A2(\soc_top/n_6416 ),
+	.B1(\soc_top/n_6600 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[13] ),
+	.Y(\soc_top/n_5303 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126275__8246  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[9] ),
+	.A2(\soc_top/n_3867 ),
+	.B1(\soc_top/n_3817 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[9] ),
+	.Y(\soc_top/n_5300 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126279__7098  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[8] ),
+	.A2(\soc_top/n_3867 ),
+	.B1(\soc_top/n_3817 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[8] ),
+	.Y(\soc_top/n_5296 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126280__6131  (
+	.A(\soc_top/n_5102 ),
+	.B(\soc_top/n_5011 ),
+	.C(\soc_top/n_4717 ),
+	.D(\soc_top/n_4719 ),
+	.Y(\soc_top/n_5295 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126281__1881  (
+	.A(\soc_top/n_4975 ),
+	.B(\soc_top/n_5064 ),
+	.C(\soc_top/n_4297 ),
+	.D(\soc_top/n_4490 ),
+	.Y(\soc_top/n_5294 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126282__5115  (
+	.A(\soc_top/n_4987 ),
+	.B(\soc_top/n_4587 ),
+	.C(\soc_top/n_4588 ),
+	.Y(\soc_top/n_5293 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g126283__7482  (
+	.A(\soc_top/n_6521 ),
+	.B(\soc_top/n_4982 ),
+	.Y(\soc_top/n_5292 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g126284__4733  (
+	.A(\soc_top/n_6524 ),
+	.B(\soc_top/n_6522 ),
+	.Y(\soc_top/n_5291 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g126285__6161  (
+	.A(\soc_top/n_6527 ),
+	.B(\soc_top/n_4965 ),
+	.Y(\soc_top/n_5290 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/g126286__9315  (
+	.A(\soc_top/n_6529 ),
+	.B(\soc_top/n_6528 ),
+	.Y(\soc_top/n_5289 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126287__9945  (
+	.A(\soc_top/n_4840 ),
+	.B(\soc_top/n_4853 ),
+	.C(\soc_top/n_4857 ),
+	.D(\soc_top/n_4829 ),
+	.Y(\soc_top/n_5288 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126288__2883  (
+	.A(\soc_top/n_5023 ),
+	.B(\soc_top/n_4847 ),
+	.C(\soc_top/n_4851 ),
+	.Y(\soc_top/n_5287 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126289__2346  (
+	.A(\soc_top/n_4833 ),
+	.B(\soc_top/n_4844 ),
+	.C(\soc_top/n_4837 ),
+	.D(\soc_top/n_4841 ),
+	.Y(\soc_top/n_5286 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126290__1666  (
+	.A(\soc_top/n_4835 ),
+	.B(\soc_top/n_4836 ),
+	.C(\soc_top/n_4839 ),
+	.D(\soc_top/n_4838 ),
+	.Y(\soc_top/n_5285 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126291__7410  (
+	.A(\soc_top/n_4825 ),
+	.B(\soc_top/n_4827 ),
+	.C(\soc_top/n_4826 ),
+	.D(\soc_top/n_4830 ),
+	.Y(\soc_top/n_5284 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126292__6417  (
+	.A(\soc_top/n_4808 ),
+	.B(\soc_top/n_4813 ),
+	.C(\soc_top/n_4810 ),
+	.D(\soc_top/n_4817 ),
+	.Y(\soc_top/n_5283 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126293__5477  (
+	.A(\soc_top/n_5021 ),
+	.B(\soc_top/n_4788 ),
+	.C(\soc_top/n_4795 ),
+	.Y(\soc_top/n_5282 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126294__2398  (
+	.A(\soc_top/n_4803 ),
+	.B(\soc_top/n_4805 ),
+	.C(\soc_top/n_4804 ),
+	.D(\soc_top/n_4806 ),
+	.Y(\soc_top/n_5281 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126295__5107  (
+	.A(\soc_top/n_5018 ),
+	.B(\soc_top/n_4786 ),
+	.C(\soc_top/n_4787 ),
+	.Y(\soc_top/n_5280 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126296__6260  (
+	.A(\soc_top/n_4778 ),
+	.B(\soc_top/n_4782 ),
+	.C(\soc_top/n_4776 ),
+	.D(\soc_top/n_4774 ),
+	.Y(\soc_top/n_5279 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126297__4319  (
+	.A(\soc_top/n_4757 ),
+	.B(\soc_top/n_4766 ),
+	.C(\soc_top/n_4759 ),
+	.D(\soc_top/n_4769 ),
+	.Y(\soc_top/n_5278 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 \soc_top/g126298__8428  (
+	.A(\soc_top/n_4760 ),
+	.B(\soc_top/n_4764 ),
+	.C(\soc_top/n_4762 ),
+	.D(\soc_top/n_4765 ),
+	.Y(\soc_top/n_5277 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126299__5526  (
+	.A(\soc_top/n_4750 ),
+	.B(\soc_top/n_4754 ),
+	.C(\soc_top/n_4751 ),
+	.D(\soc_top/n_4753 ),
+	.Y(\soc_top/n_5276 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126300__6783  (
+	.A(\soc_top/n_4723 ),
+	.B(\soc_top/n_4742 ),
+	.C(\soc_top/n_4763 ),
+	.D(\soc_top/n_4770 ),
+	.Y(\soc_top/n_5275 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126301__3680  (
+	.A(\soc_top/n_4716 ),
+	.B(\soc_top/n_4734 ),
+	.C(\soc_top/n_4735 ),
+	.D(\soc_top/n_4726 ),
+	.Y(\soc_top/n_5274 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126302__1617  (
+	.A(\soc_top/n_5012 ),
+	.B(\soc_top/n_4721 ),
+	.C(\soc_top/n_4725 ),
+	.Y(\soc_top/n_5273 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126303__2802  (
+	.A(\soc_top/n_4727 ),
+	.B(\soc_top/n_4728 ),
+	.C(\soc_top/n_4729 ),
+	.D(\soc_top/n_4730 ),
+	.Y(\soc_top/n_5272 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126304__1705  (
+	.A(\soc_top/n_4713 ),
+	.B(\soc_top/n_4715 ),
+	.C(\soc_top/n_4711 ),
+	.D(\soc_top/n_4707 ),
+	.Y(\soc_top/n_5271 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126305__5122  (
+	.A(\soc_top/n_4686 ),
+	.B(\soc_top/n_4691 ),
+	.C(\soc_top/n_4696 ),
+	.D(\soc_top/n_4688 ),
+	.Y(\soc_top/n_5270 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126306__8246  (
+	.A(\soc_top/n_4689 ),
+	.B(\soc_top/n_4692 ),
+	.C(\soc_top/n_4693 ),
+	.D(\soc_top/n_4690 ),
+	.Y(\soc_top/n_5269 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126307__7098  (
+	.A(\soc_top/n_4679 ),
+	.B(\soc_top/n_4680 ),
+	.C(\soc_top/n_4683 ),
+	.D(\soc_top/n_4682 ),
+	.Y(\soc_top/n_5268 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126308__6131  (
+	.A(\soc_top/n_4675 ),
+	.B(\soc_top/n_4676 ),
+	.C(\soc_top/n_4678 ),
+	.D(\soc_top/n_4677 ),
+	.Y(\soc_top/n_5267 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126309__1881  (
+	.A(\soc_top/n_4623 ),
+	.B(\soc_top/n_4656 ),
+	.C(\soc_top/n_4643 ),
+	.D(\soc_top/n_4671 ),
+	.Y(\soc_top/n_5266 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126310__5115  (
+	.A(\soc_top/n_5000 ),
+	.B(\soc_top/n_4663 ),
+	.C(\soc_top/n_4666 ),
+	.Y(\soc_top/n_5265 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126311__7482  (
+	.A(\soc_top/n_4649 ),
+	.B(\soc_top/n_4661 ),
+	.C(\soc_top/n_4657 ),
+	.D(\soc_top/n_4652 ),
+	.Y(\soc_top/n_5264 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126312__4733  (
+	.A(\soc_top/n_4651 ),
+	.B(\soc_top/n_4665 ),
+	.C(\soc_top/n_4668 ),
+	.D(\soc_top/n_4659 ),
+	.Y(\soc_top/n_5263 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126313__6161  (
+	.A(\soc_top/n_4634 ),
+	.B(\soc_top/n_4641 ),
+	.C(\soc_top/n_4637 ),
+	.D(\soc_top/n_4645 ),
+	.Y(\soc_top/n_5262 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126314  (
+	.A(\soc_top/n_4998 ),
+	.B(\soc_top/n_4629 ),
+	.C(\soc_top/n_4632 ),
+	.Y(\soc_top/n_5261 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126315  (
+	.A(\soc_top/n_4631 ),
+	.B(\soc_top/n_4633 ),
+	.C(\soc_top/n_4635 ),
+	.D(\soc_top/n_4636 ),
+	.Y(\soc_top/n_5260 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126316  (
+	.A(\soc_top/n_4621 ),
+	.B(\soc_top/n_4622 ),
+	.C(\soc_top/n_4625 ),
+	.D(\soc_top/n_4624 ),
+	.Y(\soc_top/n_5259 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126317  (
+	.A(\soc_top/n_4610 ),
+	.B(\soc_top/n_4612 ),
+	.C(\soc_top/n_4619 ),
+	.D(\soc_top/n_4620 ),
+	.Y(\soc_top/n_5258 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126318  (
+	.A(\soc_top/n_4991 ),
+	.B(\soc_top/n_4607 ),
+	.C(\soc_top/n_4608 ),
+	.Y(\soc_top/n_5257 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126319  (
+	.A(\soc_top/n_4598 ),
+	.B(\soc_top/n_4600 ),
+	.C(\soc_top/n_4605 ),
+	.D(\soc_top/n_4603 ),
+	.Y(\soc_top/n_5256 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126320  (
+	.A(\soc_top/n_4590 ),
+	.B(\soc_top/n_4592 ),
+	.C(\soc_top/n_4591 ),
+	.D(\soc_top/n_4593 ),
+	.Y(\soc_top/n_5255 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126321  (
+	.A(\soc_top/n_4986 ),
+	.B(\soc_top/n_4558 ),
+	.C(\soc_top/n_4572 ),
+	.Y(\soc_top/n_5254 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g126322  (
+	.A(\soc_top/n_4996 ),
+	.B(\soc_top/n_4997 ),
+	.Y(\soc_top/n_5253 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126323  (
+	.A(\soc_top/n_4985 ),
+	.B(\soc_top/n_4570 ),
+	.C(\soc_top/n_4577 ),
+	.Y(\soc_top/n_5252 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126324  (
+	.A(\soc_top/n_4519 ),
+	.B(\soc_top/n_4505 ),
+	.C(\soc_top/n_4518 ),
+	.D(\soc_top/n_4543 ),
+	.Y(\soc_top/n_5251 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126325  (
+	.A(\soc_top/n_4562 ),
+	.B(\soc_top/n_4564 ),
+	.C(\soc_top/n_4553 ),
+	.D(\soc_top/n_4545 ),
+	.Y(\soc_top/n_5250 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126326  (
+	.A(\soc_top/n_4559 ),
+	.B(\soc_top/n_4561 ),
+	.C(\soc_top/n_4560 ),
+	.D(\soc_top/n_4563 ),
+	.Y(\soc_top/n_5249 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126327  (
+	.A(\soc_top/n_4984 ),
+	.B(\soc_top/n_4548 ),
+	.C(\soc_top/n_4556 ),
+	.Y(\soc_top/n_5248 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126328  (
+	.A(\soc_top/n_4542 ),
+	.B(\soc_top/n_4535 ),
+	.C(\soc_top/n_4547 ),
+	.D(\soc_top/n_4538 ),
+	.Y(\soc_top/n_5247 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126329  (
+	.A(\soc_top/n_4531 ),
+	.B(\soc_top/n_4532 ),
+	.C(\soc_top/n_4533 ),
+	.D(\soc_top/n_4534 ),
+	.Y(\soc_top/n_5246 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126330  (
+	.A(\soc_top/n_4502 ),
+	.B(\soc_top/n_4513 ),
+	.C(\soc_top/n_4516 ),
+	.D(\soc_top/n_4508 ),
+	.Y(\soc_top/n_5245 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126331  (
+	.A(\soc_top/n_4509 ),
+	.B(\soc_top/n_4511 ),
+	.C(\soc_top/n_4510 ),
+	.D(\soc_top/n_4512 ),
+	.Y(\soc_top/n_5244 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126332  (
+	.A(\soc_top/n_4868 ),
+	.B(\soc_top/n_4493 ),
+	.C(\soc_top/n_4866 ),
+	.D(\soc_top/n_4503 ),
+	.Y(\soc_top/n_5243 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126333  (
+	.A(\soc_top/n_4494 ),
+	.B(\soc_top/n_4867 ),
+	.C(\soc_top/n_4864 ),
+	.D(\soc_top/n_4495 ),
+	.Y(\soc_top/n_5242 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126334  (
+	.A(\soc_top/n_6523 ),
+	.B(\soc_top/n_4488 ),
+	.C(\soc_top/n_4491 ),
+	.Y(\soc_top/n_5241 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126335  (
+	.A(\soc_top/n_4482 ),
+	.B(\soc_top/n_4485 ),
+	.C(\soc_top/n_4479 ),
+	.D(\soc_top/n_4471 ),
+	.Y(\soc_top/n_5240 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126336  (
+	.A(\soc_top/n_4477 ),
+	.B(\soc_top/n_4478 ),
+	.C(\soc_top/n_4480 ),
+	.D(\soc_top/n_4481 ),
+	.Y(\soc_top/n_5239 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126337  (
+	.A(\soc_top/n_6525 ),
+	.B(\soc_top/n_4474 ),
+	.C(\soc_top/n_4475 ),
+	.Y(\soc_top/n_5238 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126338  (
+	.A(\soc_top/n_6526 ),
+	.B(\soc_top/n_4446 ),
+	.C(\soc_top/n_4454 ),
+	.Y(\soc_top/n_5237 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126339  (
+	.A(\soc_top/n_4458 ),
+	.B(\soc_top/n_4460 ),
+	.C(\soc_top/n_4459 ),
+	.D(\soc_top/n_4461 ),
+	.Y(\soc_top/n_5236 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126340  (
+	.A(\soc_top/n_4972 ),
+	.B(\soc_top/n_4453 ),
+	.C(\soc_top/n_4455 ),
+	.Y(\soc_top/n_5235 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126341  (
+	.A(\soc_top/n_4438 ),
+	.B(\soc_top/n_4427 ),
+	.C(\soc_top/n_4433 ),
+	.D(\soc_top/n_4444 ),
+	.Y(\soc_top/n_5234 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126342  (
+	.A(\soc_top/n_4967 ),
+	.B(\soc_top/n_4429 ),
+	.C(\soc_top/n_4432 ),
+	.Y(\soc_top/n_5233 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126343  (
+	.A(\soc_top/n_4422 ),
+	.B(\soc_top/n_4425 ),
+	.C(\soc_top/n_4419 ),
+	.D(\soc_top/n_4415 ),
+	.Y(\soc_top/n_5232 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126344  (
+	.A(\soc_top/n_4399 ),
+	.B(\soc_top/n_4407 ),
+	.C(\soc_top/n_4420 ),
+	.D(\soc_top/n_4414 ),
+	.Y(\soc_top/n_5231 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126345  (
+	.A(\soc_top/n_4408 ),
+	.B(\soc_top/n_4405 ),
+	.C(\soc_top/n_4406 ),
+	.D(\soc_top/n_4409 ),
+	.Y(\soc_top/n_5230 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126346  (
+	.A(\soc_top/n_4350 ),
+	.B(\soc_top/n_4546 ),
+	.C(\soc_top/n_4467 ),
+	.D(\soc_top/n_4541 ),
+	.Y(\soc_top/n_5229 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126347  (
+	.A(\soc_top/n_4391 ),
+	.B(\soc_top/n_4393 ),
+	.C(\soc_top/n_4392 ),
+	.D(\soc_top/n_4394 ),
+	.Y(\soc_top/n_5228 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126348  (
+	.A(\soc_top/n_4954 ),
+	.B(\soc_top/n_4374 ),
+	.C(\soc_top/n_4378 ),
+	.Y(\soc_top/n_5227 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 \soc_top/g126349  (
+	.A(\soc_top/n_4379 ),
+	.B(\soc_top/n_4380 ),
+	.C(\soc_top/n_4371 ),
+	.D(\soc_top/n_4381 ),
+	.Y(\soc_top/n_5226 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126350  (
+	.A(\soc_top/n_4364 ),
+	.B(\soc_top/n_4370 ),
+	.C(\soc_top/n_4366 ),
+	.D(\soc_top/n_4581 ),
+	.Y(\soc_top/n_5225 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126351  (
+	.A(\soc_top/n_4375 ),
+	.B(\soc_top/n_4372 ),
+	.C(\soc_top/n_4373 ),
+	.D(\soc_top/n_4376 ),
+	.Y(\soc_top/n_5224 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126352  (
+	.A(\soc_top/n_4953 ),
+	.B(\soc_top/n_4344 ),
+	.C(\soc_top/n_4332 ),
+	.Y(\soc_top/n_5223 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126353  (
+	.A(\soc_top/n_4363 ),
+	.B(\soc_top/n_4259 ),
+	.C(\soc_top/n_4292 ),
+	.D(\soc_top/n_4365 ),
+	.Y(\soc_top/n_5222 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126354  (
+	.A(\soc_top/n_4340 ),
+	.B(\soc_top/n_4348 ),
+	.C(\soc_top/n_4342 ),
+	.D(\soc_top/n_4346 ),
+	.Y(\soc_top/n_5221 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126355  (
+	.A(\soc_top/n_4349 ),
+	.B(\soc_top/n_4347 ),
+	.C(\soc_top/n_4351 ),
+	.D(\soc_top/n_4352 ),
+	.Y(\soc_top/n_5220 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126356  (
+	.A(\soc_top/n_4324 ),
+	.B(\soc_top/n_4329 ),
+	.C(\soc_top/n_4337 ),
+	.D(\soc_top/n_4336 ),
+	.Y(\soc_top/n_5219 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126357  (
+	.A(\soc_top/n_4326 ),
+	.B(\soc_top/n_4327 ),
+	.C(\soc_top/n_4330 ),
+	.D(\soc_top/n_4328 ),
+	.Y(\soc_top/n_5218 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126358  (
+	.A(\soc_top/n_4319 ),
+	.B(\soc_top/n_4312 ),
+	.C(\soc_top/n_4304 ),
+	.D(\soc_top/n_4321 ),
+	.Y(\soc_top/n_5217 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126359  (
+	.A(\soc_top/n_4942 ),
+	.B(\soc_top/n_4311 ),
+	.C(\soc_top/n_4315 ),
+	.Y(\soc_top/n_5216 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126360  (
+	.A(\soc_top/n_4943 ),
+	.B(\soc_top/n_4318 ),
+	.C(\soc_top/n_4320 ),
+	.Y(\soc_top/n_5215 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126361  (
+	.A(\soc_top/n_4299 ),
+	.B(\soc_top/n_4306 ),
+	.C(\soc_top/n_4301 ),
+	.D(\soc_top/n_4308 ),
+	.Y(\soc_top/n_5214 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126362  (
+	.A(\soc_top/n_4316 ),
+	.B(\soc_top/n_4317 ),
+	.C(\soc_top/n_4314 ),
+	.D(\soc_top/n_4313 ),
+	.Y(\soc_top/n_5213 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \soc_top/g126363  (
+	.A(\soc_top/n_4738 ),
+	.B(\soc_top/n_4736 ),
+	.C(\soc_top/n_4737 ),
+	.X(\soc_top/n_5212 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126364  (
+	.A(\soc_top/n_4941 ),
+	.B(\soc_top/n_4294 ),
+	.C(\soc_top/n_4295 ),
+	.Y(\soc_top/n_5211 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126365  (
+	.A(\soc_top/n_4940 ),
+	.B(\soc_top/n_4284 ),
+	.C(\soc_top/n_4288 ),
+	.Y(\soc_top/n_5210 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126366  (
+	.A(\soc_top/n_4290 ),
+	.B(\soc_top/n_4291 ),
+	.C(\soc_top/n_4289 ),
+	.D(\soc_top/n_4287 ),
+	.Y(\soc_top/n_5209 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126367  (
+	.A(\soc_top/n_4269 ),
+	.B(\soc_top/n_4270 ),
+	.C(\soc_top/n_4278 ),
+	.D(\soc_top/n_4271 ),
+	.Y(\soc_top/n_5208 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126368  (
+	.A(\soc_top/n_4272 ),
+	.B(\soc_top/n_4275 ),
+	.C(\soc_top/n_4273 ),
+	.D(\soc_top/n_4280 ),
+	.Y(\soc_top/n_5207 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126369  (
+	.A(\soc_top/n_4274 ),
+	.B(\soc_top/n_4277 ),
+	.C(\soc_top/n_4279 ),
+	.D(\soc_top/n_4276 ),
+	.Y(\soc_top/n_5206 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126370  (
+	.A(\soc_top/n_4939 ),
+	.B(\soc_top/n_4267 ),
+	.C(\soc_top/n_4268 ),
+	.Y(\soc_top/n_5205 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126371  (
+	.A(\soc_top/n_4263 ),
+	.B(\soc_top/n_4261 ),
+	.C(\soc_top/n_4262 ),
+	.D(\soc_top/n_4264 ),
+	.Y(\soc_top/n_5204 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126372  (
+	.A(\soc_top/n_4250 ),
+	.B(\soc_top/n_4253 ),
+	.C(\soc_top/n_4254 ),
+	.D(\soc_top/n_4251 ),
+	.Y(\soc_top/n_5203 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126373  (
+	.A(\soc_top/n_4933 ),
+	.B(\soc_top/n_4228 ),
+	.C(\soc_top/n_4234 ),
+	.Y(\soc_top/n_5202 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126374  (
+	.A(\soc_top/n_4936 ),
+	.B(\soc_top/n_4248 ),
+	.C(\soc_top/n_4249 ),
+	.Y(\soc_top/n_5201 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126375  (
+	.A(\soc_top/n_4935 ),
+	.B(\soc_top/n_4239 ),
+	.C(\soc_top/n_4241 ),
+	.Y(\soc_top/n_5200 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126376  (
+	.A(\soc_top/n_4246 ),
+	.B(\soc_top/n_4247 ),
+	.C(\soc_top/n_4245 ),
+	.D(\soc_top/n_4244 ),
+	.Y(\soc_top/n_5199 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126377  (
+	.A(\soc_top/n_4230 ),
+	.B(\soc_top/n_4231 ),
+	.C(\soc_top/n_4235 ),
+	.D(\soc_top/n_4232 ),
+	.Y(\soc_top/n_5198 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126378  (
+	.A(\soc_top/n_4213 ),
+	.B(\soc_top/n_4218 ),
+	.C(\soc_top/n_4226 ),
+	.D(\soc_top/n_4229 ),
+	.Y(\soc_top/n_5197 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126379  (
+	.A(\soc_top/n_4932 ),
+	.B(\soc_top/n_4222 ),
+	.C(\soc_top/n_4224 ),
+	.Y(\soc_top/n_5196 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126380  (
+	.A(\soc_top/n_4206 ),
+	.B(\soc_top/n_4216 ),
+	.C(\soc_top/n_4209 ),
+	.D(\soc_top/n_4212 ),
+	.Y(\soc_top/n_5195 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126381  (
+	.A(\soc_top/n_4214 ),
+	.B(\soc_top/n_4217 ),
+	.C(\soc_top/n_4220 ),
+	.D(\soc_top/n_4219 ),
+	.Y(\soc_top/n_5194 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126382  (
+	.A(\soc_top/n_4207 ),
+	.B(\soc_top/n_4210 ),
+	.C(\soc_top/n_4211 ),
+	.D(\soc_top/n_4208 ),
+	.Y(\soc_top/n_5193 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126383  (
+	.A(\soc_top/n_4931 ),
+	.B(\soc_top/n_4192 ),
+	.C(\soc_top/n_4194 ),
+	.Y(\soc_top/n_5192 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126384  (
+	.A(\soc_top/n_4930 ),
+	.B(\soc_top/n_4193 ),
+	.C(\soc_top/n_4196 ),
+	.Y(\soc_top/n_5191 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126385  (
+	.A(\soc_top/n_4174 ),
+	.B(\soc_top/n_4197 ),
+	.C(\soc_top/n_4215 ),
+	.D(\soc_top/n_4188 ),
+	.Y(\soc_top/n_5190 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126386  (
+	.A(\soc_top/n_4186 ),
+	.B(\soc_top/n_4190 ),
+	.C(\soc_top/n_4189 ),
+	.D(\soc_top/n_4191 ),
+	.Y(\soc_top/n_5189 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126387  (
+	.A(\soc_top/n_4170 ),
+	.B(\soc_top/n_4172 ),
+	.C(\soc_top/n_4184 ),
+	.D(\soc_top/n_4178 ),
+	.Y(\soc_top/n_5188 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126388  (
+	.A(\soc_top/n_4177 ),
+	.B(\soc_top/n_4180 ),
+	.C(\soc_top/n_4182 ),
+	.D(\soc_top/n_4187 ),
+	.Y(\soc_top/n_5187 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126389  (
+	.A(\soc_top/n_4171 ),
+	.B(\soc_top/n_4175 ),
+	.C(\soc_top/n_4176 ),
+	.D(\soc_top/n_4173 ),
+	.Y(\soc_top/n_5186 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126390  (
+	.A(\soc_top/n_4162 ),
+	.B(\soc_top/n_4168 ),
+	.C(\soc_top/n_4164 ),
+	.D(\soc_top/n_4165 ),
+	.Y(\soc_top/n_5185 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126391  (
+	.A(\soc_top/n_4926 ),
+	.B(\soc_top/n_4166 ),
+	.C(\soc_top/n_4167 ),
+	.Y(\soc_top/n_5184 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126392  (
+	.A(\soc_top/n_4161 ),
+	.B(\soc_top/n_4163 ),
+	.C(\soc_top/n_4160 ),
+	.D(\soc_top/n_4159 ),
+	.Y(\soc_top/n_5183 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126393  (
+	.A(\soc_top/n_4151 ),
+	.B(\soc_top/n_4153 ),
+	.C(\soc_top/n_4154 ),
+	.D(\soc_top/n_4152 ),
+	.Y(\soc_top/n_5182 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126394  (
+	.A(\soc_top/n_4925 ),
+	.B(\soc_top/n_4147 ),
+	.C(\soc_top/n_4148 ),
+	.Y(\soc_top/n_5181 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126395  (
+	.A(\soc_top/n_4128 ),
+	.B(\soc_top/n_4142 ),
+	.C(\soc_top/n_4141 ),
+	.D(\soc_top/n_4132 ),
+	.Y(\soc_top/n_5180 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126396  (
+	.A(\soc_top/n_4120 ),
+	.B(\soc_top/n_4126 ),
+	.C(\soc_top/n_4127 ),
+	.D(\soc_top/n_4122 ),
+	.Y(\soc_top/n_5179 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126397  (
+	.A(\soc_top/n_4859 ),
+	.B(\soc_top/n_4138 ),
+	.C(\soc_top/n_4118 ),
+	.D(\soc_top/n_4133 ),
+	.Y(\soc_top/n_5178 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \soc_top/g126399  (
+	.A(\soc_top/n_4848 ),
+	.B(\soc_top/n_4850 ),
+	.C(\soc_top/n_4849 ),
+	.X(\soc_top/n_5176 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126400  (
+	.A(\soc_top/n_4834 ),
+	.B(\soc_top/n_4831 ),
+	.C(\soc_top/n_4832 ),
+	.Y(\soc_top/n_5175 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \soc_top/g126401  (
+	.A(\soc_top/n_4816 ),
+	.B(\soc_top/n_4814 ),
+	.C(\soc_top/n_4815 ),
+	.X(\soc_top/n_5174 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \soc_top/g126402  (
+	.A(\soc_top/n_4779 ),
+	.B(\soc_top/n_4781 ),
+	.C(\soc_top/n_4780 ),
+	.X(\soc_top/n_5173 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126403  (
+	.A(\soc_top/n_4758 ),
+	.B(\soc_top/n_4755 ),
+	.C(\soc_top/n_4756 ),
+	.Y(\soc_top/n_5172 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126404  (
+	.A(\soc_top/n_4298 ),
+	.B(\soc_top/n_4303 ),
+	.C(\soc_top/n_4300 ),
+	.D(\soc_top/n_4302 ),
+	.Y(\soc_top/n_5171 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126405  (
+	.A(\soc_top/n_4732 ),
+	.B(\soc_top/n_4733 ),
+	.C(\soc_top/n_4731 ),
+	.Y(\soc_top/n_5170 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126406  (
+	.A(\soc_top/n_4724 ),
+	.B(\soc_top/n_4722 ),
+	.C(\soc_top/n_4720 ),
+	.Y(\soc_top/n_5169 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126407  (
+	.A1(\soc_top/n_3889 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[22] ),
+	.B1(\soc_top/n_3963 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[22] ),
+	.C1(\soc_top/n_5101 ),
+	.Y(\soc_top/n_5168 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126408  (
+	.A(\soc_top/n_4685 ),
+	.B(\soc_top/n_4687 ),
+	.C(\soc_top/n_4684 ),
+	.Y(\soc_top/n_5167 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \soc_top/g126409  (
+	.A(\soc_top/n_4653 ),
+	.B(\soc_top/n_4655 ),
+	.C(\soc_top/n_4654 ),
+	.X(\soc_top/n_5166 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126410  (
+	.A(\soc_top/n_4639 ),
+	.B(\soc_top/n_4640 ),
+	.C(\soc_top/n_4638 ),
+	.Y(\soc_top/n_5165 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126411  (
+	.A(\soc_top/n_4597 ),
+	.B(\soc_top/n_4594 ),
+	.C(\soc_top/n_4596 ),
+	.Y(\soc_top/n_5164 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126412  (
+	.A1(\soc_top/n_3889 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[14] ),
+	.B1(\soc_top/n_3963 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[14] ),
+	.C1(\soc_top/n_5075 ),
+	.Y(\soc_top/n_5163 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \soc_top/g126413  (
+	.A(\soc_top/n_4552 ),
+	.B(\soc_top/n_4550 ),
+	.C(\soc_top/n_4551 ),
+	.X(\soc_top/n_5162 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126414  (
+	.A(\soc_top/n_4537 ),
+	.B(\soc_top/n_4539 ),
+	.C(\soc_top/n_4536 ),
+	.Y(\soc_top/n_5161 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126415  (
+	.A(\soc_top/n_4520 ),
+	.B(\soc_top/n_4515 ),
+	.C(\soc_top/n_4517 ),
+	.Y(\soc_top/n_5160 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126416  (
+	.A(\soc_top/n_4507 ),
+	.B(\soc_top/n_4504 ),
+	.C(\soc_top/n_4506 ),
+	.Y(\soc_top/n_5159 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126417  (
+	.A(\soc_top/n_4498 ),
+	.B(\soc_top/n_4496 ),
+	.C(\soc_top/n_4497 ),
+	.Y(\soc_top/n_5158 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126418  (
+	.A(\soc_top/n_4486 ),
+	.B(\soc_top/n_4483 ),
+	.C(\soc_top/n_4484 ),
+	.Y(\soc_top/n_5157 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126419  (
+	.A(\soc_top/n_4464 ),
+	.B(\soc_top/n_4466 ),
+	.C(\soc_top/n_4463 ),
+	.Y(\soc_top/n_5156 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \soc_top/g126420  (
+	.A(\soc_top/n_4436 ),
+	.B(\soc_top/n_4434 ),
+	.C(\soc_top/n_4435 ),
+	.X(\soc_top/n_5155 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \soc_top/g126421  (
+	.A(\soc_top/n_4421 ),
+	.B(\soc_top/n_4423 ),
+	.C(\soc_top/n_4424 ),
+	.X(\soc_top/n_5154 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \soc_top/g126422  (
+	.A(\soc_top/n_4418 ),
+	.B(\soc_top/n_4417 ),
+	.C(\soc_top/n_4416 ),
+	.X(\soc_top/n_5153 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126423  (
+	.A(\soc_top/n_4412 ),
+	.B(\soc_top/n_4413 ),
+	.C(\soc_top/n_4411 ),
+	.Y(\soc_top/n_5152 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126424  (
+	.A(\soc_top/n_4396 ),
+	.B(\soc_top/n_4397 ),
+	.C(\soc_top/n_4395 ),
+	.Y(\soc_top/n_5151 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126425  (
+	.A(\soc_top/n_4642 ),
+	.B(\soc_top/n_4377 ),
+	.C(\soc_top/n_4792 ),
+	.Y(\soc_top/n_5150 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126426  (
+	.A(\soc_top/n_4368 ),
+	.B(\soc_top/n_4369 ),
+	.C(\soc_top/n_4367 ),
+	.Y(\soc_top/n_5149 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126427  (
+	.A(\soc_top/n_4356 ),
+	.B(\soc_top/n_4354 ),
+	.C(\soc_top/n_4353 ),
+	.Y(\soc_top/n_5148 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126428  (
+	.A1(\soc_top/n_3916 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_14[0] ),
+	.B1(\soc_top/n_3921 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[0] ),
+	.C1(\soc_top/n_5042 ),
+	.Y(\soc_top/n_5147 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \soc_top/g126429  (
+	.A(\soc_top/n_4129 ),
+	.B(\soc_top/n_4123 ),
+	.C(\soc_top/n_4125 ),
+	.X(\soc_top/n_5146 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126430  (
+	.A(\soc_top/n_4114 ),
+	.B(\soc_top/n_4872 ),
+	.C(\soc_top/n_4104 ),
+	.D(\soc_top/n_4109 ),
+	.Y(\soc_top/n_5145 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126431  (
+	.A1(\soc_top/FE_OFN5644_core_InstructionDecode_io_writeData_15 ),
+	.A2(\soc_top/n_3867 ),
+	.B1(\soc_top/FE_OFN205_n_3817 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[15] ),
+	.X(\soc_top/n_5144 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126438  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[6] ),
+	.A2(\soc_top/n_3867 ),
+	.B1(\soc_top/n_3817 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[6] ),
+	.X(\soc_top/n_5137 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126441  (
+	.A1(\soc_top/n_2711 ),
+	.A2(\soc_top/n_3867 ),
+	.B1(\soc_top/FE_OFN205_n_3817 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[3] ),
+	.X(\soc_top/n_5134 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 \soc_top/g126443  (
+	.A(\soc_top/n_6564 ),
+	.B(\soc_top/n_6565 ),
+	.C(\soc_top/n_4105 ),
+	.D(\soc_top/n_4113 ),
+	.X(\soc_top/n_5132 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g126446  (
+	.A(\soc_top/n_4111 ),
+	.B(\soc_top/n_4106 ),
+	.Y(\soc_top/n_5129 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126447  (
+	.A1(\soc_top/FE_OFN6649_n_3904 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_31[31] ),
+	.B1(\soc_top/FE_OFN5677_n_6576 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[31] ),
+	.C1(\soc_top/n_6535 ),
+	.Y(\soc_top/n_5128 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126448  (
+	.A1(\soc_top/n_6393 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[30] ),
+	.B1(\soc_top/n_6391 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[30] ),
+	.C1(\soc_top/n_4861 ),
+	.Y(\soc_top/n_5127 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126449  (
+	.A1(\soc_top/n_3911 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[30] ),
+	.B1(\soc_top/FE_OFN6609_n_6612 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[30] ),
+	.C1(\soc_top/n_4860 ),
+	.Y(\soc_top/n_5126 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126450  (
+	.A1(\soc_top/n_3929 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[30] ),
+	.B1(\soc_top/n_3919 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[30] ),
+	.C1(\soc_top/n_4858 ),
+	.Y(\soc_top/n_5125 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126451  (
+	.A1(\soc_top/n_3939 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_21[30] ),
+	.B1(\soc_top/n_3963 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[30] ),
+	.C1(\soc_top/n_4854 ),
+	.Y(\soc_top/n_5124 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126452  (
+	.A1(\soc_top/n_3895 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[30] ),
+	.B1(\soc_top/n_3987 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[30] ),
+	.C1(\soc_top/n_4852 ),
+	.Y(\soc_top/n_5123 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126453  (
+	.A1(\soc_top/n_3995 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[28] ),
+	.B1(\soc_top/n_3885 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[28] ),
+	.C1(\soc_top/n_4822 ),
+	.Y(\soc_top/n_5122 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126454  (
+	.A1(\soc_top/n_3925 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[28] ),
+	.B1(\soc_top/n_3989 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[28] ),
+	.C1(\soc_top/n_4820 ),
+	.Y(\soc_top/n_5121 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126455  (
+	.A1(\soc_top/n_3929 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[28] ),
+	.B1(\soc_top/n_3919 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[28] ),
+	.C1(\soc_top/n_6536 ),
+	.Y(\soc_top/n_5120 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126456  (
+	.A1(\soc_top/n_3895 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[28] ),
+	.B1(\soc_top/n_3983 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[28] ),
+	.C1(\soc_top/n_4812 ),
+	.Y(\soc_top/n_5119 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126457  (
+	.A1(\soc_top/FE_OFN6649_n_3904 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_31[28] ),
+	.B1(\soc_top/n_3987 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[28] ),
+	.C1(\soc_top/n_4809 ),
+	.Y(\soc_top/n_5118 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126458  (
+	.A1(\soc_top/n_3954 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[24] ),
+	.B1(\soc_top/n_3899 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[24] ),
+	.C1(\soc_top/n_4797 ),
+	.Y(\soc_top/n_5117 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126459  (
+	.A1(\soc_top/n_3995 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[27] ),
+	.B1(\soc_top/n_3885 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[27] ),
+	.C1(\soc_top/n_4798 ),
+	.Y(\soc_top/n_5116 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126460  (
+	.A1(\soc_top/n_3925 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[27] ),
+	.B1(\soc_top/n_3989 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[27] ),
+	.C1(\soc_top/n_4796 ),
+	.Y(\soc_top/n_5115 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126461  (
+	.A1(\soc_top/n_3929 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[27] ),
+	.B1(\soc_top/n_6612 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[27] ),
+	.C1(\soc_top/n_4793 ),
+	.Y(\soc_top/n_5114 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126462  (
+	.A1(\soc_top/FE_OFN6663_n_6584 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[24] ),
+	.B1(\soc_top/n_3881 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[24] ),
+	.C1(\soc_top/n_4790 ),
+	.Y(\soc_top/n_5113 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126463  (
+	.A1(\soc_top/n_3916 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_14[26] ),
+	.B1(\soc_top/n_3921 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[26] ),
+	.C1(\soc_top/n_6537 ),
+	.Y(\soc_top/n_5112 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126464  (
+	.A1(\soc_top/n_3929 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[26] ),
+	.B1(\soc_top/FE_OFN5641_n_6597 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[26] ),
+	.C1(\soc_top/n_6538 ),
+	.Y(\soc_top/n_5111 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126465  (
+	.A1(\soc_top/n_3925 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[26] ),
+	.B1(\soc_top/n_3989 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[26] ),
+	.C1(\soc_top/n_6539 ),
+	.Y(\soc_top/n_5110 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126466  (
+	.A1(\soc_top/n_6590 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[24] ),
+	.B1(\soc_top/FE_OFN6655_n_6569 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[24] ),
+	.C1(\soc_top/n_4773 ),
+	.Y(\soc_top/n_5109 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126467  (
+	.A1(\soc_top/n_3923 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_11[26] ),
+	.B1(\soc_top/n_3983 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[26] ),
+	.C1(\soc_top/n_6540 ),
+	.Y(\soc_top/n_5108 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 \soc_top/g126468  (
+	.A1(\soc_top/n_3995 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[24] ),
+	.B1(\soc_top/n_3885 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[24] ),
+	.C1(\soc_top/n_4747 ),
+	.Y(\soc_top/n_5107 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126469  (
+	.A1(\soc_top/n_3929 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[24] ),
+	.B1(\soc_top/n_3919 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[24] ),
+	.C1(\soc_top/n_6541 ),
+	.Y(\soc_top/n_5106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126470  (
+	.A1(\soc_top/n_3925 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[24] ),
+	.B1(\soc_top/n_3989 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[24] ),
+	.C1(\soc_top/n_6542 ),
+	.Y(\soc_top/n_5105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126471  (
+	.A1(\soc_top/FE_OFN6649_n_3904 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_31[24] ),
+	.B1(\soc_top/n_3923 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[24] ),
+	.C1(\soc_top/n_4740 ),
+	.Y(\soc_top/n_5104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126472  (
+	.A1(\soc_top/n_3895 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[24] ),
+	.B1(\soc_top/n_3983 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[24] ),
+	.C1(\soc_top/n_4739 ),
+	.Y(\soc_top/n_5103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126473  (
+	.A1(\soc_top/FE_OFN6649_n_3904 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_31[23] ),
+	.B1(\soc_top/n_3895 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[23] ),
+	.C1(\soc_top/n_4714 ),
+	.Y(\soc_top/n_5102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g126474  (
+	.A(\soc_top/n_4710 ),
+	.B(\soc_top/n_4709 ),
+	.Y(\soc_top/n_5101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126475  (
+	.A1(\soc_top/n_3999 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[22] ),
+	.B1(\soc_top/n_3987 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[22] ),
+	.C1(\soc_top/n_4708 ),
+	.Y(\soc_top/n_5100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126476  (
+	.A1(\soc_top/n_3905 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_31[22] ),
+	.B1(\soc_top/n_3895 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[22] ),
+	.C1(\soc_top/n_4704 ),
+	.Y(\soc_top/n_5099 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126477  (
+	.A1(\soc_top/n_3995 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[22] ),
+	.B1(\soc_top/n_3885 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[22] ),
+	.C1(\soc_top/n_4702 ),
+	.Y(\soc_top/n_5098 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126478  (
+	.A1(\soc_top/n_3925 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[22] ),
+	.B1(\soc_top/n_3989 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[22] ),
+	.C1(\soc_top/n_4701 ),
+	.Y(\soc_top/n_5097 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126479  (
+	.A1(\soc_top/n_3929 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[22] ),
+	.B1(\soc_top/n_3919 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[22] ),
+	.C1(\soc_top/n_4700 ),
+	.Y(\soc_top/n_5096 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126480  (
+	.A1(\soc_top/n_3995 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[20] ),
+	.B1(\soc_top/n_3885 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[20] ),
+	.C1(\soc_top/n_4669 ),
+	.Y(\soc_top/n_5095 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126481  (
+	.A1(\soc_top/n_3929 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[20] ),
+	.B1(\soc_top/n_3919 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[20] ),
+	.C1(\soc_top/n_4667 ),
+	.Y(\soc_top/n_5094 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126482  (
+	.A1(\soc_top/n_3925 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[20] ),
+	.B1(\soc_top/n_3989 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[20] ),
+	.C1(\soc_top/n_4664 ),
+	.Y(\soc_top/n_5093 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126483  (
+	.A1(\soc_top/n_3939 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_21[19] ),
+	.B1(\soc_top/n_3963 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[19] ),
+	.C1(\soc_top/n_4660 ),
+	.Y(\soc_top/n_5092 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126484  (
+	.A1(\soc_top/n_3895 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[19] ),
+	.B1(\soc_top/n_3983 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[19] ),
+	.C1(\soc_top/n_4658 ),
+	.Y(\soc_top/n_5091 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126485  (
+	.A1(\soc_top/n_3995 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[19] ),
+	.B1(\soc_top/n_3885 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[19] ),
+	.C1(\soc_top/n_4648 ),
+	.Y(\soc_top/n_5090 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126486  (
+	.A1(\soc_top/n_3929 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[19] ),
+	.B1(\soc_top/n_3919 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[19] ),
+	.C1(\soc_top/n_4647 ),
+	.Y(\soc_top/n_5089 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126487  (
+	.A1(\soc_top/n_3925 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[19] ),
+	.B1(\soc_top/n_3989 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[19] ),
+	.C1(\soc_top/n_4644 ),
+	.Y(\soc_top/n_5088 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126488  (
+	.A1(\soc_top/n_3999 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[18] ),
+	.B1(\soc_top/n_3987 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[18] ),
+	.C1(\soc_top/n_4628 ),
+	.Y(\soc_top/n_5087 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126489  (
+	.A1(\soc_top/n_3995 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[17] ),
+	.B1(\soc_top/n_3885 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[17] ),
+	.C1(\soc_top/n_4614 ),
+	.Y(\soc_top/n_5086 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126490  (
+	.A1(\soc_top/n_3929 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[17] ),
+	.B1(\soc_top/n_3883 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[17] ),
+	.C1(\soc_top/n_4613 ),
+	.Y(\soc_top/n_5085 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126491  (
+	.A1(\soc_top/n_3925 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[17] ),
+	.B1(\soc_top/n_3989 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[17] ),
+	.C1(\soc_top/n_4611 ),
+	.Y(\soc_top/n_5084 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126492  (
+	.A1(\soc_top/n_3999 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[16] ),
+	.B1(\soc_top/n_3987 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[16] ),
+	.C1(\soc_top/n_4609 ),
+	.Y(\soc_top/n_5083 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126493  (
+	.A1(\soc_top/n_3895 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[16] ),
+	.B1(\soc_top/n_3983 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[16] ),
+	.C1(\soc_top/n_4606 ),
+	.Y(\soc_top/n_5082 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126494  (
+	.A1(\soc_top/n_3995 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[16] ),
+	.B1(\soc_top/n_3885 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[16] ),
+	.C1(\soc_top/n_4602 ),
+	.Y(\soc_top/n_5081 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126495  (
+	.A1(\soc_top/n_3925 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[16] ),
+	.B1(\soc_top/n_3989 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[16] ),
+	.C1(\soc_top/n_4601 ),
+	.Y(\soc_top/n_5080 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126496  (
+	.A1(\soc_top/n_3929 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[16] ),
+	.B1(\soc_top/n_3919 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[16] ),
+	.C1(\soc_top/n_6543 ),
+	.Y(\soc_top/n_5079 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126497  (
+	.A1(\soc_top/n_3979 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[11] ),
+	.B1(\soc_top/n_4001 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[11] ),
+	.C1(\soc_top/n_4595 ),
+	.Y(\soc_top/n_5078 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126498  (
+	.A1(\soc_top/FE_OFN6656_n_6594 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[11] ),
+	.B1(\soc_top/FE_OFN6652_n_3984 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[11] ),
+	.C1(\soc_top/n_4589 ),
+	.Y(\soc_top/n_5077 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126499  (
+	.A1(\soc_top/n_3999 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[15] ),
+	.B1(\soc_top/n_3987 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[15] ),
+	.C1(\soc_top/n_4586 ),
+	.Y(\soc_top/n_5076 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g126500  (
+	.A(\soc_top/n_4578 ),
+	.B(\soc_top/n_4579 ),
+	.Y(\soc_top/n_5075 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126501  (
+	.A1(\soc_top/n_3895 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[14] ),
+	.B1(\soc_top/n_3983 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[14] ),
+	.C1(\soc_top/n_4575 ),
+	.Y(\soc_top/n_5074 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126502  (
+	.A1(\soc_top/n_3905 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_31[14] ),
+	.B1(\soc_top/n_3999 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[14] ),
+	.C1(\soc_top/n_4571 ),
+	.Y(\soc_top/n_5073 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126503  (
+	.A1(\soc_top/n_3995 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[14] ),
+	.B1(\soc_top/n_3885 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[14] ),
+	.C1(\soc_top/n_4568 ),
+	.Y(\soc_top/n_5072 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126504  (
+	.A1(\soc_top/n_3925 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[14] ),
+	.B1(\soc_top/FE_OFN6658_n_3911 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[14] ),
+	.C1(\soc_top/n_4566 ),
+	.Y(\soc_top/n_5071 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126505  (
+	.A1(\soc_top/n_3929 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[14] ),
+	.B1(\soc_top/n_3919 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[14] ),
+	.C1(\soc_top/n_4565 ),
+	.Y(\soc_top/n_5070 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126506  (
+	.A1(\soc_top/n_3925 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[13] ),
+	.B1(\soc_top/n_3989 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[13] ),
+	.C1(\soc_top/n_4549 ),
+	.Y(\soc_top/n_5069 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126507  (
+	.A1(\soc_top/n_3929 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[13] ),
+	.B1(\soc_top/n_3919 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[13] ),
+	.C1(\soc_top/n_4544 ),
+	.Y(\soc_top/n_5068 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126508  (
+	.A1(\soc_top/n_3999 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[12] ),
+	.B1(\soc_top/n_3987 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[12] ),
+	.C1(\soc_top/n_4526 ),
+	.Y(\soc_top/n_5067 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126509  (
+	.A1(\soc_top/FE_OFN5677_n_6576 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[11] ),
+	.B1(\soc_top/n_3923 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[11] ),
+	.C1(\soc_top/n_4501 ),
+	.Y(\soc_top/n_5066 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126510  (
+	.A1(\soc_top/n_3979 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[28] ),
+	.B1(\soc_top/n_4001 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[28] ),
+	.C1(\soc_top/n_4487 ),
+	.Y(\soc_top/n_5065 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126511  (
+	.A1(\soc_top/FE_OFN6649_n_3904 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_31[10] ),
+	.B1(\soc_top/n_3923 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[10] ),
+	.C1(\soc_top/n_6547 ),
+	.Y(\soc_top/n_5064 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126512  (
+	.A1(\soc_top/n_6578 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[9] ),
+	.B1(\soc_top/n_3887 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[9] ),
+	.C1(\soc_top/n_4469 ),
+	.Y(\soc_top/n_5063 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126513  (
+	.A1(\soc_top/n_3999 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[9] ),
+	.B1(\soc_top/n_3987 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[9] ),
+	.C1(\soc_top/n_4468 ),
+	.Y(\soc_top/n_5062 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126514  (
+	.A1(\soc_top/FE_OFN6652_n_3984 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_13[28] ),
+	.B1(\soc_top/n_3968 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[28] ),
+	.C1(\soc_top/n_4456 ),
+	.Y(\soc_top/n_5061 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126515  (
+	.A1(\soc_top/FE_OFN6656_n_6594 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[9] ),
+	.B1(\soc_top/FE_OFN6652_n_3984 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[9] ),
+	.C1(\soc_top/n_4465 ),
+	.Y(\soc_top/n_5060 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126516  (
+	.A1(\soc_top/n_3905 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_31[8] ),
+	.B1(\soc_top/FE_OFN5677_n_6576 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[8] ),
+	.C1(\soc_top/n_4449 ),
+	.Y(\soc_top/n_5059 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126517  (
+	.A1(\soc_top/n_3995 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[7] ),
+	.B1(\soc_top/n_3885 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[7] ),
+	.C1(\soc_top/n_6548 ),
+	.Y(\soc_top/n_5058 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126518  (
+	.A1(\soc_top/n_3929 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[7] ),
+	.B1(\soc_top/n_3919 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[7] ),
+	.C1(\soc_top/n_6549 ),
+	.Y(\soc_top/n_5057 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126519  (
+	.A1(\soc_top/n_3925 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[7] ),
+	.B1(\soc_top/n_3989 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[7] ),
+	.C1(\soc_top/n_6550 ),
+	.Y(\soc_top/n_5056 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126520  (
+	.A(\soc_top/n_4440 ),
+	.B(\soc_top/n_4439 ),
+	.Y(\soc_top/n_5055 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126521  (
+	.A1(\soc_top/n_3895 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[7] ),
+	.B1(\soc_top/n_4005 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[7] ),
+	.C1(\soc_top/n_6551 ),
+	.Y(\soc_top/n_5054 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126522  (
+	.A1(\soc_top/FE_OFN6614_n_6393 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[6] ),
+	.B1(\soc_top/FE_OFN6617_n_6391 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[6] ),
+	.C1(\soc_top/n_4430 ),
+	.Y(\soc_top/n_5053 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126523  (
+	.A1(\soc_top/FE_OFN6658_n_3911 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[6] ),
+	.B1(\soc_top/n_6612 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[6] ),
+	.C1(\soc_top/n_6552 ),
+	.Y(\soc_top/n_5052 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126524  (
+	.A1(\soc_top/n_3929 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[6] ),
+	.B1(\soc_top/n_3919 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[6] ),
+	.C1(\soc_top/n_6553 ),
+	.Y(\soc_top/n_5051 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126525  (
+	.A1(\soc_top/n_3895 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[6] ),
+	.B1(\soc_top/n_3983 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[6] ),
+	.C1(\soc_top/n_4855 ),
+	.Y(\soc_top/n_5050 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126526  (
+	.A1(\soc_top/n_3979 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[8] ),
+	.B1(\soc_top/n_4001 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[8] ),
+	.C1(\soc_top/n_4410 ),
+	.Y(\soc_top/n_5049 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126527  (
+	.A1(\soc_top/n_3985 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_13[8] ),
+	.B1(\soc_top/n_3968 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[8] ),
+	.C1(\soc_top/n_4403 ),
+	.Y(\soc_top/n_5048 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126528  (
+	.A1(\soc_top/n_3999 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[5] ),
+	.B1(\soc_top/n_3987 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[5] ),
+	.C1(\soc_top/n_4382 ),
+	.Y(\soc_top/n_5047 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 \soc_top/g126529  (
+	.A1(\soc_top/n_3999 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[4] ),
+	.B1(\soc_top/n_3987 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[4] ),
+	.C1(\soc_top/n_6554 ),
+	.Y(\soc_top/n_5046 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 \soc_top/g126530  (
+	.A1(\soc_top/FE_OFN5677_n_6576 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[2] ),
+	.B1(\soc_top/n_3923 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[2] ),
+	.C1(\soc_top/n_6555 ),
+	.Y(\soc_top/n_5045 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126531  (
+	.A1(\soc_top/FE_OFN5677_n_6576 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[1] ),
+	.B1(\soc_top/n_3923 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[1] ),
+	.C1(\soc_top/n_4345 ),
+	.Y(\soc_top/n_5044 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126532  (
+	.A1(\soc_top/n_3895 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[1] ),
+	.B1(\soc_top/n_3983 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[1] ),
+	.C1(\soc_top/n_6556 ),
+	.Y(\soc_top/n_5043 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g126533  (
+	.A(\soc_top/n_4334 ),
+	.B(\soc_top/n_4335 ),
+	.Y(\soc_top/n_5042 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126534  (
+	.A1(\soc_top/FE_OFN6658_n_3911 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[0] ),
+	.B1(\soc_top/n_6612 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[0] ),
+	.C1(\soc_top/n_4333 ),
+	.Y(\soc_top/n_5041 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126535  (
+	.A1(\soc_top/n_3929 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[0] ),
+	.B1(\soc_top/n_3919 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[0] ),
+	.C1(\soc_top/n_4331 ),
+	.Y(\soc_top/n_5040 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126536  (
+	.A1(\soc_top/n_3968 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[19] ),
+	.B1(\soc_top/n_3959 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[19] ),
+	.C1(\soc_top/n_4266 ),
+	.Y(\soc_top/n_5039 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126537  (
+	.A1(\soc_top/FE_OFN6656_n_6594 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[19] ),
+	.B1(\soc_top/FE_OFN6652_n_3984 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[19] ),
+	.C1(\soc_top/n_4265 ),
+	.Y(\soc_top/n_5038 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126538  (
+	.A1(\soc_top/n_3954 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[18] ),
+	.B1(\soc_top/n_3899 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[18] ),
+	.C1(\soc_top/n_4243 ),
+	.Y(\soc_top/n_5037 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126539  (
+	.A1(\soc_top/FE_OFN6665_n_6568 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[18] ),
+	.B1(\soc_top/n_3970 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[18] ),
+	.C1(\soc_top/n_4237 ),
+	.Y(\soc_top/n_5036 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126540  (
+	.A1(\soc_top/n_6590 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[18] ),
+	.B1(\soc_top/FE_OFN6655_n_6569 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[18] ),
+	.C1(\soc_top/n_4233 ),
+	.Y(\soc_top/n_5035 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126541  (
+	.A1(\soc_top/FE_OFN6663_n_6584 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[31] ),
+	.B1(\soc_top/n_3893 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[31] ),
+	.C1(\soc_top/n_4144 ),
+	.Y(\soc_top/n_5034 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126542  (
+	.A1(\soc_top/n_3954 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[31] ),
+	.B1(\soc_top/n_3956 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[31] ),
+	.C1(\soc_top/n_6557 ),
+	.Y(\soc_top/n_5033 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126543  (
+	.A1(\soc_top/FE_OFN6665_n_6568 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[31] ),
+	.B1(\soc_top/FE_OFN6655_n_6569 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[31] ),
+	.C1(\soc_top/n_6563 ),
+	.Y(\soc_top/n_5032 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126544  (
+	.A1(\soc_top/n_3954 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[16] ),
+	.B1(\soc_top/n_3956 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[16] ),
+	.C1(\soc_top/n_6558 ),
+	.Y(\soc_top/n_5031 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126545  (
+	.A1(\soc_top/n_3931 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[16] ),
+	.B1(\soc_top/n_3881 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[16] ),
+	.C1(\soc_top/n_6559 ),
+	.Y(\soc_top/n_5030 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126546  (
+	.A1(\soc_top/n_6590 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[16] ),
+	.B1(\soc_top/n_3991 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[16] ),
+	.C1(\soc_top/n_6560 ),
+	.Y(\soc_top/n_5029 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126547  (
+	.A1(\soc_top/n_3916 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_14[31] ),
+	.B1(\soc_top/n_3921 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[31] ),
+	.C1(\soc_top/n_6561 ),
+	.Y(\soc_top/n_5028 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g126548  (
+	.A1(\soc_top/n_3911 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[31] ),
+	.B1(\soc_top/n_3927 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[31] ),
+	.C1(\soc_top/n_6562 ),
+	.Y(\soc_top/n_5027 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126549  (
+	.A(\soc_top/n_4134 ),
+	.B(\soc_top/n_4130 ),
+	.Y(\soc_top/n_5026 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g126550  (
+	.A(\soc_top/n_4121 ),
+	.B(\soc_top/n_4119 ),
+	.Y(\soc_top/n_5025 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126551  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_31[25] ),
+	.A2(\soc_top/FE_OFN6657_n_3903 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_17[25] ),
+	.B2(\soc_top/n_3966 ),
+	.C1(\soc_top/n_3993 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_21[25] ),
+	.Y(\soc_top/n_5024 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126552  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_16[15] ),
+	.A2(\soc_top/n_3981 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_14[15] ),
+	.B2(\soc_top/n_3964 ),
+	.C1(\soc_top/n_3941 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_10[15] ),
+	.Y(\soc_top/n_5023 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126554  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_16[29] ),
+	.A2(\soc_top/n_3981 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_14[29] ),
+	.B2(\soc_top/n_3964 ),
+	.C1(\soc_top/FE_OFN6659_n_3940 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_10[29] ),
+	.Y(\soc_top/n_5021 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126555  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_16[24] ),
+	.A2(\soc_top/n_3981 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_14[24] ),
+	.B2(\soc_top/n_3964 ),
+	.C1(\soc_top/n_3941 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_10[24] ),
+	.Y(\soc_top/n_5020 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126556  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_31[27] ),
+	.A2(\soc_top/n_3905 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_1[27] ),
+	.B2(\soc_top/n_6611 ),
+	.C1(\soc_top/n_3914 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_29[27] ),
+	.Y(\soc_top/n_5019 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126557  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_16[14] ),
+	.A2(\soc_top/n_3981 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_10[14] ),
+	.B2(\soc_top/FE_OFN6659_n_3940 ),
+	.C1(\soc_top/n_3964 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_14[14] ),
+	.Y(\soc_top/n_5018 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126558  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_27[26] ),
+	.A2(\soc_top/n_3889 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_5[26] ),
+	.B2(\soc_top/FE_OFN6664_n_3932 ),
+	.C1(\soc_top/n_3935 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_9[26] ),
+	.Y(\soc_top/n_5017 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126561  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_19[14] ),
+	.A2(\soc_top/n_4003 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_29[14] ),
+	.B2(\soc_top/n_3972 ),
+	.C1(\soc_top/n_6572 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_1[14] ),
+	.Y(\soc_top/n_5014 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126562  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_31[14] ),
+	.A2(\soc_top/n_3903 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_21[14] ),
+	.B2(\soc_top/n_3993 ),
+	.C1(\soc_top/n_3966 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_17[14] ),
+	.Y(\soc_top/n_5013 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126563  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_16[13] ),
+	.A2(\soc_top/n_3981 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_14[13] ),
+	.B2(\soc_top/n_3964 ),
+	.C1(\soc_top/FE_OFN6659_n_3940 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_10[13] ),
+	.Y(\soc_top/n_5012 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126564  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_1[23] ),
+	.A2(\soc_top/FE_OFN6648_n_6611 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_15[23] ),
+	.B2(\soc_top/FE_OFN5677_n_6576 ),
+	.C1(\soc_top/n_3923 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_11[23] ),
+	.Y(\soc_top/n_5011 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126565  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_31[13] ),
+	.A2(\soc_top/n_3903 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_19[13] ),
+	.B2(\soc_top/n_4003 ),
+	.C1(\soc_top/n_6572 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_1[13] ),
+	.Y(\soc_top/n_5010 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126566  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_17[13] ),
+	.A2(\soc_top/n_3966 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_29[13] ),
+	.B2(\soc_top/n_3972 ),
+	.C1(\soc_top/n_3993 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_21[13] ),
+	.Y(\soc_top/n_5009 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126567  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_31[21] ),
+	.A2(\soc_top/FE_OFN6649_n_3904 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_1[21] ),
+	.B2(\soc_top/FE_OFN6648_n_6611 ),
+	.C1(\soc_top/FE_OFN6661_n_3913 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_29[21] ),
+	.Y(\soc_top/n_5008 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126568  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_19[23] ),
+	.A2(\soc_top/n_4003 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_29[23] ),
+	.B2(\soc_top/n_3972 ),
+	.C1(\soc_top/n_6572 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_1[23] ),
+	.Y(\soc_top/n_5007 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126569  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_31[23] ),
+	.A2(\soc_top/n_3903 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_21[23] ),
+	.B2(\soc_top/n_3993 ),
+	.C1(\soc_top/n_3966 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_17[23] ),
+	.Y(\soc_top/n_5006 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g126571  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[10] ),
+	.Y(\soc_top/n_5004 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126575  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_16[12] ),
+	.A2(\soc_top/n_3981 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_14[12] ),
+	.B2(\soc_top/n_3964 ),
+	.C1(\soc_top/n_3941 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_10[12] ),
+	.Y(\soc_top/n_5000 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126576  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_16[31] ),
+	.A2(\soc_top/n_3981 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_14[31] ),
+	.B2(\soc_top/n_3964 ),
+	.C1(\soc_top/n_3941 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_10[31] ),
+	.Y(\soc_top/n_4999 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126577  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_16[23] ),
+	.A2(\soc_top/n_3981 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_14[23] ),
+	.B2(\soc_top/n_3964 ),
+	.C1(\soc_top/n_3941 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_10[23] ),
+	.Y(\soc_top/n_4998 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126578  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_27[18] ),
+	.A2(\soc_top/n_3889 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_9[18] ),
+	.B2(\soc_top/n_3935 ),
+	.C1(\soc_top/FE_OFN6664_n_3932 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_5[18] ),
+	.Y(\soc_top/n_4997 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126579  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_25[18] ),
+	.A2(\soc_top/n_3936 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_17[18] ),
+	.B2(\soc_top/n_3963 ),
+	.C1(\soc_top/n_3939 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_21[18] ),
+	.Y(\soc_top/n_4996 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126580  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_31[18] ),
+	.A2(\soc_top/n_3905 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_1[18] ),
+	.B2(\soc_top/FE_OFN6648_n_6611 ),
+	.C1(\soc_top/n_3914 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_29[18] ),
+	.Y(\soc_top/n_4995 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126581  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_19[12] ),
+	.A2(\soc_top/n_4003 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_29[12] ),
+	.B2(\soc_top/n_3972 ),
+	.C1(\soc_top/n_6572 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_1[12] ),
+	.Y(\soc_top/n_4994 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126582  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_31[12] ),
+	.A2(\soc_top/n_3903 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_21[12] ),
+	.B2(\soc_top/n_3993 ),
+	.C1(\soc_top/n_3966 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_17[12] ),
+	.Y(\soc_top/n_4993 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126583  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_31[17] ),
+	.A2(\soc_top/FE_OFN6649_n_3904 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_1[17] ),
+	.B2(\soc_top/FE_OFN6648_n_6611 ),
+	.C1(\soc_top/FE_OFN6661_n_3913 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_29[17] ),
+	.Y(\soc_top/n_4992 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126584  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_16[11] ),
+	.A2(\soc_top/n_3981 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_10[11] ),
+	.B2(\soc_top/n_3941 ),
+	.C1(\soc_top/n_3964 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_14[11] ),
+	.Y(\soc_top/n_4991 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126585  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_31[16] ),
+	.A2(\soc_top/n_3905 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_1[16] ),
+	.B2(\soc_top/FE_OFN6648_n_6611 ),
+	.C1(\soc_top/n_3914 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_29[16] ),
+	.Y(\soc_top/n_4990 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126586  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_27[16] ),
+	.A2(\soc_top/n_3889 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_9[16] ),
+	.B2(\soc_top/n_3935 ),
+	.C1(\soc_top/FE_OFN6664_n_3932 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_5[16] ),
+	.Y(\soc_top/n_4989 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126587  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_25[16] ),
+	.A2(\soc_top/n_3936 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_17[16] ),
+	.B2(\soc_top/n_3963 ),
+	.C1(\soc_top/n_3939 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_21[16] ),
+	.Y(\soc_top/n_4988 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126588  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_27[15] ),
+	.A2(\soc_top/n_3889 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_1[15] ),
+	.B2(\soc_top/FE_OFN6648_n_6611 ),
+	.C1(\soc_top/n_3963 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_17[15] ),
+	.Y(\soc_top/n_4987 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126589  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_16[28] ),
+	.A2(\soc_top/n_3981 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_14[28] ),
+	.B2(\soc_top/n_3964 ),
+	.C1(\soc_top/n_3941 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_10[28] ),
+	.Y(\soc_top/n_4986 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126590  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_16[22] ),
+	.A2(\soc_top/n_3981 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_14[22] ),
+	.B2(\soc_top/n_3964 ),
+	.C1(\soc_top/FE_OFN6659_n_3940 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_10[22] ),
+	.Y(\soc_top/n_4985 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126591  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_16[10] ),
+	.A2(\soc_top/n_3981 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_10[10] ),
+	.B2(\soc_top/n_3941 ),
+	.C1(\soc_top/n_3964 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_14[10] ),
+	.Y(\soc_top/n_4984 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126592  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_31[13] ),
+	.A2(\soc_top/FE_OFN6649_n_3904 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_1[13] ),
+	.B2(\soc_top/FE_OFN6648_n_6611 ),
+	.C1(\soc_top/FE_OFN6661_n_3913 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_29[13] ),
+	.Y(\soc_top/n_4983 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126593  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_27[12] ),
+	.A2(\soc_top/n_3889 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_5[12] ),
+	.B2(\soc_top/FE_OFN6664_n_3932 ),
+	.C1(\soc_top/n_3935 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_9[12] ),
+	.Y(\soc_top/n_4982 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126595  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_31[12] ),
+	.A2(\soc_top/FE_OFN6649_n_3904 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_1[12] ),
+	.B2(\soc_top/FE_OFN6648_n_6611 ),
+	.C1(\soc_top/FE_OFN6661_n_3913 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_29[12] ),
+	.Y(\soc_top/n_4980 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126596  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_31[11] ),
+	.A2(\soc_top/FE_OFN6649_n_3904 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_1[11] ),
+	.B2(\soc_top/FE_OFN6648_n_6611 ),
+	.C1(\soc_top/FE_OFN6661_n_3913 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_29[11] ),
+	.Y(\soc_top/n_4979 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126600  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_23[10] ),
+	.A2(\soc_top/n_3895 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_1[10] ),
+	.B2(\soc_top/n_6611 ),
+	.C1(\soc_top/n_3983 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_13[10] ),
+	.Y(\soc_top/n_4975 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126603  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_27[8] ),
+	.A2(\soc_top/n_3889 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_1[8] ),
+	.B2(\soc_top/n_6611 ),
+	.C1(\soc_top/n_3963 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_17[8] ),
+	.Y(\soc_top/n_4972 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126604  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_19[9] ),
+	.A2(\soc_top/n_4003 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_29[9] ),
+	.B2(\soc_top/n_3972 ),
+	.C1(\soc_top/n_6572 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_1[9] ),
+	.Y(\soc_top/n_4971 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126605  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_31[9] ),
+	.A2(\soc_top/n_3903 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_21[9] ),
+	.B2(\soc_top/n_3993 ),
+	.C1(\soc_top/n_3966 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_17[9] ),
+	.Y(\soc_top/n_4970 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126606  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_29[7] ),
+	.A2(\soc_top/n_3914 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_1[7] ),
+	.B2(\soc_top/n_6611 ),
+	.C1(\soc_top/n_3905 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_31[7] ),
+	.Y(\soc_top/n_4969 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126607  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_19[28] ),
+	.A2(\soc_top/n_4003 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_29[28] ),
+	.B2(\soc_top/n_3972 ),
+	.C1(\soc_top/n_6572 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_1[28] ),
+	.Y(\soc_top/n_4968 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126608  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_16[8] ),
+	.A2(\soc_top/n_3981 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_14[8] ),
+	.B2(\soc_top/n_3964 ),
+	.C1(\soc_top/FE_OFN6659_n_3940 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_10[8] ),
+	.Y(\soc_top/n_4967 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126609  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_31[28] ),
+	.A2(\soc_top/n_3903 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_21[28] ),
+	.B2(\soc_top/n_3993 ),
+	.C1(\soc_top/n_3966 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_17[28] ),
+	.Y(\soc_top/n_4966 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126610  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_27[5] ),
+	.A2(\soc_top/n_3889 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_9[5] ),
+	.B2(\soc_top/n_3935 ),
+	.C1(\soc_top/FE_OFN6664_n_3932 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_5[5] ),
+	.Y(\soc_top/n_4965 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126612  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_31[5] ),
+	.A2(\soc_top/FE_OFN6649_n_3904 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_1[5] ),
+	.B2(\soc_top/FE_OFN6648_n_6611 ),
+	.C1(\soc_top/FE_OFN6661_n_3913 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_29[5] ),
+	.Y(\soc_top/n_4963 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126613  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_19[8] ),
+	.A2(\soc_top/n_4003 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_29[8] ),
+	.B2(\soc_top/n_3972 ),
+	.C1(\soc_top/n_6572 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_1[8] ),
+	.Y(\soc_top/n_4962 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126614  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_31[8] ),
+	.A2(\soc_top/n_3903 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_21[8] ),
+	.B2(\soc_top/n_3993 ),
+	.C1(\soc_top/n_3966 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_17[8] ),
+	.Y(\soc_top/n_4961 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126615  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_19[21] ),
+	.A2(\soc_top/n_4003 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_29[21] ),
+	.B2(\soc_top/n_3972 ),
+	.C1(\soc_top/n_6572 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_1[21] ),
+	.Y(\soc_top/n_4960 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126619  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_31[3] ),
+	.A2(\soc_top/n_3905 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_1[3] ),
+	.B2(\soc_top/n_6611 ),
+	.C1(\soc_top/n_3914 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_29[3] ),
+	.Y(\soc_top/n_4956 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126620  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_31[21] ),
+	.A2(\soc_top/n_3903 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_21[21] ),
+	.B2(\soc_top/n_3993 ),
+	.C1(\soc_top/n_3966 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_17[21] ),
+	.Y(\soc_top/n_4955 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126621  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_16[7] ),
+	.A2(\soc_top/n_3981 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_14[7] ),
+	.B2(\soc_top/n_3964 ),
+	.C1(\soc_top/FE_OFN6659_n_3940 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_10[7] ),
+	.Y(\soc_top/n_4954 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126622  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_16[27] ),
+	.A2(\soc_top/n_3981 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_14[27] ),
+	.B2(\soc_top/n_3964 ),
+	.C1(\soc_top/FE_OFN6659_n_3940 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_10[27] ),
+	.Y(\soc_top/n_4953 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126623  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_19[20] ),
+	.A2(\soc_top/n_4003 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_29[20] ),
+	.B2(\soc_top/n_3972 ),
+	.C1(\soc_top/n_6572 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_1[20] ),
+	.Y(\soc_top/n_4952 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126624  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_1[7] ),
+	.A2(\soc_top/n_6572 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_29[7] ),
+	.B2(\soc_top/n_3972 ),
+	.C1(\soc_top/n_4003 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_19[7] ),
+	.Y(\soc_top/n_4951 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126625  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_31[7] ),
+	.A2(\soc_top/n_3903 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_17[7] ),
+	.B2(\soc_top/n_3966 ),
+	.C1(\soc_top/n_3993 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_21[7] ),
+	.Y(\soc_top/n_4950 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126626  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_31[20] ),
+	.A2(\soc_top/n_3903 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_21[20] ),
+	.B2(\soc_top/n_3993 ),
+	.C1(\soc_top/n_3966 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_17[20] ),
+	.Y(\soc_top/n_4949 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126632  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_16[6] ),
+	.A2(\soc_top/n_3981 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_14[6] ),
+	.B2(\soc_top/n_3964 ),
+	.C1(\soc_top/FE_OFN6659_n_3940 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_10[6] ),
+	.Y(\soc_top/n_4943 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126633  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_16[20] ),
+	.A2(\soc_top/n_3981 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_14[20] ),
+	.B2(\soc_top/n_3964 ),
+	.C1(\soc_top/n_3941 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_10[20] ),
+	.Y(\soc_top/n_4942 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126634  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_16[5] ),
+	.A2(\soc_top/n_3981 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_14[5] ),
+	.B2(\soc_top/n_3964 ),
+	.C1(\soc_top/n_3941 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_10[5] ),
+	.Y(\soc_top/n_4941 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126635  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_16[19] ),
+	.A2(\soc_top/n_3981 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_14[19] ),
+	.B2(\soc_top/n_3964 ),
+	.C1(\soc_top/n_3941 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_10[19] ),
+	.Y(\soc_top/n_4940 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126636  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_16[4] ),
+	.A2(\soc_top/n_3981 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_14[4] ),
+	.B2(\soc_top/n_3964 ),
+	.C1(\soc_top/FE_OFN6659_n_3940 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_10[4] ),
+	.Y(\soc_top/n_4939 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126637  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_19[19] ),
+	.A2(\soc_top/n_4003 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_29[19] ),
+	.B2(\soc_top/n_3972 ),
+	.C1(\soc_top/n_6572 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_1[19] ),
+	.Y(\soc_top/n_4938 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126638  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_31[19] ),
+	.A2(\soc_top/n_3903 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_21[19] ),
+	.B2(\soc_top/n_3993 ),
+	.C1(\soc_top/n_3966 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_17[19] ),
+	.Y(\soc_top/n_4937 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126639  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_16[3] ),
+	.A2(\soc_top/n_3981 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_14[3] ),
+	.B2(\soc_top/n_3964 ),
+	.C1(\soc_top/n_3941 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_10[3] ),
+	.Y(\soc_top/n_4936 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126640  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_16[26] ),
+	.A2(\soc_top/n_3981 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_14[26] ),
+	.B2(\soc_top/n_3964 ),
+	.C1(\soc_top/FE_OFN6659_n_3940 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_10[26] ),
+	.Y(\soc_top/n_4935 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126641  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_16[18] ),
+	.A2(\soc_top/n_3981 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_14[18] ),
+	.B2(\soc_top/n_3964 ),
+	.C1(\soc_top/FE_OFN6659_n_3940 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_10[18] ),
+	.Y(\soc_top/n_4934 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126642  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_16[30] ),
+	.A2(\soc_top/n_3981 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_14[30] ),
+	.B2(\soc_top/n_3964 ),
+	.C1(\soc_top/n_3941 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_10[30] ),
+	.Y(\soc_top/n_4933 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126643  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_16[2] ),
+	.A2(\soc_top/n_3981 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_14[2] ),
+	.B2(\soc_top/n_3964 ),
+	.C1(\soc_top/n_3941 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_10[2] ),
+	.Y(\soc_top/n_4932 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126644  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_16[17] ),
+	.A2(\soc_top/n_3981 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_14[17] ),
+	.B2(\soc_top/n_3964 ),
+	.C1(\soc_top/n_3941 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_10[17] ),
+	.Y(\soc_top/n_4931 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126645  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_16[1] ),
+	.A2(\soc_top/n_3981 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_14[1] ),
+	.B2(\soc_top/n_3964 ),
+	.C1(\soc_top/n_3941 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_10[1] ),
+	.Y(\soc_top/n_4930 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126646  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_19[17] ),
+	.A2(\soc_top/n_4003 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_1[17] ),
+	.B2(\soc_top/n_6572 ),
+	.C1(\soc_top/n_3903 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_31[17] ),
+	.Y(\soc_top/n_4929 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126647  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_29[17] ),
+	.A2(\soc_top/n_3972 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_17[17] ),
+	.B2(\soc_top/n_3966 ),
+	.C1(\soc_top/n_3993 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_21[17] ),
+	.Y(\soc_top/n_4928 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126648  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_19[30] ),
+	.A2(\soc_top/n_4003 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_1[30] ),
+	.B2(\soc_top/n_6572 ),
+	.C1(\soc_top/n_3903 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_31[30] ),
+	.Y(\soc_top/n_4927 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126649  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_16[0] ),
+	.A2(\soc_top/n_3981 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_14[0] ),
+	.B2(\soc_top/n_3964 ),
+	.C1(\soc_top/FE_OFN6659_n_3940 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_10[0] ),
+	.Y(\soc_top/n_4926 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126650  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_16[25] ),
+	.A2(\soc_top/n_3981 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_14[25] ),
+	.B2(\soc_top/n_3964 ),
+	.C1(\soc_top/FE_OFN6659_n_3940 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_10[25] ),
+	.Y(\soc_top/n_4925 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126651  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_29[30] ),
+	.A2(\soc_top/n_3972 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_21[30] ),
+	.B2(\soc_top/n_3993 ),
+	.C1(\soc_top/n_3966 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_17[30] ),
+	.Y(\soc_top/n_4924 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126652  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_16[16] ),
+	.A2(\soc_top/n_3981 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_14[16] ),
+	.B2(\soc_top/n_3964 ),
+	.C1(\soc_top/n_3941 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_10[16] ),
+	.Y(\soc_top/n_4923 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126653  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_1[25] ),
+	.A2(\soc_top/n_6572 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_29[25] ),
+	.B2(\soc_top/FE_OFN6660_n_3971 ),
+	.C1(\soc_top/n_4003 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_19[25] ),
+	.Y(\soc_top/n_4922 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g126654  (
+	.A(\soc_top/n_4107 ),
+	.B(\soc_top/FE_OFN6644_n_3978 ),
+	.C(\soc_top/n_3953 ),
+	.D(\soc_top/n_3892 ),
+	.Y(\soc_top/n_4921 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g126655  (
+	.A1(\soc_top/core_InstructionDecode_registers_reg_31[20] ),
+	.A2(\soc_top/FE_OFN6649_n_3904 ),
+	.B1(\soc_top/core_InstructionDecode_registers_reg_1[20] ),
+	.B2(\soc_top/FE_OFN6648_n_6611 ),
+	.C1(\soc_top/FE_OFN6661_n_3913 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_29[20] ),
+	.Y(\soc_top/n_4920 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126656  (
+	.A1(\soc_top/FE_OFN134_core_InstructionDecode_io_writeData_16 ),
+	.A2(\soc_top/n_3867 ),
+	.B1(\soc_top/FE_OFN205_n_3817 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[16] ),
+	.Y(\soc_top/n_4919 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top/g126657  (
+	.A1_N(\soc_top/core_io_dmemReq_bits_addrRequest[25] ),
+	.A2_N(\soc_top/FE_OFN205_n_3817 ),
+	.B1(\soc_top/n_3866 ),
+	.B2(\soc_top/n_4052 ),
+	.Y(\soc_top/n_4918 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126658  (
+	.A1(\soc_top/n_3867 ),
+	.A2(\soc_top/FE_OFN76_core_InstructionDecode_io_writeData_0 ),
+	.B1(\soc_top/FE_OFN205_n_3817 ),
+	.B2(\soc_top/FE_OFN83_core_io_dmemReq_bits_addrRequest_0 ),
+	.X(\soc_top/n_4917 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126659  (
+	.A1(\soc_top/n_3867 ),
+	.A2(\soc_top/core_InstructionDecode_io_writeData[1] ),
+	.B1(\soc_top/FE_OFN205_n_3817 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[1] ),
+	.X(\soc_top/n_4916 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126660  (
+	.A1(\soc_top/FE_OFN133_core_InstructionDecode_io_writeData_17 ),
+	.A2(\soc_top/n_3867 ),
+	.B1(\soc_top/FE_OFN205_n_3817 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[17] ),
+	.Y(\soc_top/n_4915 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126661  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[18] ),
+	.A2(\soc_top/n_3867 ),
+	.B1(\soc_top/FE_OFN205_n_3817 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[18] ),
+	.Y(\soc_top/n_4914 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126663  (
+	.A1(\soc_top/FE_OFN5680_core_InstructionDecode_io_writeData_29 ),
+	.A2(\soc_top/n_3867 ),
+	.B1(\soc_top/FE_OFN205_n_3817 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[29] ),
+	.X(\soc_top/n_4912 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126665  (
+	.A1(\soc_top/n_4102 ),
+	.A2(\soc_top/n_3867 ),
+	.B1(\soc_top/FE_OFN205_n_3817 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[24] ),
+	.Y(\soc_top/n_4910 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top/g126667  (
+	.A1_N(\soc_top/core_io_dmemReq_bits_addrRequest[27] ),
+	.A2_N(\soc_top/n_6600 ),
+	.B1(\soc_top/n_3852 ),
+	.B2(\soc_top/n_4098 ),
+	.Y(\soc_top/n_4908 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126673  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[23] ),
+	.A2(\soc_top/n_3867 ),
+	.B1(\soc_top/FE_OFN205_n_3817 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[23] ),
+	.Y(\soc_top/n_4902 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126675  (
+	.A1(\soc_top/FE_OFN6615_core_InstructionDecode_io_writeData_20 ),
+	.A2(\soc_top/n_6416 ),
+	.B1(\soc_top/n_6600 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[20] ),
+	.X(\soc_top/n_4900 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126676  (
+	.A1(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.A2(\soc_top/n_3867 ),
+	.B1(\soc_top/FE_OFN205_n_3817 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[31] ),
+	.Y(\soc_top/n_4899 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126679  (
+	.A1(\soc_top/FE_OFN133_core_InstructionDecode_io_writeData_17 ),
+	.A2(\soc_top/n_6416 ),
+	.B1(\soc_top/n_6600 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[17] ),
+	.X(\soc_top/n_4896 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126680  (
+	.A1(\soc_top/FE_OFN5676_core_InstructionDecode_io_writeData_28 ),
+	.A2(\soc_top/n_3867 ),
+	.B1(\soc_top/FE_OFN205_n_3817 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[28] ),
+	.Y(\soc_top/n_4895 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126681  (
+	.A1(\soc_top/FE_OFN134_core_InstructionDecode_io_writeData_16 ),
+	.A2(\soc_top/n_6416 ),
+	.B1(\soc_top/n_6600 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[16] ),
+	.X(\soc_top/n_4894 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126682  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[22] ),
+	.A2(\soc_top/n_3867 ),
+	.B1(\soc_top/FE_OFN205_n_3817 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[22] ),
+	.X(\soc_top/n_4893 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126685  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[27] ),
+	.A2(\soc_top/n_3867 ),
+	.B1(\soc_top/FE_OFN205_n_3817 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[27] ),
+	.X(\soc_top/n_4890 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126687  (
+	.A1(\soc_top/FE_OFN6615_core_InstructionDecode_io_writeData_20 ),
+	.A2(\soc_top/n_3867 ),
+	.B1(\soc_top/FE_OFN205_n_3817 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[20] ),
+	.Y(\soc_top/n_4888 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126688  (
+	.A1(\soc_top/n_6416 ),
+	.A2(\soc_top/core_InstructionDecode_io_writeData[1] ),
+	.B1(\soc_top/n_6600 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[1] ),
+	.Y(\soc_top/n_4887 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126689  (
+	.A1(\soc_top/n_6416 ),
+	.A2(\soc_top/FE_OFN76_core_InstructionDecode_io_writeData_0 ),
+	.B1(\soc_top/n_6600 ),
+	.B2(\soc_top/FE_OFN83_core_io_dmemReq_bits_addrRequest_0 ),
+	.Y(\soc_top/n_4886 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126690  (
+	.A1(\soc_top/FE_OFN131_core_InstructionDecode_io_writeData_19 ),
+	.A2(\soc_top/n_3867 ),
+	.B1(\soc_top/FE_OFN205_n_3817 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[19] ),
+	.Y(\soc_top/n_4885 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top/g126691  (
+	.A1_N(\soc_top/core_io_dmemReq_bits_addrRequest[30] ),
+	.A2_N(\soc_top/FE_OFN205_n_3817 ),
+	.B1(\soc_top/n_3866 ),
+	.B2(\soc_top/n_4053 ),
+	.Y(\soc_top/n_4884 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126692  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[26] ),
+	.A2(\soc_top/n_3867 ),
+	.B1(\soc_top/FE_OFN205_n_3817 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[26] ),
+	.X(\soc_top/n_4883 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 \soc_top/g126693  (
+	.A1(\soc_top/n_3820 ),
+	.A2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[14] ),
+	.B1(\soc_top/n_4031 ),
+	.C1(\soc_top/n_3788 ),
+	.X(\soc_top/core_InstructionDecode_io_writeData[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_2 \soc_top/g126694  (
+	.A1(\soc_top/n_3820 ),
+	.A2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[9] ),
+	.B1(\soc_top/n_4873 ),
+	.X(\soc_top/core_InstructionDecode_io_writeData[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_2 \soc_top/g126695  (
+	.A1(\soc_top/n_3820 ),
+	.A2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[10] ),
+	.B1(\soc_top/n_4874 ),
+	.X(\soc_top/core_InstructionDecode_io_writeData[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_2 \soc_top/g126696  (
+	.A1(\soc_top/n_3820 ),
+	.A2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[11] ),
+	.B1(\soc_top/n_4875 ),
+	.X(\soc_top/core_InstructionDecode_io_writeData[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 \soc_top/g126697  (
+	.A1(\soc_top/n_3820 ),
+	.A2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[12] ),
+	.B1(\soc_top/n_4027 ),
+	.C1(\soc_top/n_3788 ),
+	.X(\soc_top/core_InstructionDecode_io_writeData[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 \soc_top/g126698  (
+	.A1(\soc_top/n_3820 ),
+	.A2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[13] ),
+	.B1(\soc_top/n_4030 ),
+	.C1(\soc_top/n_3788 ),
+	.X(\soc_top/core_InstructionDecode_io_writeData[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_2 \soc_top/g126699  (
+	.A1(\soc_top/n_3820 ),
+	.A2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[8] ),
+	.B1(\soc_top/n_4681 ),
+	.X(\soc_top/core_InstructionDecode_io_writeData[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126706  (
+	.A1(\soc_top/n_3901 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[29] ),
+	.B1(\soc_top/n_3974 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[29] ),
+	.X(\soc_top/n_4876 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126707  (
+	.A(\soc_top/FE_OFN5669_n_3789 ),
+	.B(\soc_top/n_3946 ),
+	.C(\soc_top/n_3864 ),
+	.Y(\soc_top/n_4875 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126708  (
+	.A(\soc_top/FE_OFN5669_n_3789 ),
+	.B(\soc_top/n_3945 ),
+	.C(\soc_top/n_3863 ),
+	.Y(\soc_top/n_4874 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126709  (
+	.A(\soc_top/FE_OFN5669_n_3789 ),
+	.B(\soc_top/n_3949 ),
+	.C(\soc_top/n_3862 ),
+	.Y(\soc_top/n_4873 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \soc_top/g126710  (
+	.A(\soc_top/n_6592 ),
+	.B(\soc_top/n_6594 ),
+	.C(\soc_top/n_3984 ),
+	.X(\soc_top/n_4872 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126714  (
+	.A1(\soc_top/n_3891 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[22] ),
+	.B1(\soc_top/n_3985 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[22] ),
+	.Y(\soc_top/n_4868 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126715  (
+	.A1(\soc_top/n_3925 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[10] ),
+	.B1(\soc_top/n_3989 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[10] ),
+	.Y(\soc_top/n_4867 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126716  (
+	.A1(\soc_top/n_3968 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[22] ),
+	.B1(\soc_top/n_3959 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[22] ),
+	.Y(\soc_top/n_4866 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126718  (
+	.A1(\soc_top/n_3911 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[10] ),
+	.B1(\soc_top/FE_OFN6609_n_6612 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[10] ),
+	.Y(\soc_top/n_4864 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126719  (
+	.A1(\soc_top/n_3901 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[25] ),
+	.B1(\soc_top/n_3974 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[25] ),
+	.X(\soc_top/n_4863 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126720  (
+	.A1(\soc_top/n_3916 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_14[30] ),
+	.B1(\soc_top/n_3921 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[30] ),
+	.Y(\soc_top/n_4862 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126721  (
+	.A1(\soc_top/n_3995 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[30] ),
+	.B1(\soc_top/n_3885 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[30] ),
+	.X(\soc_top/n_4861 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126722  (
+	.A1(\soc_top/n_3927 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[30] ),
+	.B1(\soc_top/n_3883 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[30] ),
+	.X(\soc_top/n_4860 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126723  (
+	.A1(\soc_top/FE_OFN6656_n_6594 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[30] ),
+	.B1(\soc_top/n_3959 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[30] ),
+	.Y(\soc_top/n_4859 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126724  (
+	.A1(\soc_top/n_3925 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[30] ),
+	.B1(\soc_top/n_3989 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[30] ),
+	.X(\soc_top/n_4858 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126725  (
+	.A1(\soc_top/n_3968 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[25] ),
+	.B1(\soc_top/n_3959 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[25] ),
+	.Y(\soc_top/n_4857 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126726  (
+	.A1(\soc_top/FE_OFN6664_n_3932 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[30] ),
+	.B1(\soc_top/n_3935 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[30] ),
+	.Y(\soc_top/n_4856 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126727  (
+	.A1(\soc_top/n_3897 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[6] ),
+	.B1(\soc_top/n_4005 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[6] ),
+	.X(\soc_top/n_4855 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126728  (
+	.A1(\soc_top/n_3889 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[30] ),
+	.B1(\soc_top/n_3936 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[30] ),
+	.X(\soc_top/n_4854 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126729  (
+	.A1(\soc_top/n_6578 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[25] ),
+	.B1(\soc_top/n_3887 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[25] ),
+	.Y(\soc_top/n_4853 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126730  (
+	.A1(\soc_top/n_3999 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[30] ),
+	.B1(\soc_top/n_3983 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[30] ),
+	.X(\soc_top/n_4852 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126731  (
+	.A1(\soc_top/n_3954 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[15] ),
+	.B1(\soc_top/n_3899 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[15] ),
+	.Y(\soc_top/n_4851 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126732  (
+	.A1(\soc_top/FE_OFN6661_n_3913 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_29[30] ),
+	.B1(\soc_top/n_3923 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[30] ),
+	.Y(\soc_top/n_4850 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126733  (
+	.A1(\soc_top/n_3897 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[30] ),
+	.B1(\soc_top/n_4005 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[30] ),
+	.Y(\soc_top/n_4849 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126734  (
+	.A1(\soc_top/FE_OFN6649_n_3904 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_31[30] ),
+	.B1(\soc_top/FE_OFN5677_n_6576 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[30] ),
+	.Y(\soc_top/n_4848 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126735  (
+	.A1(\soc_top/n_3907 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[15] ),
+	.B1(\soc_top/n_3956 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[15] ),
+	.Y(\soc_top/n_4847 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126737  (
+	.A1(\soc_top/n_3889 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[29] ),
+	.B1(\soc_top/n_3935 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[29] ),
+	.Y(\soc_top/n_4845 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126738  (
+	.A1(\soc_top/FE_OFN6663_n_6584 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[15] ),
+	.B1(\soc_top/n_3893 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[15] ),
+	.Y(\soc_top/n_4844 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126739  (
+	.A1(\soc_top/n_3936 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_25[29] ),
+	.B1(\soc_top/FE_OFN6664_n_3932 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[29] ),
+	.Y(\soc_top/n_4843 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126740  (
+	.A1(\soc_top/n_3939 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_21[29] ),
+	.B1(\soc_top/n_3963 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[29] ),
+	.Y(\soc_top/n_4842 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126741  (
+	.A1(\soc_top/n_6590 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[15] ),
+	.B1(\soc_top/FE_OFN6655_n_6569 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[15] ),
+	.Y(\soc_top/n_4841 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126742  (
+	.A1(\soc_top/n_3891 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[25] ),
+	.B1(\soc_top/n_3985 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[25] ),
+	.Y(\soc_top/n_4840 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126743  (
+	.A1(\soc_top/n_3897 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[29] ),
+	.B1(\soc_top/n_4005 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[29] ),
+	.Y(\soc_top/n_4839 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126744  (
+	.A1(\soc_top/FE_OFN5677_n_6576 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[29] ),
+	.B1(\soc_top/n_3923 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[29] ),
+	.Y(\soc_top/n_4838 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126745  (
+	.A1(\soc_top/n_3881 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[15] ),
+	.B1(\soc_top/n_3909 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[15] ),
+	.Y(\soc_top/n_4837 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126746  (
+	.A1(\soc_top/n_3999 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[29] ),
+	.B1(\soc_top/n_3987 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[29] ),
+	.Y(\soc_top/n_4836 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126747  (
+	.A1(\soc_top/n_3895 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[29] ),
+	.B1(\soc_top/n_3983 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[29] ),
+	.Y(\soc_top/n_4835 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126748  (
+	.A1(\soc_top/n_3916 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_14[29] ),
+	.B1(\soc_top/n_3921 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[29] ),
+	.Y(\soc_top/n_4834 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126749  (
+	.A1(\soc_top/FE_OFN6665_n_6568 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[15] ),
+	.B1(\soc_top/n_3970 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[15] ),
+	.Y(\soc_top/n_4833 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126750  (
+	.A1(\soc_top/n_3995 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[29] ),
+	.B1(\soc_top/n_3885 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[29] ),
+	.Y(\soc_top/n_4832 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126751  (
+	.A1(\soc_top/FE_OFN6614_n_6393 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[29] ),
+	.B1(\soc_top/FE_OFN6617_n_6391 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[29] ),
+	.Y(\soc_top/n_4831 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126752  (
+	.A1(\soc_top/n_3919 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_26[29] ),
+	.B1(\soc_top/n_3927 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[29] ),
+	.Y(\soc_top/n_4830 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126753  (
+	.A1(\soc_top/n_3979 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[25] ),
+	.B1(\soc_top/n_4001 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[25] ),
+	.Y(\soc_top/n_4829 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126754  (
+	.A1(\soc_top/FE_OFN6657_n_3903 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_31[15] ),
+	.B1(\soc_top/n_6572 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[15] ),
+	.Y(\soc_top/n_4828 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126755  (
+	.A1(\soc_top/n_3929 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[29] ),
+	.B1(\soc_top/FE_OFN5641_n_6597 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[29] ),
+	.Y(\soc_top/n_4827 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126756  (
+	.A1(\soc_top/FE_OFN6658_n_3911 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[29] ),
+	.B1(\soc_top/n_6612 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[29] ),
+	.Y(\soc_top/n_4826 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126757  (
+	.A1(\soc_top/n_3925 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[29] ),
+	.B1(\soc_top/n_3989 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[29] ),
+	.Y(\soc_top/n_4825 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126758  (
+	.A1(\soc_top/n_4003 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[15] ),
+	.B1(\soc_top/n_3966 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[15] ),
+	.Y(\soc_top/n_4824 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126759  (
+	.A1(\soc_top/n_3916 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_14[28] ),
+	.B1(\soc_top/n_3921 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[28] ),
+	.Y(\soc_top/n_4823 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126760  (
+	.A1(\soc_top/n_6393 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[28] ),
+	.B1(\soc_top/n_6391 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[28] ),
+	.X(\soc_top/n_4822 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126761  (
+	.A1(\soc_top/FE_OFN6660_n_3971 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_29[15] ),
+	.B1(\soc_top/n_3993 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[15] ),
+	.Y(\soc_top/n_4821 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126762  (
+	.A1(\soc_top/n_3927 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[28] ),
+	.B1(\soc_top/n_3883 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[28] ),
+	.X(\soc_top/n_4820 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126763  (
+	.A1(\soc_top/n_3901 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[15] ),
+	.B1(\soc_top/n_3974 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[15] ),
+	.X(\soc_top/n_4819 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126765  (
+	.A1(\soc_top/n_3979 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[15] ),
+	.B1(\soc_top/n_4001 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[15] ),
+	.Y(\soc_top/n_4817 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126766  (
+	.A1(\soc_top/n_3889 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[28] ),
+	.B1(\soc_top/FE_OFN6664_n_3932 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[28] ),
+	.Y(\soc_top/n_4816 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126767  (
+	.A1(\soc_top/n_3936 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_25[28] ),
+	.B1(\soc_top/n_3935 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[28] ),
+	.Y(\soc_top/n_4815 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126768  (
+	.A1(\soc_top/n_3939 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_21[28] ),
+	.B1(\soc_top/n_3963 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[28] ),
+	.Y(\soc_top/n_4814 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126769  (
+	.A1(\soc_top/n_6578 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[15] ),
+	.B1(\soc_top/n_3887 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[15] ),
+	.Y(\soc_top/n_4813 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126770  (
+	.A1(\soc_top/n_3897 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[28] ),
+	.B1(\soc_top/n_4005 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[28] ),
+	.X(\soc_top/n_4812 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126771  (
+	.A1(\soc_top/FE_OFN6661_n_3913 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_29[28] ),
+	.B1(\soc_top/n_3999 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[28] ),
+	.Y(\soc_top/n_4811 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126772  (
+	.A1(\soc_top/n_3968 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[15] ),
+	.B1(\soc_top/n_3959 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[15] ),
+	.Y(\soc_top/n_4810 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126773  (
+	.A1(\soc_top/FE_OFN5677_n_6576 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[28] ),
+	.B1(\soc_top/n_3923 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[28] ),
+	.X(\soc_top/n_4809 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126774  (
+	.A1(\soc_top/FE_OFN6656_n_6594 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[15] ),
+	.B1(\soc_top/FE_OFN6652_n_3984 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[15] ),
+	.Y(\soc_top/n_4808 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126776  (
+	.A1(\soc_top/FE_OFN5677_n_6576 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[27] ),
+	.B1(\soc_top/n_3923 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[27] ),
+	.Y(\soc_top/n_4806 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126777  (
+	.A1(\soc_top/n_3999 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[27] ),
+	.B1(\soc_top/n_3987 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[27] ),
+	.Y(\soc_top/n_4805 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126778  (
+	.A1(\soc_top/n_3897 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[27] ),
+	.B1(\soc_top/n_4005 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[27] ),
+	.Y(\soc_top/n_4804 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126779  (
+	.A1(\soc_top/n_3895 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[27] ),
+	.B1(\soc_top/n_3983 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[27] ),
+	.Y(\soc_top/n_4803 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126780  (
+	.A1(\soc_top/n_3889 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[27] ),
+	.B1(\soc_top/n_3939 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[27] ),
+	.Y(\soc_top/n_4802 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126781  (
+	.A1(\soc_top/FE_OFN6664_n_3932 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[27] ),
+	.B1(\soc_top/n_3935 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[27] ),
+	.Y(\soc_top/n_4801 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126782  (
+	.A1(\soc_top/n_3936 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_25[27] ),
+	.B1(\soc_top/n_3963 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[27] ),
+	.Y(\soc_top/n_4800 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126783  (
+	.A1(\soc_top/n_3916 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_14[27] ),
+	.B1(\soc_top/n_3921 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[27] ),
+	.Y(\soc_top/n_4799 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126784  (
+	.A1(\soc_top/FE_OFN6614_n_6393 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[27] ),
+	.B1(\soc_top/FE_OFN6617_n_6391 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[27] ),
+	.X(\soc_top/n_4798 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126785  (
+	.A1(\soc_top/n_3907 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[24] ),
+	.B1(\soc_top/n_3956 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[24] ),
+	.X(\soc_top/n_4797 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126786  (
+	.A1(\soc_top/n_3919 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_26[27] ),
+	.B1(\soc_top/n_3927 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[27] ),
+	.X(\soc_top/n_4796 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126787  (
+	.A1(\soc_top/n_3954 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[29] ),
+	.B1(\soc_top/n_3899 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[29] ),
+	.Y(\soc_top/n_4795 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126788  (
+	.A1(\soc_top/n_3897 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[2] ),
+	.B1(\soc_top/n_4005 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[2] ),
+	.Y(\soc_top/n_4794 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126789  (
+	.A1(\soc_top/FE_OFN6658_n_3911 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[27] ),
+	.B1(\soc_top/FE_OFN5641_n_6597 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[27] ),
+	.X(\soc_top/n_4793 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126790  (
+	.A1(\soc_top/n_3995 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[3] ),
+	.B1(\soc_top/n_3885 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[3] ),
+	.Y(\soc_top/n_4792 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126791  (
+	.A1(\soc_top/n_4003 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[31] ),
+	.B1(\soc_top/n_6572 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[31] ),
+	.Y(\soc_top/n_4791 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126792  (
+	.A1(\soc_top/n_3909 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_24[24] ),
+	.B1(\soc_top/n_3893 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[24] ),
+	.X(\soc_top/n_4790 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126793  (
+	.A1(\soc_top/FE_OFN6614_n_6393 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[26] ),
+	.B1(\soc_top/FE_OFN6617_n_6391 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[26] ),
+	.Y(\soc_top/n_4789 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126794  (
+	.A1(\soc_top/n_3907 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[29] ),
+	.B1(\soc_top/n_3956 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[29] ),
+	.Y(\soc_top/n_4788 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126795  (
+	.A1(\soc_top/n_3954 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[14] ),
+	.B1(\soc_top/n_3899 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[14] ),
+	.Y(\soc_top/n_4787 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126796  (
+	.A1(\soc_top/n_3907 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[14] ),
+	.B1(\soc_top/n_3956 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[14] ),
+	.Y(\soc_top/n_4786 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126800  (
+	.A1(\soc_top/FE_OFN6663_n_6584 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[14] ),
+	.B1(\soc_top/n_3893 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[14] ),
+	.Y(\soc_top/n_4782 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126801  (
+	.A1(\soc_top/n_3914 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_29[26] ),
+	.B1(\soc_top/n_3999 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[26] ),
+	.Y(\soc_top/n_4781 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126802  (
+	.A1(\soc_top/n_3897 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[26] ),
+	.B1(\soc_top/n_4005 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[26] ),
+	.Y(\soc_top/n_4780 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126803  (
+	.A1(\soc_top/n_3905 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_31[26] ),
+	.B1(\soc_top/n_3987 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[26] ),
+	.Y(\soc_top/n_4779 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126804  (
+	.A1(\soc_top/FE_OFN6665_n_6568 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[14] ),
+	.B1(\soc_top/n_3970 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[14] ),
+	.Y(\soc_top/n_4778 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126806  (
+	.A1(\soc_top/n_3881 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[14] ),
+	.B1(\soc_top/n_3909 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[14] ),
+	.Y(\soc_top/n_4776 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126808  (
+	.A1(\soc_top/n_6590 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[14] ),
+	.B1(\soc_top/FE_OFN6655_n_6569 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[14] ),
+	.Y(\soc_top/n_4774 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126809  (
+	.A1(\soc_top/FE_OFN6665_n_6568 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[24] ),
+	.B1(\soc_top/n_3970 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[24] ),
+	.X(\soc_top/n_4773 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126811  (
+	.A1(\soc_top/n_3889 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[25] ),
+	.B1(\soc_top/n_3939 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[25] ),
+	.Y(\soc_top/n_4771 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126812  (
+	.A1(\soc_top/FE_OFN6663_n_6584 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[29] ),
+	.B1(\soc_top/n_3893 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[29] ),
+	.Y(\soc_top/n_4770 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126813  (
+	.A1(\soc_top/n_3979 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[14] ),
+	.B1(\soc_top/n_4001 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[14] ),
+	.Y(\soc_top/n_4769 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126814  (
+	.A1(\soc_top/FE_OFN6664_n_3932 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[25] ),
+	.B1(\soc_top/n_3935 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[25] ),
+	.Y(\soc_top/n_4768 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126815  (
+	.A1(\soc_top/n_3936 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_25[25] ),
+	.B1(\soc_top/n_3963 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[25] ),
+	.Y(\soc_top/n_4767 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126816  (
+	.A1(\soc_top/n_6578 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[14] ),
+	.B1(\soc_top/n_3887 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[14] ),
+	.Y(\soc_top/n_4766 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126817  (
+	.A1(\soc_top/n_3897 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[25] ),
+	.B1(\soc_top/n_4005 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[25] ),
+	.Y(\soc_top/n_4765 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126818  (
+	.A1(\soc_top/n_3999 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[25] ),
+	.B1(\soc_top/n_3987 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[25] ),
+	.Y(\soc_top/n_4764 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126819  (
+	.A1(\soc_top/n_3881 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[29] ),
+	.B1(\soc_top/n_3909 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[29] ),
+	.Y(\soc_top/n_4763 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126820  (
+	.A1(\soc_top/n_3923 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_11[25] ),
+	.B1(\soc_top/n_3983 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[25] ),
+	.Y(\soc_top/n_4762 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126821  (
+	.A1(\soc_top/n_4003 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[24] ),
+	.B1(\soc_top/n_6572 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[24] ),
+	.Y(\soc_top/n_4761 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126822  (
+	.A1(\soc_top/n_3895 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[25] ),
+	.B1(\soc_top/FE_OFN5677_n_6576 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[25] ),
+	.Y(\soc_top/n_4760 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126823  (
+	.A1(\soc_top/n_3968 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[14] ),
+	.B1(\soc_top/n_3959 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[14] ),
+	.Y(\soc_top/n_4759 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126824  (
+	.A1(\soc_top/FE_OFN6614_n_6393 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[25] ),
+	.B1(\soc_top/FE_OFN6617_n_6391 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[25] ),
+	.Y(\soc_top/n_4758 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126825  (
+	.A1(\soc_top/n_3891 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[14] ),
+	.B1(\soc_top/n_3985 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[14] ),
+	.Y(\soc_top/n_4757 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126826  (
+	.A1(\soc_top/n_3995 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[25] ),
+	.B1(\soc_top/n_3885 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[25] ),
+	.Y(\soc_top/n_4756 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126827  (
+	.A1(\soc_top/n_3916 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_14[25] ),
+	.B1(\soc_top/n_3921 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[25] ),
+	.Y(\soc_top/n_4755 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126828  (
+	.A1(\soc_top/n_3919 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_26[25] ),
+	.B1(\soc_top/n_3927 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[25] ),
+	.Y(\soc_top/n_4754 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126829  (
+	.A1(\soc_top/n_3929 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[25] ),
+	.B1(\soc_top/FE_OFN5641_n_6597 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[25] ),
+	.Y(\soc_top/n_4753 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126830  (
+	.A1(\soc_top/n_3901 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[14] ),
+	.B1(\soc_top/n_3974 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[14] ),
+	.Y(\soc_top/n_4752 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126831  (
+	.A1(\soc_top/FE_OFN6658_n_3911 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[25] ),
+	.B1(\soc_top/n_6612 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[25] ),
+	.Y(\soc_top/n_4751 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126832  (
+	.A1(\soc_top/n_3925 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[25] ),
+	.B1(\soc_top/n_3989 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[25] ),
+	.Y(\soc_top/n_4750 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126833  (
+	.A1(\soc_top/FE_OFN6660_n_3971 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_29[24] ),
+	.B1(\soc_top/n_3966 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[24] ),
+	.Y(\soc_top/n_4749 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126834  (
+	.A1(\soc_top/n_6393 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[24] ),
+	.B1(\soc_top/n_6391 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[24] ),
+	.Y(\soc_top/n_4748 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126835  (
+	.A1(\soc_top/n_3916 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_14[24] ),
+	.B1(\soc_top/n_3921 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[24] ),
+	.X(\soc_top/n_4747 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126836  (
+	.A1(\soc_top/FE_OFN6657_n_3903 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_31[24] ),
+	.B1(\soc_top/n_3993 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[24] ),
+	.Y(\soc_top/n_4746 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126839  (
+	.A1(\soc_top/n_3901 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[24] ),
+	.B1(\soc_top/n_3974 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[24] ),
+	.X(\soc_top/n_4743 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126840  (
+	.A1(\soc_top/n_6590 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[29] ),
+	.B1(\soc_top/FE_OFN6655_n_6569 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[29] ),
+	.Y(\soc_top/n_4742 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126841  (
+	.A1(\soc_top/FE_OFN6661_n_3913 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_29[24] ),
+	.B1(\soc_top/FE_OFN5677_n_6576 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[24] ),
+	.Y(\soc_top/n_4741 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126842  (
+	.A1(\soc_top/n_3999 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[24] ),
+	.B1(\soc_top/n_3987 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[24] ),
+	.X(\soc_top/n_4740 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126843  (
+	.A1(\soc_top/n_3897 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[24] ),
+	.B1(\soc_top/n_4005 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[24] ),
+	.X(\soc_top/n_4739 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126844  (
+	.A1(\soc_top/n_3889 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[24] ),
+	.B1(\soc_top/FE_OFN6664_n_3932 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[24] ),
+	.Y(\soc_top/n_4738 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126845  (
+	.A1(\soc_top/n_3936 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_25[24] ),
+	.B1(\soc_top/n_3935 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[24] ),
+	.Y(\soc_top/n_4737 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126846  (
+	.A1(\soc_top/n_3939 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_21[24] ),
+	.B1(\soc_top/n_3963 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[24] ),
+	.Y(\soc_top/n_4736 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126847  (
+	.A1(\soc_top/n_3968 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[24] ),
+	.B1(\soc_top/n_3959 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[24] ),
+	.Y(\soc_top/n_4735 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126848  (
+	.A1(\soc_top/n_3979 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[24] ),
+	.B1(\soc_top/n_4001 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[24] ),
+	.Y(\soc_top/n_4734 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126849  (
+	.A1(\soc_top/n_3995 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[23] ),
+	.B1(\soc_top/n_3885 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[23] ),
+	.Y(\soc_top/n_4733 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126850  (
+	.A1(\soc_top/n_3916 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_14[23] ),
+	.B1(\soc_top/n_3921 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[23] ),
+	.Y(\soc_top/n_4732 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126851  (
+	.A1(\soc_top/n_6393 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[23] ),
+	.B1(\soc_top/n_6391 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[23] ),
+	.Y(\soc_top/n_4731 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126852  (
+	.A1(\soc_top/n_3927 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[23] ),
+	.B1(\soc_top/n_3883 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[23] ),
+	.Y(\soc_top/n_4730 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126853  (
+	.A1(\soc_top/n_3911 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[23] ),
+	.B1(\soc_top/FE_OFN6609_n_6612 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[23] ),
+	.Y(\soc_top/n_4729 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126854  (
+	.A1(\soc_top/n_3925 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[23] ),
+	.B1(\soc_top/n_3989 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[23] ),
+	.Y(\soc_top/n_4728 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126855  (
+	.A1(\soc_top/n_3929 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[23] ),
+	.B1(\soc_top/n_3919 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[23] ),
+	.Y(\soc_top/n_4727 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126856  (
+	.A1(\soc_top/n_3887 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_11[24] ),
+	.B1(\soc_top/FE_OFN6652_n_3984 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[24] ),
+	.Y(\soc_top/n_4726 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126857  (
+	.A1(\soc_top/n_3954 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[13] ),
+	.B1(\soc_top/n_3899 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[13] ),
+	.Y(\soc_top/n_4725 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126858  (
+	.A1(\soc_top/n_3889 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[23] ),
+	.B1(\soc_top/n_3935 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[23] ),
+	.Y(\soc_top/n_4724 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126859  (
+	.A1(\soc_top/FE_OFN6665_n_6568 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[29] ),
+	.B1(\soc_top/n_3970 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[29] ),
+	.Y(\soc_top/n_4723 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126860  (
+	.A1(\soc_top/n_3936 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_25[23] ),
+	.B1(\soc_top/FE_OFN6664_n_3932 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[23] ),
+	.Y(\soc_top/n_4722 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126861  (
+	.A1(\soc_top/n_3907 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[13] ),
+	.B1(\soc_top/n_3956 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[13] ),
+	.Y(\soc_top/n_4721 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126862  (
+	.A1(\soc_top/n_3939 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_21[23] ),
+	.B1(\soc_top/n_3963 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[23] ),
+	.Y(\soc_top/n_4720 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126863  (
+	.A1(\soc_top/n_3999 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[23] ),
+	.B1(\soc_top/n_3987 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[23] ),
+	.Y(\soc_top/n_4719 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126864  (
+	.A1(\soc_top/n_4003 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[29] ),
+	.B1(\soc_top/n_6572 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[29] ),
+	.Y(\soc_top/n_4718 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126865  (
+	.A1(\soc_top/FE_OFN6661_n_3913 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_29[23] ),
+	.B1(\soc_top/n_3983 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[23] ),
+	.Y(\soc_top/n_4717 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126866  (
+	.A1(\soc_top/FE_OFN6656_n_6594 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[24] ),
+	.B1(\soc_top/n_6578 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[24] ),
+	.Y(\soc_top/n_4716 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126867  (
+	.A1(\soc_top/FE_OFN6663_n_6584 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[13] ),
+	.B1(\soc_top/n_3893 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[13] ),
+	.Y(\soc_top/n_4715 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126868  (
+	.A1(\soc_top/n_3897 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[23] ),
+	.B1(\soc_top/n_4005 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[23] ),
+	.X(\soc_top/n_4714 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126869  (
+	.A1(\soc_top/FE_OFN6665_n_6568 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[13] ),
+	.B1(\soc_top/n_3970 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[13] ),
+	.Y(\soc_top/n_4713 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126870  (
+	.A1(\soc_top/FE_OFN6660_n_3971 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_29[31] ),
+	.B1(\soc_top/n_3966 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[31] ),
+	.Y(\soc_top/n_4712 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126871  (
+	.A1(\soc_top/n_3881 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[13] ),
+	.B1(\soc_top/n_3909 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[13] ),
+	.Y(\soc_top/n_4711 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126872  (
+	.A1(\soc_top/n_3936 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_25[22] ),
+	.B1(\soc_top/FE_OFN6664_n_3932 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[22] ),
+	.Y(\soc_top/n_4710 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126873  (
+	.A1(\soc_top/n_3939 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_21[22] ),
+	.B1(\soc_top/n_3935 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[22] ),
+	.Y(\soc_top/n_4709 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126874  (
+	.A1(\soc_top/n_3897 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[22] ),
+	.B1(\soc_top/n_4005 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[22] ),
+	.X(\soc_top/n_4708 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126875  (
+	.A1(\soc_top/n_6590 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[13] ),
+	.B1(\soc_top/FE_OFN6655_n_6569 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[13] ),
+	.Y(\soc_top/n_4707 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126876  (
+	.A1(\soc_top/n_3914 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_29[22] ),
+	.B1(\soc_top/n_3983 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[22] ),
+	.Y(\soc_top/n_4706 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126877  (
+	.A1(\soc_top/n_3972 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_29[29] ),
+	.B1(\soc_top/n_3966 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[29] ),
+	.Y(\soc_top/n_4705 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126878  (
+	.A1(\soc_top/FE_OFN5677_n_6576 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[22] ),
+	.B1(\soc_top/n_3923 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[22] ),
+	.X(\soc_top/n_4704 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126879  (
+	.A1(\soc_top/n_3916 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_14[22] ),
+	.B1(\soc_top/n_3921 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[22] ),
+	.Y(\soc_top/n_4703 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126880  (
+	.A1(\soc_top/FE_OFN6614_n_6393 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[22] ),
+	.B1(\soc_top/FE_OFN6617_n_6391 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[22] ),
+	.X(\soc_top/n_4702 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126881  (
+	.A1(\soc_top/n_3927 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[22] ),
+	.B1(\soc_top/FE_OFN5641_n_6597 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[22] ),
+	.X(\soc_top/n_4701 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126882  (
+	.A1(\soc_top/FE_OFN6658_n_3911 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[22] ),
+	.B1(\soc_top/n_6612 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[22] ),
+	.X(\soc_top/n_4700 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126883  (
+	.A1(\soc_top/FE_OFN6657_n_3903 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_31[29] ),
+	.B1(\soc_top/n_3993 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[29] ),
+	.Y(\soc_top/n_4699 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126884  (
+	.A1(\soc_top/FE_OFN6664_n_3932 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[21] ),
+	.B1(\soc_top/n_3935 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[21] ),
+	.Y(\soc_top/n_4698 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126885  (
+	.A1(\soc_top/n_3901 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[23] ),
+	.B1(\soc_top/n_3974 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[23] ),
+	.Y(\soc_top/n_4697 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126886  (
+	.A1(\soc_top/n_3968 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[13] ),
+	.B1(\soc_top/n_3959 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[13] ),
+	.Y(\soc_top/n_4696 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126887  (
+	.A1(\soc_top/n_3939 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_21[21] ),
+	.B1(\soc_top/n_3963 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[21] ),
+	.Y(\soc_top/n_4695 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126888  (
+	.A1(\soc_top/n_3889 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[21] ),
+	.B1(\soc_top/n_3936 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[21] ),
+	.Y(\soc_top/n_4694 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126889  (
+	.A1(\soc_top/n_3897 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[21] ),
+	.B1(\soc_top/n_4005 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[21] ),
+	.Y(\soc_top/n_4693 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126890  (
+	.A1(\soc_top/n_3999 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[21] ),
+	.B1(\soc_top/n_3987 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[21] ),
+	.Y(\soc_top/n_4692 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126891  (
+	.A1(\soc_top/n_3979 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[13] ),
+	.B1(\soc_top/n_4001 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[13] ),
+	.Y(\soc_top/n_4691 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126892  (
+	.A1(\soc_top/FE_OFN5677_n_6576 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[21] ),
+	.B1(\soc_top/n_3923 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[21] ),
+	.Y(\soc_top/n_4690 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126893  (
+	.A1(\soc_top/n_3895 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[21] ),
+	.B1(\soc_top/n_3983 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[21] ),
+	.Y(\soc_top/n_4689 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126894  (
+	.A1(\soc_top/n_6578 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[13] ),
+	.B1(\soc_top/n_3887 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[13] ),
+	.Y(\soc_top/n_4688 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126895  (
+	.A1(\soc_top/n_3995 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[21] ),
+	.B1(\soc_top/n_3885 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[21] ),
+	.Y(\soc_top/n_4687 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126896  (
+	.A1(\soc_top/FE_OFN6656_n_6594 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[13] ),
+	.B1(\soc_top/FE_OFN6652_n_3984 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[13] ),
+	.Y(\soc_top/n_4686 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126897  (
+	.A1(\soc_top/n_3916 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_14[21] ),
+	.B1(\soc_top/n_3921 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[21] ),
+	.Y(\soc_top/n_4685 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126898  (
+	.A1(\soc_top/n_6393 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[21] ),
+	.B1(\soc_top/n_6391 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[21] ),
+	.Y(\soc_top/n_4684 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126899  (
+	.A1(\soc_top/FE_OFN6609_n_6612 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_24[21] ),
+	.B1(\soc_top/n_3883 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[21] ),
+	.Y(\soc_top/n_4683 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126900  (
+	.A1(\soc_top/n_3911 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[21] ),
+	.B1(\soc_top/n_3927 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[21] ),
+	.Y(\soc_top/n_4682 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g126901  (
+	.A(\soc_top/FE_OFN5669_n_3789 ),
+	.B(\soc_top/n_3943 ),
+	.C(\soc_top/n_3857 ),
+	.Y(\soc_top/n_4681 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126902  (
+	.A1(\soc_top/n_3925 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[21] ),
+	.B1(\soc_top/n_3989 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[21] ),
+	.Y(\soc_top/n_4680 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126903  (
+	.A1(\soc_top/n_3929 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[21] ),
+	.B1(\soc_top/n_3919 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[21] ),
+	.Y(\soc_top/n_4679 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126904  (
+	.A1(\soc_top/n_3897 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[20] ),
+	.B1(\soc_top/n_4005 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[20] ),
+	.Y(\soc_top/n_4678 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126905  (
+	.A1(\soc_top/FE_OFN5677_n_6576 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[20] ),
+	.B1(\soc_top/n_3923 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[20] ),
+	.Y(\soc_top/n_4677 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126906  (
+	.A1(\soc_top/n_3999 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[20] ),
+	.B1(\soc_top/n_3987 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[20] ),
+	.Y(\soc_top/n_4676 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126907  (
+	.A1(\soc_top/n_3895 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[20] ),
+	.B1(\soc_top/n_3983 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[20] ),
+	.Y(\soc_top/n_4675 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126908  (
+	.A1(\soc_top/n_3889 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[20] ),
+	.B1(\soc_top/n_3935 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[20] ),
+	.Y(\soc_top/n_4674 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126909  (
+	.A1(\soc_top/n_3936 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_25[20] ),
+	.B1(\soc_top/FE_OFN6664_n_3932 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[20] ),
+	.Y(\soc_top/n_4673 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126910  (
+	.A1(\soc_top/n_3939 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_21[20] ),
+	.B1(\soc_top/n_3963 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[20] ),
+	.Y(\soc_top/n_4672 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126911  (
+	.A1(\soc_top/n_3979 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[29] ),
+	.B1(\soc_top/n_4001 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[29] ),
+	.Y(\soc_top/n_4671 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126912  (
+	.A1(\soc_top/n_3916 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_14[20] ),
+	.B1(\soc_top/n_3921 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[20] ),
+	.Y(\soc_top/n_4670 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126913  (
+	.A1(\soc_top/n_6393 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[20] ),
+	.B1(\soc_top/n_6391 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[20] ),
+	.X(\soc_top/n_4669 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126914  (
+	.A1(\soc_top/n_3968 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[23] ),
+	.B1(\soc_top/n_3959 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[23] ),
+	.Y(\soc_top/n_4668 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126915  (
+	.A1(\soc_top/n_3927 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[20] ),
+	.B1(\soc_top/n_3883 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[20] ),
+	.X(\soc_top/n_4667 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126916  (
+	.A1(\soc_top/n_3954 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[12] ),
+	.B1(\soc_top/n_3899 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[12] ),
+	.Y(\soc_top/n_4666 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126917  (
+	.A1(\soc_top/n_3979 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[23] ),
+	.B1(\soc_top/n_4001 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[23] ),
+	.Y(\soc_top/n_4665 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126918  (
+	.A1(\soc_top/n_3911 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[20] ),
+	.B1(\soc_top/FE_OFN6609_n_6612 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[20] ),
+	.X(\soc_top/n_4664 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126919  (
+	.A1(\soc_top/n_3907 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[12] ),
+	.B1(\soc_top/n_3956 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[12] ),
+	.Y(\soc_top/n_4663 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126920  (
+	.A1(\soc_top/FE_OFN6664_n_3932 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[19] ),
+	.B1(\soc_top/n_3935 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[19] ),
+	.Y(\soc_top/n_4662 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126921  (
+	.A1(\soc_top/n_3931 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[12] ),
+	.B1(\soc_top/n_3893 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[12] ),
+	.Y(\soc_top/n_4661 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126922  (
+	.A1(\soc_top/n_3889 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[19] ),
+	.B1(\soc_top/n_3936 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[19] ),
+	.X(\soc_top/n_4660 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126923  (
+	.A1(\soc_top/n_3887 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_11[23] ),
+	.B1(\soc_top/FE_OFN6652_n_3984 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[23] ),
+	.Y(\soc_top/n_4659 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126924  (
+	.A1(\soc_top/n_3999 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[19] ),
+	.B1(\soc_top/n_3987 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[19] ),
+	.X(\soc_top/n_4658 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126925  (
+	.A1(\soc_top/n_3881 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[12] ),
+	.B1(\soc_top/n_3909 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[12] ),
+	.Y(\soc_top/n_4657 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126926  (
+	.A1(\soc_top/n_6578 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[29] ),
+	.B1(\soc_top/n_3887 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[29] ),
+	.Y(\soc_top/n_4656 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126927  (
+	.A1(\soc_top/FE_OFN6661_n_3913 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_29[19] ),
+	.B1(\soc_top/FE_OFN5677_n_6576 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[19] ),
+	.Y(\soc_top/n_4655 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126928  (
+	.A1(\soc_top/n_3897 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[19] ),
+	.B1(\soc_top/n_4005 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[19] ),
+	.Y(\soc_top/n_4654 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126929  (
+	.A1(\soc_top/FE_OFN6649_n_3904 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_31[19] ),
+	.B1(\soc_top/n_3923 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[19] ),
+	.Y(\soc_top/n_4653 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126930  (
+	.A1(\soc_top/n_6590 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[12] ),
+	.B1(\soc_top/n_3991 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[12] ),
+	.Y(\soc_top/n_4652 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126931  (
+	.A1(\soc_top/FE_OFN6656_n_6594 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[23] ),
+	.B1(\soc_top/n_6578 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[23] ),
+	.Y(\soc_top/n_4651 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126932  (
+	.A1(\soc_top/n_3916 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_14[19] ),
+	.B1(\soc_top/n_3921 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[19] ),
+	.Y(\soc_top/n_4650 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126933  (
+	.A1(\soc_top/n_3997 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[12] ),
+	.B1(\soc_top/n_3970 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[12] ),
+	.Y(\soc_top/n_4649 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126934  (
+	.A1(\soc_top/n_6393 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[19] ),
+	.B1(\soc_top/n_6391 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[19] ),
+	.X(\soc_top/n_4648 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126935  (
+	.A1(\soc_top/n_3927 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[19] ),
+	.B1(\soc_top/n_3883 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[19] ),
+	.X(\soc_top/n_4647 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126936  (
+	.A1(\soc_top/FE_OFN6657_n_3903 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_31[31] ),
+	.B1(\soc_top/n_3993 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[31] ),
+	.Y(\soc_top/n_4646 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126937  (
+	.A1(\soc_top/n_3979 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[12] ),
+	.B1(\soc_top/n_4001 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[12] ),
+	.Y(\soc_top/n_4645 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126938  (
+	.A1(\soc_top/n_3911 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[19] ),
+	.B1(\soc_top/FE_OFN6609_n_6612 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[19] ),
+	.X(\soc_top/n_4644 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126939  (
+	.A1(\soc_top/n_3968 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[29] ),
+	.B1(\soc_top/n_3959 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[29] ),
+	.Y(\soc_top/n_4643 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126940  (
+	.A1(\soc_top/n_3916 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_14[3] ),
+	.B1(\soc_top/n_3921 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[3] ),
+	.Y(\soc_top/n_4642 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126941  (
+	.A1(\soc_top/n_6578 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[12] ),
+	.B1(\soc_top/n_3887 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[12] ),
+	.Y(\soc_top/n_4641 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126942  (
+	.A1(\soc_top/n_3995 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[18] ),
+	.B1(\soc_top/n_3885 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[18] ),
+	.Y(\soc_top/n_4640 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126943  (
+	.A1(\soc_top/n_3916 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_14[18] ),
+	.B1(\soc_top/n_3921 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[18] ),
+	.Y(\soc_top/n_4639 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126944  (
+	.A1(\soc_top/FE_OFN6614_n_6393 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[18] ),
+	.B1(\soc_top/FE_OFN6617_n_6391 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[18] ),
+	.Y(\soc_top/n_4638 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126945  (
+	.A1(\soc_top/n_3968 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[12] ),
+	.B1(\soc_top/n_3959 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[12] ),
+	.Y(\soc_top/n_4637 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126946  (
+	.A1(\soc_top/n_3927 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[18] ),
+	.B1(\soc_top/FE_OFN5641_n_6597 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[18] ),
+	.Y(\soc_top/n_4636 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126947  (
+	.A1(\soc_top/FE_OFN6658_n_3911 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[18] ),
+	.B1(\soc_top/n_6612 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[18] ),
+	.Y(\soc_top/n_4635 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126948  (
+	.A1(\soc_top/FE_OFN6656_n_6594 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[12] ),
+	.B1(\soc_top/FE_OFN6652_n_3984 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[12] ),
+	.Y(\soc_top/n_4634 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126949  (
+	.A1(\soc_top/n_3925 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[18] ),
+	.B1(\soc_top/n_3989 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[18] ),
+	.Y(\soc_top/n_4633 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126950  (
+	.A1(\soc_top/n_3954 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[23] ),
+	.B1(\soc_top/n_3899 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[23] ),
+	.Y(\soc_top/n_4632 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126951  (
+	.A1(\soc_top/n_3929 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[18] ),
+	.B1(\soc_top/n_3919 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[18] ),
+	.Y(\soc_top/n_4631 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126952  (
+	.A1(\soc_top/n_3901 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[12] ),
+	.B1(\soc_top/n_3974 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[12] ),
+	.Y(\soc_top/n_4630 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126953  (
+	.A1(\soc_top/n_3907 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[23] ),
+	.B1(\soc_top/n_3956 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[23] ),
+	.Y(\soc_top/n_4629 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126954  (
+	.A1(\soc_top/n_3897 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[18] ),
+	.B1(\soc_top/n_4005 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[18] ),
+	.X(\soc_top/n_4628 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126955  (
+	.A1(\soc_top/FE_OFN5677_n_6576 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[18] ),
+	.B1(\soc_top/n_3923 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[18] ),
+	.Y(\soc_top/n_4627 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126956  (
+	.A1(\soc_top/n_3895 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[18] ),
+	.B1(\soc_top/n_3983 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[18] ),
+	.Y(\soc_top/n_4626 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126957  (
+	.A1(\soc_top/n_3897 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[17] ),
+	.B1(\soc_top/n_4005 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[17] ),
+	.Y(\soc_top/n_4625 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126958  (
+	.A1(\soc_top/FE_OFN5677_n_6576 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[17] ),
+	.B1(\soc_top/n_3923 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[17] ),
+	.Y(\soc_top/n_4624 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126959  (
+	.A1(\soc_top/FE_OFN6656_n_6594 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[29] ),
+	.B1(\soc_top/FE_OFN6652_n_3984 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[29] ),
+	.Y(\soc_top/n_4623 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126960  (
+	.A1(\soc_top/n_3999 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[17] ),
+	.B1(\soc_top/n_3987 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[17] ),
+	.Y(\soc_top/n_4622 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126961  (
+	.A1(\soc_top/n_3895 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[17] ),
+	.B1(\soc_top/n_3983 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[17] ),
+	.Y(\soc_top/n_4621 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126962  (
+	.A1(\soc_top/n_3931 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[23] ),
+	.B1(\soc_top/n_3893 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[23] ),
+	.Y(\soc_top/n_4620 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126963  (
+	.A1(\soc_top/n_3881 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[23] ),
+	.B1(\soc_top/n_3909 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[23] ),
+	.Y(\soc_top/n_4619 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126964  (
+	.A1(\soc_top/FE_OFN6664_n_3932 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[17] ),
+	.B1(\soc_top/n_3935 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[17] ),
+	.Y(\soc_top/n_4618 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126965  (
+	.A1(\soc_top/n_3939 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_21[17] ),
+	.B1(\soc_top/n_3963 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[17] ),
+	.Y(\soc_top/n_4617 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126966  (
+	.A1(\soc_top/n_3889 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[17] ),
+	.B1(\soc_top/n_3936 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[17] ),
+	.Y(\soc_top/n_4616 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126967  (
+	.A1(\soc_top/n_3916 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_14[17] ),
+	.B1(\soc_top/n_3921 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[17] ),
+	.Y(\soc_top/n_4615 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126968  (
+	.A1(\soc_top/n_6393 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[17] ),
+	.B1(\soc_top/n_6391 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[17] ),
+	.X(\soc_top/n_4614 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126969  (
+	.A1(\soc_top/n_3919 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_26[17] ),
+	.B1(\soc_top/n_3911 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[17] ),
+	.X(\soc_top/n_4613 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126970  (
+	.A1(\soc_top/n_3970 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_26[23] ),
+	.B1(\soc_top/n_6590 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[23] ),
+	.Y(\soc_top/n_4612 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126971  (
+	.A1(\soc_top/FE_OFN6609_n_6612 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_24[17] ),
+	.B1(\soc_top/n_3927 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[17] ),
+	.X(\soc_top/n_4611 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126972  (
+	.A1(\soc_top/n_3997 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[23] ),
+	.B1(\soc_top/n_3991 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[23] ),
+	.Y(\soc_top/n_4610 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126973  (
+	.A1(\soc_top/n_3897 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[16] ),
+	.B1(\soc_top/n_4005 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[16] ),
+	.X(\soc_top/n_4609 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126974  (
+	.A1(\soc_top/n_3954 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[11] ),
+	.B1(\soc_top/n_3899 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[11] ),
+	.Y(\soc_top/n_4608 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126975  (
+	.A1(\soc_top/n_3907 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[11] ),
+	.B1(\soc_top/n_3956 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[11] ),
+	.Y(\soc_top/n_4607 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126976  (
+	.A1(\soc_top/FE_OFN5677_n_6576 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[16] ),
+	.B1(\soc_top/n_3923 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[16] ),
+	.X(\soc_top/n_4606 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126977  (
+	.A1(\soc_top/n_3881 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[11] ),
+	.B1(\soc_top/n_3893 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[11] ),
+	.Y(\soc_top/n_4605 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126978  (
+	.A1(\soc_top/n_3916 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_14[16] ),
+	.B1(\soc_top/n_3921 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[16] ),
+	.Y(\soc_top/n_4604 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126979  (
+	.A1(\soc_top/n_3931 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[11] ),
+	.B1(\soc_top/n_3909 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[11] ),
+	.Y(\soc_top/n_4603 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126980  (
+	.A1(\soc_top/n_6393 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[16] ),
+	.B1(\soc_top/n_6391 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[16] ),
+	.X(\soc_top/n_4602 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126981  (
+	.A1(\soc_top/n_3927 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[16] ),
+	.B1(\soc_top/n_3883 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[16] ),
+	.X(\soc_top/n_4601 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126982  (
+	.A1(\soc_top/n_6590 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[11] ),
+	.B1(\soc_top/n_3991 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[11] ),
+	.Y(\soc_top/n_4600 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126984  (
+	.A1(\soc_top/n_3997 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[11] ),
+	.B1(\soc_top/n_3970 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[11] ),
+	.Y(\soc_top/n_4598 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126985  (
+	.A1(\soc_top/n_3916 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_14[15] ),
+	.B1(\soc_top/n_3921 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[15] ),
+	.Y(\soc_top/n_4597 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126986  (
+	.A1(\soc_top/n_3995 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[15] ),
+	.B1(\soc_top/n_3885 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[15] ),
+	.Y(\soc_top/n_4596 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126987  (
+	.A1(\soc_top/n_3968 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[11] ),
+	.B1(\soc_top/n_3959 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[11] ),
+	.X(\soc_top/n_4595 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126988  (
+	.A1(\soc_top/n_6393 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[15] ),
+	.B1(\soc_top/n_6391 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[15] ),
+	.Y(\soc_top/n_4594 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126989  (
+	.A1(\soc_top/n_3927 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[15] ),
+	.B1(\soc_top/FE_OFN5641_n_6597 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[15] ),
+	.Y(\soc_top/n_4593 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126990  (
+	.A1(\soc_top/n_3925 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[15] ),
+	.B1(\soc_top/n_3989 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[15] ),
+	.Y(\soc_top/n_4592 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126991  (
+	.A1(\soc_top/FE_OFN6658_n_3911 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[15] ),
+	.B1(\soc_top/n_6612 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[15] ),
+	.Y(\soc_top/n_4591 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126992  (
+	.A1(\soc_top/n_3929 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[15] ),
+	.B1(\soc_top/n_3919 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[15] ),
+	.Y(\soc_top/n_4590 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126993  (
+	.A1(\soc_top/n_6578 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[11] ),
+	.B1(\soc_top/n_3887 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[11] ),
+	.X(\soc_top/n_4589 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126994  (
+	.A1(\soc_top/FE_OFN6664_n_3932 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[15] ),
+	.B1(\soc_top/n_3935 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[15] ),
+	.Y(\soc_top/n_4588 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126995  (
+	.A1(\soc_top/n_3939 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_21[15] ),
+	.B1(\soc_top/n_3936 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[15] ),
+	.Y(\soc_top/n_4587 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g126996  (
+	.A1(\soc_top/FE_OFN5677_n_6576 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[15] ),
+	.B1(\soc_top/n_3923 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[15] ),
+	.X(\soc_top/n_4586 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126997  (
+	.A1(\soc_top/FE_OFN6661_n_3913 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_29[15] ),
+	.B1(\soc_top/n_3897 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[15] ),
+	.Y(\soc_top/n_4585 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126998  (
+	.A1(\soc_top/n_3901 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[11] ),
+	.B1(\soc_top/n_3974 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[11] ),
+	.Y(\soc_top/n_4584 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g126999  (
+	.A1(\soc_top/n_3895 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[15] ),
+	.B1(\soc_top/n_3983 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[15] ),
+	.Y(\soc_top/n_4583 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127000  (
+	.A1(\soc_top/FE_OFN6649_n_3904 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_31[15] ),
+	.B1(\soc_top/n_4005 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[15] ),
+	.Y(\soc_top/n_4582 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127001  (
+	.A1(\soc_top/FE_OFN6663_n_6584 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[7] ),
+	.B1(\soc_top/n_3893 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[7] ),
+	.Y(\soc_top/n_4581 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127002  (
+	.A1(\soc_top/n_4003 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[11] ),
+	.B1(\soc_top/n_6572 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[11] ),
+	.Y(\soc_top/n_4580 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127003  (
+	.A1(\soc_top/FE_OFN6664_n_3932 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[14] ),
+	.B1(\soc_top/n_3935 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[14] ),
+	.Y(\soc_top/n_4579 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g127004  (
+	.A1(\soc_top/n_3939 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_21[14] ),
+	.B1(\soc_top/n_4071 ),
+	.Y(\soc_top/n_4578 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127005  (
+	.A1(\soc_top/n_3954 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[22] ),
+	.B1(\soc_top/n_3899 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[22] ),
+	.Y(\soc_top/n_4577 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127006  (
+	.A1(\soc_top/n_3972 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_29[11] ),
+	.B1(\soc_top/n_3966 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[11] ),
+	.Y(\soc_top/n_4576 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127007  (
+	.A1(\soc_top/FE_OFN5677_n_6576 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[14] ),
+	.B1(\soc_top/n_3923 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[14] ),
+	.X(\soc_top/n_4575 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127008  (
+	.A1(\soc_top/n_3903 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_31[11] ),
+	.B1(\soc_top/n_3993 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[11] ),
+	.Y(\soc_top/n_4574 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127009  (
+	.A1(\soc_top/n_3914 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_29[14] ),
+	.B1(\soc_top/n_3987 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[14] ),
+	.Y(\soc_top/n_4573 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127010  (
+	.A1(\soc_top/n_3954 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[28] ),
+	.B1(\soc_top/n_3899 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[28] ),
+	.Y(\soc_top/n_4572 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127011  (
+	.A1(\soc_top/n_3897 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[14] ),
+	.B1(\soc_top/n_4005 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[14] ),
+	.X(\soc_top/n_4571 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127012  (
+	.A1(\soc_top/n_3907 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[22] ),
+	.B1(\soc_top/n_3956 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[22] ),
+	.Y(\soc_top/n_4570 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127013  (
+	.A1(\soc_top/n_3916 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_14[14] ),
+	.B1(\soc_top/n_3921 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[14] ),
+	.Y(\soc_top/n_4569 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127014  (
+	.A1(\soc_top/FE_OFN6614_n_6393 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[14] ),
+	.B1(\soc_top/FE_OFN6617_n_6391 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[14] ),
+	.X(\soc_top/n_4568 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127015  (
+	.A1(\soc_top/n_3901 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[31] ),
+	.B1(\soc_top/n_3974 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[31] ),
+	.X(\soc_top/n_4567 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127016  (
+	.A1(\soc_top/n_6612 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_24[14] ),
+	.B1(\soc_top/FE_OFN5641_n_6597 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[14] ),
+	.X(\soc_top/n_4566 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127017  (
+	.A1(\soc_top/n_3989 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_18[14] ),
+	.B1(\soc_top/n_3927 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[14] ),
+	.X(\soc_top/n_4565 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127018  (
+	.A1(\soc_top/FE_OFN6663_n_6584 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[22] ),
+	.B1(\soc_top/n_3893 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[22] ),
+	.Y(\soc_top/n_4564 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127019  (
+	.A1(\soc_top/FE_OFN5677_n_6576 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[13] ),
+	.B1(\soc_top/n_3923 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[13] ),
+	.Y(\soc_top/n_4563 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127020  (
+	.A1(\soc_top/FE_OFN6665_n_6568 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[22] ),
+	.B1(\soc_top/n_3970 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[22] ),
+	.Y(\soc_top/n_4562 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127021  (
+	.A1(\soc_top/n_3999 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[13] ),
+	.B1(\soc_top/n_3987 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[13] ),
+	.Y(\soc_top/n_4561 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127022  (
+	.A1(\soc_top/n_3897 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[13] ),
+	.B1(\soc_top/n_4005 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[13] ),
+	.Y(\soc_top/n_4560 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127023  (
+	.A1(\soc_top/n_3895 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[13] ),
+	.B1(\soc_top/n_3983 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[13] ),
+	.Y(\soc_top/n_4559 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127024  (
+	.A1(\soc_top/n_3907 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[28] ),
+	.B1(\soc_top/n_3956 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[28] ),
+	.Y(\soc_top/n_4558 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127025  (
+	.A1(\soc_top/n_3889 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[13] ),
+	.B1(\soc_top/n_3935 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[13] ),
+	.Y(\soc_top/n_4557 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127026  (
+	.A1(\soc_top/n_3954 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[10] ),
+	.B1(\soc_top/n_3899 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[10] ),
+	.Y(\soc_top/n_4556 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127027  (
+	.A1(\soc_top/n_3936 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_25[13] ),
+	.B1(\soc_top/FE_OFN6664_n_3932 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[13] ),
+	.Y(\soc_top/n_4555 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127028  (
+	.A1(\soc_top/n_3939 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_21[13] ),
+	.B1(\soc_top/n_3963 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[13] ),
+	.Y(\soc_top/n_4554 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127029  (
+	.A1(\soc_top/n_3881 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[22] ),
+	.B1(\soc_top/n_3909 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[22] ),
+	.Y(\soc_top/n_4553 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127030  (
+	.A1(\soc_top/n_3916 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_14[13] ),
+	.B1(\soc_top/n_3921 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[13] ),
+	.Y(\soc_top/n_4552 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127031  (
+	.A1(\soc_top/n_3995 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[13] ),
+	.B1(\soc_top/n_3885 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[13] ),
+	.Y(\soc_top/n_4551 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127032  (
+	.A1(\soc_top/FE_OFN6614_n_6393 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[13] ),
+	.B1(\soc_top/FE_OFN6617_n_6391 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[13] ),
+	.Y(\soc_top/n_4550 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127033  (
+	.A1(\soc_top/n_3927 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[13] ),
+	.B1(\soc_top/FE_OFN5641_n_6597 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[13] ),
+	.X(\soc_top/n_4549 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127034  (
+	.A1(\soc_top/n_3907 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[10] ),
+	.B1(\soc_top/n_3956 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[10] ),
+	.Y(\soc_top/n_4548 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127035  (
+	.A1(\soc_top/n_6590 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[10] ),
+	.B1(\soc_top/n_3893 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[10] ),
+	.Y(\soc_top/n_4547 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127036  (
+	.A1(\soc_top/n_3979 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[31] ),
+	.B1(\soc_top/n_4001 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[31] ),
+	.Y(\soc_top/n_4546 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127037  (
+	.A1(\soc_top/n_6590 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[22] ),
+	.B1(\soc_top/FE_OFN6655_n_6569 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[22] ),
+	.Y(\soc_top/n_4545 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127038  (
+	.A1(\soc_top/FE_OFN6658_n_3911 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[13] ),
+	.B1(\soc_top/n_6612 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[13] ),
+	.X(\soc_top/n_4544 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127039  (
+	.A1(\soc_top/n_3997 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[28] ),
+	.B1(\soc_top/n_3893 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[28] ),
+	.Y(\soc_top/n_4543 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127040  (
+	.A1(\soc_top/n_3931 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[10] ),
+	.B1(\soc_top/n_3991 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[10] ),
+	.Y(\soc_top/n_4542 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127041  (
+	.A1(\soc_top/n_6578 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[31] ),
+	.B1(\soc_top/n_3887 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[31] ),
+	.Y(\soc_top/n_4541 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127042  (
+	.A1(\soc_top/n_4003 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[22] ),
+	.B1(\soc_top/n_6572 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[22] ),
+	.Y(\soc_top/n_4540 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127043  (
+	.A1(\soc_top/n_3995 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[12] ),
+	.B1(\soc_top/n_3885 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[12] ),
+	.Y(\soc_top/n_4539 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127044  (
+	.A1(\soc_top/n_3881 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[10] ),
+	.B1(\soc_top/n_3909 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[10] ),
+	.Y(\soc_top/n_4538 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127045  (
+	.A1(\soc_top/n_3916 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_14[12] ),
+	.B1(\soc_top/n_3921 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[12] ),
+	.Y(\soc_top/n_4537 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127046  (
+	.A1(\soc_top/n_6393 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[12] ),
+	.B1(\soc_top/n_6391 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[12] ),
+	.Y(\soc_top/n_4536 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127047  (
+	.A1(\soc_top/n_3997 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[10] ),
+	.B1(\soc_top/n_3970 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[10] ),
+	.Y(\soc_top/n_4535 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127048  (
+	.A1(\soc_top/n_3927 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[12] ),
+	.B1(\soc_top/n_3883 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[12] ),
+	.Y(\soc_top/n_4534 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127049  (
+	.A1(\soc_top/n_3911 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[12] ),
+	.B1(\soc_top/FE_OFN6609_n_6612 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[12] ),
+	.Y(\soc_top/n_4533 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127050  (
+	.A1(\soc_top/n_3925 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[12] ),
+	.B1(\soc_top/n_3989 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[12] ),
+	.Y(\soc_top/n_4532 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127051  (
+	.A1(\soc_top/n_3929 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[12] ),
+	.B1(\soc_top/n_3919 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[12] ),
+	.Y(\soc_top/n_4531 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127052  (
+	.A1(\soc_top/n_4003 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[10] ),
+	.B1(\soc_top/n_6572 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[10] ),
+	.Y(\soc_top/n_4530 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127053  (
+	.A1(\soc_top/FE_OFN6660_n_3971 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_29[22] ),
+	.B1(\soc_top/n_3966 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[22] ),
+	.Y(\soc_top/n_4529 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127055  (
+	.A1(\soc_top/n_3972 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_29[10] ),
+	.B1(\soc_top/n_3966 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[10] ),
+	.Y(\soc_top/n_4527 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127056  (
+	.A1(\soc_top/FE_OFN5677_n_6576 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[12] ),
+	.B1(\soc_top/n_3923 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[12] ),
+	.X(\soc_top/n_4526 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127057  (
+	.A1(\soc_top/n_3903 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_31[10] ),
+	.B1(\soc_top/n_3993 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[10] ),
+	.Y(\soc_top/n_4525 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127058  (
+	.A1(\soc_top/n_3897 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[12] ),
+	.B1(\soc_top/n_4005 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[12] ),
+	.Y(\soc_top/n_4524 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127059  (
+	.A1(\soc_top/n_3895 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[12] ),
+	.B1(\soc_top/n_3983 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[12] ),
+	.Y(\soc_top/n_4523 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127060  (
+	.A1(\soc_top/FE_OFN6657_n_3903 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_31[22] ),
+	.B1(\soc_top/n_3993 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[22] ),
+	.Y(\soc_top/n_4522 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127061  (
+	.A1(\soc_top/n_3901 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[10] ),
+	.B1(\soc_top/n_3974 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[10] ),
+	.X(\soc_top/n_4521 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127062  (
+	.A1(\soc_top/n_3916 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_14[11] ),
+	.B1(\soc_top/n_3921 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[11] ),
+	.Y(\soc_top/n_4520 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127063  (
+	.A1(\soc_top/n_3931 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[28] ),
+	.B1(\soc_top/n_3970 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[28] ),
+	.Y(\soc_top/n_4519 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127064  (
+	.A1(\soc_top/n_3881 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[28] ),
+	.B1(\soc_top/n_3909 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[28] ),
+	.Y(\soc_top/n_4518 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127065  (
+	.A1(\soc_top/n_3995 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[11] ),
+	.B1(\soc_top/n_3885 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[11] ),
+	.Y(\soc_top/n_4517 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127066  (
+	.A1(\soc_top/n_3968 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[10] ),
+	.B1(\soc_top/n_3959 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[10] ),
+	.Y(\soc_top/n_4516 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127067  (
+	.A1(\soc_top/n_6393 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[11] ),
+	.B1(\soc_top/n_6391 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[11] ),
+	.Y(\soc_top/n_4515 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127068  (
+	.A1(\soc_top/n_3901 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[22] ),
+	.B1(\soc_top/n_3974 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[22] ),
+	.X(\soc_top/n_4514 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127069  (
+	.A1(\soc_top/n_3979 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[10] ),
+	.B1(\soc_top/n_4001 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[10] ),
+	.Y(\soc_top/n_4513 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127070  (
+	.A1(\soc_top/n_3927 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[11] ),
+	.B1(\soc_top/n_3883 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[11] ),
+	.Y(\soc_top/n_4512 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127071  (
+	.A1(\soc_top/n_3925 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[11] ),
+	.B1(\soc_top/n_3989 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[11] ),
+	.Y(\soc_top/n_4511 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127072  (
+	.A1(\soc_top/n_3911 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[11] ),
+	.B1(\soc_top/FE_OFN6609_n_6612 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[11] ),
+	.Y(\soc_top/n_4510 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127073  (
+	.A1(\soc_top/n_3929 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[11] ),
+	.B1(\soc_top/n_3919 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[11] ),
+	.Y(\soc_top/n_4509 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127074  (
+	.A1(\soc_top/n_6578 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[10] ),
+	.B1(\soc_top/n_3887 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[10] ),
+	.Y(\soc_top/n_4508 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127075  (
+	.A1(\soc_top/n_3889 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[11] ),
+	.B1(\soc_top/n_3935 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[11] ),
+	.Y(\soc_top/n_4507 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127076  (
+	.A1(\soc_top/n_3936 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_25[11] ),
+	.B1(\soc_top/FE_OFN6664_n_3932 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[11] ),
+	.Y(\soc_top/n_4506 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127077  (
+	.A1(\soc_top/n_6590 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[28] ),
+	.B1(\soc_top/n_3991 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[28] ),
+	.Y(\soc_top/n_4505 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127078  (
+	.A1(\soc_top/n_3939 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_21[11] ),
+	.B1(\soc_top/n_3963 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[11] ),
+	.Y(\soc_top/n_4504 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127079  (
+	.A1(\soc_top/n_3979 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[22] ),
+	.B1(\soc_top/n_4001 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[22] ),
+	.Y(\soc_top/n_4503 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127080  (
+	.A1(\soc_top/FE_OFN6656_n_6594 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[10] ),
+	.B1(\soc_top/FE_OFN6652_n_3984 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[10] ),
+	.Y(\soc_top/n_4502 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127081  (
+	.A1(\soc_top/n_3897 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[11] ),
+	.B1(\soc_top/n_4005 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[11] ),
+	.X(\soc_top/n_4501 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127082  (
+	.A1(\soc_top/n_3999 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[11] ),
+	.B1(\soc_top/n_3987 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[11] ),
+	.Y(\soc_top/n_4500 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127083  (
+	.A1(\soc_top/n_3895 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[11] ),
+	.B1(\soc_top/n_3983 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[11] ),
+	.Y(\soc_top/n_4499 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127084  (
+	.A1(\soc_top/n_3916 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_14[10] ),
+	.B1(\soc_top/n_3921 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[10] ),
+	.Y(\soc_top/n_4498 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127085  (
+	.A1(\soc_top/n_3995 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[10] ),
+	.B1(\soc_top/n_3885 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[10] ),
+	.Y(\soc_top/n_4497 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127086  (
+	.A1(\soc_top/n_6393 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[10] ),
+	.B1(\soc_top/n_6391 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[10] ),
+	.Y(\soc_top/n_4496 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127087  (
+	.A1(\soc_top/n_3927 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[10] ),
+	.B1(\soc_top/n_3883 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[10] ),
+	.Y(\soc_top/n_4495 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127088  (
+	.A1(\soc_top/n_3929 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[10] ),
+	.B1(\soc_top/n_3919 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[10] ),
+	.Y(\soc_top/n_4494 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127089  (
+	.A1(\soc_top/n_6578 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[22] ),
+	.B1(\soc_top/n_3887 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[22] ),
+	.Y(\soc_top/n_4493 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 \soc_top/g127090  (
+	.A1(\soc_top/n_3820 ),
+	.A2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[15] ),
+	.B1(\soc_top/n_3947 ),
+	.C1(\soc_top/n_3788 ),
+	.X(\soc_top/core_InstructionDecode_io_writeData[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g127092  (
+	.A(\soc_top/n_3841 ),
+	.B(\soc_top/n_3829 ),
+	.C(\soc_top/n_6581 ),
+	.Y(\soc_top/core_InstructionDecode_io_writeData[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 \soc_top/g127095  (
+	.A1(\soc_top/FE_OFN5664_n_3797 ),
+	.A2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[22] ),
+	.B1(\soc_top/n_3748 ),
+	.B2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[6] ),
+	.C1(\soc_top/n_4036 ),
+	.X(\soc_top/core_InstructionDecode_io_writeData[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127096  (
+	.A1(\soc_top/n_4003 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[27] ),
+	.B1(\soc_top/n_6572 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[27] ),
+	.Y(\soc_top/n_4492 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127097  (
+	.A1(\soc_top/n_3954 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[9] ),
+	.B1(\soc_top/n_3899 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[9] ),
+	.Y(\soc_top/n_4491 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127098  (
+	.A1(\soc_top/FE_OFN6661_n_3913 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_29[10] ),
+	.B1(\soc_top/FE_OFN5677_n_6576 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[10] ),
+	.Y(\soc_top/n_4490 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127100  (
+	.A1(\soc_top/n_3907 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[9] ),
+	.B1(\soc_top/n_3956 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[9] ),
+	.Y(\soc_top/n_4488 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127101  (
+	.A1(\soc_top/n_6578 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[28] ),
+	.B1(\soc_top/n_3887 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[28] ),
+	.X(\soc_top/n_4487 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127102  (
+	.A1(\soc_top/n_3916 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_14[9] ),
+	.B1(\soc_top/n_3921 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[9] ),
+	.Y(\soc_top/n_4486 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127103  (
+	.A1(\soc_top/n_3931 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[9] ),
+	.B1(\soc_top/n_3893 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[9] ),
+	.Y(\soc_top/n_4485 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127104  (
+	.A1(\soc_top/n_3995 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[9] ),
+	.B1(\soc_top/n_3885 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[9] ),
+	.Y(\soc_top/n_4484 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127105  (
+	.A1(\soc_top/n_6393 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[9] ),
+	.B1(\soc_top/n_6391 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[9] ),
+	.Y(\soc_top/n_4483 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127106  (
+	.A1(\soc_top/n_3997 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[9] ),
+	.B1(\soc_top/n_3970 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[9] ),
+	.Y(\soc_top/n_4482 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127107  (
+	.A1(\soc_top/FE_OFN6609_n_6612 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_24[9] ),
+	.B1(\soc_top/n_3927 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[9] ),
+	.Y(\soc_top/n_4481 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127108  (
+	.A1(\soc_top/n_3911 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[9] ),
+	.B1(\soc_top/n_3883 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[9] ),
+	.Y(\soc_top/n_4480 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127109  (
+	.A1(\soc_top/n_3881 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[9] ),
+	.B1(\soc_top/n_3909 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[9] ),
+	.Y(\soc_top/n_4479 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127110  (
+	.A1(\soc_top/n_3925 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[9] ),
+	.B1(\soc_top/n_3989 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[9] ),
+	.Y(\soc_top/n_4478 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127111  (
+	.A1(\soc_top/n_3929 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[9] ),
+	.B1(\soc_top/n_3919 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[9] ),
+	.Y(\soc_top/n_4477 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127113  (
+	.A1(\soc_top/FE_OFN6664_n_3932 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[9] ),
+	.B1(\soc_top/n_3935 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[9] ),
+	.Y(\soc_top/n_4475 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127114  (
+	.A1(\soc_top/n_3889 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[9] ),
+	.B1(\soc_top/n_3963 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[9] ),
+	.Y(\soc_top/n_4474 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127115  (
+	.A1(\soc_top/FE_OFN6661_n_3913 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_29[9] ),
+	.B1(\soc_top/n_3923 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[9] ),
+	.Y(\soc_top/n_4473 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127116  (
+	.A1(\soc_top/FE_OFN6649_n_3904 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_31[9] ),
+	.B1(\soc_top/FE_OFN5677_n_6576 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[9] ),
+	.Y(\soc_top/n_4472 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127117  (
+	.A1(\soc_top/n_6590 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[9] ),
+	.B1(\soc_top/n_3991 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[9] ),
+	.Y(\soc_top/n_4471 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127118  (
+	.A1(\soc_top/n_3895 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[9] ),
+	.B1(\soc_top/n_3983 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[9] ),
+	.Y(\soc_top/n_4470 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127119  (
+	.A1(\soc_top/n_3979 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[9] ),
+	.B1(\soc_top/n_4001 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[9] ),
+	.X(\soc_top/n_4469 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127120  (
+	.A1(\soc_top/n_3897 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[9] ),
+	.B1(\soc_top/n_4005 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[9] ),
+	.X(\soc_top/n_4468 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127121  (
+	.A1(\soc_top/n_3968 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[31] ),
+	.B1(\soc_top/n_3959 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[31] ),
+	.Y(\soc_top/n_4467 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127122  (
+	.A1(\soc_top/n_3995 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[8] ),
+	.B1(\soc_top/n_3885 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[8] ),
+	.Y(\soc_top/n_4466 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127123  (
+	.A1(\soc_top/n_3968 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[9] ),
+	.B1(\soc_top/n_3959 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[9] ),
+	.X(\soc_top/n_4465 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127124  (
+	.A1(\soc_top/n_3916 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_14[8] ),
+	.B1(\soc_top/n_3921 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[8] ),
+	.Y(\soc_top/n_4464 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127125  (
+	.A1(\soc_top/FE_OFN6614_n_6393 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[8] ),
+	.B1(\soc_top/FE_OFN6617_n_6391 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[8] ),
+	.Y(\soc_top/n_4463 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127127  (
+	.A1(\soc_top/n_6612 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_24[8] ),
+	.B1(\soc_top/n_3927 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[8] ),
+	.Y(\soc_top/n_4461 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127128  (
+	.A1(\soc_top/n_3925 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[8] ),
+	.B1(\soc_top/n_3989 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[8] ),
+	.Y(\soc_top/n_4460 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127129  (
+	.A1(\soc_top/n_3911 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[8] ),
+	.B1(\soc_top/FE_OFN5641_n_6597 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[8] ),
+	.Y(\soc_top/n_4459 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127130  (
+	.A1(\soc_top/n_3929 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[8] ),
+	.B1(\soc_top/n_3919 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[8] ),
+	.Y(\soc_top/n_4458 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127131  (
+	.A1(\soc_top/n_3901 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[9] ),
+	.B1(\soc_top/n_3974 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[9] ),
+	.Y(\soc_top/n_4457 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127132  (
+	.A1(\soc_top/FE_OFN6656_n_6594 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[28] ),
+	.B1(\soc_top/n_3959 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[28] ),
+	.X(\soc_top/n_4456 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127133  (
+	.A1(\soc_top/FE_OFN6664_n_3932 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[8] ),
+	.B1(\soc_top/n_3935 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[8] ),
+	.Y(\soc_top/n_4455 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127134  (
+	.A1(\soc_top/n_3954 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[21] ),
+	.B1(\soc_top/n_3899 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[21] ),
+	.Y(\soc_top/n_4454 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127135  (
+	.A1(\soc_top/n_3939 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_21[8] ),
+	.B1(\soc_top/n_3936 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[8] ),
+	.Y(\soc_top/n_4453 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127136  (
+	.A1(\soc_top/n_3897 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[8] ),
+	.B1(\soc_top/n_4005 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[8] ),
+	.Y(\soc_top/n_4452 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127137  (
+	.A1(\soc_top/n_3999 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[8] ),
+	.B1(\soc_top/n_3987 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[8] ),
+	.Y(\soc_top/n_4451 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127138  (
+	.A1(\soc_top/n_3895 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[8] ),
+	.B1(\soc_top/n_3983 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[8] ),
+	.Y(\soc_top/n_4450 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127139  (
+	.A1(\soc_top/n_3914 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_29[8] ),
+	.B1(\soc_top/n_3923 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[8] ),
+	.X(\soc_top/n_4449 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127140  (
+	.A1(\soc_top/FE_OFN6664_n_3932 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[0] ),
+	.B1(\soc_top/n_3935 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[0] ),
+	.Y(\soc_top/n_4448 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127141  (
+	.A1(\soc_top/FE_OFN6614_n_6393 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[7] ),
+	.B1(\soc_top/FE_OFN6617_n_6391 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[7] ),
+	.Y(\soc_top/n_4447 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127142  (
+	.A1(\soc_top/n_3907 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[21] ),
+	.B1(\soc_top/n_3956 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[21] ),
+	.Y(\soc_top/n_4446 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127144  (
+	.A1(\soc_top/n_3931 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[21] ),
+	.B1(\soc_top/n_3893 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[21] ),
+	.Y(\soc_top/n_4444 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127146  (
+	.A1(\soc_top/n_3901 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[28] ),
+	.B1(\soc_top/n_3974 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[28] ),
+	.Y(\soc_top/n_4442 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127148  (
+	.A1(\soc_top/FE_OFN5677_n_6576 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[7] ),
+	.B1(\soc_top/n_3923 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[7] ),
+	.X(\soc_top/n_4440 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127149  (
+	.A1(\soc_top/n_3999 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[7] ),
+	.B1(\soc_top/n_3987 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[7] ),
+	.X(\soc_top/n_4439 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127150  (
+	.A1(\soc_top/n_3997 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[21] ),
+	.B1(\soc_top/n_3970 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[21] ),
+	.Y(\soc_top/n_4438 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127152  (
+	.A1(\soc_top/n_3889 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[7] ),
+	.B1(\soc_top/n_3963 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[7] ),
+	.Y(\soc_top/n_4436 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127153  (
+	.A1(\soc_top/FE_OFN6664_n_3932 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[7] ),
+	.B1(\soc_top/n_3935 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[7] ),
+	.Y(\soc_top/n_4435 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127154  (
+	.A1(\soc_top/n_3939 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_21[7] ),
+	.B1(\soc_top/n_3936 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[7] ),
+	.Y(\soc_top/n_4434 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127155  (
+	.A1(\soc_top/n_3881 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[21] ),
+	.B1(\soc_top/n_3909 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[21] ),
+	.Y(\soc_top/n_4433 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127156  (
+	.A1(\soc_top/n_3954 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[8] ),
+	.B1(\soc_top/n_3899 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[8] ),
+	.Y(\soc_top/n_4432 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127157  (
+	.A1(\soc_top/n_3916 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_14[6] ),
+	.B1(\soc_top/n_3921 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[6] ),
+	.Y(\soc_top/n_4431 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127158  (
+	.A1(\soc_top/n_3995 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[6] ),
+	.B1(\soc_top/n_3885 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[6] ),
+	.X(\soc_top/n_4430 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127159  (
+	.A1(\soc_top/n_3907 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[8] ),
+	.B1(\soc_top/n_3956 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[8] ),
+	.Y(\soc_top/n_4429 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127161  (
+	.A1(\soc_top/n_6590 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[21] ),
+	.B1(\soc_top/n_3991 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[21] ),
+	.Y(\soc_top/n_4427 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127163  (
+	.A1(\soc_top/n_3931 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[8] ),
+	.B1(\soc_top/n_3893 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[8] ),
+	.Y(\soc_top/n_4425 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127164  (
+	.A1(\soc_top/FE_OFN6664_n_3932 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[6] ),
+	.B1(\soc_top/n_3935 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[6] ),
+	.Y(\soc_top/n_4424 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127165  (
+	.A1(\soc_top/n_3936 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_25[6] ),
+	.B1(\soc_top/n_3963 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[6] ),
+	.Y(\soc_top/n_4423 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127166  (
+	.A1(\soc_top/n_3997 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[8] ),
+	.B1(\soc_top/n_3970 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[8] ),
+	.Y(\soc_top/n_4422 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127167  (
+	.A1(\soc_top/n_3889 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[6] ),
+	.B1(\soc_top/n_3939 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[6] ),
+	.Y(\soc_top/n_4421 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127168  (
+	.A1(\soc_top/n_3968 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[21] ),
+	.B1(\soc_top/n_3959 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[21] ),
+	.Y(\soc_top/n_4420 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127169  (
+	.A1(\soc_top/n_3881 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[8] ),
+	.B1(\soc_top/n_3909 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[8] ),
+	.Y(\soc_top/n_4419 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127170  (
+	.A1(\soc_top/n_3914 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_29[6] ),
+	.B1(\soc_top/n_3923 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[6] ),
+	.Y(\soc_top/n_4418 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127171  (
+	.A1(\soc_top/n_3999 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[6] ),
+	.B1(\soc_top/n_3987 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[6] ),
+	.Y(\soc_top/n_4417 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127172  (
+	.A1(\soc_top/n_3905 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_31[6] ),
+	.B1(\soc_top/FE_OFN5677_n_6576 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[6] ),
+	.Y(\soc_top/n_4416 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127173  (
+	.A1(\soc_top/n_6590 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[8] ),
+	.B1(\soc_top/n_3991 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[8] ),
+	.Y(\soc_top/n_4415 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127174  (
+	.A1(\soc_top/n_3979 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[21] ),
+	.B1(\soc_top/n_4001 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[21] ),
+	.Y(\soc_top/n_4414 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127175  (
+	.A1(\soc_top/n_3995 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[5] ),
+	.B1(\soc_top/n_3885 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[5] ),
+	.Y(\soc_top/n_4413 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127176  (
+	.A1(\soc_top/n_3916 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_14[5] ),
+	.B1(\soc_top/n_3921 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[5] ),
+	.Y(\soc_top/n_4412 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127177  (
+	.A1(\soc_top/n_6393 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[5] ),
+	.B1(\soc_top/n_6391 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[5] ),
+	.Y(\soc_top/n_4411 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127178  (
+	.A1(\soc_top/n_6578 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[8] ),
+	.B1(\soc_top/n_3887 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[8] ),
+	.X(\soc_top/n_4410 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127179  (
+	.A1(\soc_top/n_3927 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[5] ),
+	.B1(\soc_top/FE_OFN5641_n_6597 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[5] ),
+	.Y(\soc_top/n_4409 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127180  (
+	.A1(\soc_top/n_3929 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[5] ),
+	.B1(\soc_top/n_3919 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[5] ),
+	.Y(\soc_top/n_4408 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127181  (
+	.A1(\soc_top/n_6578 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[21] ),
+	.B1(\soc_top/n_3887 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[21] ),
+	.Y(\soc_top/n_4407 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127182  (
+	.A1(\soc_top/FE_OFN6658_n_3911 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[5] ),
+	.B1(\soc_top/n_6612 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[5] ),
+	.Y(\soc_top/n_4406 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127183  (
+	.A1(\soc_top/n_3925 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[5] ),
+	.B1(\soc_top/n_3989 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[5] ),
+	.Y(\soc_top/n_4405 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127185  (
+	.A1(\soc_top/n_3891 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[8] ),
+	.B1(\soc_top/n_3959 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[8] ),
+	.X(\soc_top/n_4403 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127186  (
+	.A1(\soc_top/n_3901 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[8] ),
+	.B1(\soc_top/n_3974 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[8] ),
+	.Y(\soc_top/n_4402 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127187  (
+	.A1(\soc_top/n_3897 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[5] ),
+	.B1(\soc_top/n_4005 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[5] ),
+	.Y(\soc_top/n_4401 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127188  (
+	.A1(\soc_top/n_3895 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[5] ),
+	.B1(\soc_top/n_3983 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[5] ),
+	.Y(\soc_top/n_4400 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127189  (
+	.A1(\soc_top/FE_OFN6656_n_6594 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[21] ),
+	.B1(\soc_top/FE_OFN6652_n_3984 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[21] ),
+	.Y(\soc_top/n_4399 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127190  (
+	.A1(\soc_top/n_3901 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[21] ),
+	.B1(\soc_top/n_3974 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[21] ),
+	.Y(\soc_top/n_4398 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127191  (
+	.A1(\soc_top/n_3995 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[4] ),
+	.B1(\soc_top/n_3885 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[4] ),
+	.Y(\soc_top/n_4397 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127192  (
+	.A1(\soc_top/n_3916 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_14[4] ),
+	.B1(\soc_top/n_3921 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[4] ),
+	.Y(\soc_top/n_4396 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127193  (
+	.A1(\soc_top/FE_OFN6614_n_6393 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[4] ),
+	.B1(\soc_top/FE_OFN6617_n_6391 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[4] ),
+	.Y(\soc_top/n_4395 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127194  (
+	.A1(\soc_top/n_3919 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_26[4] ),
+	.B1(\soc_top/FE_OFN5641_n_6597 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[4] ),
+	.Y(\soc_top/n_4394 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127195  (
+	.A1(\soc_top/n_3929 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[4] ),
+	.B1(\soc_top/n_3927 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[4] ),
+	.Y(\soc_top/n_4393 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127196  (
+	.A1(\soc_top/n_3911 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[4] ),
+	.B1(\soc_top/n_6612 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[4] ),
+	.Y(\soc_top/n_4392 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127197  (
+	.A1(\soc_top/n_3925 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[4] ),
+	.B1(\soc_top/n_3989 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[4] ),
+	.Y(\soc_top/n_4391 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127201  (
+	.A1(\soc_top/n_3897 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[4] ),
+	.B1(\soc_top/n_4005 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[4] ),
+	.Y(\soc_top/n_4387 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127202  (
+	.A1(\soc_top/n_3895 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[4] ),
+	.B1(\soc_top/n_3983 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[4] ),
+	.Y(\soc_top/n_4386 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127203  (
+	.A1(\soc_top/n_3889 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[3] ),
+	.B1(\soc_top/n_3963 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[3] ),
+	.Y(\soc_top/n_4385 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127204  (
+	.A1(\soc_top/FE_OFN6664_n_3932 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[3] ),
+	.B1(\soc_top/n_3935 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[3] ),
+	.Y(\soc_top/n_4384 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127205  (
+	.A1(\soc_top/n_3939 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_21[3] ),
+	.B1(\soc_top/n_3936 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[3] ),
+	.Y(\soc_top/n_4383 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127206  (
+	.A1(\soc_top/FE_OFN5677_n_6576 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[5] ),
+	.B1(\soc_top/n_3923 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[5] ),
+	.X(\soc_top/n_4382 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127207  (
+	.A1(\soc_top/FE_OFN5677_n_6576 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[3] ),
+	.B1(\soc_top/n_3923 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[3] ),
+	.Y(\soc_top/n_4381 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127208  (
+	.A1(\soc_top/n_3999 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[3] ),
+	.B1(\soc_top/n_3987 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[3] ),
+	.Y(\soc_top/n_4380 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127209  (
+	.A1(\soc_top/n_3895 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[3] ),
+	.B1(\soc_top/n_3983 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[3] ),
+	.Y(\soc_top/n_4379 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127210  (
+	.A1(\soc_top/n_3954 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[7] ),
+	.B1(\soc_top/n_3899 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[7] ),
+	.Y(\soc_top/n_4378 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127211  (
+	.A1(\soc_top/n_6393 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[3] ),
+	.B1(\soc_top/n_6391 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[3] ),
+	.Y(\soc_top/n_4377 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127212  (
+	.A1(\soc_top/n_3927 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[3] ),
+	.B1(\soc_top/n_3883 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[3] ),
+	.Y(\soc_top/n_4376 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127213  (
+	.A1(\soc_top/n_3929 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[3] ),
+	.B1(\soc_top/n_3919 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[3] ),
+	.Y(\soc_top/n_4375 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127214  (
+	.A1(\soc_top/n_3907 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[7] ),
+	.B1(\soc_top/n_3956 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[7] ),
+	.Y(\soc_top/n_4374 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127215  (
+	.A1(\soc_top/n_3911 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[3] ),
+	.B1(\soc_top/FE_OFN6609_n_6612 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[3] ),
+	.Y(\soc_top/n_4373 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127216  (
+	.A1(\soc_top/n_3925 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[3] ),
+	.B1(\soc_top/n_3989 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[3] ),
+	.Y(\soc_top/n_4372 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127217  (
+	.A1(\soc_top/n_3897 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[3] ),
+	.B1(\soc_top/n_4005 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[3] ),
+	.Y(\soc_top/n_4371 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127218  (
+	.A1(\soc_top/n_6590 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[7] ),
+	.B1(\soc_top/n_3991 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[7] ),
+	.Y(\soc_top/n_4370 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127219  (
+	.A1(\soc_top/n_3995 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[2] ),
+	.B1(\soc_top/n_3885 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[2] ),
+	.Y(\soc_top/n_4369 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127220  (
+	.A1(\soc_top/n_3916 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_14[2] ),
+	.B1(\soc_top/n_3921 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[2] ),
+	.Y(\soc_top/n_4368 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127221  (
+	.A1(\soc_top/n_6393 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[2] ),
+	.B1(\soc_top/n_6391 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[2] ),
+	.Y(\soc_top/n_4367 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127222  (
+	.A1(\soc_top/n_3881 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[7] ),
+	.B1(\soc_top/n_3909 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[7] ),
+	.Y(\soc_top/n_4366 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127223  (
+	.A1(\soc_top/FE_OFN6609_n_6612 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_24[2] ),
+	.B1(\soc_top/n_3927 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[2] ),
+	.Y(\soc_top/n_4365 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127224  (
+	.A1(\soc_top/FE_OFN6665_n_6568 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[7] ),
+	.B1(\soc_top/n_3970 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[7] ),
+	.Y(\soc_top/n_4364 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127225  (
+	.A1(\soc_top/n_3929 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[2] ),
+	.B1(\soc_top/n_3919 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[2] ),
+	.Y(\soc_top/n_4363 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127226  (
+	.A1(\soc_top/n_3936 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_25[2] ),
+	.B1(\soc_top/FE_OFN6664_n_3932 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[2] ),
+	.Y(\soc_top/n_4362 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127227  (
+	.A1(\soc_top/n_3901 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[20] ),
+	.B1(\soc_top/n_3974 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[20] ),
+	.Y(\soc_top/n_4361 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127228  (
+	.A1(\soc_top/n_3939 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_21[2] ),
+	.B1(\soc_top/n_3963 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[2] ),
+	.Y(\soc_top/n_4360 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127229  (
+	.A1(\soc_top/FE_OFN6649_n_3904 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_31[2] ),
+	.B1(\soc_top/n_3895 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[2] ),
+	.Y(\soc_top/n_4359 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127230  (
+	.A1(\soc_top/FE_OFN6661_n_3913 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_29[2] ),
+	.B1(\soc_top/n_3983 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[2] ),
+	.Y(\soc_top/n_4358 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127232  (
+	.A1(\soc_top/n_3916 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_14[1] ),
+	.B1(\soc_top/n_3921 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[1] ),
+	.Y(\soc_top/n_4356 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127233  (
+	.A1(\soc_top/n_3901 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[7] ),
+	.B1(\soc_top/n_3974 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[7] ),
+	.X(\soc_top/n_4355 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127234  (
+	.A1(\soc_top/n_3995 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[1] ),
+	.B1(\soc_top/n_3885 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[1] ),
+	.Y(\soc_top/n_4354 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127235  (
+	.A1(\soc_top/n_6393 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[1] ),
+	.B1(\soc_top/n_6391 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[1] ),
+	.Y(\soc_top/n_4353 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127236  (
+	.A1(\soc_top/n_3927 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[1] ),
+	.B1(\soc_top/FE_OFN5641_n_6597 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[1] ),
+	.Y(\soc_top/n_4352 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127237  (
+	.A1(\soc_top/n_3911 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[1] ),
+	.B1(\soc_top/n_6612 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[1] ),
+	.Y(\soc_top/n_4351 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127238  (
+	.A1(\soc_top/FE_OFN6656_n_6594 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[31] ),
+	.B1(\soc_top/FE_OFN6652_n_3984 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[31] ),
+	.Y(\soc_top/n_4350 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127239  (
+	.A1(\soc_top/n_3925 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[1] ),
+	.B1(\soc_top/n_3989 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[1] ),
+	.Y(\soc_top/n_4349 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127240  (
+	.A1(\soc_top/n_3979 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[7] ),
+	.B1(\soc_top/n_4001 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[7] ),
+	.Y(\soc_top/n_4348 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127241  (
+	.A1(\soc_top/n_3929 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[1] ),
+	.B1(\soc_top/n_3919 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[1] ),
+	.Y(\soc_top/n_4347 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127242  (
+	.A1(\soc_top/n_6578 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[7] ),
+	.B1(\soc_top/n_3887 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[7] ),
+	.Y(\soc_top/n_4346 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127243  (
+	.A1(\soc_top/n_3897 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[1] ),
+	.B1(\soc_top/n_4005 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[1] ),
+	.X(\soc_top/n_4345 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127244  (
+	.A1(\soc_top/n_3907 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[27] ),
+	.B1(\soc_top/n_3956 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[27] ),
+	.Y(\soc_top/n_4344 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127246  (
+	.A1(\soc_top/n_3968 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[7] ),
+	.B1(\soc_top/n_3959 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[7] ),
+	.Y(\soc_top/n_4342 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127248  (
+	.A1(\soc_top/n_3891 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[7] ),
+	.B1(\soc_top/n_3985 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[7] ),
+	.Y(\soc_top/n_4340 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127251  (
+	.A1(\soc_top/n_3968 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[20] ),
+	.B1(\soc_top/n_3959 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[20] ),
+	.Y(\soc_top/n_4337 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127252  (
+	.A1(\soc_top/n_6578 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[20] ),
+	.B1(\soc_top/n_3887 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[20] ),
+	.Y(\soc_top/n_4336 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127253  (
+	.A1(\soc_top/n_3995 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[0] ),
+	.B1(\soc_top/n_3885 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[0] ),
+	.Y(\soc_top/n_4335 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127254  (
+	.A1(\soc_top/FE_OFN6614_n_6393 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[0] ),
+	.B1(\soc_top/FE_OFN6617_n_6391 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[0] ),
+	.Y(\soc_top/n_4334 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127255  (
+	.A1(\soc_top/n_3927 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[0] ),
+	.B1(\soc_top/FE_OFN5641_n_6597 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[0] ),
+	.X(\soc_top/n_4333 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127256  (
+	.A1(\soc_top/n_3954 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[27] ),
+	.B1(\soc_top/n_3899 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[27] ),
+	.Y(\soc_top/n_4332 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127257  (
+	.A1(\soc_top/n_3925 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[0] ),
+	.B1(\soc_top/n_3989 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[0] ),
+	.X(\soc_top/n_4331 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127258  (
+	.A1(\soc_top/n_3897 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[0] ),
+	.B1(\soc_top/n_4005 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[0] ),
+	.Y(\soc_top/n_4330 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127259  (
+	.A1(\soc_top/n_3979 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[20] ),
+	.B1(\soc_top/n_4001 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[20] ),
+	.Y(\soc_top/n_4329 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127260  (
+	.A1(\soc_top/FE_OFN5677_n_6576 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[0] ),
+	.B1(\soc_top/n_3923 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[0] ),
+	.Y(\soc_top/n_4328 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127261  (
+	.A1(\soc_top/n_3999 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[0] ),
+	.B1(\soc_top/n_3987 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[0] ),
+	.Y(\soc_top/n_4327 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127262  (
+	.A1(\soc_top/n_3895 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[0] ),
+	.B1(\soc_top/n_3983 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[0] ),
+	.Y(\soc_top/n_4326 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127264  (
+	.A1(\soc_top/FE_OFN6656_n_6594 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[20] ),
+	.B1(\soc_top/FE_OFN6652_n_3984 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[20] ),
+	.Y(\soc_top/n_4324 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127265  (
+	.A1(\soc_top/n_3889 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[0] ),
+	.B1(\soc_top/n_3939 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[0] ),
+	.Y(\soc_top/n_4323 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127266  (
+	.A1(\soc_top/n_3936 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_25[0] ),
+	.B1(\soc_top/n_3963 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[0] ),
+	.Y(\soc_top/n_4322 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127267  (
+	.A1(\soc_top/FE_OFN6663_n_6584 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[27] ),
+	.B1(\soc_top/n_3893 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[27] ),
+	.Y(\soc_top/n_4321 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127268  (
+	.A1(\soc_top/n_3954 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[6] ),
+	.B1(\soc_top/n_3899 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[6] ),
+	.Y(\soc_top/n_4320 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127269  (
+	.A1(\soc_top/FE_OFN6665_n_6568 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[27] ),
+	.B1(\soc_top/n_3970 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[27] ),
+	.Y(\soc_top/n_4319 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127270  (
+	.A1(\soc_top/n_3907 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[6] ),
+	.B1(\soc_top/n_3956 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[6] ),
+	.Y(\soc_top/n_4318 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127271  (
+	.A1(\soc_top/FE_OFN6663_n_6584 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[6] ),
+	.B1(\soc_top/n_3893 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[6] ),
+	.Y(\soc_top/n_4317 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127272  (
+	.A1(\soc_top/FE_OFN6665_n_6568 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[6] ),
+	.B1(\soc_top/n_3970 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[6] ),
+	.Y(\soc_top/n_4316 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127273  (
+	.A1(\soc_top/n_3954 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[20] ),
+	.B1(\soc_top/n_3899 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[20] ),
+	.Y(\soc_top/n_4315 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127274  (
+	.A1(\soc_top/n_3881 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[6] ),
+	.B1(\soc_top/n_3909 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[6] ),
+	.Y(\soc_top/n_4314 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127275  (
+	.A1(\soc_top/n_6590 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[6] ),
+	.B1(\soc_top/FE_OFN6655_n_6569 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[6] ),
+	.Y(\soc_top/n_4313 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127276  (
+	.A1(\soc_top/FE_OFN6655_n_6569 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_18[27] ),
+	.B1(\soc_top/n_3909 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[27] ),
+	.Y(\soc_top/n_4312 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127277  (
+	.A1(\soc_top/n_3907 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[20] ),
+	.B1(\soc_top/n_3956 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[20] ),
+	.Y(\soc_top/n_4311 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127278  (
+	.A1(\soc_top/n_4003 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[6] ),
+	.B1(\soc_top/n_6572 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[6] ),
+	.Y(\soc_top/n_4310 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127279  (
+	.A1(\soc_top/n_3993 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_21[6] ),
+	.B1(\soc_top/n_3966 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[6] ),
+	.Y(\soc_top/n_4309 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127280  (
+	.A1(\soc_top/n_3931 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[20] ),
+	.B1(\soc_top/n_3893 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[20] ),
+	.Y(\soc_top/n_4308 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127281  (
+	.A1(\soc_top/FE_OFN6657_n_3903 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_31[6] ),
+	.B1(\soc_top/FE_OFN6660_n_3971 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[6] ),
+	.Y(\soc_top/n_4307 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127282  (
+	.A1(\soc_top/n_6590 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[20] ),
+	.B1(\soc_top/n_3991 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[20] ),
+	.Y(\soc_top/n_4306 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127283  (
+	.A1(\soc_top/n_3901 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[6] ),
+	.B1(\soc_top/n_3974 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[6] ),
+	.X(\soc_top/n_4305 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127284  (
+	.A1(\soc_top/n_6590 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[27] ),
+	.B1(\soc_top/n_3881 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[27] ),
+	.Y(\soc_top/n_4304 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127285  (
+	.A1(\soc_top/n_3979 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[6] ),
+	.B1(\soc_top/n_4001 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[6] ),
+	.Y(\soc_top/n_4303 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127286  (
+	.A1(\soc_top/n_6578 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[6] ),
+	.B1(\soc_top/n_3887 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[6] ),
+	.Y(\soc_top/n_4302 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127287  (
+	.A1(\soc_top/n_3881 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[20] ),
+	.B1(\soc_top/n_3909 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[20] ),
+	.Y(\soc_top/n_4301 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127288  (
+	.A1(\soc_top/n_3968 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[6] ),
+	.B1(\soc_top/n_3959 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[6] ),
+	.Y(\soc_top/n_4300 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127289  (
+	.A1(\soc_top/n_3997 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[20] ),
+	.B1(\soc_top/n_3970 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[20] ),
+	.Y(\soc_top/n_4299 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127290  (
+	.A1(\soc_top/n_3891 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[6] ),
+	.B1(\soc_top/n_3985 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[6] ),
+	.Y(\soc_top/n_4298 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127291  (
+	.A1(\soc_top/n_3897 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[10] ),
+	.B1(\soc_top/n_4005 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[10] ),
+	.Y(\soc_top/n_4297 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127292  (
+	.A1(\soc_top/n_3993 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_21[27] ),
+	.B1(\soc_top/n_3966 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[27] ),
+	.Y(\soc_top/n_4296 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127293  (
+	.A1(\soc_top/n_3954 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[5] ),
+	.B1(\soc_top/n_3899 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[5] ),
+	.Y(\soc_top/n_4295 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127294  (
+	.A1(\soc_top/n_3907 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[5] ),
+	.B1(\soc_top/n_3956 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[5] ),
+	.Y(\soc_top/n_4294 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127295  (
+	.A1(\soc_top/FE_OFN6657_n_3903 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_31[27] ),
+	.B1(\soc_top/FE_OFN6660_n_3971 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[27] ),
+	.Y(\soc_top/n_4293 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127296  (
+	.A1(\soc_top/n_3911 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[2] ),
+	.B1(\soc_top/n_3883 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[2] ),
+	.Y(\soc_top/n_4292 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127297  (
+	.A1(\soc_top/n_3970 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_26[5] ),
+	.B1(\soc_top/n_3893 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[5] ),
+	.Y(\soc_top/n_4291 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127298  (
+	.A1(\soc_top/FE_OFN6663_n_6584 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[5] ),
+	.B1(\soc_top/FE_OFN6665_n_6568 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[5] ),
+	.Y(\soc_top/n_4290 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127299  (
+	.A1(\soc_top/n_3881 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[5] ),
+	.B1(\soc_top/n_3909 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[5] ),
+	.Y(\soc_top/n_4289 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127300  (
+	.A1(\soc_top/n_3954 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[19] ),
+	.B1(\soc_top/n_3899 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[19] ),
+	.Y(\soc_top/n_4288 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127301  (
+	.A1(\soc_top/n_6590 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[5] ),
+	.B1(\soc_top/FE_OFN6655_n_6569 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[5] ),
+	.Y(\soc_top/n_4287 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127302  (
+	.A1(\soc_top/n_3901 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[27] ),
+	.B1(\soc_top/n_3974 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[27] ),
+	.X(\soc_top/n_4286 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127303  (
+	.A1(\soc_top/n_4003 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[5] ),
+	.B1(\soc_top/n_6572 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[5] ),
+	.Y(\soc_top/n_4285 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127304  (
+	.A1(\soc_top/n_3907 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[19] ),
+	.B1(\soc_top/n_3956 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[19] ),
+	.Y(\soc_top/n_4284 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127305  (
+	.A1(\soc_top/n_3972 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_29[5] ),
+	.B1(\soc_top/n_3966 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[5] ),
+	.Y(\soc_top/n_4283 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127306  (
+	.A1(\soc_top/n_3903 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_31[5] ),
+	.B1(\soc_top/n_3993 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[5] ),
+	.Y(\soc_top/n_4282 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127307  (
+	.A1(\soc_top/n_3901 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[5] ),
+	.B1(\soc_top/n_3974 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[5] ),
+	.X(\soc_top/n_4281 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127308  (
+	.A1(\soc_top/n_3931 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[19] ),
+	.B1(\soc_top/n_3893 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[19] ),
+	.Y(\soc_top/n_4280 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127309  (
+	.A1(\soc_top/n_3968 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[5] ),
+	.B1(\soc_top/n_3959 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[5] ),
+	.Y(\soc_top/n_4279 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127310  (
+	.A1(\soc_top/n_3968 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[27] ),
+	.B1(\soc_top/n_3959 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[27] ),
+	.Y(\soc_top/n_4278 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127311  (
+	.A1(\soc_top/n_6578 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[5] ),
+	.B1(\soc_top/n_3887 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[5] ),
+	.Y(\soc_top/n_4277 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127312  (
+	.A1(\soc_top/FE_OFN6652_n_3984 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_13[5] ),
+	.B1(\soc_top/n_4001 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[5] ),
+	.Y(\soc_top/n_4276 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127313  (
+	.A1(\soc_top/n_6590 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[19] ),
+	.B1(\soc_top/n_3991 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[19] ),
+	.Y(\soc_top/n_4275 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127314  (
+	.A1(\soc_top/FE_OFN6656_n_6594 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[5] ),
+	.B1(\soc_top/n_3979 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[5] ),
+	.Y(\soc_top/n_4274 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127315  (
+	.A1(\soc_top/n_3881 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[19] ),
+	.B1(\soc_top/n_3909 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[19] ),
+	.Y(\soc_top/n_4273 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127316  (
+	.A1(\soc_top/n_3997 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[19] ),
+	.B1(\soc_top/n_3970 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[19] ),
+	.Y(\soc_top/n_4272 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127317  (
+	.A1(\soc_top/n_3887 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_11[27] ),
+	.B1(\soc_top/n_3985 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[27] ),
+	.Y(\soc_top/n_4271 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127318  (
+	.A1(\soc_top/n_3979 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[27] ),
+	.B1(\soc_top/n_4001 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[27] ),
+	.Y(\soc_top/n_4270 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127319  (
+	.A1(\soc_top/n_3891 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[27] ),
+	.B1(\soc_top/n_6578 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[27] ),
+	.Y(\soc_top/n_4269 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127320  (
+	.A1(\soc_top/n_3954 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[4] ),
+	.B1(\soc_top/n_3899 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[4] ),
+	.Y(\soc_top/n_4268 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127321  (
+	.A1(\soc_top/n_3907 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[4] ),
+	.B1(\soc_top/n_3956 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[4] ),
+	.Y(\soc_top/n_4267 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127322  (
+	.A1(\soc_top/n_3979 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[19] ),
+	.B1(\soc_top/n_4001 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[19] ),
+	.X(\soc_top/n_4266 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127323  (
+	.A1(\soc_top/n_6578 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[19] ),
+	.B1(\soc_top/n_3887 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[19] ),
+	.X(\soc_top/n_4265 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127324  (
+	.A1(\soc_top/n_6590 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[4] ),
+	.B1(\soc_top/n_3893 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[4] ),
+	.Y(\soc_top/n_4264 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127325  (
+	.A1(\soc_top/n_3931 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[4] ),
+	.B1(\soc_top/n_3991 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[4] ),
+	.Y(\soc_top/n_4263 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127326  (
+	.A1(\soc_top/n_3881 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[4] ),
+	.B1(\soc_top/n_3909 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[4] ),
+	.Y(\soc_top/n_4262 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127327  (
+	.A1(\soc_top/n_3997 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[4] ),
+	.B1(\soc_top/n_3970 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[4] ),
+	.Y(\soc_top/n_4261 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127328  (
+	.A1(\soc_top/n_3901 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[19] ),
+	.B1(\soc_top/n_3974 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[19] ),
+	.Y(\soc_top/n_4260 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127329  (
+	.A1(\soc_top/n_3925 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[2] ),
+	.B1(\soc_top/n_3989 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[2] ),
+	.Y(\soc_top/n_4259 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127330  (
+	.A1(\soc_top/n_4003 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[4] ),
+	.B1(\soc_top/n_6572 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[4] ),
+	.Y(\soc_top/n_4258 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127331  (
+	.A1(\soc_top/n_3993 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_21[4] ),
+	.B1(\soc_top/n_3966 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[4] ),
+	.Y(\soc_top/n_4257 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127332  (
+	.A1(\soc_top/n_3903 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_31[4] ),
+	.B1(\soc_top/n_3972 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[4] ),
+	.Y(\soc_top/n_4256 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127333  (
+	.A1(\soc_top/n_3901 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[4] ),
+	.B1(\soc_top/n_3974 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[4] ),
+	.X(\soc_top/n_4255 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127334  (
+	.A1(\soc_top/n_3968 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[4] ),
+	.B1(\soc_top/n_3959 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[4] ),
+	.Y(\soc_top/n_4254 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127335  (
+	.A1(\soc_top/n_3979 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[4] ),
+	.B1(\soc_top/n_4001 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[4] ),
+	.Y(\soc_top/n_4253 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127337  (
+	.A1(\soc_top/n_6578 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[4] ),
+	.B1(\soc_top/n_3887 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[4] ),
+	.Y(\soc_top/n_4251 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127338  (
+	.A1(\soc_top/n_3891 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[4] ),
+	.B1(\soc_top/n_3985 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[4] ),
+	.Y(\soc_top/n_4250 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127339  (
+	.A1(\soc_top/n_3954 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[3] ),
+	.B1(\soc_top/n_3899 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[3] ),
+	.Y(\soc_top/n_4249 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127340  (
+	.A1(\soc_top/n_3907 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[3] ),
+	.B1(\soc_top/n_3956 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[3] ),
+	.Y(\soc_top/n_4248 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127341  (
+	.A1(\soc_top/n_3997 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[3] ),
+	.B1(\soc_top/n_3893 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[3] ),
+	.Y(\soc_top/n_4247 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127342  (
+	.A1(\soc_top/n_3931 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[3] ),
+	.B1(\soc_top/n_3970 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[3] ),
+	.Y(\soc_top/n_4246 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127343  (
+	.A1(\soc_top/n_3881 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[3] ),
+	.B1(\soc_top/n_3909 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[3] ),
+	.Y(\soc_top/n_4245 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127344  (
+	.A1(\soc_top/n_6590 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[3] ),
+	.B1(\soc_top/n_3991 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[3] ),
+	.Y(\soc_top/n_4244 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127345  (
+	.A1(\soc_top/n_3907 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[18] ),
+	.B1(\soc_top/n_3956 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[18] ),
+	.X(\soc_top/n_4243 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127346  (
+	.A1(\soc_top/FE_OFN6657_n_3903 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_31[3] ),
+	.B1(\soc_top/n_6572 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[3] ),
+	.Y(\soc_top/n_4242 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127347  (
+	.A1(\soc_top/n_3954 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[26] ),
+	.B1(\soc_top/n_3899 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[26] ),
+	.Y(\soc_top/n_4241 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127348  (
+	.A1(\soc_top/n_4003 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[3] ),
+	.B1(\soc_top/n_3966 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[3] ),
+	.Y(\soc_top/n_4240 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127349  (
+	.A1(\soc_top/n_3907 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[26] ),
+	.B1(\soc_top/n_3956 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[26] ),
+	.Y(\soc_top/n_4239 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127350  (
+	.A1(\soc_top/FE_OFN6660_n_3971 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_29[3] ),
+	.B1(\soc_top/n_3993 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[3] ),
+	.Y(\soc_top/n_4238 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127351  (
+	.A1(\soc_top/FE_OFN6663_n_6584 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[18] ),
+	.B1(\soc_top/n_3893 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[18] ),
+	.X(\soc_top/n_4237 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127352  (
+	.A1(\soc_top/n_3901 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[3] ),
+	.B1(\soc_top/n_3974 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[3] ),
+	.X(\soc_top/n_4236 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127353  (
+	.A1(\soc_top/n_3968 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[3] ),
+	.B1(\soc_top/n_3959 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[3] ),
+	.Y(\soc_top/n_4235 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127354  (
+	.A1(\soc_top/n_3954 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[30] ),
+	.B1(\soc_top/n_3899 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[30] ),
+	.Y(\soc_top/n_4234 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127355  (
+	.A1(\soc_top/n_3881 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[18] ),
+	.B1(\soc_top/n_3909 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[18] ),
+	.X(\soc_top/n_4233 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127356  (
+	.A1(\soc_top/n_3979 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[3] ),
+	.B1(\soc_top/n_4001 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[3] ),
+	.Y(\soc_top/n_4232 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127357  (
+	.A1(\soc_top/n_6578 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[3] ),
+	.B1(\soc_top/n_3887 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[3] ),
+	.Y(\soc_top/n_4231 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127358  (
+	.A1(\soc_top/n_3891 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[3] ),
+	.B1(\soc_top/n_3985 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[3] ),
+	.Y(\soc_top/n_4230 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127359  (
+	.A1(\soc_top/n_3931 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[26] ),
+	.B1(\soc_top/n_3893 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[26] ),
+	.Y(\soc_top/n_4229 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127360  (
+	.A1(\soc_top/n_3907 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[30] ),
+	.B1(\soc_top/n_3956 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[30] ),
+	.Y(\soc_top/n_4228 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127361  (
+	.A1(\soc_top/n_4003 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[18] ),
+	.B1(\soc_top/n_6572 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[18] ),
+	.Y(\soc_top/n_4227 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127362  (
+	.A1(\soc_top/n_3881 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[26] ),
+	.B1(\soc_top/n_3909 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[26] ),
+	.Y(\soc_top/n_4226 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127363  (
+	.A1(\soc_top/n_3993 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_21[18] ),
+	.B1(\soc_top/n_3966 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[18] ),
+	.Y(\soc_top/n_4225 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127364  (
+	.A1(\soc_top/n_3954 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[2] ),
+	.B1(\soc_top/n_3899 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[2] ),
+	.Y(\soc_top/n_4224 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127365  (
+	.A1(\soc_top/FE_OFN6657_n_3903 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_31[18] ),
+	.B1(\soc_top/FE_OFN6660_n_3971 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[18] ),
+	.Y(\soc_top/n_4223 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127366  (
+	.A1(\soc_top/n_3907 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[2] ),
+	.B1(\soc_top/n_3956 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[2] ),
+	.Y(\soc_top/n_4222 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127367  (
+	.A1(\soc_top/n_3901 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[18] ),
+	.B1(\soc_top/n_3974 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[18] ),
+	.X(\soc_top/n_4221 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127368  (
+	.A1(\soc_top/n_3881 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[2] ),
+	.B1(\soc_top/n_3893 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[2] ),
+	.Y(\soc_top/n_4220 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127369  (
+	.A1(\soc_top/n_3931 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[2] ),
+	.B1(\soc_top/n_3909 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[2] ),
+	.Y(\soc_top/n_4219 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127370  (
+	.A1(\soc_top/n_6590 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[26] ),
+	.B1(\soc_top/n_3991 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[26] ),
+	.Y(\soc_top/n_4218 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127371  (
+	.A1(\soc_top/n_6590 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[2] ),
+	.B1(\soc_top/n_3991 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[2] ),
+	.Y(\soc_top/n_4217 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127372  (
+	.A1(\soc_top/n_3979 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[18] ),
+	.B1(\soc_top/n_4001 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[18] ),
+	.Y(\soc_top/n_4216 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127373  (
+	.A1(\soc_top/n_3881 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[30] ),
+	.B1(\soc_top/n_3893 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[30] ),
+	.Y(\soc_top/n_4215 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127374  (
+	.A1(\soc_top/n_3997 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[2] ),
+	.B1(\soc_top/n_3970 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[2] ),
+	.Y(\soc_top/n_4214 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127375  (
+	.A1(\soc_top/n_3997 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[26] ),
+	.B1(\soc_top/n_3970 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[26] ),
+	.Y(\soc_top/n_4213 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127376  (
+	.A1(\soc_top/n_6578 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[18] ),
+	.B1(\soc_top/n_3887 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[18] ),
+	.Y(\soc_top/n_4212 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127377  (
+	.A1(\soc_top/n_3968 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[2] ),
+	.B1(\soc_top/n_3959 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[2] ),
+	.Y(\soc_top/n_4211 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127378  (
+	.A1(\soc_top/n_3979 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[2] ),
+	.B1(\soc_top/n_4001 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[2] ),
+	.Y(\soc_top/n_4210 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127379  (
+	.A1(\soc_top/n_3968 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[18] ),
+	.B1(\soc_top/n_3959 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[18] ),
+	.Y(\soc_top/n_4209 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127380  (
+	.A1(\soc_top/n_3887 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_11[2] ),
+	.B1(\soc_top/FE_OFN6652_n_3984 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[2] ),
+	.Y(\soc_top/n_4208 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127381  (
+	.A1(\soc_top/FE_OFN6656_n_6594 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[2] ),
+	.B1(\soc_top/n_6578 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[2] ),
+	.Y(\soc_top/n_4207 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127382  (
+	.A1(\soc_top/n_3891 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[18] ),
+	.B1(\soc_top/n_3985 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[18] ),
+	.Y(\soc_top/n_4206 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127383  (
+	.A1(\soc_top/n_3901 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[2] ),
+	.B1(\soc_top/n_3974 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[2] ),
+	.Y(\soc_top/n_4205 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127384  (
+	.A1(\soc_top/n_3903 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_31[2] ),
+	.B1(\soc_top/n_6572 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[2] ),
+	.Y(\soc_top/n_4204 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127385  (
+	.A1(\soc_top/n_3993 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_21[2] ),
+	.B1(\soc_top/n_3966 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[2] ),
+	.Y(\soc_top/n_4203 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127386  (
+	.A1(\soc_top/n_3972 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_29[2] ),
+	.B1(\soc_top/n_4003 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[2] ),
+	.Y(\soc_top/n_4202 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127387  (
+	.A1(\soc_top/n_4003 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[26] ),
+	.B1(\soc_top/n_6572 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[26] ),
+	.Y(\soc_top/n_4201 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127388  (
+	.A1(\soc_top/n_3993 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_21[26] ),
+	.B1(\soc_top/n_3966 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[26] ),
+	.Y(\soc_top/n_4200 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127389  (
+	.A1(\soc_top/n_3903 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_31[26] ),
+	.B1(\soc_top/n_3972 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[26] ),
+	.Y(\soc_top/n_4199 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127390  (
+	.A1(\soc_top/n_3889 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[2] ),
+	.B1(\soc_top/n_3935 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[2] ),
+	.Y(\soc_top/n_4198 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127391  (
+	.A1(\soc_top/n_6590 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[30] ),
+	.B1(\soc_top/n_3991 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[30] ),
+	.Y(\soc_top/n_4197 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127392  (
+	.A1(\soc_top/n_3954 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[1] ),
+	.B1(\soc_top/n_3899 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[1] ),
+	.Y(\soc_top/n_4196 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127393  (
+	.A1(\soc_top/n_3901 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[26] ),
+	.B1(\soc_top/n_3974 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[26] ),
+	.X(\soc_top/n_4195 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127394  (
+	.A1(\soc_top/n_3954 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[17] ),
+	.B1(\soc_top/n_3899 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[17] ),
+	.Y(\soc_top/n_4194 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127395  (
+	.A1(\soc_top/n_3907 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[1] ),
+	.B1(\soc_top/n_3956 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[1] ),
+	.Y(\soc_top/n_4193 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127396  (
+	.A1(\soc_top/n_3907 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[17] ),
+	.B1(\soc_top/n_3956 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[17] ),
+	.Y(\soc_top/n_4192 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127397  (
+	.A1(\soc_top/FE_OFN6663_n_6584 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[1] ),
+	.B1(\soc_top/n_3893 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[1] ),
+	.Y(\soc_top/n_4191 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127398  (
+	.A1(\soc_top/n_6590 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[1] ),
+	.B1(\soc_top/FE_OFN6655_n_6569 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[1] ),
+	.Y(\soc_top/n_4190 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127399  (
+	.A1(\soc_top/n_3881 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[1] ),
+	.B1(\soc_top/n_3909 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[1] ),
+	.Y(\soc_top/n_4189 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127400  (
+	.A1(\soc_top/n_3931 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[30] ),
+	.B1(\soc_top/n_3909 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[30] ),
+	.Y(\soc_top/n_4188 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127401  (
+	.A1(\soc_top/n_3931 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[17] ),
+	.B1(\soc_top/n_3893 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[17] ),
+	.Y(\soc_top/n_4187 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127402  (
+	.A1(\soc_top/FE_OFN6665_n_6568 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[1] ),
+	.B1(\soc_top/n_3970 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[1] ),
+	.Y(\soc_top/n_4186 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127403  (
+	.A1(\soc_top/FE_OFN6657_n_3903 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_31[1] ),
+	.B1(\soc_top/n_6572 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[1] ),
+	.Y(\soc_top/n_4185 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127404  (
+	.A1(\soc_top/n_3968 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[26] ),
+	.B1(\soc_top/n_3959 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[26] ),
+	.Y(\soc_top/n_4184 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127405  (
+	.A1(\soc_top/n_3993 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_21[1] ),
+	.B1(\soc_top/n_3966 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[1] ),
+	.Y(\soc_top/n_4183 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127406  (
+	.A1(\soc_top/n_3881 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[17] ),
+	.B1(\soc_top/n_3909 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[17] ),
+	.Y(\soc_top/n_4182 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127407  (
+	.A1(\soc_top/FE_OFN6660_n_3971 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_29[1] ),
+	.B1(\soc_top/n_4003 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[1] ),
+	.Y(\soc_top/n_4181 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127408  (
+	.A1(\soc_top/n_6590 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[17] ),
+	.B1(\soc_top/n_3991 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[17] ),
+	.Y(\soc_top/n_4180 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127409  (
+	.A1(\soc_top/n_3901 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[1] ),
+	.B1(\soc_top/n_3974 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[1] ),
+	.X(\soc_top/n_4179 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127410  (
+	.A1(\soc_top/n_3979 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[26] ),
+	.B1(\soc_top/n_4001 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[26] ),
+	.Y(\soc_top/n_4178 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127411  (
+	.A1(\soc_top/n_3997 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[17] ),
+	.B1(\soc_top/n_3970 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[17] ),
+	.Y(\soc_top/n_4177 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127412  (
+	.A1(\soc_top/n_3968 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[1] ),
+	.B1(\soc_top/n_3959 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[1] ),
+	.Y(\soc_top/n_4176 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127413  (
+	.A1(\soc_top/n_3979 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[1] ),
+	.B1(\soc_top/n_4001 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[1] ),
+	.Y(\soc_top/n_4175 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127414  (
+	.A1(\soc_top/n_3997 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[30] ),
+	.B1(\soc_top/n_3970 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[30] ),
+	.Y(\soc_top/n_4174 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127415  (
+	.A1(\soc_top/n_6578 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[1] ),
+	.B1(\soc_top/n_3887 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[1] ),
+	.Y(\soc_top/n_4173 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127416  (
+	.A1(\soc_top/n_6578 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[26] ),
+	.B1(\soc_top/n_3887 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[26] ),
+	.Y(\soc_top/n_4172 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127417  (
+	.A1(\soc_top/FE_OFN6656_n_6594 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[1] ),
+	.B1(\soc_top/FE_OFN6652_n_3984 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[1] ),
+	.Y(\soc_top/n_4171 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127418  (
+	.A1(\soc_top/n_3891 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[26] ),
+	.B1(\soc_top/n_3985 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[26] ),
+	.Y(\soc_top/n_4170 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127419  (
+	.A1(\soc_top/n_3901 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[17] ),
+	.B1(\soc_top/n_3974 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[17] ),
+	.X(\soc_top/n_4169 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127420  (
+	.A1(\soc_top/n_3979 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[17] ),
+	.B1(\soc_top/n_4001 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[17] ),
+	.Y(\soc_top/n_4168 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127421  (
+	.A1(\soc_top/n_3954 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[0] ),
+	.B1(\soc_top/n_3899 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[0] ),
+	.Y(\soc_top/n_4167 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127422  (
+	.A1(\soc_top/n_3907 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[0] ),
+	.B1(\soc_top/n_3956 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[0] ),
+	.Y(\soc_top/n_4166 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127423  (
+	.A1(\soc_top/n_6578 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[17] ),
+	.B1(\soc_top/n_3887 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[17] ),
+	.Y(\soc_top/n_4165 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127424  (
+	.A1(\soc_top/n_3968 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[17] ),
+	.B1(\soc_top/n_3959 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[17] ),
+	.Y(\soc_top/n_4164 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127425  (
+	.A1(\soc_top/FE_OFN6665_n_6568 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[0] ),
+	.B1(\soc_top/n_3893 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[0] ),
+	.Y(\soc_top/n_4163 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127426  (
+	.A1(\soc_top/FE_OFN6656_n_6594 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[17] ),
+	.B1(\soc_top/FE_OFN6652_n_3984 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[17] ),
+	.Y(\soc_top/n_4162 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127427  (
+	.A1(\soc_top/FE_OFN6663_n_6584 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[0] ),
+	.B1(\soc_top/n_3970 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[0] ),
+	.Y(\soc_top/n_4161 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127428  (
+	.A1(\soc_top/n_3881 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[0] ),
+	.B1(\soc_top/n_3909 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[0] ),
+	.Y(\soc_top/n_4160 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127429  (
+	.A1(\soc_top/n_6590 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[0] ),
+	.B1(\soc_top/FE_OFN6655_n_6569 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[0] ),
+	.Y(\soc_top/n_4159 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127430  (
+	.A1(\soc_top/FE_OFN6657_n_3903 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_31[0] ),
+	.B1(\soc_top/n_6572 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[0] ),
+	.Y(\soc_top/n_4158 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127431  (
+	.A1(\soc_top/n_3993 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_21[0] ),
+	.B1(\soc_top/n_3966 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[0] ),
+	.Y(\soc_top/n_4157 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127432  (
+	.A1(\soc_top/FE_OFN6660_n_3971 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_29[0] ),
+	.B1(\soc_top/n_4003 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[0] ),
+	.Y(\soc_top/n_4156 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127433  (
+	.A1(\soc_top/n_3901 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[0] ),
+	.B1(\soc_top/n_3974 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[0] ),
+	.X(\soc_top/n_4155 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127434  (
+	.A1(\soc_top/n_3968 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[0] ),
+	.B1(\soc_top/n_3959 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[0] ),
+	.Y(\soc_top/n_4154 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127435  (
+	.A1(\soc_top/n_6578 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[0] ),
+	.B1(\soc_top/n_3887 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[0] ),
+	.Y(\soc_top/n_4153 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127436  (
+	.A1(\soc_top/n_3979 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[0] ),
+	.B1(\soc_top/n_4001 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[0] ),
+	.Y(\soc_top/n_4152 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127437  (
+	.A1(\soc_top/n_3891 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[0] ),
+	.B1(\soc_top/n_3985 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[0] ),
+	.Y(\soc_top/n_4151 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127439  (
+	.A1(\soc_top/n_3901 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[30] ),
+	.B1(\soc_top/n_3974 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[30] ),
+	.X(\soc_top/n_4149 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127440  (
+	.A1(\soc_top/n_3954 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[25] ),
+	.B1(\soc_top/n_3899 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[25] ),
+	.Y(\soc_top/n_4148 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127441  (
+	.A1(\soc_top/n_3907 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[25] ),
+	.B1(\soc_top/n_3956 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[25] ),
+	.Y(\soc_top/n_4147 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127443  (
+	.A1(\soc_top/n_3995 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[31] ),
+	.B1(\soc_top/n_3885 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[31] ),
+	.Y(\soc_top/n_4145 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127444  (
+	.A1(\soc_top/n_3881 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[31] ),
+	.B1(\soc_top/n_3909 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[31] ),
+	.X(\soc_top/n_4144 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127446  (
+	.A1(\soc_top/FE_OFN6663_n_6584 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[25] ),
+	.B1(\soc_top/n_3893 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[25] ),
+	.Y(\soc_top/n_4142 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127447  (
+	.A1(\soc_top/n_3881 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_20[25] ),
+	.B1(\soc_top/n_3909 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[25] ),
+	.Y(\soc_top/n_4141 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127448  (
+	.A1(\soc_top/n_4003 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[16] ),
+	.B1(\soc_top/n_6572 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[16] ),
+	.Y(\soc_top/n_4140 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127450  (
+	.A1(\soc_top/n_3979 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[30] ),
+	.B1(\soc_top/n_4001 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[30] ),
+	.Y(\soc_top/n_4138 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127451  (
+	.A1(\soc_top/FE_OFN6660_n_3971 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_29[16] ),
+	.B1(\soc_top/n_3966 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[16] ),
+	.Y(\soc_top/n_4137 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127453  (
+	.A1(\soc_top/FE_OFN6657_n_3903 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_31[16] ),
+	.B1(\soc_top/n_3993 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[16] ),
+	.Y(\soc_top/n_4135 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127454  (
+	.A1(\soc_top/n_3925 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[31] ),
+	.B1(\soc_top/n_3989 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[31] ),
+	.X(\soc_top/n_4134 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127455  (
+	.A1(\soc_top/n_6578 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[30] ),
+	.B1(\soc_top/n_3887 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[30] ),
+	.Y(\soc_top/n_4133 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127456  (
+	.A1(\soc_top/n_6590 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[25] ),
+	.B1(\soc_top/FE_OFN6655_n_6569 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[25] ),
+	.Y(\soc_top/n_4132 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127457  (
+	.A1(\soc_top/n_3901 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[16] ),
+	.B1(\soc_top/n_3974 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[16] ),
+	.X(\soc_top/n_4131 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127458  (
+	.A1(\soc_top/n_3929 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_30[31] ),
+	.B1(\soc_top/n_3919 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[31] ),
+	.X(\soc_top/n_4130 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127459  (
+	.A1(\soc_top/n_3889 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[31] ),
+	.B1(\soc_top/n_3935 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[31] ),
+	.Y(\soc_top/n_4129 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127460  (
+	.A1(\soc_top/FE_OFN6665_n_6568 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_22[25] ),
+	.B1(\soc_top/n_3970 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[25] ),
+	.Y(\soc_top/n_4128 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127461  (
+	.A1(\soc_top/n_3968 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_5[16] ),
+	.B1(\soc_top/n_3959 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[16] ),
+	.Y(\soc_top/n_4127 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127462  (
+	.A1(\soc_top/n_6578 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[16] ),
+	.B1(\soc_top/n_3887 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[16] ),
+	.Y(\soc_top/n_4126 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127463  (
+	.A1(\soc_top/n_3936 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_25[31] ),
+	.B1(\soc_top/FE_OFN6664_n_3932 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[31] ),
+	.Y(\soc_top/n_4125 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127465  (
+	.A1(\soc_top/n_3939 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_21[31] ),
+	.B1(\soc_top/n_3963 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[31] ),
+	.Y(\soc_top/n_4123 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127466  (
+	.A1(\soc_top/n_3979 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[16] ),
+	.B1(\soc_top/n_4001 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[16] ),
+	.Y(\soc_top/n_4122 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127467  (
+	.A1(\soc_top/n_3999 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[31] ),
+	.B1(\soc_top/n_3987 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[31] ),
+	.X(\soc_top/n_4121 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127468  (
+	.A1(\soc_top/n_3891 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[16] ),
+	.B1(\soc_top/n_3985 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[16] ),
+	.Y(\soc_top/n_4120 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127469  (
+	.A1(\soc_top/n_3895 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[31] ),
+	.B1(\soc_top/n_3983 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[31] ),
+	.X(\soc_top/n_4119 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127470  (
+	.A1(\soc_top/FE_OFN6652_n_3984 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_13[30] ),
+	.B1(\soc_top/n_3968 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[30] ),
+	.Y(\soc_top/n_4118 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127471  (
+	.A1(\soc_top/FE_OFN6661_n_3913 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_29[31] ),
+	.B1(\soc_top/n_3923 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[31] ),
+	.Y(\soc_top/n_4117 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g127472  (
+	.A(\soc_top/n_3964 ),
+	.B(\soc_top/n_6578 ),
+	.C(\soc_top/n_4044 ),
+	.Y(\soc_top/n_4116 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 \soc_top/g127474  (
+	.A(\soc_top/n_6569 ),
+	.B(\soc_top/n_6568 ),
+	.C(\soc_top/n_6574 ),
+	.D(\soc_top/FE_OFN6662_n_6584 ),
+	.X(\soc_top/n_4114 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g127475  (
+	.A(\soc_top/n_6611 ),
+	.B(\soc_top/n_6393 ),
+	.C(\soc_top/n_4011 ),
+	.Y(\soc_top/n_4113 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 \soc_top/g127476  (
+	.A(\soc_top/n_3988 ),
+	.B(\soc_top/n_3924 ),
+	.C(\soc_top/n_6570 ),
+	.D(\soc_top/n_4004 ),
+	.X(\soc_top/n_4112 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 \soc_top/g127477  (
+	.A(\soc_top/n_6595 ),
+	.B(\soc_top/n_6589 ),
+	.C(\soc_top/n_6587 ),
+	.D(\soc_top/n_6586 ),
+	.X(\soc_top/n_4111 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 \soc_top/g127479  (
+	.A(\soc_top/n_6591 ),
+	.B(\soc_top/n_6573 ),
+	.C(\soc_top/FE_OFN6645_n_3980 ),
+	.D(\soc_top/n_3967 ),
+	.X(\soc_top/n_4109 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 \soc_top/g127481  (
+	.A(\soc_top/n_6567 ),
+	.B(\soc_top/n_6575 ),
+	.C(\soc_top/n_3906 ),
+	.D(\soc_top/n_3898 ),
+	.X(\soc_top/n_4107 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 \soc_top/g127482  (
+	.A(\soc_top/n_6593 ),
+	.B(\soc_top/n_6588 ),
+	.C(\soc_top/n_6596 ),
+	.D(\soc_top/n_6597 ),
+	.X(\soc_top/n_4106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g127483  (
+	.A(\soc_top/n_6612 ),
+	.B(\soc_top/n_6391 ),
+	.C(\soc_top/n_4010 ),
+	.Y(\soc_top/n_4105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g127484  (
+	.A(\soc_top/n_3909 ),
+	.B(\soc_top/n_6590 ),
+	.C(\soc_top/n_4009 ),
+	.Y(\soc_top/n_4104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g127485  (
+	.A(\soc_top/n_3886 ),
+	.B(\soc_top/n_3971 ),
+	.C(\soc_top/n_3940 ),
+	.D(\soc_top/n_4002 ),
+	.Y(\soc_top/n_4103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g127517  (
+	.A(\soc_top/FE_OFN6650_n_6582 ),
+	.B_N(\soc_top/core_InstructionDecode_registers_reg_25[14] ),
+	.Y(\soc_top/n_4071 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127520  (
+	.A(\soc_top/FE_OFN6648_n_6611 ),
+	.B(\soc_top/core_InstructionDecode_registers_reg_1[2] ),
+	.Y(\soc_top/n_4068 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 \soc_top/g127534  (
+	.A1(\soc_top/FE_OFN6628_n_3743 ),
+	.A2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[24] ),
+	.B1(\soc_top/n_3762 ),
+	.C1(\soc_top/n_4007 ),
+	.X(\soc_top/core_InstructionDecode_io_writeData[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_2 \soc_top/g127535  (
+	.A1(\soc_top/FE_OFN6628_n_3743 ),
+	.A2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[22] ),
+	.B1(\soc_top/n_3760 ),
+	.C1(\soc_top/n_4007 ),
+	.X(\soc_top/core_InstructionDecode_io_writeData[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 \soc_top/g127536  (
+	.A1(\soc_top/FE_OFN6628_n_3743 ),
+	.A2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[28] ),
+	.B1(\soc_top/n_3756 ),
+	.C1(\soc_top/n_4007 ),
+	.X(\soc_top/core_InstructionDecode_io_writeData[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127537  (
+	.A(\soc_top/n_4006 ),
+	.B(\soc_top/n_6599 ),
+	.Y(\soc_top/core_InstructionDecode_io_writeData[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_2 \soc_top/g127538  (
+	.A1(\soc_top/FE_OFN6628_n_3743 ),
+	.A2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[27] ),
+	.B1(\soc_top/n_3763 ),
+	.C1(\soc_top/n_4007 ),
+	.X(\soc_top/core_InstructionDecode_io_writeData[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127539  (
+	.A(\soc_top/n_4006 ),
+	.B(\soc_top/n_3807 ),
+	.Y(\soc_top/core_InstructionDecode_io_writeData[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127540  (
+	.A(\soc_top/n_4006 ),
+	.B(\soc_top/n_3806 ),
+	.Y(\soc_top/core_InstructionDecode_io_writeData[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g127551  (
+	.A1(\soc_top/n_3594 ),
+	.A2(\soc_top/n_3625 ),
+	.B1(\soc_top/n_6579 ),
+	.Y(\soc_top/n_4044 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127559  (
+	.A(\soc_top/n_3944 ),
+	.B(\soc_top/n_3826 ),
+	.Y(\soc_top/n_4036 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127564  (
+	.A(\soc_top/n_3951 ),
+	.B(\soc_top/n_3861 ),
+	.Y(\soc_top/n_4031 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127565  (
+	.A(\soc_top/n_3948 ),
+	.B(\soc_top/n_3865 ),
+	.Y(\soc_top/n_4030 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127568  (
+	.A(\soc_top/n_3952 ),
+	.B(\soc_top/n_3860 ),
+	.Y(\soc_top/n_4027 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127571  (
+	.A(\soc_top/n_6611 ),
+	.B(\soc_top/core_InstructionDecode_registers_reg_1[6] ),
+	.Y(\soc_top/n_4024 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127584  (
+	.A(\soc_top/n_6583 ),
+	.B(\soc_top/n_6571 ),
+	.Y(\soc_top/n_4011 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127585  (
+	.A(\soc_top/n_3910 ),
+	.B(\soc_top/n_3932 ),
+	.Y(\soc_top/n_4010 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127586  (
+	.A(\soc_top/n_3880 ),
+	.B(\soc_top/FE_OFN5655_n_6577 ),
+	.Y(\soc_top/n_4009 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127587  (
+	.A(\soc_top/n_3904 ),
+	.B(\soc_top/n_3896 ),
+	.Y(\soc_top/n_4008 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 \soc_top/g127588  (
+	.A1(\soc_top/FE_OFN6628_n_3743 ),
+	.A2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[30] ),
+	.B1(\soc_top/n_3759 ),
+	.C1(\soc_top/n_4007 ),
+	.X(\soc_top/core_InstructionDecode_io_writeData[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 \soc_top/g127589  (
+	.A1(\soc_top/FE_OFN6628_n_3743 ),
+	.A2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[16] ),
+	.B1(\soc_top/n_3754 ),
+	.C1(\soc_top/n_4007 ),
+	.X(\soc_top/core_InstructionDecode_io_writeData[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 \soc_top/g127590  (
+	.A1(\soc_top/FE_OFN6628_n_3743 ),
+	.A2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[25] ),
+	.B1(\soc_top/n_3773 ),
+	.C1(\soc_top/n_4007 ),
+	.X(\soc_top/core_InstructionDecode_io_writeData[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 \soc_top/g127591  (
+	.A1(\soc_top/FE_OFN6628_n_3743 ),
+	.A2(\soc_top/FE_OFN5640_gen_dmem_host_io_rspOut_bits_dataResponse_18 ),
+	.B1(\soc_top/n_3758 ),
+	.C1(\soc_top/n_4007 ),
+	.X(\soc_top/core_InstructionDecode_io_writeData[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 \soc_top/g127592  (
+	.A1(\soc_top/FE_OFN6628_n_3743 ),
+	.A2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[26] ),
+	.B1(\soc_top/n_3768 ),
+	.C1(\soc_top/n_4007 ),
+	.X(\soc_top/core_InstructionDecode_io_writeData[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 \soc_top/g127593  (
+	.A1(\soc_top/FE_OFN6628_n_3743 ),
+	.A2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[21] ),
+	.B1(\soc_top/n_3765 ),
+	.C1(\soc_top/n_4007 ),
+	.X(\soc_top/core_InstructionDecode_io_writeData[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127594  (
+	.A(\soc_top/n_3874 ),
+	.B(\soc_top/n_3844 ),
+	.Y(\soc_top/core_InstructionDecode_io_writeData[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a311o_2 \soc_top/g127595  (
+	.A1(\soc_top/n_3702 ),
+	.A2(\soc_top/n_3602 ),
+	.A3(\soc_top/FE_OFN5625_n_3700 ),
+	.B1(\soc_top/n_3846 ),
+	.C1(\soc_top/n_3850 ),
+	.X(\soc_top/core_InstructionDecode_io_writeData[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127596  (
+	.A(\soc_top/n_3878 ),
+	.B(\soc_top/n_3812 ),
+	.Y(\soc_top/core_InstructionDecode_io_writeData[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127597  (
+	.A(\soc_top/n_4006 ),
+	.B(\soc_top/n_3809 ),
+	.Y(\soc_top/core_InstructionDecode_io_writeData[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 \soc_top/g127598  (
+	.A1(\soc_top/FE_OFN6628_n_3743 ),
+	.A2(\soc_top/FE_OFN5630_gen_dmem_host_io_rspOut_bits_dataResponse_20 ),
+	.B1(\soc_top/n_3771 ),
+	.C1(\soc_top/n_4007 ),
+	.X(\soc_top/core_InstructionDecode_io_writeData[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 \soc_top/g127599  (
+	.A1(\soc_top/FE_OFN6628_n_3743 ),
+	.A2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[29] ),
+	.B1(\soc_top/n_3772 ),
+	.C1(\soc_top/n_4007 ),
+	.X(\soc_top/core_InstructionDecode_io_writeData[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/g127602  (
+	.A(\soc_top/n_4002 ),
+	.Y(\soc_top/n_4003 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/g127606  (
+	.A(\soc_top/n_3994 ),
+	.Y(\soc_top/n_3995 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/g127609  (
+	.A(\soc_top/n_3988 ),
+	.Y(\soc_top/n_3989 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/g127610  (
+	.A(\soc_top/n_6570 ),
+	.Y(\soc_top/n_3987 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g127627  (
+	.A1(\soc_top/n_6417 ),
+	.A2(\soc_top/core_mem_reg_result[12] ),
+	.B1(\soc_top/FE_OFN6651_n_3698 ),
+	.B2(\soc_top/core_mem_reg_pc[12] ),
+	.C1(\soc_top/n_3831 ),
+	.C2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[28] ),
+	.Y(\soc_top/n_3952 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g127628  (
+	.A1(\soc_top/n_6417 ),
+	.A2(\soc_top/core_mem_reg_result[14] ),
+	.B1(\soc_top/FE_OFN6651_n_3698 ),
+	.B2(\soc_top/core_mem_reg_pc[14] ),
+	.C1(\soc_top/n_3831 ),
+	.C2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[30] ),
+	.Y(\soc_top/n_3951 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g127630  (
+	.A1(\soc_top/n_6417 ),
+	.A2(\soc_top/core_mem_reg_result[9] ),
+	.B1(\soc_top/n_3698 ),
+	.B2(\soc_top/core_mem_reg_pc[9] ),
+	.C1(\soc_top/n_3831 ),
+	.C2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[25] ),
+	.Y(\soc_top/n_3949 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g127631  (
+	.A1(\soc_top/n_6417 ),
+	.A2(\soc_top/core_mem_reg_result[13] ),
+	.B1(\soc_top/FE_OFN6651_n_3698 ),
+	.B2(\soc_top/core_mem_reg_pc[13] ),
+	.C1(\soc_top/n_3831 ),
+	.C2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[29] ),
+	.Y(\soc_top/n_3948 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g127632  (
+	.A1(\soc_top/n_3600 ),
+	.A2(\soc_top/n_3802 ),
+	.B1(\soc_top/n_3843 ),
+	.Y(\soc_top/n_3947 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g127633  (
+	.A1(\soc_top/n_6417 ),
+	.A2(\soc_top/core_mem_reg_result[11] ),
+	.B1(\soc_top/n_3698 ),
+	.B2(\soc_top/core_mem_reg_pc[11] ),
+	.C1(\soc_top/n_3831 ),
+	.C2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[27] ),
+	.Y(\soc_top/n_3946 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g127634  (
+	.A1(\soc_top/n_6417 ),
+	.A2(\soc_top/core_mem_reg_result[10] ),
+	.B1(\soc_top/n_3698 ),
+	.B2(\soc_top/core_mem_reg_pc[10] ),
+	.C1(\soc_top/n_3831 ),
+	.C2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[26] ),
+	.Y(\soc_top/n_3945 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g127635  (
+	.A1(\soc_top/n_6417 ),
+	.A2(\soc_top/core_mem_reg_result[6] ),
+	.B1(\soc_top/FE_OFN6651_n_3698 ),
+	.B2(\soc_top/core_mem_reg_pc[6] ),
+	.C1(\soc_top/n_3832 ),
+	.C2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[14] ),
+	.Y(\soc_top/n_3944 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g127636  (
+	.A1(\soc_top/n_6417 ),
+	.A2(\soc_top/core_mem_reg_result[8] ),
+	.B1(\soc_top/n_3698 ),
+	.B2(\soc_top/core_mem_reg_pc[8] ),
+	.C1(\soc_top/n_3831 ),
+	.C2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[24] ),
+	.Y(\soc_top/n_3943 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g127637  (
+	.A(\soc_top/n_3847 ),
+	.B(\soc_top/FE_OFN5625_n_3700 ),
+	.X(\soc_top/n_4007 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 \soc_top/g127638  (
+	.A(\soc_top/n_5615 ),
+	.B(\soc_top/n_3631 ),
+	.C(\soc_top/n_3565 ),
+	.Y(\soc_top/n_4004 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g127639  (
+	.A(\soc_top/n_5631 ),
+	.B(\soc_top/n_3630 ),
+	.C(\soc_top/n_3560 ),
+	.Y(\soc_top/n_4002 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g127641  (
+	.A(\soc_top/n_5631 ),
+	.B(\soc_top/n_3619 ),
+	.C(\soc_top/n_3565 ),
+	.Y(\soc_top/n_3998 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127643  (
+	.A(\soc_top/n_5624 ),
+	.B(\soc_top/n_3675 ),
+	.Y(\soc_top/n_3994 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127644  (
+	.A(\soc_top/n_5633 ),
+	.B(\soc_top/n_3647 ),
+	.Y(\soc_top/n_3992 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127646  (
+	.A(\soc_top/n_5630 ),
+	.B(\soc_top/n_3659 ),
+	.Y(\soc_top/n_3988 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g127648  (
+	.A(\soc_top/n_5625 ),
+	.B(\soc_top/n_3636 ),
+	.C(\soc_top/n_3558 ),
+	.Y(\soc_top/n_3984 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g127650  (
+	.A(\soc_top/n_3868 ),
+	.B(\soc_top/n_3634 ),
+	.C(\soc_top/n_3595 ),
+	.Y(\soc_top/n_3980 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g127651  (
+	.A(\soc_top/n_5619 ),
+	.B(\soc_top/n_3636 ),
+	.C(\soc_top/n_3560 ),
+	.Y(\soc_top/n_3978 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g127655  (
+	.A(\soc_top/n_3870 ),
+	.B(\soc_top/n_3626 ),
+	.C(\soc_top/n_3558 ),
+	.Y(\soc_top/n_3971 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127657  (
+	.A(\soc_top/n_5617 ),
+	.B(\soc_top/n_6418 ),
+	.Y(\soc_top/n_3967 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g127659  (
+	.A(\soc_top/n_5626 ),
+	.B(\soc_top/n_3690 ),
+	.X(\soc_top/n_3964 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g127660  (
+	.A(\soc_top/n_5629 ),
+	.B(\soc_top/n_3619 ),
+	.C(\soc_top/n_3562 ),
+	.Y(\soc_top/n_3962 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g127665  (
+	.A(\soc_top/n_5624 ),
+	.B(\soc_top/n_3621 ),
+	.C(\soc_top/n_3558 ),
+	.Y(\soc_top/n_3953 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/g127673  (
+	.A(\soc_top/n_6586 ),
+	.Y(\soc_top/n_3927 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/g127674  (
+	.A(\soc_top/n_3924 ),
+	.Y(\soc_top/n_3925 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/g127675  (
+	.A(\soc_top/n_6587 ),
+	.Y(\soc_top/n_3923 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/g127676  (
+	.A(\soc_top/n_6588 ),
+	.Y(\soc_top/n_3921 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/g127681  (
+	.A(\soc_top/n_3910 ),
+	.Y(\soc_top/n_3911 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/g127683  (
+	.A(\soc_top/n_3906 ),
+	.Y(\soc_top/n_3907 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g127685  (
+	.A(\soc_top/n_6591 ),
+	.Y(\soc_top/n_3903 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/g127686  (
+	.A(\soc_top/n_6592 ),
+	.Y(\soc_top/n_3901 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/g127687  (
+	.A(\soc_top/n_3898 ),
+	.Y(\soc_top/n_3899 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/g127689  (
+	.A(\soc_top/n_6593 ),
+	.Y(\soc_top/n_3895 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/g127690  (
+	.A(\soc_top/n_3892 ),
+	.Y(\soc_top/n_3893 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/g127692  (
+	.A(\soc_top/n_6595 ),
+	.Y(\soc_top/n_3889 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \soc_top/g127694  (
+	.A(\soc_top/n_6596 ),
+	.Y(\soc_top/n_3885 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g127698  (
+	.A(\soc_top/n_6598 ),
+	.B(\soc_top/n_3811 ),
+	.Y(\soc_top/n_3878 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g127702  (
+	.A(\soc_top/n_6421 ),
+	.B(\soc_top/n_6420 ),
+	.Y(\soc_top/n_3874 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127703  (
+	.A(\soc_top/n_5622 ),
+	.B(\soc_top/n_3692 ),
+	.Y(\soc_top/n_3940 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g127704  (
+	.A(\soc_top/n_5633 ),
+	.B(\soc_top/n_3619 ),
+	.C(\soc_top/n_3564 ),
+	.Y(\soc_top/n_3938 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g127707  (
+	.A(\soc_top/n_5617 ),
+	.B(\soc_top/n_3631 ),
+	.C(\soc_top/n_3564 ),
+	.Y(\soc_top/n_3932 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127711  (
+	.A(\soc_top/n_5634 ),
+	.B(\soc_top/n_3660 ),
+	.Y(\soc_top/n_3924 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g127714  (
+	.A(\soc_top/n_5637 ),
+	.B(\soc_top/n_3632 ),
+	.C(\soc_top/n_3565 ),
+	.Y(\soc_top/n_3918 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g127717  (
+	.A(\soc_top/n_3870 ),
+	.B(\soc_top/n_3623 ),
+	.C(\soc_top/n_3564 ),
+	.Y(\soc_top/n_3913 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g127719  (
+	.A(\soc_top/n_5638 ),
+	.B(\soc_top/n_3632 ),
+	.C(\soc_top/n_3564 ),
+	.Y(\soc_top/n_3910 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_4 \soc_top/g127720  (
+	.A(\soc_top/n_5636 ),
+	.B(\soc_top/n_3634 ),
+	.C(\soc_top/n_3558 ),
+	.X(\soc_top/n_3909 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g127722  (
+	.A(\soc_top/n_5618 ),
+	.B(\soc_top/n_3621 ),
+	.C(\soc_top/n_3560 ),
+	.Y(\soc_top/n_3906 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g127723  (
+	.A(\soc_top/n_5604 ),
+	.B(\soc_top/n_3623 ),
+	.C(\soc_top/n_3567 ),
+	.Y(\soc_top/n_3904 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127726  (
+	.A(\soc_top/n_5620 ),
+	.B(\soc_top/n_3652 ),
+	.Y(\soc_top/n_3898 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 \soc_top/g127727  (
+	.A(\soc_top/n_5619 ),
+	.B(\soc_top/n_3631 ),
+	.C(\soc_top/n_3567 ),
+	.Y(\soc_top/n_3896 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g127729  (
+	.A(\soc_top/n_5616 ),
+	.B(\soc_top/n_3621 ),
+	.C(\soc_top/n_3595 ),
+	.Y(\soc_top/n_3892 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g127732  (
+	.A(\soc_top/n_5623 ),
+	.B(\soc_top/n_3624 ),
+	.C(\soc_top/n_3596 ),
+	.Y(\soc_top/n_3886 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g127735  (
+	.A(\soc_top/n_5632 ),
+	.B(\soc_top/n_3633 ),
+	.C(\soc_top/n_3595 ),
+	.Y(\soc_top/n_3880 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g127739  (
+	.A(\soc_top/n_3867 ),
+	.Y(\soc_top/n_3866 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127740  (
+	.A(\soc_top/n_3830 ),
+	.B(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[21] ),
+	.Y(\soc_top/n_3865 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127741  (
+	.A(\soc_top/n_3830 ),
+	.B(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[19] ),
+	.Y(\soc_top/n_3864 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127742  (
+	.A(\soc_top/n_3830 ),
+	.B(\soc_top/FE_OFN5640_gen_dmem_host_io_rspOut_bits_dataResponse_18 ),
+	.Y(\soc_top/n_3863 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127743  (
+	.A(\soc_top/n_3830 ),
+	.B(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[17] ),
+	.Y(\soc_top/n_3862 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127744  (
+	.A(\soc_top/n_3830 ),
+	.B(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[22] ),
+	.Y(\soc_top/n_3861 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127745  (
+	.A(\soc_top/n_3830 ),
+	.B(\soc_top/FE_OFN5630_gen_dmem_host_io_rspOut_bits_dataResponse_20 ),
+	.Y(\soc_top/n_3860 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g127746  (
+	.A(\soc_top/n_3833 ),
+	.B_N(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[12] ),
+	.Y(\soc_top/n_3859 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127748  (
+	.A(\soc_top/n_3830 ),
+	.B(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[16] ),
+	.Y(\soc_top/n_3857 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127749  (
+	.A(\soc_top/n_3834 ),
+	.B(\soc_top/n_3791 ),
+	.Y(\soc_top/n_3870 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127750  (
+	.A(\soc_top/n_6396 ),
+	.B(\soc_top/n_6398 ),
+	.Y(\soc_top/n_5614 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127751  (
+	.A(\soc_top/n_3835 ),
+	.B(\soc_top/n_6398 ),
+	.Y(\soc_top/n_5631 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127752  (
+	.A(\soc_top/n_6395 ),
+	.B(\soc_top/n_6398 ),
+	.Y(\soc_top/n_5615 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127753  (
+	.A(\soc_top/n_6396 ),
+	.B(\soc_top/n_6399 ),
+	.Y(\soc_top/n_5622 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127754  (
+	.A(\soc_top/n_6397 ),
+	.B(\soc_top/n_6398 ),
+	.Y(\soc_top/n_3868 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127755  (
+	.A(\soc_top/n_3836 ),
+	.B(\soc_top/n_6399 ),
+	.Y(\soc_top/n_5637 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127756  (
+	.A(\soc_top/n_3836 ),
+	.B(\soc_top/n_3790 ),
+	.Y(\soc_top/n_5634 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127757  (
+	.A(\soc_top/n_6396 ),
+	.B(\soc_top/n_3790 ),
+	.Y(\soc_top/n_5618 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127758  (
+	.A(\soc_top/n_3837 ),
+	.B(\soc_top/n_3791 ),
+	.Y(\soc_top/n_5625 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127759  (
+	.A(\soc_top/n_3837 ),
+	.B(\soc_top/n_6398 ),
+	.Y(\soc_top/n_5613 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127760  (
+	.A(\soc_top/n_3837 ),
+	.B(\soc_top/n_3790 ),
+	.Y(\soc_top/n_5617 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127761  (
+	.A(\soc_top/n_3840 ),
+	.B(\soc_top/n_3791 ),
+	.Y(\soc_top/n_5624 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127762  (
+	.A(\soc_top/n_3837 ),
+	.B(\soc_top/n_6399 ),
+	.Y(\soc_top/n_5621 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127763  (
+	.A(\soc_top/n_3836 ),
+	.B(\soc_top/n_3791 ),
+	.Y(\soc_top/n_5639 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127764  (
+	.A(\soc_top/n_6396 ),
+	.B(\soc_top/n_3791 ),
+	.Y(\soc_top/n_5626 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127765  (
+	.A(\soc_top/n_3836 ),
+	.B(\soc_top/n_6398 ),
+	.Y(\soc_top/n_5630 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g127766  (
+	.A(\soc_top/n_3738 ),
+	.B(\soc_top/n_3822 ),
+	.X(\soc_top/n_3867 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g127768  (
+	.A(\soc_top/n_6416 ),
+	.Y(\soc_top/n_3852 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g127769  (
+	.A(\soc_top/n_6414 ),
+	.Y(\soc_top/n_5643 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/g127770  (
+	.A1(\soc_top/n_3600 ),
+	.A2(\soc_top/n_3784 ),
+	.B1(\soc_top/n_3604 ),
+	.B2(\soc_top/n_3751 ),
+	.Y(\soc_top/n_3850 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 \soc_top/g127771  (
+	.A1(\soc_top/n_3526 ),
+	.A2(\soc_top/n_3796 ),
+	.B1(\soc_top/n_3544 ),
+	.B2(\soc_top/n_3749 ),
+	.X(\soc_top/n_3849 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g127773  (
+	.A1(\soc_top/n_3779 ),
+	.A2(\soc_top/n_3725 ),
+	.B1(\soc_top/n_3813 ),
+	.Y(\soc_top/n_3847 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 \soc_top/g127774  (
+	.A1(\soc_top/n_3734 ),
+	.A2(\soc_top/n_3617 ),
+	.A3(\soc_top/n_3601 ),
+	.B1(\soc_top/n_3761 ),
+	.Y(\soc_top/n_3846 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 \soc_top/g127776  (
+	.A1(\soc_top/n_3702 ),
+	.A2(\soc_top/n_3720 ),
+	.A3(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[1] ),
+	.B1(\soc_top/n_3815 ),
+	.Y(\soc_top/n_3844 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g127777  (
+	.A1(\soc_top/n_6417 ),
+	.A2(\soc_top/core_mem_reg_result[15] ),
+	.B1(\soc_top/FE_OFN6651_n_3698 ),
+	.B2(\soc_top/core_mem_reg_pc[15] ),
+	.C1(\soc_top/n_3803 ),
+	.C2(\soc_top/n_3605 ),
+	.Y(\soc_top/n_3843 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g127779  (
+	.A1(\soc_top/FE_OFN5664_n_3797 ),
+	.A2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[19] ),
+	.B1(\soc_top/n_3782 ),
+	.Y(\soc_top/n_3841 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127780  (
+	.A(\soc_top/n_3834 ),
+	.B(\soc_top/n_6399 ),
+	.Y(\soc_top/n_3856 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127781  (
+	.A(\soc_top/n_3840 ),
+	.B(\soc_top/n_3790 ),
+	.Y(\soc_top/n_5616 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127782  (
+	.A(\soc_top/n_6397 ),
+	.B(\soc_top/n_3790 ),
+	.Y(\soc_top/n_5632 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127783  (
+	.A(\soc_top/n_6397 ),
+	.B(\soc_top/n_6399 ),
+	.Y(\soc_top/n_5636 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127784  (
+	.A(\soc_top/n_6397 ),
+	.B(\soc_top/n_3791 ),
+	.Y(\soc_top/n_5638 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127785  (
+	.A(\soc_top/n_6395 ),
+	.B(\soc_top/n_3791 ),
+	.Y(\soc_top/n_5627 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127786  (
+	.A(\soc_top/n_3835 ),
+	.B(\soc_top/n_3791 ),
+	.Y(\soc_top/n_5604 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127787  (
+	.A(\soc_top/n_3834 ),
+	.B(\soc_top/n_3790 ),
+	.Y(\soc_top/n_5633 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127788  (
+	.A(\soc_top/n_3834 ),
+	.B(\soc_top/n_6398 ),
+	.Y(\soc_top/n_5629 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127789  (
+	.A(\soc_top/n_6395 ),
+	.B(\soc_top/n_3790 ),
+	.Y(\soc_top/n_5619 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127790  (
+	.A(\soc_top/n_3835 ),
+	.B(\soc_top/n_6399 ),
+	.Y(\soc_top/n_5603 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127791  (
+	.A(\soc_top/n_6395 ),
+	.B(\soc_top/n_6399 ),
+	.Y(\soc_top/n_5623 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127792  (
+	.A(\soc_top/n_3840 ),
+	.B(\soc_top/n_6399 ),
+	.Y(\soc_top/n_5620 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127793  (
+	.A(\soc_top/n_3835 ),
+	.B(\soc_top/n_3790 ),
+	.Y(\soc_top/n_5635 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g127799  (
+	.A(\soc_top/n_3834 ),
+	.Y(\soc_top/n_5640 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127801  (
+	.A(\soc_top/n_3795 ),
+	.B(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[27] ),
+	.Y(\soc_top/n_3829 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127802  (
+	.A(\soc_top/n_3795 ),
+	.B(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[28] ),
+	.Y(\soc_top/n_3828 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127804  (
+	.A(\soc_top/n_3795 ),
+	.B(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[30] ),
+	.Y(\soc_top/n_3826 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127805  (
+	.A(\soc_top/n_3795 ),
+	.B(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[26] ),
+	.Y(\soc_top/n_3825 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \soc_top/g127806  (
+	.A(\soc_top/n_3745 ),
+	.B(\soc_top/n_3750 ),
+	.C(\soc_top/n_3705 ),
+	.X(\soc_top/n_3840 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g127809  (
+	.A(\soc_top/n_3745 ),
+	.B(\soc_top/n_3777 ),
+	.Y(\soc_top/n_3837 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g127810  (
+	.A(\soc_top/n_3804 ),
+	.B(\soc_top/n_3745 ),
+	.X(\soc_top/n_3836 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g127811  (
+	.A(\soc_top/n_3804 ),
+	.B(\soc_top/n_3744 ),
+	.X(\soc_top/n_3835 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g127812  (
+	.A(\soc_top/n_3799 ),
+	.B(\soc_top/n_3744 ),
+	.X(\soc_top/n_3834 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127813  (
+	.A(\soc_top/n_3801 ),
+	.B(\soc_top/n_3618 ),
+	.Y(\soc_top/n_3833 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g127814  (
+	.A(\soc_top/n_3803 ),
+	.B(\soc_top/FE_OFN6738_gen_dmem_host_io_rspOut_valid ),
+	.X(\soc_top/n_3831 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g127815  (
+	.A(\soc_top/n_5546 ),
+	.B(\soc_top/n_3802 ),
+	.Y(\soc_top/n_3830 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g127819  (
+	.A1(\soc_top/n_3726 ),
+	.A2(\soc_top/n_3608 ),
+	.B1(\soc_top/n_3757 ),
+	.Y(\soc_top/n_3815 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 \soc_top/g127821  (
+	.A1(\soc_top/n_3639 ),
+	.A2(\soc_top/n_3674 ),
+	.B1(\soc_top/n_3673 ),
+	.C1(\soc_top/n_3731 ),
+	.Y(\soc_top/n_3813 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g127822  (
+	.A(\soc_top/n_3742 ),
+	.B(\soc_top/n_3780 ),
+	.Y(\soc_top/n_3812 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g127823  (
+	.A(\soc_top/n_3696 ),
+	.B(\soc_top/n_3800 ),
+	.Y(\soc_top/n_3811 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g127824  (
+	.A(\soc_top/n_3781 ),
+	.B(\soc_top/FE_OFN6738_gen_dmem_host_io_rspOut_valid ),
+	.C(\soc_top/core_MEM_offset[1] ),
+	.Y(\soc_top/n_3810 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g127825  (
+	.A1(\soc_top/n_6417 ),
+	.A2(\soc_top/core_mem_reg_result[19] ),
+	.B1(\soc_top/FE_OFN6651_n_3698 ),
+	.B2(\soc_top/core_mem_reg_pc[19] ),
+	.C1(\soc_top/FE_OFN6628_n_3743 ),
+	.C2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[19] ),
+	.Y(\soc_top/n_3809 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g127827  (
+	.A1(\soc_top/n_6417 ),
+	.A2(\soc_top/core_mem_reg_result[17] ),
+	.B1(\soc_top/FE_OFN6651_n_3698 ),
+	.B2(\soc_top/core_mem_reg_pc[17] ),
+	.C1(\soc_top/FE_OFN6628_n_3743 ),
+	.C2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[17] ),
+	.Y(\soc_top/n_3807 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g127828  (
+	.A1(\soc_top/n_6417 ),
+	.A2(\soc_top/core_mem_reg_result[31] ),
+	.B1(\soc_top/FE_OFN6651_n_3698 ),
+	.B2(\soc_top/core_mem_reg_pc[31] ),
+	.C1(\soc_top/FE_OFN6628_n_3743 ),
+	.C2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[31] ),
+	.Y(\soc_top/n_3806 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g127829  (
+	.A(\soc_top/n_3732 ),
+	.B(\soc_top/n_3730 ),
+	.C(\soc_top/n_3711 ),
+	.Y(\soc_top/n_3822 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31o_2 \soc_top/g127831  (
+	.A1(\soc_top/n_3720 ),
+	.A2(\soc_top/n_3569 ),
+	.A3(\soc_top/n_6422 ),
+	.B1(\soc_top/n_3743 ),
+	.X(\soc_top/n_3820 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_2 \soc_top/g127833  (
+	.A1(\soc_top/core_ex_reg_ins[10] ),
+	.A2(\soc_top/n_3534 ),
+	.B1(\soc_top/n_3640 ),
+	.B2(\soc_top/FE_OFN44_core_if_reg_ins_23 ),
+	.C1(\soc_top/n_3774 ),
+	.X(\soc_top/n_3817 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g127834  (
+	.A(\soc_top/n_3800 ),
+	.Y(\soc_top/n_3801 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g127842  (
+	.A(\soc_top/n_3644 ),
+	.B(\soc_top/n_3618 ),
+	.C(\soc_top/n_3722 ),
+	.Y(\soc_top/n_3784 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127843  (
+	.A(\soc_top/n_3748 ),
+	.B(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[2] ),
+	.Y(\soc_top/n_3783 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g127844  (
+	.A(\soc_top/n_3749 ),
+	.B_N(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[3] ),
+	.Y(\soc_top/n_3782 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127845  (
+	.A(\soc_top/n_3728 ),
+	.B(\soc_top/n_3727 ),
+	.Y(\soc_top/n_3781 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g127846  (
+	.A(\soc_top/n_3590 ),
+	.B(\soc_top/n_3751 ),
+	.Y(\soc_top/n_3780 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g127847  (
+	.A1(\soc_top/n_3645 ),
+	.A2(\soc_top/n_3723 ),
+	.B1(\soc_top/core_MEM_offset[1] ),
+	.Y(\soc_top/n_3779 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g127848  (
+	.A(\soc_top/n_3724 ),
+	.B(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[16] ),
+	.C(\soc_top/core_MEM_offset[1] ),
+	.Y(\soc_top/n_3778 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g127849  (
+	.A(\soc_top/n_6601 ),
+	.B(\soc_top/n_3750 ),
+	.Y(\soc_top/n_3804 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127850  (
+	.A(\soc_top/n_3750 ),
+	.B(\soc_top/n_3705 ),
+	.Y(\soc_top/n_3777 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g127851  (
+	.A(\soc_top/n_3721 ),
+	.B(\soc_top/n_3598 ),
+	.C(\soc_top/n_6388 ),
+	.Y(\soc_top/n_3803 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \soc_top/g127852  (
+	.A_N(\soc_top/n_3734 ),
+	.B(\soc_top/n_3571 ),
+	.C(\soc_top/n_6422 ),
+	.Y(\soc_top/n_3802 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127853  (
+	.A(\soc_top/n_6602 ),
+	.B(\soc_top/FE_OFN6738_gen_dmem_host_io_rspOut_valid ),
+	.Y(\soc_top/n_3800 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g127854  (
+	.A(\soc_top/n_6601 ),
+	.B_N(\soc_top/n_3750 ),
+	.Y(\soc_top/n_3799 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g127855  (
+	.A(\soc_top/n_3704 ),
+	.B(\soc_top/n_3750 ),
+	.Y(\soc_top/n_3798 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g127856  (
+	.A(\soc_top/n_3753 ),
+	.B(\soc_top/n_3703 ),
+	.Y(\soc_top/n_3797 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g127857  (
+	.A(\soc_top/n_5546 ),
+	.B(\soc_top/n_3751 ),
+	.Y(\soc_top/n_3795 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 \soc_top/g127859  (
+	.A(\soc_top/n_3747 ),
+	.B(\soc_top/n_3746 ),
+	.X(\soc_top/n_3791 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 \soc_top/g127860  (
+	.A(\soc_top/n_3746 ),
+	.B(\soc_top/n_6037 ),
+	.X(\soc_top/n_3790 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g127861  (
+	.A(\soc_top/n_3713 ),
+	.B(\soc_top/n_3672 ),
+	.C(\soc_top/n_3729 ),
+	.Y(\soc_top/n_3789 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \soc_top/g127864  (
+	.A(\soc_top/n_3706 ),
+	.B(\soc_top/n_3707 ),
+	.C(\soc_top/n_3712 ),
+	.D(\soc_top/n_3708 ),
+	.Y(\soc_top/n_3774 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127865  (
+	.A1(\soc_top/core_mem_reg_result[25] ),
+	.A2(\soc_top/n_6417 ),
+	.B1(\soc_top/core_mem_reg_pc[25] ),
+	.B2(\soc_top/n_3698 ),
+	.X(\soc_top/n_3773 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127866  (
+	.A1(\soc_top/core_mem_reg_result[29] ),
+	.A2(\soc_top/n_6417 ),
+	.B1(\soc_top/core_mem_reg_pc[29] ),
+	.B2(\soc_top/n_3698 ),
+	.X(\soc_top/n_3772 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127867  (
+	.A1(\soc_top/core_mem_reg_result[20] ),
+	.A2(\soc_top/n_6417 ),
+	.B1(\soc_top/core_mem_reg_pc[20] ),
+	.B2(\soc_top/FE_OFN6651_n_3698 ),
+	.X(\soc_top/n_3771 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127870  (
+	.A1(\soc_top/core_mem_reg_result[26] ),
+	.A2(\soc_top/n_6417 ),
+	.B1(\soc_top/core_mem_reg_pc[26] ),
+	.B2(\soc_top/n_3698 ),
+	.X(\soc_top/n_3768 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127872  (
+	.A1(\soc_top/core_mem_reg_result[4] ),
+	.A2(\soc_top/n_6417 ),
+	.B1(\soc_top/core_mem_reg_pc[4] ),
+	.B2(\soc_top/n_3698 ),
+	.X(\soc_top/n_3766 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127873  (
+	.A1(\soc_top/core_mem_reg_result[21] ),
+	.A2(\soc_top/n_6417 ),
+	.B1(\soc_top/core_mem_reg_pc[21] ),
+	.B2(\soc_top/FE_OFN6651_n_3698 ),
+	.X(\soc_top/n_3765 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127874  (
+	.A1(\soc_top/core_mem_reg_result[5] ),
+	.A2(\soc_top/n_6417 ),
+	.B1(\soc_top/core_mem_reg_pc[5] ),
+	.B2(\soc_top/FE_OFN6651_n_3698 ),
+	.X(\soc_top/n_3764 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127875  (
+	.A1(\soc_top/core_mem_reg_result[27] ),
+	.A2(\soc_top/n_6417 ),
+	.B1(\soc_top/core_mem_reg_pc[27] ),
+	.B2(\soc_top/n_3698 ),
+	.X(\soc_top/n_3763 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127876  (
+	.A1(\soc_top/core_mem_reg_result[24] ),
+	.A2(\soc_top/n_6417 ),
+	.B1(\soc_top/core_mem_reg_pc[24] ),
+	.B2(\soc_top/n_3698 ),
+	.X(\soc_top/n_3762 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127877  (
+	.A1(\soc_top/core_mem_reg_result[7] ),
+	.A2(\soc_top/n_6417 ),
+	.B1(\soc_top/core_mem_reg_pc[7] ),
+	.B2(\soc_top/n_3698 ),
+	.Y(\soc_top/n_3761 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127878  (
+	.A1(\soc_top/core_mem_reg_result[22] ),
+	.A2(\soc_top/n_6417 ),
+	.B1(\soc_top/core_mem_reg_pc[22] ),
+	.B2(\soc_top/FE_OFN6651_n_3698 ),
+	.X(\soc_top/n_3760 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127879  (
+	.A1(\soc_top/core_mem_reg_result[30] ),
+	.A2(\soc_top/n_6417 ),
+	.B1(\soc_top/core_mem_reg_pc[30] ),
+	.B2(\soc_top/n_3698 ),
+	.X(\soc_top/n_3759 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127880  (
+	.A1(\soc_top/core_mem_reg_result[18] ),
+	.A2(\soc_top/n_6417 ),
+	.B1(\soc_top/core_mem_reg_pc[18] ),
+	.B2(\soc_top/FE_OFN6651_n_3698 ),
+	.X(\soc_top/n_3758 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g127881  (
+	.A1(\soc_top/core_mem_reg_result[1] ),
+	.A2(\soc_top/n_6417 ),
+	.B1(\soc_top/core_mem_reg_pc[1] ),
+	.B2(\soc_top/FE_OFN6651_n_3698 ),
+	.Y(\soc_top/n_3757 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127882  (
+	.A1(\soc_top/core_mem_reg_result[28] ),
+	.A2(\soc_top/n_6417 ),
+	.B1(\soc_top/core_mem_reg_pc[28] ),
+	.B2(\soc_top/n_3698 ),
+	.X(\soc_top/n_3756 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g127884  (
+	.A1(\soc_top/core_mem_reg_result[16] ),
+	.A2(\soc_top/n_6417 ),
+	.B1(\soc_top/core_mem_reg_pc[16] ),
+	.B2(\soc_top/n_3698 ),
+	.X(\soc_top/n_3754 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g127886  (
+	.A(\soc_top/n_3749 ),
+	.Y(\soc_top/n_3748 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g127887  (
+	.A(\soc_top/n_6037 ),
+	.Y(\soc_top/n_3747 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g127889  (
+	.A(\soc_top/n_3745 ),
+	.Y(\soc_top/n_3744 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g127890  (
+	.A(\soc_top/n_3714 ),
+	.B(\soc_top/n_3701 ),
+	.Y(\soc_top/n_3742 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g127891  (
+	.A(\soc_top/n_5610 ),
+	.B_N(\soc_top/n_5611 ),
+	.Y(\soc_top/n_3741 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127892  (
+	.A(\soc_top/core_mem_reg_result[0] ),
+	.B(\soc_top/n_6417 ),
+	.Y(\soc_top/n_3740 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127894  (
+	.A(\soc_top/n_3618 ),
+	.B(\soc_top/n_3722 ),
+	.Y(\soc_top/n_3753 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127895  (
+	.A(\soc_top/n_3643 ),
+	.B(\soc_top/n_3722 ),
+	.Y(\soc_top/n_3751 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127896  (
+	.A(\soc_top/n_3719 ),
+	.B(\soc_top/core_mem_reg_wra[1] ),
+	.Y(\soc_top/n_3750 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127897  (
+	.A(\soc_top/n_3702 ),
+	.B(\soc_top/n_3720 ),
+	.Y(\soc_top/n_3749 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127898  (
+	.A(\soc_top/n_3719 ),
+	.B(\soc_top/core_mem_reg_wra[3] ),
+	.Y(\soc_top/n_6037 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127899  (
+	.A(\soc_top/n_3719 ),
+	.B(\soc_top/core_mem_reg_wra[2] ),
+	.Y(\soc_top/n_6036 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127900  (
+	.A(\soc_top/n_3719 ),
+	.B(\soc_top/core_mem_reg_wra[0] ),
+	.Y(\soc_top/n_3745 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g127901  (
+	.A(\soc_top/n_3617 ),
+	.B(\soc_top/n_3720 ),
+	.X(\soc_top/n_3743 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g127903  (
+	.A(\soc_top/n_6602 ),
+	.Y(\soc_top/n_3734 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g127904  (
+	.A1(\soc_top/core_mem_reg_ins[11] ),
+	.A2(\soc_top/n_2701 ),
+	.B1(\soc_top/n_3541 ),
+	.B2(\soc_top/core_ex_reg_ins[8] ),
+	.C1(\soc_top/n_3710 ),
+	.Y(\soc_top/n_3732 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g127905  (
+	.A1(\soc_top/n_3570 ),
+	.A2(\soc_top/n_3603 ),
+	.B1(\soc_top/n_3674 ),
+	.Y(\soc_top/n_3731 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g127906  (
+	.A1(\soc_top/core_mem_reg_ins[9] ),
+	.A2(\soc_top/FE_OFN5631_core_ex_reg_ins_9 ),
+	.B1(\soc_top/core_mem_reg_ins[10] ),
+	.B2(\soc_top/n_3640 ),
+	.C1(\soc_top/n_3709 ),
+	.Y(\soc_top/n_3730 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g127907  (
+	.A(\soc_top/n_3699 ),
+	.B(\soc_top/core_MEM_funct3[2] ),
+	.C(\soc_top/n_6422 ),
+	.Y(\soc_top/n_3729 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g127908  (
+	.A(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[16] ),
+	.B(\soc_top/core_MEM_funct3[1] ),
+	.C(\soc_top/FE_OFN5625_n_3700 ),
+	.D(\soc_top/core_MEM_funct3[2] ),
+	.Y(\soc_top/n_3728 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g127909  (
+	.A(\soc_top/n_3543 ),
+	.B(\soc_top/n_3532 ),
+	.C(\soc_top/FE_OFN5625_n_3700 ),
+	.D(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[16] ),
+	.Y(\soc_top/n_3727 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g127910  (
+	.A(\soc_top/FE_OFN6738_gen_dmem_host_io_rspOut_valid ),
+	.B(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[25] ),
+	.C(\soc_top/FE_OFN5625_n_3700 ),
+	.Y(\soc_top/n_3726 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 \soc_top/g127911  (
+	.A1(\soc_top/n_3637 ),
+	.A2(\soc_top/n_3645 ),
+	.B1(\soc_top/n_3673 ),
+	.C1(\soc_top/n_3678 ),
+	.Y(\soc_top/n_3725 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g127912  (
+	.A(\soc_top/n_5546 ),
+	.B(\soc_top/n_3717 ),
+	.Y(\soc_top/n_3724 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_2 \soc_top/g127913  (
+	.A(\soc_top/n_3612 ),
+	.B(\soc_top/n_3611 ),
+	.C(\soc_top/n_6419 ),
+	.D(\soc_top/n_3649 ),
+	.Y(\soc_top/n_3738 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g127914  (
+	.A(\soc_top/n_3648 ),
+	.B(\soc_top/n_3616 ),
+	.C(\soc_top/n_3615 ),
+	.D(\soc_top/n_3613 ),
+	.Y(\soc_top/n_3736 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g127917  (
+	.A(\soc_top/n_3638 ),
+	.Y(\soc_top/n_3723 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g127918  (
+	.A(\soc_top/n_3721 ),
+	.Y(\soc_top/n_3722 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127919  (
+	.A(\soc_top/core_MEM_funct3[0] ),
+	.B(\soc_top/FE_OFN5625_n_3700 ),
+	.Y(\soc_top/n_3717 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127920  (
+	.A(\soc_top/core_mem_reg_pc[0] ),
+	.B(\soc_top/n_3698 ),
+	.Y(\soc_top/n_3716 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g127922  (
+	.A(\soc_top/gen_dmem_host_io_rspOut_valid ),
+	.B(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[0] ),
+	.C(\soc_top/FE_OFN5625_n_3700 ),
+	.Y(\soc_top/n_3714 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127923  (
+	.A(\soc_top/n_3650 ),
+	.B(\soc_top/core_MEM_offset[0] ),
+	.Y(\soc_top/n_3713 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/g127924  (
+	.A1(\soc_top/core_ex_reg_ins[11] ),
+	.A2(\soc_top/FE_OFN48_core_if_reg_ins_19 ),
+	.B1(\soc_top/n_2701 ),
+	.B2(\soc_top/FE_DBTN6_core_if_reg_ins_19 ),
+	.Y(\soc_top/n_5612 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127925  (
+	.A(\soc_top/core_MEM_offset[1] ),
+	.B(\soc_top/FE_OFN5625_n_3700 ),
+	.Y(\soc_top/n_3721 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g127926  (
+	.A(\soc_top/n_3699 ),
+	.B(\soc_top/n_5546 ),
+	.Y(\soc_top/n_3720 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_8 \soc_top/g127927  (
+	.A(\soc_top/n_5546 ),
+	.B(\soc_top/FE_OFN5625_n_3700 ),
+	.Y(\soc_top/n_3719 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g127929  (
+	.A(\soc_top/core_ex_reg_ins[8] ),
+	.B(\soc_top/FE_OFN46_core_if_reg_ins_21 ),
+	.X(\soc_top/n_3712 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/g127930  (
+	.A(\soc_top/core_ex_reg_ins[7] ),
+	.B(\soc_top/core_mem_reg_ins[7] ),
+	.Y(\soc_top/n_3711 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/g127931  (
+	.A1(\soc_top/FE_OFN5631_core_ex_reg_ins_9 ),
+	.A2(\soc_top/core_mem_reg_ins[9] ),
+	.B1(\soc_top/n_3640 ),
+	.B2(\soc_top/core_mem_reg_ins[10] ),
+	.Y(\soc_top/n_3710 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/g127932  (
+	.A1(\soc_top/core_ex_reg_ins[8] ),
+	.A2(\soc_top/n_3541 ),
+	.B1(\soc_top/n_2701 ),
+	.B2(\soc_top/core_mem_reg_ins[11] ),
+	.Y(\soc_top/n_3709 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/g127933  (
+	.A1(\soc_top/FE_OFN6740_core_ex_reg_ins_9 ),
+	.A2(\soc_top/FE_DBTN9_core_if_reg_ins_22 ),
+	.B1(\soc_top/FE_OFN5631_core_ex_reg_ins_9 ),
+	.B2(\soc_top/FE_OFN45_core_if_reg_ins_22 ),
+	.Y(\soc_top/n_3708 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/g127934  (
+	.A1(\soc_top/core_ex_reg_ins[11] ),
+	.A2(\soc_top/FE_DBTN10_core_if_reg_ins_24 ),
+	.B1(\soc_top/n_2701 ),
+	.B2(\soc_top/FE_OFN43_core_if_reg_ins_24 ),
+	.Y(\soc_top/n_3707 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g127935  (
+	.A(\soc_top/core_ex_reg_ins[7] ),
+	.B(\soc_top/FE_OFN47_core_if_reg_ins_20 ),
+	.X(\soc_top/n_3706 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/g127936  (
+	.A1(\soc_top/core_ex_reg_ins[10] ),
+	.A2(\soc_top/FE_OFN49_core_if_reg_ins_18 ),
+	.B1(\soc_top/n_3640 ),
+	.B2(\soc_top/n_3522 ),
+	.Y(\soc_top/n_5608 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g127937  (
+	.A(\soc_top/core_ex_reg_ins[7] ),
+	.B(\soc_top/FE_OFN52_core_if_reg_ins_15 ),
+	.X(\soc_top/n_5610 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/g127938  (
+	.A1(\soc_top/FE_OFN6740_core_ex_reg_ins_9 ),
+	.A2(\soc_top/FE_DBTN5_core_if_reg_ins_17 ),
+	.B1(\soc_top/FE_OFN5631_core_ex_reg_ins_9 ),
+	.B2(\soc_top/FE_OFN50_core_if_reg_ins_17 ),
+	.Y(\soc_top/n_5609 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top/g127939  (
+	.A1_N(\soc_top/core_ex_reg_ins[8] ),
+	.A2_N(\soc_top/FE_OFN51_core_if_reg_ins_16 ),
+	.B1(\soc_top/core_ex_reg_ins[8] ),
+	.B2(\soc_top/FE_OFN51_core_if_reg_ins_16 ),
+	.Y(\soc_top/n_5611 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/g127940  (
+	.A(\soc_top/n_3704 ),
+	.Y(\soc_top/n_3705 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/g127941  (
+	.A(\soc_top/n_3701 ),
+	.Y(\soc_top/n_3702 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127944  (
+	.A(\soc_top/n_3618 ),
+	.B(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[8] ),
+	.Y(\soc_top/n_3696 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g127948  (
+	.A(\soc_top/n_3597 ),
+	.B(\soc_top/n_3625 ),
+	.Y(\soc_top/n_3692 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g127950  (
+	.A(\soc_top/n_3597 ),
+	.B(\soc_top/n_3620 ),
+	.Y(\soc_top/n_3690 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g127959  (
+	.A(\soc_top/n_3561 ),
+	.B(\soc_top/n_3629 ),
+	.Y(\soc_top/n_3681 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127962  (
+	.A(\soc_top/n_3646 ),
+	.B(\soc_top/n_3637 ),
+	.Y(\soc_top/n_3678 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g127965  (
+	.A(\soc_top/n_3563 ),
+	.B(\soc_top/n_3622 ),
+	.Y(\soc_top/n_3675 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/g127966  (
+	.A_N(\soc_top/core_mem_reg_wra[4] ),
+	.B(\soc_top/core_mem_reg_ctl_regWrite ),
+	.Y(\soc_top/n_3704 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g127967  (
+	.A(\soc_top/n_3644 ),
+	.B(\soc_top/FE_OFN6738_gen_dmem_host_io_rspOut_valid ),
+	.Y(\soc_top/n_3703 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g127968  (
+	.A(\soc_top/n_3618 ),
+	.B(\soc_top/n_3570 ),
+	.X(\soc_top/n_3701 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_4 \soc_top/g127969  (
+	.A_N(\soc_top/core_mem_reg_ctl_memToReg[1] ),
+	.B(\soc_top/core_mem_reg_ctl_memToReg[0] ),
+	.Y(\soc_top/n_3700 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 \soc_top/g127970  (
+	.A_N(\soc_top/core_mem_reg_ctl_memToReg[0] ),
+	.B(\soc_top/core_mem_reg_ctl_memToReg[1] ),
+	.X(\soc_top/n_3698 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 \soc_top/g127971  (
+	.A1(\soc_top/n_3600 ),
+	.A2(\soc_top/n_3532 ),
+	.A3(\soc_top/core_MEM_offset[1] ),
+	.B1(\soc_top/n_3603 ),
+	.B2(\soc_top/n_3569 ),
+	.Y(\soc_top/n_3672 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g127983  (
+	.A(\soc_top/n_3568 ),
+	.B(\soc_top/n_3629 ),
+	.Y(\soc_top/n_3660 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g127984  (
+	.A(\soc_top/n_3566 ),
+	.B(\soc_top/n_3629 ),
+	.Y(\soc_top/n_3659 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g127985  (
+	.A(\soc_top/n_3563 ),
+	.B(\soc_top/n_3629 ),
+	.Y(\soc_top/n_3658 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g127991  (
+	.A(\soc_top/FE_OFN5683_n_3557 ),
+	.B(\soc_top/n_3625 ),
+	.Y(\soc_top/n_3652 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/g127993  (
+	.A1(\soc_top/n_3601 ),
+	.A2(\soc_top/n_5544 ),
+	.B1(\soc_top/n_3646 ),
+	.X(\soc_top/n_3650 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g127994  (
+	.A1(\soc_top/core_mem_reg_ins[8] ),
+	.A2(\soc_top/FE_DBTN8_core_if_reg_ins_21 ),
+	.B1(\soc_top/core_mem_reg_ins[10] ),
+	.B2(\soc_top/n_3534 ),
+	.C1(\soc_top/n_3609 ),
+	.Y(\soc_top/n_3649 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g127995  (
+	.A1(\soc_top/FE_OFN49_core_if_reg_ins_18 ),
+	.A2(\soc_top/n_3524 ),
+	.B1(\soc_top/n_3522 ),
+	.B2(\soc_top/core_mem_reg_ins[10] ),
+	.C1(\soc_top/n_3614 ),
+	.Y(\soc_top/n_3648 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g127996  (
+	.A(\soc_top/n_3594 ),
+	.B_N(\soc_top/n_3626 ),
+	.Y(\soc_top/n_3647 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g127997  (
+	.A1(\soc_top/n_3571 ),
+	.A2(\soc_top/n_3532 ),
+	.B1(\soc_top/n_3638 ),
+	.Y(\soc_top/n_3674 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g127998  (
+	.A1(\soc_top/n_3543 ),
+	.A2(\soc_top/core_MEM_funct3[2] ),
+	.B1(\soc_top/n_3617 ),
+	.Y(\soc_top/n_3673 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g127999  (
+	.A(\soc_top/n_3643 ),
+	.Y(\soc_top/n_3644 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/g128001  (
+	.A(\soc_top/core_ex_reg_ins[11] ),
+	.Y(\soc_top/n_2701 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/g128002  (
+	.A(\soc_top/core_ex_reg_ins[10] ),
+	.Y(\soc_top/n_3640 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128008  (
+	.A(\soc_top/core_MEM_offset[1] ),
+	.B(\soc_top/n_3601 ),
+	.Y(\soc_top/n_3639 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128020  (
+	.A(\soc_top/n_5544 ),
+	.B(\soc_top/n_3605 ),
+	.Y(\soc_top/n_3646 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128021  (
+	.A(\soc_top/n_3569 ),
+	.B(\soc_top/n_3600 ),
+	.Y(\soc_top/n_3645 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128025  (
+	.A(\soc_top/n_3532 ),
+	.B(\soc_top/n_6422 ),
+	.Y(\soc_top/n_3643 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/g128032  (
+	.A(\soc_top/n_3620 ),
+	.Y(\soc_top/n_3621 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/g128033  (
+	.A(\soc_top/n_3618 ),
+	.Y(\soc_top/n_3617 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/g128034  (
+	.A(\soc_top/core_mem_reg_ins[7] ),
+	.B(\soc_top/FE_OFN52_core_if_reg_ins_15 ),
+	.Y(\soc_top/n_3616 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top/g128035  (
+	.A1_N(\soc_top/n_3541 ),
+	.A2_N(\soc_top/FE_OFN51_core_if_reg_ins_16 ),
+	.B1(\soc_top/n_3541 ),
+	.B2(\soc_top/FE_OFN51_core_if_reg_ins_16 ),
+	.Y(\soc_top/n_3615 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/g128036  (
+	.A1(\soc_top/core_mem_reg_ins[11] ),
+	.A2(\soc_top/FE_DBTN6_core_if_reg_ins_19 ),
+	.B1(\soc_top/FE_DBTN12_core_mem_reg_ins_11 ),
+	.B2(\soc_top/FE_OFN48_core_if_reg_ins_19 ),
+	.Y(\soc_top/n_3614 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/g128037  (
+	.A(\soc_top/core_mem_reg_ins[9] ),
+	.B(\soc_top/FE_OFN50_core_if_reg_ins_17 ),
+	.Y(\soc_top/n_3613 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g128038  (
+	.A(\soc_top/core_mem_reg_ins[7] ),
+	.B(\soc_top/FE_OFN47_core_if_reg_ins_20 ),
+	.X(\soc_top/n_3612 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/g128039  (
+	.A1(\soc_top/core_mem_reg_ins[11] ),
+	.A2(\soc_top/FE_DBTN10_core_if_reg_ins_24 ),
+	.B1(\soc_top/FE_DBTN12_core_mem_reg_ins_11 ),
+	.B2(\soc_top/FE_OFN43_core_if_reg_ins_24 ),
+	.Y(\soc_top/n_3611 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g128041  (
+	.A1(\soc_top/FE_DBTN8_core_if_reg_ins_21 ),
+	.A2(\soc_top/core_mem_reg_ins[8] ),
+	.B1(\soc_top/n_3534 ),
+	.B2(\soc_top/core_mem_reg_ins[10] ),
+	.Y(\soc_top/n_3609 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g128042  (
+	.A(\soc_top/n_3598 ),
+	.B(\soc_top/core_MEM_offset[1] ),
+	.C(\soc_top/core_MEM_offset[0] ),
+	.Y(\soc_top/n_3608 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128043  (
+	.A(\soc_top/n_3532 ),
+	.B(\soc_top/n_3571 ),
+	.Y(\soc_top/n_3638 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g128044  (
+	.A(\soc_top/n_6388 ),
+	.B(\soc_top/n_3532 ),
+	.Y(\soc_top/n_3637 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128045  (
+	.A(\soc_top/FE_DBTN9_core_if_reg_ins_22 ),
+	.B(\soc_top/n_3575 ),
+	.Y(\soc_top/n_3636 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128046  (
+	.A(\soc_top/FE_OFN45_core_if_reg_ins_22 ),
+	.B(\soc_top/n_3573 ),
+	.Y(\soc_top/n_3634 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128047  (
+	.A(\soc_top/FE_DBTN9_core_if_reg_ins_22 ),
+	.B(\soc_top/n_3573 ),
+	.Y(\soc_top/n_3633 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128048  (
+	.A(\soc_top/n_3522 ),
+	.B(\soc_top/n_3576 ),
+	.Y(\soc_top/n_3632 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \soc_top/g128049  (
+	.A(\soc_top/n_3522 ),
+	.B(\soc_top/FE_DBTN6_core_if_reg_ins_19 ),
+	.C(\soc_top/FE_OFN52_core_if_reg_ins_15 ),
+	.X(\soc_top/n_3631 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128050  (
+	.A(\soc_top/FE_OFN45_core_if_reg_ins_22 ),
+	.B(\soc_top/n_3607 ),
+	.Y(\soc_top/n_3630 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g128051  (
+	.A(\soc_top/n_3577 ),
+	.B(\soc_top/n_3522 ),
+	.Y(\soc_top/n_3629 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g128052  (
+	.A(\soc_top/n_3545 ),
+	.B(\soc_top/FE_OFN49_core_if_reg_ins_18 ),
+	.Y(\soc_top/n_3628 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g128053  (
+	.A(\soc_top/n_3578 ),
+	.B(\soc_top/n_3522 ),
+	.Y(\soc_top/n_3627 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128054  (
+	.A(\soc_top/FE_DBTN9_core_if_reg_ins_22 ),
+	.B(\soc_top/n_3607 ),
+	.Y(\soc_top/n_3626 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g128055  (
+	.A(\soc_top/n_3606 ),
+	.B(\soc_top/FE_DBTN9_core_if_reg_ins_22 ),
+	.Y(\soc_top/n_3625 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128056  (
+	.A(\soc_top/FE_OFN45_core_if_reg_ins_22 ),
+	.B(\soc_top/n_3575 ),
+	.Y(\soc_top/n_3624 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128057  (
+	.A(\soc_top/n_3522 ),
+	.B(\soc_top/n_3574 ),
+	.Y(\soc_top/n_3623 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g128058  (
+	.A(\soc_top/n_3578 ),
+	.B(\soc_top/FE_OFN49_core_if_reg_ins_18 ),
+	.Y(\soc_top/n_3622 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g128059  (
+	.A(\soc_top/n_3606 ),
+	.B(\soc_top/FE_OFN45_core_if_reg_ins_22 ),
+	.Y(\soc_top/n_3620 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128060  (
+	.A(\soc_top/FE_OFN49_core_if_reg_ins_18 ),
+	.B(\soc_top/n_3574 ),
+	.Y(\soc_top/n_3619 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g128061  (
+	.A(\soc_top/n_6388 ),
+	.B(\soc_top/core_MEM_funct3[1] ),
+	.Y(\soc_top/n_3618 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/g128062  (
+	.A(\soc_top/n_3604 ),
+	.Y(\soc_top/n_3605 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g128063  (
+	.A(\soc_top/n_3603 ),
+	.Y(\soc_top/n_3602 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g128064  (
+	.A(\soc_top/n_6422 ),
+	.Y(\soc_top/n_3598 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g128066  (
+	.A(\soc_top/n_3595 ),
+	.Y(\soc_top/n_3594 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128067  (
+	.A(\soc_top/FE_OFN3_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_ins[9] ),
+	.Y(\soc_top/n_3593 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128068  (
+	.A(\soc_top/FE_OFN3_wb_rst_i ),
+	.B_N(\soc_top/core_ex_reg_wra[4] ),
+	.Y(\soc_top/n_3592 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128069  (
+	.A(\soc_top/FE_OFN3_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_ins[10] ),
+	.Y(\soc_top/n_3591 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g128070  (
+	.A(\soc_top/FE_OFN6738_gen_dmem_host_io_rspOut_valid ),
+	.B(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[24] ),
+	.Y(\soc_top/n_3590 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128071  (
+	.A(\soc_top/FE_OFN8_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_ctl_aluSrc ),
+	.Y(\soc_top/n_3589 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128072  (
+	.A(\soc_top/FE_OFN3_wb_rst_i ),
+	.B_N(\soc_top/core_ex_reg_wra[1] ),
+	.Y(\soc_top/n_3588 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128073  (
+	.A(\soc_top/FE_OFN11_wb_rst_i ),
+	.B_N(\soc_top/core_ex_reg_ctl_memToReg[1] ),
+	.Y(\soc_top/n_3587 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128074  (
+	.A(\soc_top/FE_OFN11_wb_rst_i ),
+	.B_N(\soc_top/FE_OFN85_core_ex_reg_ctl_memRead ),
+	.Y(\soc_top/n_3586 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128075  (
+	.A(\soc_top/FE_OFN3_wb_rst_i ),
+	.B_N(\soc_top/core_ex_reg_wra[3] ),
+	.Y(\soc_top/n_3585 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128077  (
+	.A(\soc_top/FE_OFN3_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_ins[11] ),
+	.Y(\soc_top/n_3583 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128078  (
+	.A(\soc_top/FE_OFN3_wb_rst_i ),
+	.B_N(\soc_top/core_ex_reg_wra[0] ),
+	.Y(\soc_top/n_3582 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128079  (
+	.A(\soc_top/FE_OFN3_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_ins[7] ),
+	.Y(\soc_top/n_3581 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128080  (
+	.A(\soc_top/FE_OFN3_wb_rst_i ),
+	.B_N(\soc_top/core_ex_reg_ctl_regWrite ),
+	.Y(\soc_top/n_3580 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128081  (
+	.A(\soc_top/FE_OFN3_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_ins[8] ),
+	.Y(\soc_top/n_3579 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g128082  (
+	.A(\soc_top/FE_OFN43_core_if_reg_ins_24 ),
+	.B(\soc_top/FE_OFN47_core_if_reg_ins_20 ),
+	.Y(\soc_top/n_3607 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128083  (
+	.A(\soc_top/FE_OFN47_core_if_reg_ins_20 ),
+	.B(\soc_top/FE_OFN43_core_if_reg_ins_24 ),
+	.Y(\soc_top/n_3606 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g128084  (
+	.A(\soc_top/FE_OFN6738_gen_dmem_host_io_rspOut_valid ),
+	.B(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[31] ),
+	.Y(\soc_top/n_3604 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g128085  (
+	.A(\soc_top/gen_dmem_host_io_rspOut_valid ),
+	.B(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[7] ),
+	.Y(\soc_top/n_3603 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g128086  (
+	.A(\soc_top/FE_OFN6738_gen_dmem_host_io_rspOut_valid ),
+	.B(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[15] ),
+	.Y(\soc_top/n_3601 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g128087  (
+	.A(\soc_top/gen_dmem_host_io_rspOut_valid ),
+	.B(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[23] ),
+	.Y(\soc_top/n_3600 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g128089  (
+	.A(\soc_top/FE_OFN44_core_if_reg_ins_23 ),
+	.B(\soc_top/FE_OFN46_core_if_reg_ins_21 ),
+	.Y(\soc_top/n_3597 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128090  (
+	.A(\soc_top/FE_OFN46_core_if_reg_ins_21 ),
+	.B(\soc_top/FE_OFN44_core_if_reg_ins_23 ),
+	.Y(\soc_top/n_3595 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g128091  (
+	.A(\soc_top/n_3576 ),
+	.Y(\soc_top/n_3577 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g128092  (
+	.A(\soc_top/n_6388 ),
+	.Y(\soc_top/n_3571 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/g128093  (
+	.A(\soc_top/n_3570 ),
+	.Y(\soc_top/n_3569 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g128094  (
+	.A(\soc_top/n_3568 ),
+	.Y(\soc_top/n_3567 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g128095  (
+	.A(\soc_top/n_3566 ),
+	.Y(\soc_top/n_3565 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128100  (
+	.A(\soc_top/FE_OFN3_wb_rst_i ),
+	.B(\soc_top/FE_DBTN10_core_if_reg_ins_24 ),
+	.Y(\soc_top/n_3556 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128101  (
+	.A(\soc_top/FE_OFN3_wb_rst_i ),
+	.B(\soc_top/FE_DBTN9_core_if_reg_ins_22 ),
+	.Y(\soc_top/n_3555 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128102  (
+	.A(\soc_top/FE_OFN3_wb_rst_i ),
+	.B(\soc_top/n_3522 ),
+	.Y(\soc_top/n_3554 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128103  (
+	.A(\soc_top/FE_OFN3_wb_rst_i ),
+	.B(\soc_top/FE_DBTN4_core_if_reg_ins_16 ),
+	.Y(\soc_top/n_3553 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128104  (
+	.A(\soc_top/FE_OFN3_wb_rst_i ),
+	.B(\soc_top/FE_DBTN6_core_if_reg_ins_19 ),
+	.Y(\soc_top/n_3552 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128105  (
+	.A(\soc_top/FE_OFN3_wb_rst_i ),
+	.B(\soc_top/FE_DBTN3_core_if_reg_ins_15 ),
+	.Y(\soc_top/n_3551 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128106  (
+	.A(\soc_top/FE_OFN3_wb_rst_i ),
+	.B(\soc_top/n_3534 ),
+	.Y(\soc_top/n_3550 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128107  (
+	.A(\soc_top/FE_OFN3_wb_rst_i ),
+	.B(\soc_top/FE_DBTN7_core_if_reg_ins_20 ),
+	.Y(\soc_top/n_3549 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128108  (
+	.A(\soc_top/FE_OFN3_wb_rst_i ),
+	.B_N(\soc_top/core_ex_reg_wra[2] ),
+	.Y(\soc_top/n_3548 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128109  (
+	.A(\soc_top/FE_OFN3_wb_rst_i ),
+	.B(\soc_top/FE_DBTN5_core_if_reg_ins_17 ),
+	.Y(\soc_top/n_3547 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128110  (
+	.A(\soc_top/FE_OFN3_wb_rst_i ),
+	.B(\soc_top/FE_DBTN8_core_if_reg_ins_21 ),
+	.Y(\soc_top/n_3546 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128111  (
+	.A(\soc_top/FE_OFN52_core_if_reg_ins_15 ),
+	.B(\soc_top/FE_OFN48_core_if_reg_ins_19 ),
+	.Y(\soc_top/n_3578 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g128112  (
+	.A(\soc_top/FE_DBTN3_core_if_reg_ins_15 ),
+	.B(\soc_top/FE_OFN48_core_if_reg_ins_19 ),
+	.Y(\soc_top/n_3576 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g128113  (
+	.A(\soc_top/FE_DBTN10_core_if_reg_ins_24 ),
+	.B(\soc_top/FE_OFN47_core_if_reg_ins_20 ),
+	.Y(\soc_top/n_3575 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g128114  (
+	.A(\soc_top/FE_OFN48_core_if_reg_ins_19 ),
+	.B(\soc_top/FE_OFN52_core_if_reg_ins_15 ),
+	.Y(\soc_top/n_3574 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g128115  (
+	.A(\soc_top/FE_DBTN7_core_if_reg_ins_20 ),
+	.B(\soc_top/FE_OFN43_core_if_reg_ins_24 ),
+	.Y(\soc_top/n_3573 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128116  (
+	.A(\soc_top/FE_OFN48_core_if_reg_ins_19 ),
+	.B(\soc_top/FE_DBTN3_core_if_reg_ins_15 ),
+	.Y(\soc_top/n_3545 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g128118  (
+	.A(\soc_top/n_3532 ),
+	.B(\soc_top/n_5544 ),
+	.Y(\soc_top/n_3570 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g128119  (
+	.A(\soc_top/FE_OFN50_core_if_reg_ins_17 ),
+	.B(\soc_top/FE_OFN51_core_if_reg_ins_16 ),
+	.Y(\soc_top/n_3568 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g128120  (
+	.A(\soc_top/FE_DBTN5_core_if_reg_ins_17 ),
+	.B(\soc_top/FE_OFN51_core_if_reg_ins_16 ),
+	.Y(\soc_top/n_3566 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g128121  (
+	.A(\soc_top/FE_DBTN4_core_if_reg_ins_16 ),
+	.B(\soc_top/FE_OFN50_core_if_reg_ins_17 ),
+	.X(\soc_top/n_3564 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128122  (
+	.A(\soc_top/FE_OFN51_core_if_reg_ins_16 ),
+	.B(\soc_top/FE_OFN50_core_if_reg_ins_17 ),
+	.Y(\soc_top/n_3562 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g128123  (
+	.A(\soc_top/n_3534 ),
+	.B(\soc_top/FE_OFN46_core_if_reg_ins_21 ),
+	.Y(\soc_top/n_3559 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g128124  (
+	.A(\soc_top/FE_DBTN8_core_if_reg_ins_21 ),
+	.B(\soc_top/FE_OFN44_core_if_reg_ins_23 ),
+	.Y(\soc_top/n_3557 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g128126  (
+	.A(\soc_top/core_MEM_funct3[1] ),
+	.Y(\soc_top/n_3543 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/g128128  (
+	.A(\soc_top/core_mem_reg_ins[8] ),
+	.Y(\soc_top/n_3541 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g128137  (
+	.A(\soc_top/core_MEM_offset[0] ),
+	.Y(\soc_top/n_3532 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g128145  (
+	.A(\soc_top/core_mem_reg_ins[10] ),
+	.Y(\soc_top/n_3524 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_ctl_aluSrc1_reg[0]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_3222 ),
+	.Q(\soc_top/core_id_reg_ctl_aluSrc1[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_ctl_aluSrc1_reg[1]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_3179 ),
+	.Q(\soc_top/core_id_reg_ctl_aluSrc1[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_imm_reg[0]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_3516 ),
+	.Q(\soc_top/core_id_reg_imm[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_imm_reg[1]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_3450 ),
+	.Q(\soc_top/core_id_reg_imm[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_imm_reg[2]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_3449 ),
+	.Q(\soc_top/core_id_reg_imm[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_imm_reg[3]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_3448 ),
+	.Q(\soc_top/core_id_reg_imm[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_imm_reg[4]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_3447 ),
+	.Q(\soc_top/core_id_reg_imm[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_imm_reg[5]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3414 ),
+	.Q(\soc_top/core_id_reg_imm[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_imm_reg[6]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3424 ),
+	.Q(\soc_top/core_id_reg_imm[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_imm_reg[7]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3423 ),
+	.Q(\soc_top/core_id_reg_imm[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_imm_reg[9]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3422 ),
+	.Q(\soc_top/core_id_reg_imm[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_imm_reg[10]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3429 ),
+	.Q(\soc_top/core_id_reg_imm[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_imm_reg[11]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3518 ),
+	.Q(\soc_top/core_id_reg_imm[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_imm_reg[12]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3509 ),
+	.Q(\soc_top/core_id_reg_imm[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_imm_reg[13]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3514 ),
+	.Q(\soc_top/core_id_reg_imm[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_imm_reg[14]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3513 ),
+	.Q(\soc_top/core_id_reg_imm[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_imm_reg[15]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3512 ),
+	.Q(\soc_top/core_id_reg_imm[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_imm_reg[16]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3510 ),
+	.Q(\soc_top/core_id_reg_imm[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_imm_reg[17]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3511 ),
+	.Q(\soc_top/core_id_reg_imm[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_imm_reg[18]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3507 ),
+	.Q(\soc_top/core_id_reg_imm[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_imm_reg[19]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3515 ),
+	.Q(\soc_top/core_id_reg_imm[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_imm_reg[20]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3517 ),
+	.Q(\soc_top/core_id_reg_imm[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_imm_reg[21]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3443 ),
+	.Q(\soc_top/core_id_reg_imm[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_imm_reg[22]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3442 ),
+	.Q(\soc_top/core_id_reg_imm[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_imm_reg[23]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3441 ),
+	.Q(\soc_top/core_id_reg_imm[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_imm_reg[24]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3440 ),
+	.Q(\soc_top/core_id_reg_imm[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_imm_reg[25]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3432 ),
+	.Q(\soc_top/core_id_reg_imm[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_imm_reg[26]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3433 ),
+	.Q(\soc_top/core_id_reg_imm[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_imm_reg[27]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3436 ),
+	.Q(\soc_top/core_id_reg_imm[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_imm_reg[28]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3435 ),
+	.Q(\soc_top/core_id_reg_imm[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_imm_reg[29]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3434 ),
+	.Q(\soc_top/core_id_reg_imm[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_imm_reg[30]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3439 ),
+	.Q(\soc_top/core_id_reg_imm[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_imm_reg[31]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_6432 ),
+	.Q(\soc_top/core_id_reg_imm[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_pc_reg[0]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3183 ),
+	.Q(\soc_top/core_id_reg_pc[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_pc_reg[1]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3228 ),
+	.Q(\soc_top/core_id_reg_pc[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_pc_reg[2]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_3226 ),
+	.Q(\soc_top/core_id_reg_pc[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_pc_reg[3]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_3205 ),
+	.Q(\soc_top/core_id_reg_pc[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_pc_reg[4]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3197 ),
+	.Q(\soc_top/core_id_reg_pc[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_pc_reg[5]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3261 ),
+	.Q(\soc_top/core_id_reg_pc[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_pc_reg[6]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_3188 ),
+	.Q(\soc_top/core_id_reg_pc[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_pc_reg[7]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3203 ),
+	.Q(\soc_top/core_id_reg_pc[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_pc_reg[8]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3236 ),
+	.Q(\soc_top/core_id_reg_pc[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_pc_reg[9]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3239 ),
+	.Q(\soc_top/core_id_reg_pc[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_pc_reg[10]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_3217 ),
+	.Q(\soc_top/core_id_reg_pc[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_pc_reg[11]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3180 ),
+	.Q(\soc_top/core_id_reg_pc[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_pc_reg[12]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_3249 ),
+	.Q(\soc_top/core_id_reg_pc[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_pc_reg[13]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_3216 ),
+	.Q(\soc_top/core_id_reg_pc[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_pc_reg[14]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3245 ),
+	.Q(\soc_top/core_id_reg_pc[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_pc_reg[15]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_3194 ),
+	.Q(\soc_top/core_id_reg_pc[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_pc_reg[16]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_3254 ),
+	.Q(\soc_top/core_id_reg_pc[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_pc_reg[17]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_3223 ),
+	.Q(\soc_top/core_id_reg_pc[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_pc_reg[18]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_3200 ),
+	.Q(\soc_top/core_id_reg_pc[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_pc_reg[19]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_3202 ),
+	.Q(\soc_top/core_id_reg_pc[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_pc_reg[20]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_3241 ),
+	.Q(\soc_top/core_id_reg_pc[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_pc_reg[21]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_3237 ),
+	.Q(\soc_top/core_id_reg_pc[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_pc_reg[22]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_3240 ),
+	.Q(\soc_top/core_id_reg_pc[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_pc_reg[23]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_3198 ),
+	.Q(\soc_top/core_id_reg_pc[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_pc_reg[24]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_3235 ),
+	.Q(\soc_top/core_id_reg_pc[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_pc_reg[25]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_3192 ),
+	.Q(\soc_top/core_id_reg_pc[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_pc_reg[26]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_3195 ),
+	.Q(\soc_top/core_id_reg_pc[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_pc_reg[27]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_3207 ),
+	.Q(\soc_top/core_id_reg_pc[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_pc_reg[28]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_3191 ),
+	.Q(\soc_top/core_id_reg_pc[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_pc_reg[29]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_3253 ),
+	.Q(\soc_top/core_id_reg_pc[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_pc_reg[30]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_3185 ),
+	.Q(\soc_top/core_id_reg_pc[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_pc_reg[31]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_3227 ),
+	.Q(\soc_top/core_id_reg_pc[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd1_reg[0]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_3259 ),
+	.Q(\soc_top/core_id_reg_rd1[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd1_reg[1]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_3250 ),
+	.Q(\soc_top/core_id_reg_rd1[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd1_reg[3]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_3221 ),
+	.Q(\soc_top/core_id_reg_rd1[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd1_reg[5]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_3251 ),
+	.Q(\soc_top/core_id_reg_rd1[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd1_reg[6]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_3256 ),
+	.Q(\soc_top/core_id_reg_rd1[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd1_reg[7]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_3182 ),
+	.Q(\soc_top/core_id_reg_rd1[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd1_reg[8]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_3199 ),
+	.Q(\soc_top/core_id_reg_rd1[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd1_reg[9]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_3178 ),
+	.Q(\soc_top/core_id_reg_rd1[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd1_reg[10]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_3186 ),
+	.Q(\soc_top/core_id_reg_rd1[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd1_reg[11]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_3190 ),
+	.Q(\soc_top/core_id_reg_rd1[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd1_reg[12]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3243 ),
+	.Q(\soc_top/core_id_reg_rd1[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd1_reg[13]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_3177 ),
+	.Q(\soc_top/core_id_reg_rd1[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd1_reg[14]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3204 ),
+	.Q(\soc_top/core_id_reg_rd1[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd1_reg[15]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_3196 ),
+	.Q(\soc_top/core_id_reg_rd1[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd1_reg[16]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_3260 ),
+	.Q(\soc_top/core_id_reg_rd1[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd1_reg[17]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_3176 ),
+	.Q(\soc_top/core_id_reg_rd1[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd1_reg[18]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_3229 ),
+	.Q(\soc_top/core_id_reg_rd1[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd1_reg[19]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_3214 ),
+	.Q(\soc_top/core_id_reg_rd1[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd1_reg[20]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_3230 ),
+	.Q(\soc_top/core_id_reg_rd1[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd1_reg[21]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_3211 ),
+	.Q(\soc_top/core_id_reg_rd1[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd1_reg[22]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_3210 ),
+	.Q(\soc_top/core_id_reg_rd1[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd1_reg[23]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_3212 ),
+	.Q(\soc_top/core_id_reg_rd1[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd1_reg[24]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_3201 ),
+	.Q(\soc_top/core_id_reg_rd1[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd1_reg[25]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_3187 ),
+	.Q(\soc_top/core_id_reg_rd1[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd1_reg[26]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3242 ),
+	.Q(\soc_top/core_id_reg_rd1[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd1_reg[27]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3189 ),
+	.Q(\soc_top/core_id_reg_rd1[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd1_reg[28]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_3234 ),
+	.Q(\soc_top/core_id_reg_rd1[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd1_reg[29]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_3181 ),
+	.Q(\soc_top/core_id_reg_rd1[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd1_reg[30]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_3215 ),
+	.Q(\soc_top/core_id_reg_rd1[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd1_reg[31]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_3193 ),
+	.Q(\soc_top/core_id_reg_rd1[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd2_reg[0]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_3224 ),
+	.Q(\soc_top/core_id_reg_rd2[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd2_reg[1]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_3174 ),
+	.Q(\soc_top/core_id_reg_rd2[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd2_reg[2]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_3175 ),
+	.Q(\soc_top/core_id_reg_rd2[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd2_reg[3]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_3232 ),
+	.Q(\soc_top/core_id_reg_rd2[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd2_reg[4]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_3231 ),
+	.Q(\soc_top/core_id_reg_rd2[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd2_reg[7]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_3246 ),
+	.Q(\soc_top/core_id_reg_rd2[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd2_reg[8]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_6412 ),
+	.Q(\soc_top/core_id_reg_rd2[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd2_reg[9]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_6411 ),
+	.Q(\soc_top/core_id_reg_rd2[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd2_reg[10]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_3213 ),
+	.Q(\soc_top/core_id_reg_rd2[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd2_reg[11]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_6410 ),
+	.Q(\soc_top/core_id_reg_rd2[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd2_reg[15]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_3244 ),
+	.Q(\soc_top/core_id_reg_rd2[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd2_reg[17]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_6409 ),
+	.Q(\soc_top/core_id_reg_rd2[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd2_reg[19]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_6406 ),
+	.Q(\soc_top/core_id_reg_rd2[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd2_reg[25]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_3257 ),
+	.Q(\soc_top/core_id_reg_rd2[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd2_reg[26]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_3248 ),
+	.Q(\soc_top/core_id_reg_rd2[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd2_reg[27]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_3220 ),
+	.Q(\soc_top/core_id_reg_rd2[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd2_reg[28]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_6404 ),
+	.Q(\soc_top/core_id_reg_rd2[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd2_reg[29]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_3258 ),
+	.Q(\soc_top/core_id_reg_rd2[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd2_reg[30]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_3209 ),
+	.Q(\soc_top/core_id_reg_rd2[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd2_reg[31]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_6401 ),
+	.Q(\soc_top/core_id_reg_rd2[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82169  (
+	.A1(\soc_top/FE_OFN6616_n ),
+	.A2(\soc_top/core_id_reg_imm[20] ),
+	.B1(\soc_top/core_Execute_io_writeData[20] ),
+	.B2(\soc_top/FE_OFN5616_core_id_reg_ctl_aluSrc ),
+	.X(\soc_top/n_5976 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82170  (
+	.A1(\soc_top/FE_OFN6616_n ),
+	.A2(\soc_top/core_id_reg_imm[11] ),
+	.B1(\soc_top/core_Execute_io_writeData[11] ),
+	.B2(\soc_top/FE_OFN5616_core_id_reg_ctl_aluSrc ),
+	.X(\soc_top/n_5958 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_4 \soc_top/g82172  (
+	.A1(\soc_top/FE_OFN86_core_id_reg_ctl_aluSrc ),
+	.A2(\soc_top/FE_OFN281_n_3400 ),
+	.B1(\soc_top/n_3519 ),
+	.X(\soc_top/n_3520 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g82173  (
+	.A(\soc_top/FE_OFN86_core_id_reg_ctl_aluSrc ),
+	.B(\soc_top/core_id_reg_imm[0] ),
+	.Y(\soc_top/n_3519 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82176  (
+	.A1(\soc_top/FE_OFN6616_n ),
+	.A2(\soc_top/core_id_reg_imm[19] ),
+	.B1(\soc_top/core_Execute_io_writeData[19] ),
+	.B2(\soc_top/FE_OFN5616_core_id_reg_ctl_aluSrc ),
+	.X(\soc_top/n_5974 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82177  (
+	.A1(\soc_top/FE_OFN6616_n ),
+	.A2(\soc_top/core_id_reg_imm[18] ),
+	.B1(\soc_top/core_Execute_io_writeData[18] ),
+	.B2(\soc_top/FE_OFN5616_core_id_reg_ctl_aluSrc ),
+	.X(\soc_top/n_5972 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82178  (
+	.A1(\soc_top/FE_OFN6616_n ),
+	.A2(\soc_top/core_id_reg_imm[17] ),
+	.B1(\soc_top/core_Execute_io_writeData[17] ),
+	.B2(\soc_top/FE_OFN5616_core_id_reg_ctl_aluSrc ),
+	.X(\soc_top/n_5970 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82179  (
+	.A1(\soc_top/FE_OFN6616_n ),
+	.A2(\soc_top/core_id_reg_imm[16] ),
+	.B1(\soc_top/core_Execute_io_writeData[16] ),
+	.B2(\soc_top/FE_OFN5616_core_id_reg_ctl_aluSrc ),
+	.X(\soc_top/n_5968 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82180  (
+	.A1(\soc_top/FE_OFN6616_n ),
+	.A2(\soc_top/core_id_reg_imm[14] ),
+	.B1(\soc_top/core_Execute_io_writeData[14] ),
+	.B2(\soc_top/FE_OFN5616_core_id_reg_ctl_aluSrc ),
+	.X(\soc_top/n_5964 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82181  (
+	.A1(\soc_top/FE_OFN6616_n ),
+	.A2(\soc_top/core_id_reg_imm[13] ),
+	.B1(\soc_top/core_Execute_io_writeData[13] ),
+	.B2(\soc_top/FE_OFN5616_core_id_reg_ctl_aluSrc ),
+	.X(\soc_top/n_5962 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82182  (
+	.A1(\soc_top/FE_OFN6616_n ),
+	.A2(\soc_top/core_id_reg_imm[15] ),
+	.B1(\soc_top/core_Execute_io_writeData[15] ),
+	.B2(\soc_top/FE_OFN5616_core_id_reg_ctl_aluSrc ),
+	.X(\soc_top/n_5966 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82183  (
+	.A1(\soc_top/FE_OFN6616_n ),
+	.A2(\soc_top/core_id_reg_imm[12] ),
+	.B1(\soc_top/core_Execute_io_writeData[12] ),
+	.B2(\soc_top/FE_OFN5616_core_id_reg_ctl_aluSrc ),
+	.X(\soc_top/n_5960 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82185  (
+	.A(\soc_top/FE_OFN8_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_immediate[11] ),
+	.Y(\soc_top/n_3518 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82186  (
+	.A(\soc_top/FE_OFN16_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_immediate[20] ),
+	.Y(\soc_top/n_3517 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g82195  (
+	.A(\soc_top/FE_OFN1_wb_rst_i ),
+	.B(\soc_top/n_3508 ),
+	.Y(\soc_top/n_3516 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g82196  (
+	.A(\soc_top/n_3491 ),
+	.B(\soc_top/n_3506 ),
+	.Y(\soc_top/core_InstructionDecode_io_immediate[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g82197  (
+	.A1(\soc_top/n_3494 ),
+	.A2(\soc_top/core_if_reg_ins[31] ),
+	.B1(\soc_top/n_3493 ),
+	.B2(\soc_top/FE_OFN47_core_if_reg_ins_20 ),
+	.C1(\soc_top/n_3398 ),
+	.X(\soc_top/core_InstructionDecode_io_immediate[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g82198  (
+	.A(\soc_top/FE_OFN16_wb_rst_i ),
+	.B(\soc_top/n_3501 ),
+	.Y(\soc_top/n_3515 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g82199  (
+	.A(\soc_top/FE_OFN8_wb_rst_i ),
+	.B(\soc_top/n_3503 ),
+	.Y(\soc_top/n_3514 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g82200  (
+	.A(\soc_top/FE_OFN16_wb_rst_i ),
+	.B(\soc_top/n_3502 ),
+	.Y(\soc_top/n_3513 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g82201  (
+	.A(\soc_top/FE_OFN16_wb_rst_i ),
+	.B(\soc_top/n_3500 ),
+	.Y(\soc_top/n_3512 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g82202  (
+	.A(\soc_top/FE_OFN16_wb_rst_i ),
+	.B(\soc_top/n_3499 ),
+	.Y(\soc_top/n_3511 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g82203  (
+	.A(\soc_top/FE_OFN16_wb_rst_i ),
+	.B(\soc_top/n_3505 ),
+	.Y(\soc_top/n_3510 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g82204  (
+	.A(\soc_top/FE_OFN8_wb_rst_i ),
+	.B(\soc_top/n_3504 ),
+	.Y(\soc_top/n_3509 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g82205  (
+	.A(\soc_top/n_3508 ),
+	.Y(\soc_top/core_InstructionDecode_io_immediate[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g82206  (
+	.A(\soc_top/n_3408 ),
+	.B(\soc_top/n_3497 ),
+	.Y(\soc_top/n_5938 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g82207  (
+	.A(\soc_top/FE_OFN16_wb_rst_i ),
+	.B(\soc_top/n_3498 ),
+	.Y(\soc_top/n_3507 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g82208  (
+	.A1(\soc_top/n_3493 ),
+	.A2(\soc_top/core_if_reg_ins[31] ),
+	.B1(\soc_top/n_2691 ),
+	.B2(\soc_top/FE_OFN47_core_if_reg_ins_20 ),
+	.Y(\soc_top/n_3506 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_2 \soc_top/g82209  (
+	.A1(\soc_top/FE_OFN86_core_id_reg_ctl_aluSrc ),
+	.A2(\soc_top/core_id_reg_imm[3] ),
+	.B1(\soc_top/n_6606 ),
+	.B2(\soc_top/n_3167 ),
+	.X(\soc_top/n_5942 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_4 \soc_top/g82211  (
+	.A1(\soc_top/FE_OFN86_core_id_reg_ctl_aluSrc ),
+	.A2(\soc_top/core_id_reg_imm[4] ),
+	.B1(\soc_top/core_Execute_io_writeData[4] ),
+	.B2(\soc_top/n_3167 ),
+	.X(\soc_top/n_5944 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g82212  (
+	.A1(\soc_top/n_3411 ),
+	.A2(\soc_top/core_if_reg_ins[7] ),
+	.B1(\soc_top/n_3495 ),
+	.Y(\soc_top/n_3508 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g82213  (
+	.A(\soc_top/n_3505 ),
+	.Y(\soc_top/core_InstructionDecode_io_immediate[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g82214  (
+	.A(\soc_top/n_3504 ),
+	.Y(\soc_top/core_InstructionDecode_io_immediate[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g82215  (
+	.A(\soc_top/n_3503 ),
+	.Y(\soc_top/core_InstructionDecode_io_immediate[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g82216  (
+	.A(\soc_top/n_3502 ),
+	.Y(\soc_top/core_InstructionDecode_io_immediate[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g82217  (
+	.A(\soc_top/n_3501 ),
+	.Y(\soc_top/core_InstructionDecode_io_immediate[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g82218  (
+	.A(\soc_top/n_3500 ),
+	.Y(\soc_top/core_InstructionDecode_io_immediate[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g82219  (
+	.A(\soc_top/n_3499 ),
+	.Y(\soc_top/core_InstructionDecode_io_immediate[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g82220  (
+	.A(\soc_top/n_3498 ),
+	.Y(\soc_top/core_InstructionDecode_io_immediate[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g82221  (
+	.A1(\soc_top/FE_OFN5676_core_InstructionDecode_io_writeData_28 ),
+	.A2(\soc_top/FE_OFN5648_n_3445 ),
+	.B1(\soc_top/n_3368 ),
+	.B2(\soc_top/core_id_reg_pc[28] ),
+	.C1(\soc_top/n_3483 ),
+	.X(\soc_top/n_5993 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g82222  (
+	.A(\soc_top/FE_OFN86_core_id_reg_ctl_aluSrc ),
+	.B(\soc_top/core_id_reg_imm[1] ),
+	.Y(\soc_top/n_3497 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g82223  (
+	.A(\soc_top/n_3464 ),
+	.B(\soc_top/n_3474 ),
+	.Y(\soc_top/n_5969 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 \soc_top/g82224  (
+	.A1(\soc_top/FE_OFN133_core_InstructionDecode_io_writeData_17 ),
+	.A2(\soc_top/n_3445 ),
+	.B1(\soc_top/n_3368 ),
+	.B2(\soc_top/core_id_reg_pc[17] ),
+	.C1(\soc_top/n_3489 ),
+	.X(\soc_top/n_5971 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 \soc_top/g82225  (
+	.A1(\soc_top/FE_OFN5657_core_InstructionDecode_io_writeData_18 ),
+	.A2(\soc_top/n_3445 ),
+	.B1(\soc_top/n_3368 ),
+	.B2(\soc_top/core_id_reg_pc[18] ),
+	.C1(\soc_top/n_3488 ),
+	.X(\soc_top/n_5973 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 \soc_top/g82226  (
+	.A1(\soc_top/FE_OFN131_core_InstructionDecode_io_writeData_19 ),
+	.A2(\soc_top/n_3445 ),
+	.B1(\soc_top/n_3368 ),
+	.B2(\soc_top/core_id_reg_pc[19] ),
+	.C1(\soc_top/n_3487 ),
+	.X(\soc_top/n_5975 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 \soc_top/g82227  (
+	.A1(\soc_top/FE_OFN6615_core_InstructionDecode_io_writeData_20 ),
+	.A2(\soc_top/FE_OFN5648_n_3445 ),
+	.B1(\soc_top/n_3368 ),
+	.B2(\soc_top/core_id_reg_pc[20] ),
+	.C1(\soc_top/n_3476 ),
+	.X(\soc_top/n_5977 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 \soc_top/g82228  (
+	.A1(\soc_top/n_6423 ),
+	.A2(\soc_top/core_id_reg_rd1[21] ),
+	.B1(\soc_top/FE_OFN6627_n ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[21] ),
+	.C1(\soc_top/n_3477 ),
+	.X(\soc_top/n_5979 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 \soc_top/g82229  (
+	.A1(\soc_top/n_6423 ),
+	.A2(\soc_top/core_id_reg_rd1[22] ),
+	.B1(\soc_top/n_3368 ),
+	.B2(\soc_top/core_id_reg_pc[22] ),
+	.C1(\soc_top/n_3478 ),
+	.X(\soc_top/n_5981 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 \soc_top/g82230  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[23] ),
+	.A2(\soc_top/FE_OFN5648_n_3445 ),
+	.B1(\soc_top/n_3368 ),
+	.B2(\soc_top/core_id_reg_pc[23] ),
+	.C1(\soc_top/n_3479 ),
+	.X(\soc_top/n_5983 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 \soc_top/g82231  (
+	.A1(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.A2(\soc_top/FE_OFN5648_n_3445 ),
+	.B1(\soc_top/n_3368 ),
+	.B2(\soc_top/core_id_reg_pc[31] ),
+	.C1(\soc_top/n_3486 ),
+	.X(\soc_top/n_5868 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 \soc_top/g82232  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[30] ),
+	.A2(\soc_top/FE_OFN5648_n_3445 ),
+	.B1(\soc_top/n_3368 ),
+	.B2(\soc_top/core_id_reg_pc[30] ),
+	.C1(\soc_top/n_3485 ),
+	.X(\soc_top/n_5997 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 \soc_top/g82233  (
+	.A1(\soc_top/n_6423 ),
+	.A2(\soc_top/core_id_reg_rd1[29] ),
+	.B1(\soc_top/FE_OFN6627_n ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[29] ),
+	.C1(\soc_top/n_3484 ),
+	.X(\soc_top/n_5995 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g82235  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[27] ),
+	.A2(\soc_top/FE_OFN5648_n_3445 ),
+	.B1(\soc_top/n_3368 ),
+	.B2(\soc_top/core_id_reg_pc[27] ),
+	.C1(\soc_top/n_3490 ),
+	.X(\soc_top/n_5991 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g82236  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[26] ),
+	.A2(\soc_top/FE_OFN5648_n_3445 ),
+	.B1(\soc_top/n_3368 ),
+	.B2(\soc_top/core_id_reg_pc[26] ),
+	.C1(\soc_top/n_3482 ),
+	.X(\soc_top/n_5989 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g82237  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[25] ),
+	.A2(\soc_top/FE_OFN5648_n_3445 ),
+	.B1(\soc_top/n_3368 ),
+	.B2(\soc_top/core_id_reg_pc[25] ),
+	.C1(\soc_top/n_3481 ),
+	.X(\soc_top/n_5987 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 \soc_top/g82238  (
+	.A1(\soc_top/n_4102 ),
+	.A2(\soc_top/FE_OFN5648_n_3445 ),
+	.B1(\soc_top/n_3368 ),
+	.B2(\soc_top/core_id_reg_pc[24] ),
+	.C1(\soc_top/n_3480 ),
+	.X(\soc_top/n_5985 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g82239  (
+	.A1(\soc_top/n_3458 ),
+	.A2(\soc_top/FE_OFN51_core_if_reg_ins_16 ),
+	.B1(\soc_top/n_3492 ),
+	.Y(\soc_top/n_3505 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g82240  (
+	.A1(\soc_top/n_3458 ),
+	.A2(\soc_top/core_if_reg_ins[12] ),
+	.B1(\soc_top/n_3492 ),
+	.Y(\soc_top/n_3504 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g82241  (
+	.A1(\soc_top/n_3458 ),
+	.A2(\soc_top/core_if_reg_ins[13] ),
+	.B1(\soc_top/n_3492 ),
+	.Y(\soc_top/n_3503 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g82242  (
+	.A1(\soc_top/n_3458 ),
+	.A2(\soc_top/core_if_reg_ins[14] ),
+	.B1(\soc_top/n_3492 ),
+	.Y(\soc_top/n_3502 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g82243  (
+	.A1(\soc_top/n_3458 ),
+	.A2(\soc_top/FE_OFN48_core_if_reg_ins_19 ),
+	.B1(\soc_top/n_3492 ),
+	.Y(\soc_top/n_3501 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g82244  (
+	.A1(\soc_top/n_3458 ),
+	.A2(\soc_top/FE_OFN52_core_if_reg_ins_15 ),
+	.B1(\soc_top/n_3492 ),
+	.Y(\soc_top/n_3500 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g82245  (
+	.A1(\soc_top/n_3458 ),
+	.A2(\soc_top/FE_OFN50_core_if_reg_ins_17 ),
+	.B1(\soc_top/n_3492 ),
+	.Y(\soc_top/n_3499 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g82246  (
+	.A1(\soc_top/n_3458 ),
+	.A2(\soc_top/FE_OFN49_core_if_reg_ins_18 ),
+	.B1(\soc_top/n_3492 ),
+	.Y(\soc_top/n_3498 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 \soc_top/g82247  (
+	.A1(\soc_top/n_3445 ),
+	.A2(\soc_top/FE_OFN5644_core_InstructionDecode_io_writeData_15 ),
+	.B1(\soc_top/n_3368 ),
+	.B2(\soc_top/core_id_reg_pc[15] ),
+	.C1(\soc_top/n_3473 ),
+	.X(\soc_top/n_5967 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 \soc_top/g82248  (
+	.A1(\soc_top/FE_OFN5668_core_InstructionDecode_io_writeData_14 ),
+	.A2(\soc_top/n_3445 ),
+	.B1(\soc_top/n_3368 ),
+	.B2(\soc_top/core_id_reg_pc[14] ),
+	.C1(\soc_top/n_3472 ),
+	.X(\soc_top/n_5965 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 \soc_top/g82249  (
+	.A1(\soc_top/n_5002 ),
+	.A2(\soc_top/n_3445 ),
+	.B1(\soc_top/n_3368 ),
+	.B2(\soc_top/core_id_reg_pc[13] ),
+	.C1(\soc_top/n_3471 ),
+	.X(\soc_top/n_5963 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 \soc_top/g82250  (
+	.A1(\soc_top/FE_OFN6625_core_InstructionDecode_io_writeData_12 ),
+	.A2(\soc_top/n_3445 ),
+	.B1(\soc_top/n_3368 ),
+	.B2(\soc_top/core_id_reg_pc[12] ),
+	.C1(\soc_top/n_3470 ),
+	.X(\soc_top/n_5961 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g82251  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[11] ),
+	.A2(\soc_top/n_3445 ),
+	.B1(\soc_top/n_3368 ),
+	.B2(\soc_top/core_id_reg_pc[11] ),
+	.C1(\soc_top/n_3469 ),
+	.X(\soc_top/n_5959 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g82252  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[10] ),
+	.A2(\soc_top/n_3445 ),
+	.B1(\soc_top/n_3368 ),
+	.B2(\soc_top/core_id_reg_pc[10] ),
+	.C1(\soc_top/n_3468 ),
+	.X(\soc_top/n_5957 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g82253  (
+	.A1(\soc_top/n_6423 ),
+	.A2(\soc_top/core_id_reg_rd1[9] ),
+	.B1(\soc_top/core_InstructionDecode_io_writeData[9] ),
+	.B2(\soc_top/n_3445 ),
+	.C1(\soc_top/n_3467 ),
+	.X(\soc_top/n_5955 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g82254  (
+	.A1(\soc_top/n_6423 ),
+	.A2(\soc_top/core_id_reg_rd1[8] ),
+	.B1(\soc_top/core_InstructionDecode_io_writeData[8] ),
+	.B2(\soc_top/n_3445 ),
+	.C1(\soc_top/n_3466 ),
+	.X(\soc_top/n_5953 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g82255  (
+	.A1(\soc_top/n_3445 ),
+	.A2(\soc_top/core_InstructionDecode_io_writeData[7] ),
+	.B1(\soc_top/FE_OFN111_n_6604 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[7] ),
+	.C1(\soc_top/n_3465 ),
+	.X(\soc_top/n_5951 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g82256  (
+	.A1(\soc_top/n_6423 ),
+	.A2(\soc_top/core_id_reg_rd1[6] ),
+	.B1(\soc_top/n_3445 ),
+	.B2(\soc_top/core_InstructionDecode_io_writeData[6] ),
+	.C1(\soc_top/n_3475 ),
+	.X(\soc_top/n_5949 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g82257  (
+	.A1(\soc_top/n_6423 ),
+	.A2(\soc_top/core_id_reg_rd1[5] ),
+	.B1(\soc_top/n_3445 ),
+	.B2(\soc_top/n_4878 ),
+	.C1(\soc_top/n_3463 ),
+	.X(\soc_top/n_5947 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g82258  (
+	.A1(\soc_top/n_3445 ),
+	.A2(\soc_top/n_6545 ),
+	.B1(\soc_top/n_6604 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[4] ),
+	.C1(\soc_top/n_3462 ),
+	.X(\soc_top/n_5945 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top/g82259  (
+	.A1(\soc_top/n_3444 ),
+	.A2(\soc_top/FE_OFN74_core_InstructionDecode_io_writeData_3 ),
+	.B1(\soc_top/n_3427 ),
+	.C1(\soc_top/n_3451 ),
+	.D1(\soc_top/n_3455 ),
+	.Y(\soc_top/n_5943 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top/g82260  (
+	.A1(\soc_top/n_3444 ),
+	.A2(\soc_top/n_3165 ),
+	.B1(\soc_top/n_3426 ),
+	.C1(\soc_top/n_3454 ),
+	.D1(\soc_top/n_3453 ),
+	.Y(\soc_top/n_5941 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 \soc_top/g82261  (
+	.A1(\soc_top/n_3444 ),
+	.A2(\soc_top/FE_OFN75_core_InstructionDecode_io_writeData_1 ),
+	.B1(\soc_top/n_3461 ),
+	.C1(\soc_top/n_3425 ),
+	.Y(\soc_top/n_5939 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g82262  (
+	.A(\soc_top/n_3460 ),
+	.B(\soc_top/n_3459 ),
+	.Y(\soc_top/n_5937 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 \soc_top/g82263  (
+	.A_N(\soc_top/n_3411 ),
+	.B(\soc_top/n_3494 ),
+	.C(\soc_top/FE_OFN47_core_if_reg_ins_20 ),
+	.X(\soc_top/n_3495 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82264  (
+	.A1(\soc_top/FE_OFN6616_n ),
+	.A2(\soc_top/core_id_reg_imm[30] ),
+	.B1(\soc_top/core_Execute_io_writeData[30] ),
+	.B2(\soc_top/FE_OFN5616_core_id_reg_ctl_aluSrc ),
+	.X(\soc_top/n_5996 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82265  (
+	.A1(\soc_top/FE_OFN6616_n ),
+	.A2(\soc_top/core_id_reg_imm[29] ),
+	.B1(\soc_top/core_Execute_io_writeData[29] ),
+	.B2(\soc_top/FE_OFN5616_core_id_reg_ctl_aluSrc ),
+	.X(\soc_top/n_5994 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82266  (
+	.A1(\soc_top/FE_OFN6616_n ),
+	.A2(\soc_top/core_id_reg_imm[28] ),
+	.B1(\soc_top/core_Execute_io_writeData[28] ),
+	.B2(\soc_top/FE_OFN5616_core_id_reg_ctl_aluSrc ),
+	.X(\soc_top/n_5992 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82267  (
+	.A1(\soc_top/FE_OFN6616_n ),
+	.A2(\soc_top/core_id_reg_imm[27] ),
+	.B1(\soc_top/core_Execute_io_writeData[27] ),
+	.B2(\soc_top/FE_OFN5616_core_id_reg_ctl_aluSrc ),
+	.X(\soc_top/n_5990 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82268  (
+	.A1(\soc_top/FE_OFN6616_n ),
+	.A2(\soc_top/core_id_reg_imm[26] ),
+	.B1(\soc_top/core_Execute_io_writeData[26] ),
+	.B2(\soc_top/FE_OFN5616_core_id_reg_ctl_aluSrc ),
+	.X(\soc_top/n_5988 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82269  (
+	.A1(\soc_top/FE_OFN6616_n ),
+	.A2(\soc_top/core_id_reg_imm[25] ),
+	.B1(\soc_top/core_Execute_io_writeData[25] ),
+	.B2(\soc_top/FE_OFN5616_core_id_reg_ctl_aluSrc ),
+	.X(\soc_top/n_5986 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82270  (
+	.A1(\soc_top/FE_OFN6616_n ),
+	.A2(\soc_top/core_id_reg_imm[24] ),
+	.B1(\soc_top/core_Execute_io_writeData[24] ),
+	.B2(\soc_top/FE_OFN5616_core_id_reg_ctl_aluSrc ),
+	.X(\soc_top/n_5984 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82271  (
+	.A1(\soc_top/FE_OFN6616_n ),
+	.A2(\soc_top/core_id_reg_imm[23] ),
+	.B1(\soc_top/core_Execute_io_writeData[23] ),
+	.B2(\soc_top/FE_OFN5616_core_id_reg_ctl_aluSrc ),
+	.X(\soc_top/n_5982 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82272  (
+	.A1(\soc_top/FE_OFN6616_n ),
+	.A2(\soc_top/core_id_reg_imm[22] ),
+	.B1(\soc_top/core_Execute_io_writeData[22] ),
+	.B2(\soc_top/FE_OFN5616_core_id_reg_ctl_aluSrc ),
+	.X(\soc_top/n_5980 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82273  (
+	.A1(\soc_top/FE_OFN6616_n ),
+	.A2(\soc_top/core_id_reg_imm[21] ),
+	.B1(\soc_top/core_Execute_io_writeData[21] ),
+	.B2(\soc_top/FE_OFN5616_core_id_reg_ctl_aluSrc ),
+	.X(\soc_top/n_5978 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g82274  (
+	.A(\soc_top/n_3491 ),
+	.Y(\soc_top/n_3492 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82275  (
+	.A1(\soc_top/n_6423 ),
+	.A2(\soc_top/core_id_reg_rd1[27] ),
+	.B1(\soc_top/FE_OFN6627_n ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[27] ),
+	.X(\soc_top/n_3490 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82279  (
+	.A1(\soc_top/n_6423 ),
+	.A2(\soc_top/core_id_reg_rd1[17] ),
+	.B1(\soc_top/FE_OFN6627_n ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[17] ),
+	.X(\soc_top/n_3489 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82280  (
+	.A1(\soc_top/n_6423 ),
+	.A2(\soc_top/core_id_reg_rd1[18] ),
+	.B1(\soc_top/FE_OFN6627_n ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[18] ),
+	.X(\soc_top/n_3488 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82281  (
+	.A1(\soc_top/n_6423 ),
+	.A2(\soc_top/core_id_reg_rd1[19] ),
+	.B1(\soc_top/FE_OFN6627_n ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[19] ),
+	.X(\soc_top/n_3487 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82282  (
+	.A1(\soc_top/n_6423 ),
+	.A2(\soc_top/core_id_reg_rd1[31] ),
+	.B1(\soc_top/FE_OFN6627_n ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[31] ),
+	.X(\soc_top/n_3486 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82283  (
+	.A1(\soc_top/n_6423 ),
+	.A2(\soc_top/core_id_reg_rd1[30] ),
+	.B1(\soc_top/FE_OFN6627_n ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[30] ),
+	.X(\soc_top/n_3485 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82284  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[29] ),
+	.A2(\soc_top/FE_OFN5648_n_3445 ),
+	.B1(\soc_top/n_3368 ),
+	.B2(\soc_top/core_id_reg_pc[29] ),
+	.X(\soc_top/n_3484 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82285  (
+	.A1(\soc_top/n_6423 ),
+	.A2(\soc_top/core_id_reg_rd1[28] ),
+	.B1(\soc_top/FE_OFN6627_n ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[28] ),
+	.X(\soc_top/n_3483 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82287  (
+	.A1(\soc_top/n_6423 ),
+	.A2(\soc_top/core_id_reg_rd1[26] ),
+	.B1(\soc_top/FE_OFN6627_n ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[26] ),
+	.X(\soc_top/n_3482 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82288  (
+	.A1(\soc_top/n_6423 ),
+	.A2(\soc_top/core_id_reg_rd1[25] ),
+	.B1(\soc_top/FE_OFN6627_n ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[25] ),
+	.X(\soc_top/n_3481 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82289  (
+	.A1(\soc_top/n_6423 ),
+	.A2(\soc_top/core_id_reg_rd1[24] ),
+	.B1(\soc_top/FE_OFN6627_n ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[24] ),
+	.X(\soc_top/n_3480 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82290  (
+	.A1(\soc_top/n_6423 ),
+	.A2(\soc_top/core_id_reg_rd1[23] ),
+	.B1(\soc_top/FE_OFN6627_n ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[23] ),
+	.X(\soc_top/n_3479 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82291  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[22] ),
+	.A2(\soc_top/n_3445 ),
+	.B1(\soc_top/FE_OFN6627_n ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[22] ),
+	.X(\soc_top/n_3478 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82292  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[21] ),
+	.A2(\soc_top/FE_OFN5648_n_3445 ),
+	.B1(\soc_top/n_3368 ),
+	.B2(\soc_top/core_id_reg_pc[21] ),
+	.X(\soc_top/n_3477 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82293  (
+	.A1(\soc_top/n_6423 ),
+	.A2(\soc_top/core_id_reg_rd1[20] ),
+	.B1(\soc_top/FE_OFN6627_n ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[20] ),
+	.X(\soc_top/n_3476 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g82294  (
+	.A1(\soc_top/n_3347 ),
+	.A2(\soc_top/core_if_reg_ins[6] ),
+	.B1(\soc_top/n_3458 ),
+	.Y(\soc_top/n_3494 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g82295  (
+	.A1(\soc_top/core_if_reg_ins[6] ),
+	.A2(\soc_top/n_3437 ),
+	.B1(\soc_top/n_3393 ),
+	.Y(\soc_top/n_3493 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g82296  (
+	.A(\soc_top/n_3457 ),
+	.B(\soc_top/core_if_reg_ins[31] ),
+	.Y(\soc_top/n_3491 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82297  (
+	.A1(\soc_top/FE_OFN111_n_6604 ),
+	.A2(\soc_top/core_io_dmemReq_bits_addrRequest[6] ),
+	.B1(\soc_top/n_3368 ),
+	.B2(\soc_top/core_id_reg_pc[6] ),
+	.X(\soc_top/n_3475 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g82298  (
+	.A1(\soc_top/n_6423 ),
+	.A2(\soc_top/core_id_reg_rd1[16] ),
+	.B1(\soc_top/FE_OFN6627_n ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[16] ),
+	.Y(\soc_top/n_3474 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82299  (
+	.A1(\soc_top/n_6423 ),
+	.A2(\soc_top/core_id_reg_rd1[15] ),
+	.B1(\soc_top/FE_OFN6627_n ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[15] ),
+	.X(\soc_top/n_3473 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82300  (
+	.A1(\soc_top/n_6423 ),
+	.A2(\soc_top/core_id_reg_rd1[14] ),
+	.B1(\soc_top/FE_OFN6627_n ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[14] ),
+	.X(\soc_top/n_3472 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82301  (
+	.A1(\soc_top/n_6423 ),
+	.A2(\soc_top/core_id_reg_rd1[13] ),
+	.B1(\soc_top/FE_OFN6627_n ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[13] ),
+	.X(\soc_top/n_3471 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82302  (
+	.A1(\soc_top/n_6423 ),
+	.A2(\soc_top/core_id_reg_rd1[12] ),
+	.B1(\soc_top/FE_OFN6627_n ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[12] ),
+	.X(\soc_top/n_3470 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82303  (
+	.A1(\soc_top/n_6423 ),
+	.A2(\soc_top/core_id_reg_rd1[11] ),
+	.B1(\soc_top/FE_OFN111_n_6604 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[11] ),
+	.X(\soc_top/n_3469 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82304  (
+	.A1(\soc_top/n_6423 ),
+	.A2(\soc_top/core_id_reg_rd1[10] ),
+	.B1(\soc_top/FE_OFN111_n_6604 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[10] ),
+	.X(\soc_top/n_3468 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82305  (
+	.A1(\soc_top/FE_OFN111_n_6604 ),
+	.A2(\soc_top/core_io_dmemReq_bits_addrRequest[9] ),
+	.B1(\soc_top/n_3368 ),
+	.B2(\soc_top/core_id_reg_pc[9] ),
+	.X(\soc_top/n_3467 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82306  (
+	.A1(\soc_top/FE_OFN111_n_6604 ),
+	.A2(\soc_top/core_io_dmemReq_bits_addrRequest[8] ),
+	.B1(\soc_top/n_3368 ),
+	.B2(\soc_top/core_id_reg_pc[8] ),
+	.X(\soc_top/n_3466 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82307  (
+	.A1(\soc_top/n_6423 ),
+	.A2(\soc_top/core_id_reg_rd1[7] ),
+	.B1(\soc_top/n_3368 ),
+	.B2(\soc_top/core_id_reg_pc[7] ),
+	.X(\soc_top/n_3465 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g82308  (
+	.A1(\soc_top/FE_OFN134_core_InstructionDecode_io_writeData_16 ),
+	.A2(\soc_top/FE_OFN5648_n_3445 ),
+	.B1(\soc_top/n_3368 ),
+	.B2(\soc_top/core_id_reg_pc[16] ),
+	.Y(\soc_top/n_3464 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82309  (
+	.A1(\soc_top/FE_OFN111_n_6604 ),
+	.A2(\soc_top/FE_OFN80_core_io_dmemReq_bits_addrRequest_5 ),
+	.B1(\soc_top/n_3368 ),
+	.B2(\soc_top/core_id_reg_pc[5] ),
+	.X(\soc_top/n_3463 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82310  (
+	.A1(\soc_top/n_6423 ),
+	.A2(\soc_top/core_id_reg_rd1[4] ),
+	.B1(\soc_top/n_3368 ),
+	.B2(\soc_top/core_id_reg_pc[4] ),
+	.X(\soc_top/n_3462 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g82311  (
+	.A1(\soc_top/n_6423 ),
+	.A2(\soc_top/core_id_reg_rd1[1] ),
+	.B1(\soc_top/n_6604 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[1] ),
+	.Y(\soc_top/n_3461 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g82312  (
+	.A1(\soc_top/FE_OFN76_core_InstructionDecode_io_writeData_0 ),
+	.A2(\soc_top/n_3445 ),
+	.B1(\soc_top/n_3368 ),
+	.B2(\soc_top/core_id_reg_pc[0] ),
+	.Y(\soc_top/n_3460 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g82313  (
+	.A1(\soc_top/n_6423 ),
+	.A2(\soc_top/core_id_reg_rd1[0] ),
+	.B1(\soc_top/FE_OFN111_n_6604 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[0] ),
+	.Y(\soc_top/n_3459 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82314  (
+	.A1(\soc_top/core_Execute_io_writeData[10] ),
+	.A2(\soc_top/FE_OFN5616_core_id_reg_ctl_aluSrc ),
+	.B1(\soc_top/FE_OFN6616_n ),
+	.B2(\soc_top/core_id_reg_imm[10] ),
+	.X(\soc_top/n_5956 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top/g82315  (
+	.A1_N(\soc_top/core_id_reg_imm[9] ),
+	.A2_N(\soc_top/FE_OFN6616_n ),
+	.B1(\soc_top/FE_OFN6616_n ),
+	.B2(\soc_top/FE_OFN284_n_3403 ),
+	.Y(\soc_top/n_5954 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g82316  (
+	.A1(\soc_top/FE_OFN6616_n ),
+	.A2(\soc_top/n_3401 ),
+	.B1(\soc_top/n_3452 ),
+	.Y(\soc_top/n_5950 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g82317  (
+	.A1(\soc_top/FE_OFN6616_n ),
+	.A2(\soc_top/FE_OFN280_n_3379 ),
+	.B1(\soc_top/n_3456 ),
+	.Y(\soc_top/n_5948 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top/g82318  (
+	.A1_N(\soc_top/core_id_reg_imm[5] ),
+	.A2_N(\soc_top/FE_OFN6616_n ),
+	.B1(\soc_top/FE_OFN6616_n ),
+	.B2(\soc_top/FE_OFN279_n_3378 ),
+	.Y(\soc_top/n_5946 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g82329  (
+	.A(\soc_top/n_3458 ),
+	.Y(\soc_top/n_3457 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g82330  (
+	.A(\soc_top/FE_OFN86_core_id_reg_ctl_aluSrc ),
+	.B(\soc_top/core_id_reg_imm[6] ),
+	.Y(\soc_top/n_3456 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g82331  (
+	.A(\soc_top/n_6423 ),
+	.B(\soc_top/core_id_reg_rd1[3] ),
+	.Y(\soc_top/n_3455 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g82332  (
+	.A(\soc_top/n_6604 ),
+	.B(\soc_top/FE_OFN81_core_io_dmemReq_bits_addrRequest_2 ),
+	.Y(\soc_top/n_3454 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g82333  (
+	.A(\soc_top/n_6423 ),
+	.B(\soc_top/core_id_reg_rd1[2] ),
+	.Y(\soc_top/n_3453 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g82334  (
+	.A(\soc_top/FE_OFN86_core_id_reg_ctl_aluSrc ),
+	.B(\soc_top/core_id_reg_imm[7] ),
+	.Y(\soc_top/n_3452 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g82335  (
+	.A(\soc_top/n_6604 ),
+	.B(\soc_top/core_io_dmemReq_bits_addrRequest[3] ),
+	.Y(\soc_top/n_3451 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82336  (
+	.A(\soc_top/FE_OFN1_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_immediate[1] ),
+	.Y(\soc_top/n_3450 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82337  (
+	.A(\soc_top/FE_OFN1_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_immediate[2] ),
+	.Y(\soc_top/n_3449 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82338  (
+	.A(\soc_top/FE_OFN1_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_immediate[3] ),
+	.Y(\soc_top/n_3448 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82339  (
+	.A(\soc_top/FE_OFN1_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_immediate[4] ),
+	.Y(\soc_top/n_3447 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g82340  (
+	.A1(\soc_top/core_if_reg_ins[6] ),
+	.A2(\soc_top/n_3413 ),
+	.B1(\soc_top/n_3393 ),
+	.Y(\soc_top/n_3458 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g82347  (
+	.A(\soc_top/FE_OFN16_wb_rst_i ),
+	.B(\soc_top/n_3419 ),
+	.Y(\soc_top/n_3443 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g82348  (
+	.A(\soc_top/FE_OFN16_wb_rst_i ),
+	.B(\soc_top/n_3421 ),
+	.Y(\soc_top/n_3442 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g82349  (
+	.A(\soc_top/FE_OFN16_wb_rst_i ),
+	.B(\soc_top/n_3418 ),
+	.Y(\soc_top/n_3441 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g82350  (
+	.A(\soc_top/FE_OFN16_wb_rst_i ),
+	.B(\soc_top/n_3417 ),
+	.Y(\soc_top/n_3440 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g82351  (
+	.A(\soc_top/FE_OFN16_wb_rst_i ),
+	.B(\soc_top/n_3420 ),
+	.Y(\soc_top/n_3439 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 \soc_top/g82353  (
+	.A(\soc_top/core_Execute_ForwardingUnit_io_forwardA[1] ),
+	.B(\soc_top/n_3430 ),
+	.X(\soc_top/n_3445 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 \soc_top/g82354  (
+	.A1(\soc_top/n_3357 ),
+	.A2(\soc_top/core_if_reg_ins[2] ),
+	.B1(\soc_top/n_3346 ),
+	.C1(\soc_top/n_3415 ),
+	.Y(\soc_top/n_3437 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/g82355  (
+	.A1(\soc_top/n_3410 ),
+	.A2(\soc_top/core_if_reg_ins[27] ),
+	.B1(\soc_top/n_3416 ),
+	.X(\soc_top/n_3436 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/g82356  (
+	.A1(\soc_top/n_3410 ),
+	.A2(\soc_top/core_if_reg_ins[28] ),
+	.B1(\soc_top/n_3416 ),
+	.X(\soc_top/n_3435 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/g82357  (
+	.A1(\soc_top/n_3410 ),
+	.A2(\soc_top/core_if_reg_ins[29] ),
+	.B1(\soc_top/n_3416 ),
+	.X(\soc_top/n_3434 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/g82358  (
+	.A1(\soc_top/n_3410 ),
+	.A2(\soc_top/core_if_reg_ins[26] ),
+	.B1(\soc_top/n_3416 ),
+	.X(\soc_top/n_3433 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/g82359  (
+	.A1(\soc_top/n_3410 ),
+	.A2(\soc_top/core_if_reg_ins[25] ),
+	.B1(\soc_top/n_3416 ),
+	.X(\soc_top/n_3432 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g82360  (
+	.A1(\soc_top/FE_OFN6616_n ),
+	.A2(\soc_top/n_3402 ),
+	.B1(\soc_top/n_3247 ),
+	.Y(\soc_top/n_5952 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82361  (
+	.A1(\soc_top/core_Execute_io_writeData[31] ),
+	.A2(\soc_top/FE_OFN5616_core_id_reg_ctl_aluSrc ),
+	.B1(\soc_top/FE_OFN6616_n ),
+	.B2(\soc_top/core_id_reg_imm[31] ),
+	.X(\soc_top/n_5998 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82362  (
+	.A1(\soc_top/n_3399 ),
+	.A2(\soc_top/FE_OFN45_core_if_reg_ins_22 ),
+	.B1(\soc_top/n_3391 ),
+	.B2(\soc_top/core_if_reg_ins[9] ),
+	.X(\soc_top/core_InstructionDecode_io_immediate[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82363  (
+	.A1(\soc_top/n_3399 ),
+	.A2(\soc_top/FE_OFN46_core_if_reg_ins_21 ),
+	.B1(\soc_top/n_3391 ),
+	.B2(\soc_top/core_if_reg_ins[8] ),
+	.X(\soc_top/core_InstructionDecode_io_immediate[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82364  (
+	.A1(\soc_top/n_3399 ),
+	.A2(\soc_top/FE_OFN43_core_if_reg_ins_24 ),
+	.B1(\soc_top/n_3391 ),
+	.B2(\soc_top/core_if_reg_ins[11] ),
+	.X(\soc_top/core_InstructionDecode_io_immediate[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g82365  (
+	.A1(\soc_top/n_3399 ),
+	.A2(\soc_top/FE_OFN44_core_if_reg_ins_23 ),
+	.B1(\soc_top/n_3391 ),
+	.B2(\soc_top/core_if_reg_ins[10] ),
+	.X(\soc_top/core_InstructionDecode_io_immediate[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82368  (
+	.A(\soc_top/n_5641 ),
+	.B_N(\soc_top/core_if_reg_ins[30] ),
+	.Y(\soc_top/n_3429 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g82370  (
+	.A(\soc_top/n_3368 ),
+	.B(\soc_top/core_id_reg_pc[3] ),
+	.Y(\soc_top/n_3427 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g82371  (
+	.A(\soc_top/n_3368 ),
+	.B(\soc_top/core_id_reg_pc[2] ),
+	.Y(\soc_top/n_3426 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g82372  (
+	.A(\soc_top/n_3368 ),
+	.B(\soc_top/core_id_reg_pc[1] ),
+	.Y(\soc_top/n_3425 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82373  (
+	.A(\soc_top/n_5641 ),
+	.B_N(\soc_top/core_if_reg_ins[26] ),
+	.Y(\soc_top/n_3424 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82374  (
+	.A(\soc_top/n_5641 ),
+	.B_N(\soc_top/core_if_reg_ins[27] ),
+	.Y(\soc_top/n_3423 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82375  (
+	.A(\soc_top/n_5641 ),
+	.B_N(\soc_top/core_if_reg_ins[29] ),
+	.Y(\soc_top/n_3422 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g82376  (
+	.A(\soc_top/n_3392 ),
+	.B(\soc_top/core_Execute_ForwardingUnit_io_forwardA[0] ),
+	.Y(\soc_top/n_3430 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g82377  (
+	.A(\soc_top/n_3421 ),
+	.Y(\soc_top/core_InstructionDecode_io_immediate[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g82378  (
+	.A(\soc_top/n_3420 ),
+	.Y(\soc_top/core_InstructionDecode_io_immediate[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g82379  (
+	.A(\soc_top/n_3419 ),
+	.Y(\soc_top/core_InstructionDecode_io_immediate[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g82380  (
+	.A(\soc_top/n_3418 ),
+	.Y(\soc_top/core_InstructionDecode_io_immediate[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g82381  (
+	.A(\soc_top/n_3417 ),
+	.Y(\soc_top/core_InstructionDecode_io_immediate[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top/g82382  (
+	.A1_N(\soc_top/core_if_reg_ins[5] ),
+	.A2_N(\soc_top/core_if_reg_ins[3] ),
+	.B1(\soc_top/core_if_reg_ins[2] ),
+	.B2(\soc_top/n_3388 ),
+	.Y(\soc_top/n_3415 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82383  (
+	.A(\soc_top/n_5641 ),
+	.B_N(\soc_top/core_if_reg_ins[25] ),
+	.Y(\soc_top/n_3414 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g82384  (
+	.A1(\soc_top/n_3219 ),
+	.A2(\soc_top/core_if_reg_ins[2] ),
+	.B1(\soc_top/n_3358 ),
+	.B2(\soc_top/n_3347 ),
+	.C1(\soc_top/n_3361 ),
+	.Y(\soc_top/n_3413 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g82385  (
+	.A1(\soc_top/n_2691 ),
+	.A2(\soc_top/FE_OFN45_core_if_reg_ins_22 ),
+	.B1(\soc_top/n_3409 ),
+	.Y(\soc_top/n_3421 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g82386  (
+	.A1(\soc_top/n_2691 ),
+	.A2(\soc_top/core_if_reg_ins[30] ),
+	.B1(\soc_top/n_3409 ),
+	.Y(\soc_top/n_3420 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g82387  (
+	.A1(\soc_top/n_2691 ),
+	.A2(\soc_top/FE_OFN46_core_if_reg_ins_21 ),
+	.B1(\soc_top/n_3409 ),
+	.Y(\soc_top/n_3419 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g82388  (
+	.A1(\soc_top/n_2691 ),
+	.A2(\soc_top/FE_OFN44_core_if_reg_ins_23 ),
+	.B1(\soc_top/n_3409 ),
+	.Y(\soc_top/n_3418 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g82389  (
+	.A1(\soc_top/n_2691 ),
+	.A2(\soc_top/FE_OFN43_core_if_reg_ins_24 ),
+	.B1(\soc_top/n_3409 ),
+	.Y(\soc_top/n_3417 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g82390  (
+	.A(\soc_top/FE_OFN8_wb_rst_i ),
+	.B(\soc_top/n_5596 ),
+	.Y(\soc_top/n_3416 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g82392  (
+	.A(\soc_top/n_3406 ),
+	.Y(\soc_top/core_Execute_io_writeData[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g82393  (
+	.A(\soc_top/n_3405 ),
+	.Y(\soc_top/core_Execute_io_writeData[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g82395  (
+	.A(\soc_top/n_5596 ),
+	.Y(\soc_top/n_3409 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g82396  (
+	.A(\soc_top/core_Execute_io_writeData[1] ),
+	.B(\soc_top/FE_OFN5616_core_id_reg_ctl_aluSrc ),
+	.Y(\soc_top/n_3408 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g82398  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[15] ),
+	.A2(\soc_top/FE_OFN202_n_3293 ),
+	.B1(\soc_top/core_id_reg_rd2[15] ),
+	.B2(\soc_top/FE_OFN203_n_3317 ),
+	.C1(\soc_top/FE_OFN247_n_6430 ),
+	.C2(\soc_top/FE_OFN5644_core_InstructionDecode_io_writeData_15 ),
+	.Y(\soc_top/n_3406 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g82399  (
+	.A(\soc_top/core_if_reg_ins[6] ),
+	.B(\soc_top/n_3390 ),
+	.Y(\soc_top/n_3411 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g82400  (
+	.A1(\soc_top/core_id_reg_rd2[17] ),
+	.A2(\soc_top/FE_OFN203_n_3317 ),
+	.B1(\soc_top/FE_OFN247_n_6430 ),
+	.B2(\soc_top/FE_OFN133_core_InstructionDecode_io_writeData_17 ),
+	.C1(\soc_top/FE_OFN202_n_3293 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[17] ),
+	.Y(\soc_top/n_3405 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g82401  (
+	.A1(\soc_top/core_id_reg_rd2[31] ),
+	.A2(\soc_top/FE_OFN203_n_3317 ),
+	.B1(\soc_top/core_io_dmemReq_bits_addrRequest[31] ),
+	.B2(\soc_top/FE_OFN202_n_3293 ),
+	.C1(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.C2(\soc_top/FE_OFN247_n_6430 ),
+	.Y(\soc_top/n_3404 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g82402  (
+	.A(\soc_top/FE_OFN8_wb_rst_i ),
+	.B(\soc_top/n_5642 ),
+	.Y(\soc_top/n_3410 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g82403  (
+	.A(\soc_top/n_5642 ),
+	.B(\soc_top/FE_DBTN13_wb_rst_i ),
+	.Y(\soc_top/n_5641 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g82404  (
+	.A(\soc_top/n_5642 ),
+	.B(\soc_top/core_if_reg_ins[31] ),
+	.Y(\soc_top/n_5596 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g82407  (
+	.A(\soc_top/n_3395 ),
+	.Y(\soc_top/core_Execute_io_writeData[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \soc_top/g82413  (
+	.A(\soc_top/n_3391 ),
+	.B(\soc_top/core_if_reg_ins[6] ),
+	.C(\soc_top/core_if_reg_ins[7] ),
+	.X(\soc_top/n_3398 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g82414  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[26] ),
+	.A2(\soc_top/FE_OFN202_n_3293 ),
+	.B1(\soc_top/core_id_reg_rd2[26] ),
+	.B2(\soc_top/FE_OFN203_n_3317 ),
+	.C1(\soc_top/core_InstructionDecode_io_writeData[26] ),
+	.C2(\soc_top/FE_OFN247_n_6430 ),
+	.Y(\soc_top/n_3397 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g82415  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[27] ),
+	.A2(\soc_top/FE_OFN202_n_3293 ),
+	.B1(\soc_top/core_id_reg_rd2[27] ),
+	.B2(\soc_top/FE_OFN203_n_3317 ),
+	.C1(\soc_top/core_InstructionDecode_io_writeData[27] ),
+	.C2(\soc_top/FE_OFN247_n_6430 ),
+	.Y(\soc_top/n_3396 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g82416  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[11] ),
+	.A2(\soc_top/FE_OFN202_n_3293 ),
+	.B1(\soc_top/core_id_reg_rd2[11] ),
+	.B2(\soc_top/FE_OFN203_n_3317 ),
+	.C1(\soc_top/core_InstructionDecode_io_writeData[11] ),
+	.C2(\soc_top/FE_OFN247_n_6430 ),
+	.Y(\soc_top/n_3395 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g82417  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[28] ),
+	.A2(\soc_top/FE_OFN202_n_3293 ),
+	.B1(\soc_top/core_id_reg_rd2[28] ),
+	.B2(\soc_top/FE_OFN203_n_3317 ),
+	.C1(\soc_top/FE_OFN5676_core_InstructionDecode_io_writeData_28 ),
+	.C2(\soc_top/FE_OFN247_n_6430 ),
+	.Y(\soc_top/n_3394 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g82418  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[9] ),
+	.A2(\soc_top/FE_OFN202_n_3293 ),
+	.B1(\soc_top/core_id_reg_rd2[9] ),
+	.B2(\soc_top/FE_OFN203_n_3317 ),
+	.C1(\soc_top/core_InstructionDecode_io_writeData[9] ),
+	.C2(\soc_top/FE_OFN247_n_6430 ),
+	.Y(\soc_top/n_3403 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g82419  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[8] ),
+	.A2(\soc_top/FE_OFN202_n_3293 ),
+	.B1(\soc_top/core_id_reg_rd2[8] ),
+	.B2(\soc_top/FE_OFN203_n_3317 ),
+	.C1(\soc_top/core_InstructionDecode_io_writeData[8] ),
+	.C2(\soc_top/FE_OFN247_n_6430 ),
+	.Y(\soc_top/n_3402 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g82420  (
+	.A1(\soc_top/core_id_reg_rd2[7] ),
+	.A2(\soc_top/FE_OFN203_n_3317 ),
+	.B1(\soc_top/core_InstructionDecode_io_writeData[7] ),
+	.B2(\soc_top/FE_OFN247_n_6430 ),
+	.C1(\soc_top/FE_OFN202_n_3293 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[7] ),
+	.Y(\soc_top/n_3401 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g82421  (
+	.A1(\soc_top/FE_OFN202_n_3293 ),
+	.A2(\soc_top/FE_OFN83_core_io_dmemReq_bits_addrRequest_0 ),
+	.B1(\soc_top/n_3377 ),
+	.Y(\soc_top/n_3400 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/g82422  (
+	.A1(\soc_top/core_if_reg_ins[2] ),
+	.A2(\soc_top/n_6431 ),
+	.B1(\soc_top/n_3168 ),
+	.B2(\soc_top/n_3362 ),
+	.Y(\soc_top/n_3399 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g82423  (
+	.A(\soc_top/n_3387 ),
+	.Y(\soc_top/core_Execute_io_writeData[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g82426  (
+	.A(\soc_top/n_3384 ),
+	.Y(\soc_top/core_Execute_io_writeData[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g82427  (
+	.A(\soc_top/n_3383 ),
+	.Y(\soc_top/core_Execute_io_writeData[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g82430  (
+	.A(\soc_top/n_3380 ),
+	.Y(\soc_top/core_Execute_io_writeData[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/g82431  (
+	.A(\soc_top/n_3390 ),
+	.Y(\soc_top/n_3391 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g82432  (
+	.A(\soc_top/n_5642 ),
+	.Y(\soc_top/n_2691 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 \soc_top/g82433  (
+	.A1(\soc_top/core_if_reg_ins[4] ),
+	.A2(\soc_top/core_if_reg_ins[5] ),
+	.B1_N(\soc_top/n_3219 ),
+	.Y(\soc_top/n_3388 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g82434  (
+	.A1(\soc_top/n_3356 ),
+	.A2(\soc_top/n_3358 ),
+	.B1(\soc_top/core_if_reg_ins[6] ),
+	.Y(\soc_top/n_3393 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g82435  (
+	.A1(\soc_top/FE_OFN131_core_InstructionDecode_io_writeData_19 ),
+	.A2(\soc_top/FE_OFN247_n_6430 ),
+	.B1(\soc_top/core_io_dmemReq_bits_addrRequest[19] ),
+	.B2(\soc_top/FE_OFN202_n_3293 ),
+	.C1(\soc_top/FE_OFN203_n_3317 ),
+	.C2(\soc_top/core_id_reg_rd2[19] ),
+	.Y(\soc_top/n_3387 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g82436  (
+	.A1(\soc_top/core_id_reg_ctl_aluSrc1[0] ),
+	.A2(\soc_top/n_3359 ),
+	.B1(\soc_top/n_3367 ),
+	.Y(\soc_top/n_3392 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g82437  (
+	.A1(\soc_top/core_id_reg_rd2[20] ),
+	.A2(\soc_top/FE_OFN203_n_3317 ),
+	.B1(\soc_top/FE_OFN247_n_6430 ),
+	.B2(\soc_top/FE_OFN6615_core_InstructionDecode_io_writeData_20 ),
+	.C1(\soc_top/FE_OFN202_n_3293 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[20] ),
+	.Y(\soc_top/n_3386 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g82438  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[13] ),
+	.A2(\soc_top/FE_OFN202_n_3293 ),
+	.B1(\soc_top/core_id_reg_rd2[13] ),
+	.B2(\soc_top/FE_OFN203_n_3317 ),
+	.C1(\soc_top/n_5002 ),
+	.C2(\soc_top/FE_OFN247_n_6430 ),
+	.Y(\soc_top/n_3385 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g82439  (
+	.A1(\soc_top/core_id_reg_rd2[30] ),
+	.A2(\soc_top/FE_OFN203_n_3317 ),
+	.B1(\soc_top/FE_OFN247_n_6430 ),
+	.B2(\soc_top/core_InstructionDecode_io_writeData[30] ),
+	.C1(\soc_top/FE_OFN202_n_3293 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[30] ),
+	.Y(\soc_top/n_3384 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g82440  (
+	.A1(\soc_top/core_id_reg_rd2[18] ),
+	.A2(\soc_top/FE_OFN203_n_3317 ),
+	.B1(\soc_top/FE_OFN247_n_6430 ),
+	.B2(\soc_top/core_InstructionDecode_io_writeData[18] ),
+	.C1(\soc_top/FE_OFN202_n_3293 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[18] ),
+	.Y(\soc_top/n_3383 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g82441  (
+	.A1(\soc_top/core_id_reg_rd2[29] ),
+	.A2(\soc_top/FE_OFN203_n_3317 ),
+	.B1(\soc_top/FE_OFN247_n_6430 ),
+	.B2(\soc_top/FE_OFN5680_core_InstructionDecode_io_writeData_29 ),
+	.C1(\soc_top/FE_OFN202_n_3293 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[29] ),
+	.Y(\soc_top/n_3382 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g82442  (
+	.A1(\soc_top/FE_OFN134_core_InstructionDecode_io_writeData_16 ),
+	.A2(\soc_top/FE_OFN247_n_6430 ),
+	.B1(\soc_top/core_io_dmemReq_bits_addrRequest[16] ),
+	.B2(\soc_top/FE_OFN202_n_3293 ),
+	.C1(\soc_top/FE_OFN203_n_3317 ),
+	.C2(\soc_top/core_id_reg_rd2[16] ),
+	.Y(\soc_top/n_3381 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g82443  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[14] ),
+	.A2(\soc_top/FE_OFN202_n_3293 ),
+	.B1(\soc_top/core_id_reg_rd2[14] ),
+	.B2(\soc_top/FE_OFN203_n_3317 ),
+	.C1(\soc_top/FE_OFN247_n_6430 ),
+	.C2(\soc_top/FE_OFN5668_core_InstructionDecode_io_writeData_14 ),
+	.Y(\soc_top/n_3380 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g82444  (
+	.A(\soc_top/n_6431 ),
+	.B(\soc_top/n_3168 ),
+	.Y(\soc_top/n_3390 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g82445  (
+	.A(\soc_top/n_3362 ),
+	.B(\soc_top/core_if_reg_ins[2] ),
+	.Y(\soc_top/n_5642 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g82446  (
+	.A(\soc_top/n_3376 ),
+	.Y(\soc_top/core_Execute_io_writeData[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g82447  (
+	.A(\soc_top/n_3375 ),
+	.Y(\soc_top/core_Execute_io_writeData[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g82450  (
+	.A(\soc_top/n_3374 ),
+	.Y(\soc_top/core_Execute_io_writeData[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g82452  (
+	.A(\soc_top/n_3372 ),
+	.Y(\soc_top/core_Execute_io_writeData[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g82453  (
+	.A(\soc_top/n_3371 ),
+	.Y(\soc_top/core_Execute_io_writeData[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g82456  (
+	.A(\soc_top/n_3355 ),
+	.B(\soc_top/n_3360 ),
+	.Y(\soc_top/n_3377 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g82457  (
+	.A1(\soc_top/core_id_reg_rd2[25] ),
+	.A2(\soc_top/FE_OFN203_n_3317 ),
+	.B1(\soc_top/FE_OFN247_n_6430 ),
+	.B2(\soc_top/core_InstructionDecode_io_writeData[25] ),
+	.C1(\soc_top/FE_OFN202_n_3293 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[25] ),
+	.Y(\soc_top/n_3376 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g82458  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[24] ),
+	.A2(\soc_top/FE_OFN202_n_3293 ),
+	.B1(\soc_top/core_id_reg_rd2[24] ),
+	.B2(\soc_top/FE_OFN203_n_3317 ),
+	.C1(\soc_top/n_4102 ),
+	.C2(\soc_top/FE_OFN247_n_6430 ),
+	.Y(\soc_top/n_3375 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g82459  (
+	.A1(\soc_top/core_id_reg_rd2[6] ),
+	.A2(\soc_top/FE_OFN203_n_3317 ),
+	.B1(\soc_top/core_InstructionDecode_io_writeData[6] ),
+	.B2(\soc_top/FE_OFN247_n_6430 ),
+	.C1(\soc_top/FE_OFN202_n_3293 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[6] ),
+	.Y(\soc_top/n_3379 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g82460  (
+	.A1(\soc_top/core_id_reg_rd2[5] ),
+	.A2(\soc_top/FE_OFN203_n_3317 ),
+	.B1(\soc_top/n_6546 ),
+	.B2(\soc_top/FE_OFN247_n_6430 ),
+	.C1(\soc_top/FE_OFN202_n_3293 ),
+	.C2(\soc_top/FE_OFN80_core_io_dmemReq_bits_addrRequest_5 ),
+	.Y(\soc_top/n_3378 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g82461  (
+	.A1(\soc_top/core_id_reg_rd2[23] ),
+	.A2(\soc_top/FE_OFN203_n_3317 ),
+	.B1(\soc_top/FE_OFN247_n_6430 ),
+	.B2(\soc_top/core_InstructionDecode_io_writeData[23] ),
+	.C1(\soc_top/FE_OFN202_n_3293 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[23] ),
+	.Y(\soc_top/n_3374 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g82462  (
+	.A1(\soc_top/core_id_reg_rd2[4] ),
+	.A2(\soc_top/FE_OFN203_n_3317 ),
+	.B1(\soc_top/n_6545 ),
+	.B2(\soc_top/FE_OFN247_n_6430 ),
+	.C1(\soc_top/FE_OFN202_n_3293 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[4] ),
+	.Y(\soc_top/n_3373 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g82464  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[22] ),
+	.A2(\soc_top/FE_OFN202_n_3293 ),
+	.B1(\soc_top/core_id_reg_rd2[22] ),
+	.B2(\soc_top/FE_OFN203_n_3317 ),
+	.C1(\soc_top/core_InstructionDecode_io_writeData[22] ),
+	.C2(\soc_top/FE_OFN247_n_6430 ),
+	.Y(\soc_top/n_3372 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 \soc_top/g82466  (
+	.A(\soc_top/n_3354 ),
+	.B(\soc_top/n_3348 ),
+	.C(\soc_top/n_3364 ),
+	.Y(\soc_top/core_Execute_io_writeData[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g82467  (
+	.A1(\soc_top/core_id_reg_rd2[21] ),
+	.A2(\soc_top/FE_OFN203_n_3317 ),
+	.B1(\soc_top/FE_OFN247_n_6430 ),
+	.B2(\soc_top/core_InstructionDecode_io_writeData[21] ),
+	.C1(\soc_top/FE_OFN202_n_3293 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[21] ),
+	.Y(\soc_top/n_3371 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g82468  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[12] ),
+	.A2(\soc_top/FE_OFN202_n_3293 ),
+	.B1(\soc_top/core_id_reg_rd2[12] ),
+	.B2(\soc_top/FE_OFN203_n_3317 ),
+	.C1(\soc_top/FE_OFN6625_core_InstructionDecode_io_writeData_12 ),
+	.C2(\soc_top/FE_OFN247_n_6430 ),
+	.Y(\soc_top/n_3370 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g82469  (
+	.A1(\soc_top/core_id_reg_rd2[10] ),
+	.A2(\soc_top/FE_OFN203_n_3317 ),
+	.B1(\soc_top/FE_OFN247_n_6430 ),
+	.B2(\soc_top/core_InstructionDecode_io_writeData[10] ),
+	.C1(\soc_top/FE_OFN202_n_3293 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[10] ),
+	.Y(\soc_top/n_3369 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g82470  (
+	.A(\soc_top/n_3367 ),
+	.Y(\soc_top/n_3368 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g82473  (
+	.A(\soc_top/FE_OFN203_n_3317 ),
+	.B(\soc_top/core_id_reg_rd2[1] ),
+	.Y(\soc_top/n_3364 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g82474  (
+	.A(\soc_top/n_3359 ),
+	.B(\soc_top/core_id_reg_ctl_aluSrc1[0] ),
+	.Y(\soc_top/n_3367 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g82475  (
+	.A(\soc_top/n_3347 ),
+	.B(\soc_top/n_3350 ),
+	.Y(\soc_top/n_3361 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g82476  (
+	.A(\soc_top/FE_OFN203_n_3317 ),
+	.B(\soc_top/core_id_reg_rd2[0] ),
+	.Y(\soc_top/n_3360 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g82478  (
+	.A(\soc_top/core_if_reg_ins[6] ),
+	.B(\soc_top/n_3162 ),
+	.C(\soc_top/n_3358 ),
+	.Y(\soc_top/n_3362 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/g82479  (
+	.A(\soc_top/core_id_reg_ctl_aluSrc1[1] ),
+	.Y(\soc_top/n_3359 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top/g82531  (
+	.A1_N(\soc_top/core_if_reg_ins[4] ),
+	.A2_N(\soc_top/core_if_reg_ins[3] ),
+	.B1(\soc_top/core_if_reg_ins[4] ),
+	.B2(\soc_top/core_if_reg_ins[3] ),
+	.Y(\soc_top/n_3357 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g82534  (
+	.A1(\soc_top/n_3168 ),
+	.A2(\soc_top/n_3162 ),
+	.B1(\soc_top/core_if_reg_ins[5] ),
+	.Y(\soc_top/n_3356 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g82535  (
+	.A(\soc_top/FE_OFN76_core_InstructionDecode_io_writeData_0 ),
+	.B(\soc_top/FE_OFN247_n_6430 ),
+	.Y(\soc_top/n_3355 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g82537  (
+	.A(\soc_top/core_InstructionDecode_io_writeData[1] ),
+	.B(\soc_top/FE_OFN247_n_6430 ),
+	.Y(\soc_top/n_3354 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g82547  (
+	.A(\soc_top/core_if_reg_ins[5] ),
+	.B(\soc_top/n_3346 ),
+	.Y(\soc_top/n_3350 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g82551  (
+	.A(\soc_top/FE_OFN202_n_3293 ),
+	.B(\soc_top/core_io_dmemReq_bits_addrRequest[1] ),
+	.Y(\soc_top/n_3348 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \soc_top/g82576  (
+	.A(\soc_top/core_if_reg_ins[3] ),
+	.B(\soc_top/n_3346 ),
+	.X(\soc_top/n_3358 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82658  (
+	.A(\soc_top/FE_OFN16_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_pc[5] ),
+	.Y(\soc_top/n_3261 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82659  (
+	.A(\soc_top/FE_OFN16_wb_rst_i ),
+	.B_N(\soc_top/FE_OFN257_core_InstructionDecode_io_readData1_16 ),
+	.Y(\soc_top/n_3260 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g82660  (
+	.A(\soc_top/core_InstructionDecode_io_readData1[0] ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_3259 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82661  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData2[29] ),
+	.Y(\soc_top/n_3258 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82662  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData2[25] ),
+	.Y(\soc_top/n_3257 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82663  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData1[6] ),
+	.Y(\soc_top/n_3256 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82665  (
+	.A(\soc_top/FE_OFN15_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_pc[16] ),
+	.Y(\soc_top/n_3254 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82666  (
+	.A(\soc_top/FE_OFN15_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_pc[29] ),
+	.Y(\soc_top/n_3253 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82668  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/FE_OFN118_core_InstructionDecode_io_readData1_5 ),
+	.Y(\soc_top/n_3251 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82669  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData1[1] ),
+	.Y(\soc_top/n_3250 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82670  (
+	.A(\soc_top/FE_OFN15_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_pc[12] ),
+	.Y(\soc_top/n_3249 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82671  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData2[26] ),
+	.Y(\soc_top/n_3248 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g82672  (
+	.A(\soc_top/core_id_reg_imm[8] ),
+	.B(\soc_top/FE_OFN86_core_id_reg_ctl_aluSrc ),
+	.Y(\soc_top/n_3247 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82673  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData2[7] ),
+	.Y(\soc_top/n_3246 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82674  (
+	.A(\soc_top/FE_OFN16_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_pc[14] ),
+	.Y(\soc_top/n_3245 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82675  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData2[15] ),
+	.Y(\soc_top/n_3244 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g82676  (
+	.A(\soc_top/FE_OFN259_core_InstructionDecode_io_readData1_12 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_3243 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82677  (
+	.A(\soc_top/FE_OFN16_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData1[26] ),
+	.Y(\soc_top/n_3242 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82678  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_pc[20] ),
+	.Y(\soc_top/n_3241 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82679  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_pc[22] ),
+	.Y(\soc_top/n_3240 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82680  (
+	.A(\soc_top/FE_OFN4_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_pc[9] ),
+	.Y(\soc_top/n_3239 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82682  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_pc[21] ),
+	.Y(\soc_top/n_3237 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82683  (
+	.A(\soc_top/FE_OFN16_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_pc[8] ),
+	.Y(\soc_top/n_3236 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82684  (
+	.A(\soc_top/FE_OFN15_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_pc[24] ),
+	.Y(\soc_top/n_3235 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82685  (
+	.A(\soc_top/FE_OFN16_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData1[28] ),
+	.Y(\soc_top/n_3234 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82687  (
+	.A(\soc_top/FE_OFN10_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData2[3] ),
+	.Y(\soc_top/n_3232 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82688  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData2[4] ),
+	.Y(\soc_top/n_3231 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82689  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData1[20] ),
+	.Y(\soc_top/n_3230 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g82690  (
+	.A(\soc_top/core_InstructionDecode_io_readData1[18] ),
+	.B(\soc_top/FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_3229 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82691  (
+	.A(\soc_top/FE_OFN16_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_pc[1] ),
+	.Y(\soc_top/n_3228 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82692  (
+	.A(\soc_top/FE_OFN15_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_pc[31] ),
+	.Y(\soc_top/n_3227 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82693  (
+	.A(\soc_top/FE_OFN4_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_pc[2] ),
+	.Y(\soc_top/n_3226 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82695  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData2[0] ),
+	.Y(\soc_top/n_3224 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82696  (
+	.A(\soc_top/FE_OFN10_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_pc[17] ),
+	.Y(\soc_top/n_3223 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g82697  (
+	.A(\soc_top/core_InstructionDecode_io_ctl_aluSrc1[0] ),
+	.B(\soc_top/FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_3222 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g82698  (
+	.A(\soc_top/core_InstructionDecode_io_readData1[3] ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_3221 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82699  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData2[27] ),
+	.Y(\soc_top/n_3220 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g82700  (
+	.A(\soc_top/core_if_reg_ins[2] ),
+	.B(\soc_top/core_if_reg_ins[4] ),
+	.Y(\soc_top/n_3347 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g82701  (
+	.A(\soc_top/core_if_reg_ins[1] ),
+	.B(\soc_top/core_if_reg_ins[0] ),
+	.Y(\soc_top/n_3346 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g82702  (
+	.A(\soc_top/core_Execute_ForwardingUnit_io_forwardB[0] ),
+	.B(\soc_top/core_Execute_ForwardingUnit_io_forwardB[1] ),
+	.Y(\soc_top/n_3317 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g82703  (
+	.A(\soc_top/n_3164 ),
+	.B(\soc_top/core_Execute_ForwardingUnit_io_forwardB[1] ),
+	.Y(\soc_top/n_3293 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82706  (
+	.A(\soc_top/FE_OFN4_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_pc[10] ),
+	.Y(\soc_top/n_3217 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82707  (
+	.A(\soc_top/FE_OFN15_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_pc[13] ),
+	.Y(\soc_top/n_3216 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g82708  (
+	.A(\soc_top/core_InstructionDecode_io_readData1[30] ),
+	.B(\soc_top/FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_3215 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82709  (
+	.A(\soc_top/FE_OFN10_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData1[19] ),
+	.Y(\soc_top/n_3214 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g82710  (
+	.A(\soc_top/core_InstructionDecode_io_readData2[10] ),
+	.B(\soc_top/FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_3213 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82711  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B_N(\soc_top/FE_OFN255_core_InstructionDecode_io_readData1_23 ),
+	.Y(\soc_top/n_3212 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82712  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B_N(\soc_top/FE_OFN256_core_InstructionDecode_io_readData1_21 ),
+	.Y(\soc_top/n_3211 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82713  (
+	.A(\soc_top/FE_OFN10_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData1[22] ),
+	.Y(\soc_top/n_3210 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82714  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData2[30] ),
+	.Y(\soc_top/n_3209 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82716  (
+	.A(\soc_top/FE_OFN16_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_pc[27] ),
+	.Y(\soc_top/n_3207 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82718  (
+	.A(\soc_top/FE_OFN4_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_pc[3] ),
+	.Y(\soc_top/n_3205 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82719  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData1[14] ),
+	.Y(\soc_top/n_3204 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82720  (
+	.A(\soc_top/FE_OFN8_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_pc[7] ),
+	.Y(\soc_top/n_3203 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82721  (
+	.A(\soc_top/FE_OFN10_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_pc[19] ),
+	.Y(\soc_top/n_3202 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82722  (
+	.A(\soc_top/FE_OFN10_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData1[24] ),
+	.Y(\soc_top/n_3201 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82723  (
+	.A(\soc_top/FE_OFN10_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_pc[18] ),
+	.Y(\soc_top/n_3200 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82724  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData1[8] ),
+	.Y(\soc_top/n_3199 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82725  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_pc[23] ),
+	.Y(\soc_top/n_3198 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82726  (
+	.A(\soc_top/FE_OFN8_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_pc[4] ),
+	.Y(\soc_top/n_3197 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82727  (
+	.A(\soc_top/FE_OFN10_wb_rst_i ),
+	.B_N(\soc_top/FE_OFN258_core_InstructionDecode_io_readData1_15 ),
+	.Y(\soc_top/n_3196 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82728  (
+	.A(\soc_top/FE_OFN16_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_pc[26] ),
+	.Y(\soc_top/n_3195 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82729  (
+	.A(\soc_top/FE_OFN15_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_pc[15] ),
+	.Y(\soc_top/n_3194 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g82730  (
+	.A(\soc_top/core_InstructionDecode_io_readData1[31] ),
+	.B(\soc_top/FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_3193 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82731  (
+	.A(\soc_top/FE_OFN15_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_pc[25] ),
+	.Y(\soc_top/n_3192 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82732  (
+	.A(\soc_top/FE_OFN16_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_pc[28] ),
+	.Y(\soc_top/n_3191 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g82733  (
+	.A(\soc_top/core_InstructionDecode_io_readData1[11] ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_3190 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82734  (
+	.A(\soc_top/FE_OFN16_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData1[27] ),
+	.Y(\soc_top/n_3189 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82735  (
+	.A(\soc_top/FE_OFN4_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_pc[6] ),
+	.Y(\soc_top/n_3188 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g82736  (
+	.A(\soc_top/core_InstructionDecode_io_readData1[25] ),
+	.B(\soc_top/FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_3187 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82737  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData1[10] ),
+	.Y(\soc_top/n_3186 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82738  (
+	.A(\soc_top/FE_OFN15_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_pc[30] ),
+	.Y(\soc_top/n_3185 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82740  (
+	.A(\soc_top/FE_OFN4_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_pc[0] ),
+	.Y(\soc_top/n_3183 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82741  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData1[7] ),
+	.Y(\soc_top/n_3182 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82742  (
+	.A(\soc_top/FE_OFN16_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData1[29] ),
+	.Y(\soc_top/n_3181 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82743  (
+	.A(\soc_top/FE_OFN16_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_pc[11] ),
+	.Y(\soc_top/n_3180 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g82744  (
+	.A(\soc_top/core_InstructionDecode_io_ctl_aluSrc1[1] ),
+	.B(\soc_top/FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_3179 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82745  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData1[9] ),
+	.Y(\soc_top/n_3178 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82746  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData1[13] ),
+	.Y(\soc_top/n_3177 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82747  (
+	.A(\soc_top/FE_OFN10_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData1[17] ),
+	.Y(\soc_top/n_3176 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82748  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData2[2] ),
+	.Y(\soc_top/n_3175 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g82749  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData2[1] ),
+	.Y(\soc_top/n_3174 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g82751  (
+	.A(\soc_top/n_3162 ),
+	.B(\soc_top/core_if_reg_ins[3] ),
+	.Y(\soc_top/n_3219 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/g82756  (
+	.A(\soc_top/core_if_reg_ins[2] ),
+	.Y(\soc_top/n_3168 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g82760  (
+	.A(\soc_top/core_Execute_ForwardingUnit_io_forwardB[0] ),
+	.Y(\soc_top/n_3164 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g82762  (
+	.A(\soc_top/core_if_reg_ins[4] ),
+	.Y(\soc_top/n_3162 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_ins_reg[12]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_2758 ),
+	.Q(\soc_top/core_ex_reg_ins[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_ins_reg[13]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_2757 ),
+	.Q(\soc_top/core_ex_reg_ins[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_ins_reg[14]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_2835 ),
+	.Q(\soc_top/core_ex_reg_ins[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_pc_reg[0]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_2756 ),
+	.Q(\soc_top/core_ex_reg_pc[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_pc_reg[1]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_2824 ),
+	.Q(\soc_top/core_ex_reg_pc[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_pc_reg[2]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_2755 ),
+	.Q(\soc_top/core_ex_reg_pc[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_pc_reg[3]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_2834 ),
+	.Q(\soc_top/core_ex_reg_pc[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_pc_reg[4]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_2754 ),
+	.Q(\soc_top/core_ex_reg_pc[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_pc_reg[5]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_2763 ),
+	.Q(\soc_top/core_ex_reg_pc[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_pc_reg[6]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_2822 ),
+	.Q(\soc_top/core_ex_reg_pc[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_pc_reg[7]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_2762 ),
+	.Q(\soc_top/core_ex_reg_pc[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_pc_reg[8]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_2753 ),
+	.Q(\soc_top/core_ex_reg_pc[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_pc_reg[9]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_2808 ),
+	.Q(\soc_top/core_ex_reg_pc[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_pc_reg[10]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_2768 ),
+	.Q(\soc_top/core_ex_reg_pc[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_pc_reg[11]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_2779 ),
+	.Q(\soc_top/core_ex_reg_pc[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_pc_reg[12]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_2771 ),
+	.Q(\soc_top/core_ex_reg_pc[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_pc_reg[13]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_2830 ),
+	.Q(\soc_top/core_ex_reg_pc[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_pc_reg[14]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_2814 ),
+	.Q(\soc_top/core_ex_reg_pc[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_pc_reg[15]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_2788 ),
+	.Q(\soc_top/core_ex_reg_pc[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_pc_reg[16]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_2752 ),
+	.Q(\soc_top/core_ex_reg_pc[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_pc_reg[17]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_2781 ),
+	.Q(\soc_top/core_ex_reg_pc[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_pc_reg[18]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_2751 ),
+	.Q(\soc_top/core_ex_reg_pc[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_pc_reg[19]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_2833 ),
+	.Q(\soc_top/core_ex_reg_pc[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_pc_reg[20]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_2815 ),
+	.Q(\soc_top/core_ex_reg_pc[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_pc_reg[21]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_2811 ),
+	.Q(\soc_top/core_ex_reg_pc[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_pc_reg[22]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_2774 ),
+	.Q(\soc_top/core_ex_reg_pc[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_pc_reg[23]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_2765 ),
+	.Q(\soc_top/core_ex_reg_pc[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_pc_reg[24]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_2744 ),
+	.Q(\soc_top/core_ex_reg_pc[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_pc_reg[25]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_2832 ),
+	.Q(\soc_top/core_ex_reg_pc[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_pc_reg[26]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_2795 ),
+	.Q(\soc_top/core_ex_reg_pc[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_pc_reg[27]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_2802 ),
+	.Q(\soc_top/core_ex_reg_pc[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_pc_reg[28]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_2798 ),
+	.Q(\soc_top/core_ex_reg_pc[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_pc_reg[29]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_2826 ),
+	.Q(\soc_top/core_ex_reg_pc[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_pc_reg[30]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_2750 ),
+	.Q(\soc_top/core_ex_reg_pc[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_pc_reg[31]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_2773 ),
+	.Q(\soc_top/core_ex_reg_pc[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wd_reg[0]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_6427 ),
+	.Q(\soc_top/core_ex_reg_wd[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wd_reg[1]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_2812 ),
+	.Q(\soc_top/core_ex_reg_wd[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wd_reg[3]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_2748 ),
+	.Q(\soc_top/core_ex_reg_wd[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wd_reg[4]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2828 ),
+	.Q(\soc_top/core_ex_reg_wd[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wd_reg[7]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_6426 ),
+	.Q(\soc_top/core_ex_reg_wd[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wd_reg[9]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_6424 ),
+	.Q(\soc_top/core_ex_reg_wd[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wd_reg[11]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2817 ),
+	.Q(\soc_top/core_ex_reg_wd[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wd_reg[12]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_2745 ),
+	.Q(\soc_top/core_ex_reg_wd[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wd_reg[13]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_2746 ),
+	.Q(\soc_top/core_ex_reg_wd[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wd_reg[15]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_2738 ),
+	.Q(\soc_top/core_ex_reg_wd[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wd_reg[16]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_2759 ),
+	.Q(\soc_top/core_ex_reg_wd[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wd_reg[17]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_2760 ),
+	.Q(\soc_top/core_ex_reg_wd[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wd_reg[18]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2818 ),
+	.Q(\soc_top/core_ex_reg_wd[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wd_reg[19]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2769 ),
+	.Q(\soc_top/core_ex_reg_wd[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wd_reg[20]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_2819 ),
+	.Q(\soc_top/core_ex_reg_wd[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wd_reg[21]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_2767 ),
+	.Q(\soc_top/core_ex_reg_wd[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wd_reg[22]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_2825 ),
+	.Q(\soc_top/core_ex_reg_wd[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wd_reg[23]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_2820 ),
+	.Q(\soc_top/core_ex_reg_wd[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wd_reg[24]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_2743 ),
+	.Q(\soc_top/core_ex_reg_wd[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wd_reg[25]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_2794 ),
+	.Q(\soc_top/core_ex_reg_wd[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wd_reg[26]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_2742 ),
+	.Q(\soc_top/core_ex_reg_wd[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wd_reg[27]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2783 ),
+	.Q(\soc_top/core_ex_reg_wd[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wd_reg[28]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2800 ),
+	.Q(\soc_top/core_ex_reg_wd[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wd_reg[29]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_2799 ),
+	.Q(\soc_top/core_ex_reg_wd[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wd_reg[30]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_2796 ),
+	.Q(\soc_top/core_ex_reg_wd[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wd_reg[31]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_2801 ),
+	.Q(\soc_top/core_ex_reg_wd[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_ctl_memToReg_reg[1]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_2741 ),
+	.Q(\soc_top/core_id_reg_ctl_memToReg[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_ctl_memWrite_reg  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_3160 ),
+	.Q(\soc_top/core_id_reg_ctl_memWrite ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_ctl_regWrite_reg  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/FE_OFN278_n_3159 ),
+	.Q(\soc_top/core_id_reg_ctl_regWrite ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_data_in_q_reg[0]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/n_2782 ),
+	.Q(\soc_top/gpio_data_in_q[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_data_in_q_reg[1]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2736 ),
+	.Q(\soc_top/gpio_data_in_q[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_data_in_q_reg[2]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/n_2803 ),
+	.Q(\soc_top/gpio_data_in_q[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_data_in_q_reg[3]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/n_2735 ),
+	.Q(\soc_top/gpio_data_in_q[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_data_in_q_reg[4]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_2836 ),
+	.Q(\soc_top/gpio_data_in_q[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_data_in_q_reg[5]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/n_2813 ),
+	.Q(\soc_top/gpio_data_in_q[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_data_in_q_reg[6]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/n_2810 ),
+	.Q(\soc_top/gpio_data_in_q[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_data_in_q_reg[7]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2823 ),
+	.Q(\soc_top/gpio_data_in_q[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_data_in_q_reg[8]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2747 ),
+	.Q(\soc_top/gpio_data_in_q[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_data_in_q_reg[9]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_2734 ),
+	.Q(\soc_top/gpio_data_in_q[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_data_in_q_reg[10]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/n_2739 ),
+	.Q(\soc_top/gpio_data_in_q[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_data_in_q_reg[11]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/n_2733 ),
+	.Q(\soc_top/gpio_data_in_q[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_data_in_q_reg[12]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/n_2791 ),
+	.Q(\soc_top/gpio_data_in_q[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_data_in_q_reg[13]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/n_2732 ),
+	.Q(\soc_top/gpio_data_in_q[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_data_in_q_reg[14]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/n_2797 ),
+	.Q(\soc_top/gpio_data_in_q[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_data_in_q_reg[15]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/n_2789 ),
+	.Q(\soc_top/gpio_data_in_q[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_data_in_q_reg[16]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/n_2780 ),
+	.Q(\soc_top/gpio_data_in_q[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_data_in_q_reg[17]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2731 ),
+	.Q(\soc_top/gpio_data_in_q[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_data_in_q_reg[18]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/n_2827 ),
+	.Q(\soc_top/gpio_data_in_q[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_data_in_q_reg[19]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/n_2730 ),
+	.Q(\soc_top/gpio_data_in_q[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_data_in_q_reg[20]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/n_2804 ),
+	.Q(\soc_top/gpio_data_in_q[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_data_in_q_reg[21]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/n_2729 ),
+	.Q(\soc_top/gpio_data_in_q[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_data_in_q_reg[22]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/n_2775 ),
+	.Q(\soc_top/gpio_data_in_q[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_data_in_q_reg[23]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/n_2766 ),
+	.Q(\soc_top/gpio_data_in_q[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_data_in_q_reg[24]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/n_2740 ),
+	.Q(\soc_top/gpio_data_in_q[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_data_in_q_reg[25]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_2772 ),
+	.Q(\soc_top/gpio_data_in_q[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_data_in_q_reg[26]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/n_2778 ),
+	.Q(\soc_top/gpio_data_in_q[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_data_in_q_reg[27]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/n_2805 ),
+	.Q(\soc_top/gpio_data_in_q[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_data_in_q_reg[28]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/n_2806 ),
+	.Q(\soc_top/gpio_data_in_q[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_data_in_q_reg[29]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/n_2770 ),
+	.Q(\soc_top/gpio_data_in_q[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_data_in_q_reg[30]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2737 ),
+	.Q(\soc_top/gpio_data_in_q[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_data_in_q_reg[31]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/n_2831 ),
+	.Q(\soc_top/gpio_data_in_q[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_io_rsp_valid_REG_reg  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_3061 ),
+	.Q(\soc_top/gpio_io_rsp_valid ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/reset_reg_reg  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/FE_OFN9_wb_rst_i ),
+	.Q(\soc_top/reset_reg ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \soc_top/g88036  (
+	.A(\soc_top/FE_OFN8_wb_rst_i ),
+	.B(\soc_top/n_3158 ),
+	.C_N(\soc_top/core_InstructionDecode_control_io_memWrite ),
+	.Y(\soc_top/n_3160 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \soc_top/g88037  (
+	.A(\soc_top/FE_OFN8_wb_rst_i ),
+	.B(\soc_top/n_3158 ),
+	.C_N(\soc_top/core_InstructionDecode_control_io_regWrite ),
+	.Y(\soc_top/n_3159 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 \soc_top/g88038  (
+	.A1(\soc_top/core_if_reg_ins[30] ),
+	.A2(\soc_top/core_if_reg_ins[28] ),
+	.A3(\soc_top/core_if_reg_ins[29] ),
+	.A4(\soc_top/n_3109 ),
+	.B1(\soc_top/FE_OFN162_core_InstructionDecode_io_hdu_pcWrite ),
+	.Y(\soc_top/n_3158 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g88039  (
+	.A(\soc_top/n_3075 ),
+	.B(\soc_top/n_3146 ),
+	.C(\soc_top/n_3130 ),
+	.Y(\soc_top/n_5699 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/g88040  (
+	.A1(\soc_top/n_3113 ),
+	.A2(\soc_top/FE_OFN5668_core_InstructionDecode_io_writeData_14 ),
+	.B1(\soc_top/n_3157 ),
+	.X(\soc_top/n_5692 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g88041  (
+	.A(\soc_top/n_3100 ),
+	.B(\soc_top/n_3144 ),
+	.C(\soc_top/n_3123 ),
+	.Y(\soc_top/n_5709 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88042  (
+	.A1(\soc_top/core_Execute_io_ALUresult[30] ),
+	.A2(\soc_top/n_3036 ),
+	.B1(\soc_top/FE_OCPN6706_n_3113 ),
+	.B2(\soc_top/core_InstructionDecode_io_writeData[30] ),
+	.C1(\soc_top/n_3137 ),
+	.X(\soc_top/n_5708 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88043  (
+	.A1(\soc_top/core_Execute_io_ALUresult[25] ),
+	.A2(\soc_top/n_3036 ),
+	.B1(\soc_top/FE_OCPN6706_n_3113 ),
+	.B2(\soc_top/core_InstructionDecode_io_writeData[25] ),
+	.C1(\soc_top/n_3153 ),
+	.X(\soc_top/n_5703 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88044  (
+	.A1(\soc_top/core_Execute_io_ALUresult[24] ),
+	.A2(\soc_top/n_3036 ),
+	.B1(\soc_top/FE_OCPN6706_n_3113 ),
+	.B2(\soc_top/n_4102 ),
+	.C1(\soc_top/n_3155 ),
+	.X(\soc_top/n_5702 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g88045  (
+	.A(\soc_top/n_3077 ),
+	.B(\soc_top/n_3150 ),
+	.C(\soc_top/n_3125 ),
+	.Y(\soc_top/n_5701 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g88046  (
+	.A(\soc_top/n_3076 ),
+	.B(\soc_top/n_3148 ),
+	.C(\soc_top/n_3131 ),
+	.Y(\soc_top/n_5700 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/g88047  (
+	.A1(\soc_top/FE_OCPN6706_n_3113 ),
+	.A2(\soc_top/FE_OFN5644_core_InstructionDecode_io_writeData_15 ),
+	.B1(\soc_top/n_3156 ),
+	.X(\soc_top/n_5693 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88048  (
+	.A1(\soc_top/core_Execute_io_ALUresult[26] ),
+	.A2(\soc_top/n_3036 ),
+	.B1(\soc_top/n_3113 ),
+	.B2(\soc_top/core_InstructionDecode_io_writeData[26] ),
+	.C1(\soc_top/n_3154 ),
+	.X(\soc_top/n_5704 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88049  (
+	.A1(\soc_top/core_Execute_io_ALUresult[19] ),
+	.A2(\soc_top/n_3036 ),
+	.B1(\soc_top/FE_OCPN6706_n_3113 ),
+	.B2(\soc_top/FE_OFN131_core_InstructionDecode_io_writeData_19 ),
+	.C1(\soc_top/n_3136 ),
+	.X(\soc_top/n_5697 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g88050  (
+	.A(\soc_top/n_3073 ),
+	.B(\soc_top/n_3143 ),
+	.C(\soc_top/n_3126 ),
+	.Y(\soc_top/n_5696 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88051  (
+	.A1(\soc_top/core_Execute_io_ALUresult[29] ),
+	.A2(\soc_top/n_3036 ),
+	.B1(\soc_top/FE_OCPN6706_n_3113 ),
+	.B2(\soc_top/core_InstructionDecode_io_writeData[29] ),
+	.C1(\soc_top/n_3138 ),
+	.X(\soc_top/n_5707 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88052  (
+	.A1(\soc_top/core_Execute_io_ALUresult[17] ),
+	.A2(\soc_top/n_3036 ),
+	.B1(\soc_top/FE_OCPN6706_n_3113 ),
+	.B2(\soc_top/FE_OFN133_core_InstructionDecode_io_writeData_17 ),
+	.C1(\soc_top/n_3135 ),
+	.X(\soc_top/n_5695 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88053  (
+	.A1(\soc_top/core_Execute_io_ALUresult[28] ),
+	.A2(\soc_top/n_3036 ),
+	.B1(\soc_top/n_3113 ),
+	.B2(\soc_top/FE_OFN5676_core_InstructionDecode_io_writeData_28 ),
+	.C1(\soc_top/n_3134 ),
+	.X(\soc_top/n_5706 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g88054  (
+	.A(\soc_top/n_3072 ),
+	.B(\soc_top/n_3142 ),
+	.C(\soc_top/n_3122 ),
+	.Y(\soc_top/n_5694 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88055  (
+	.A1(\soc_top/core_Execute_io_ALUresult[27] ),
+	.A2(\soc_top/n_3036 ),
+	.B1(\soc_top/n_3113 ),
+	.B2(\soc_top/core_InstructionDecode_io_writeData[27] ),
+	.C1(\soc_top/n_3133 ),
+	.X(\soc_top/n_5705 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g88056  (
+	.A(\soc_top/n_3074 ),
+	.B(\soc_top/n_3145 ),
+	.C(\soc_top/n_3129 ),
+	.Y(\soc_top/n_5698 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88057  (
+	.A1(\soc_top/FE_OCPN6704_n_3113 ),
+	.A2(\soc_top/core_InstructionDecode_io_writeData[9] ),
+	.B1(\soc_top/FE_OCPN6708_n_3108 ),
+	.B2(\soc_top/core_if_reg_pc[9] ),
+	.C1(\soc_top/n_3091 ),
+	.X(\soc_top/n_5687 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88058  (
+	.A(\soc_top/n_3070 ),
+	.B(\soc_top/n_3119 ),
+	.Y(\soc_top/n_3157 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 \soc_top/g88059  (
+	.A(\soc_top/n_3147 ),
+	.B(\soc_top/n_3111 ),
+	.C(\soc_top/n_3105 ),
+	.Y(\soc_top/n_5678 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88060  (
+	.A1(\soc_top/FE_OCPN6704_n_3113 ),
+	.A2(\soc_top/core_InstructionDecode_io_writeData[10] ),
+	.B1(\soc_top/FE_OCPN6708_n_3108 ),
+	.B2(\soc_top/core_if_reg_pc[10] ),
+	.C1(\soc_top/n_3087 ),
+	.X(\soc_top/n_5688 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88061  (
+	.A(\soc_top/n_3078 ),
+	.B(\soc_top/n_3121 ),
+	.Y(\soc_top/n_3156 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88062  (
+	.A1(\soc_top/FE_OCPN6704_n_3113 ),
+	.A2(\soc_top/core_InstructionDecode_io_writeData[8] ),
+	.B1(\soc_top/FE_OCPN6708_n_3108 ),
+	.B2(\soc_top/core_if_reg_pc[8] ),
+	.C1(\soc_top/n_3090 ),
+	.X(\soc_top/n_5686 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88063  (
+	.A1(\soc_top/FE_OCPN6704_n_3113 ),
+	.A2(\soc_top/core_InstructionDecode_io_writeData[7] ),
+	.B1(\soc_top/n_3108 ),
+	.B2(\soc_top/core_if_reg_pc[7] ),
+	.C1(\soc_top/n_3102 ),
+	.X(\soc_top/n_5685 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88064  (
+	.A1(\soc_top/FE_OCPN6704_n_3113 ),
+	.A2(\soc_top/core_InstructionDecode_io_writeData[6] ),
+	.B1(\soc_top/FE_OCPN6708_n_3108 ),
+	.B2(\soc_top/core_if_reg_pc[6] ),
+	.C1(\soc_top/n_3098 ),
+	.X(\soc_top/n_5684 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_4 \soc_top/g88065  (
+	.A1(\soc_top/FE_OFN75_core_InstructionDecode_io_writeData_1 ),
+	.A2(\soc_top/n_3112 ),
+	.B1(\soc_top/n_3095 ),
+	.C1(\soc_top/n_3110 ),
+	.Y(\soc_top/n_5679 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 \soc_top/g88066  (
+	.A(\soc_top/n_3151 ),
+	.B(\soc_top/n_3116 ),
+	.C(\soc_top/n_3083 ),
+	.Y(\soc_top/n_5682 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_2 \soc_top/g88067  (
+	.A1(\soc_top/FE_OFN74_core_InstructionDecode_io_writeData_3 ),
+	.A2(\soc_top/n_3112 ),
+	.B1(\soc_top/n_3096 ),
+	.C1(\soc_top/n_3117 ),
+	.Y(\soc_top/n_5681 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_2 \soc_top/g88069  (
+	.A1(\soc_top/n_3035 ),
+	.A2(\soc_top/FE_OFN200_n_3067 ),
+	.B1(\soc_top/n_3065 ),
+	.C1(\soc_top/n_3115 ),
+	.D1(\soc_top/n_3139 ),
+	.Y(\soc_top/n_5683 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88070  (
+	.A1(\soc_top/FE_OCPN6706_n_3113 ),
+	.A2(\soc_top/n_5002 ),
+	.B1(\soc_top/FE_OFN5684_n_3108 ),
+	.B2(\soc_top/core_if_reg_pc[13] ),
+	.C1(\soc_top/n_3089 ),
+	.X(\soc_top/n_5691 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88071  (
+	.A1(\soc_top/n_3113 ),
+	.A2(\soc_top/FE_OFN6625_core_InstructionDecode_io_writeData_12 ),
+	.B1(\soc_top/FE_OFN5684_n_3108 ),
+	.B2(\soc_top/core_if_reg_pc[12] ),
+	.C1(\soc_top/n_3088 ),
+	.X(\soc_top/n_5690 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88072  (
+	.A1(\soc_top/n_3113 ),
+	.A2(\soc_top/core_InstructionDecode_io_writeData[11] ),
+	.B1(\soc_top/FE_OCPN6708_n_3108 ),
+	.B2(\soc_top/core_if_reg_pc[11] ),
+	.C1(\soc_top/n_3092 ),
+	.X(\soc_top/n_5689 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g88073  (
+	.A(\soc_top/n_3152 ),
+	.Y(\soc_top/n_3155 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g88074  (
+	.A(\soc_top/n_3141 ),
+	.Y(\soc_top/n_3154 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g88075  (
+	.A(\soc_top/n_3140 ),
+	.Y(\soc_top/n_3153 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g88076  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[24] ),
+	.A2(\soc_top/FE_OFN199_n_3038 ),
+	.B1(\soc_top/n_3060 ),
+	.B2(\soc_top/core_InstructionDecode_io_readData1[24] ),
+	.C1(\soc_top/FE_OFN5684_n_3108 ),
+	.C2(\soc_top/core_if_reg_pc[24] ),
+	.Y(\soc_top/n_3152 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88077  (
+	.A(\soc_top/FE_OCPN6704_n_3113 ),
+	.B(\soc_top/n_6545 ),
+	.Y(\soc_top/n_3151 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88078  (
+	.A(\soc_top/FE_OCPN6706_n_3113 ),
+	.B(\soc_top/core_InstructionDecode_io_writeData[23] ),
+	.Y(\soc_top/n_3150 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88079  (
+	.A(\soc_top/n_3113 ),
+	.B(\soc_top/FE_OFN109_n_6544 ),
+	.Y(\soc_top/n_3149 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88080  (
+	.A(\soc_top/FE_OCPN6706_n_3113 ),
+	.B(\soc_top/core_InstructionDecode_io_writeData[22] ),
+	.Y(\soc_top/n_3148 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88081  (
+	.A(\soc_top/n_3113 ),
+	.B(\soc_top/FE_OFN76_core_InstructionDecode_io_writeData_0 ),
+	.Y(\soc_top/n_3147 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88082  (
+	.A(\soc_top/FE_OCPN6706_n_3113 ),
+	.B(\soc_top/core_InstructionDecode_io_writeData[21] ),
+	.Y(\soc_top/n_3146 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88083  (
+	.A(\soc_top/FE_OCPN6706_n_3113 ),
+	.B(\soc_top/FE_OFN6615_core_InstructionDecode_io_writeData_20 ),
+	.Y(\soc_top/n_3145 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88084  (
+	.A(\soc_top/FE_OCPN6706_n_3113 ),
+	.B(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.Y(\soc_top/n_3144 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88085  (
+	.A(\soc_top/FE_OCPN6706_n_3113 ),
+	.B(\soc_top/FE_OFN5657_core_InstructionDecode_io_writeData_18 ),
+	.Y(\soc_top/n_3143 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88086  (
+	.A(\soc_top/FE_OCPN6706_n_3113 ),
+	.B(\soc_top/FE_OFN134_core_InstructionDecode_io_writeData_16 ),
+	.Y(\soc_top/n_3142 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g88087  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[26] ),
+	.A2(\soc_top/FE_OFN199_n_3038 ),
+	.B1(\soc_top/n_3060 ),
+	.B2(\soc_top/core_InstructionDecode_io_readData1[26] ),
+	.C1(\soc_top/FE_OFN5684_n_3108 ),
+	.C2(\soc_top/core_if_reg_pc[26] ),
+	.Y(\soc_top/n_3141 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g88088  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[25] ),
+	.A2(\soc_top/FE_OFN199_n_3038 ),
+	.B1(\soc_top/n_3060 ),
+	.B2(\soc_top/core_InstructionDecode_io_readData1[25] ),
+	.C1(\soc_top/FE_OFN5684_n_3108 ),
+	.C2(\soc_top/core_if_reg_pc[25] ),
+	.Y(\soc_top/n_3140 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88089  (
+	.A(\soc_top/n_3113 ),
+	.B(\soc_top/n_4878 ),
+	.Y(\soc_top/n_3139 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g88090  (
+	.A(\soc_top/n_3132 ),
+	.Y(\soc_top/n_3138 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g88091  (
+	.A(\soc_top/n_3128 ),
+	.Y(\soc_top/n_3137 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g88092  (
+	.A(\soc_top/n_3127 ),
+	.Y(\soc_top/n_3136 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g88094  (
+	.A(\soc_top/n_3120 ),
+	.Y(\soc_top/n_3134 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g88095  (
+	.A(\soc_top/n_3118 ),
+	.Y(\soc_top/n_3133 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g88096  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[29] ),
+	.A2(\soc_top/FE_OFN199_n_3038 ),
+	.B1(\soc_top/n_3060 ),
+	.B2(\soc_top/core_InstructionDecode_io_readData1[29] ),
+	.C1(\soc_top/FE_OFN5684_n_3108 ),
+	.C2(\soc_top/core_if_reg_pc[29] ),
+	.Y(\soc_top/n_3132 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g88097  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[22] ),
+	.A2(\soc_top/FE_OFN199_n_3038 ),
+	.B1(\soc_top/n_3060 ),
+	.B2(\soc_top/core_InstructionDecode_io_readData1[22] ),
+	.C1(\soc_top/FE_OFN5684_n_3108 ),
+	.C2(\soc_top/core_if_reg_pc[22] ),
+	.Y(\soc_top/n_3131 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g88098  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[21] ),
+	.A2(\soc_top/FE_OFN199_n_3038 ),
+	.B1(\soc_top/n_3060 ),
+	.B2(\soc_top/FE_OFN256_core_InstructionDecode_io_readData1_21 ),
+	.C1(\soc_top/FE_OFN5684_n_3108 ),
+	.C2(\soc_top/core_if_reg_pc[21] ),
+	.Y(\soc_top/n_3130 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g88099  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[20] ),
+	.A2(\soc_top/FE_OFN199_n_3038 ),
+	.B1(\soc_top/n_3060 ),
+	.B2(\soc_top/core_InstructionDecode_io_readData1[20] ),
+	.C1(\soc_top/FE_OFN5684_n_3108 ),
+	.C2(\soc_top/core_if_reg_pc[20] ),
+	.Y(\soc_top/n_3129 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g88100  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[30] ),
+	.A2(\soc_top/FE_OFN199_n_3038 ),
+	.B1(\soc_top/n_3060 ),
+	.B2(\soc_top/core_InstructionDecode_io_readData1[30] ),
+	.C1(\soc_top/FE_OFN5684_n_3108 ),
+	.C2(\soc_top/core_if_reg_pc[30] ),
+	.Y(\soc_top/n_3128 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g88101  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[19] ),
+	.A2(\soc_top/FE_OFN199_n_3038 ),
+	.B1(\soc_top/n_3060 ),
+	.B2(\soc_top/core_InstructionDecode_io_readData1[19] ),
+	.C1(\soc_top/FE_OFN5684_n_3108 ),
+	.C2(\soc_top/core_if_reg_pc[19] ),
+	.Y(\soc_top/n_3127 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g88102  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[18] ),
+	.A2(\soc_top/FE_OFN199_n_3038 ),
+	.B1(\soc_top/n_3060 ),
+	.B2(\soc_top/core_InstructionDecode_io_readData1[18] ),
+	.C1(\soc_top/FE_OFN5684_n_3108 ),
+	.C2(\soc_top/core_if_reg_pc[18] ),
+	.Y(\soc_top/n_3126 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g88103  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[23] ),
+	.A2(\soc_top/FE_OFN199_n_3038 ),
+	.B1(\soc_top/n_3060 ),
+	.B2(\soc_top/FE_OFN255_core_InstructionDecode_io_readData1_23 ),
+	.C1(\soc_top/FE_OFN5684_n_3108 ),
+	.C2(\soc_top/core_if_reg_pc[23] ),
+	.Y(\soc_top/n_3125 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g88104  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[17] ),
+	.A2(\soc_top/FE_OFN199_n_3038 ),
+	.B1(\soc_top/n_3060 ),
+	.B2(\soc_top/core_InstructionDecode_io_readData1[17] ),
+	.C1(\soc_top/FE_OFN5684_n_3108 ),
+	.C2(\soc_top/core_if_reg_pc[17] ),
+	.Y(\soc_top/n_3124 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g88105  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[31] ),
+	.A2(\soc_top/FE_OFN199_n_3038 ),
+	.B1(\soc_top/n_3060 ),
+	.B2(\soc_top/core_InstructionDecode_io_readData1[31] ),
+	.C1(\soc_top/FE_OFN5684_n_3108 ),
+	.C2(\soc_top/core_if_reg_pc[31] ),
+	.Y(\soc_top/n_3123 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g88106  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[16] ),
+	.A2(\soc_top/FE_OFN199_n_3038 ),
+	.B1(\soc_top/n_3060 ),
+	.B2(\soc_top/FE_OFN257_core_InstructionDecode_io_readData1_16 ),
+	.C1(\soc_top/FE_OFN5684_n_3108 ),
+	.C2(\soc_top/core_if_reg_pc[16] ),
+	.Y(\soc_top/n_3122 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g88107  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[15] ),
+	.A2(\soc_top/FE_OFN199_n_3038 ),
+	.B1(\soc_top/n_3060 ),
+	.B2(\soc_top/FE_OFN258_core_InstructionDecode_io_readData1_15 ),
+	.C1(\soc_top/FE_OFN5684_n_3108 ),
+	.C2(\soc_top/core_if_reg_pc[15] ),
+	.Y(\soc_top/n_3121 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g88108  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[28] ),
+	.A2(\soc_top/FE_OFN199_n_3038 ),
+	.B1(\soc_top/n_3060 ),
+	.B2(\soc_top/core_InstructionDecode_io_readData1[28] ),
+	.C1(\soc_top/FE_OFN5684_n_3108 ),
+	.C2(\soc_top/core_if_reg_pc[28] ),
+	.Y(\soc_top/n_3120 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g88109  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[14] ),
+	.A2(\soc_top/FE_OFN199_n_3038 ),
+	.B1(\soc_top/n_3060 ),
+	.B2(\soc_top/core_InstructionDecode_io_readData1[14] ),
+	.C1(\soc_top/FE_OCPN6708_n_3108 ),
+	.C2(\soc_top/core_if_reg_pc[14] ),
+	.Y(\soc_top/n_3119 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g88110  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[27] ),
+	.A2(\soc_top/FE_OFN199_n_3038 ),
+	.B1(\soc_top/n_3060 ),
+	.B2(\soc_top/core_InstructionDecode_io_readData1[27] ),
+	.C1(\soc_top/FE_OFN5684_n_3108 ),
+	.C2(\soc_top/core_if_reg_pc[27] ),
+	.Y(\soc_top/n_3118 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88111  (
+	.A(\soc_top/FE_OCPN6708_n_3108 ),
+	.B(\soc_top/core_if_reg_pc[3] ),
+	.Y(\soc_top/n_3117 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88112  (
+	.A(\soc_top/n_3108 ),
+	.B(\soc_top/core_if_reg_pc[4] ),
+	.Y(\soc_top/n_3116 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88113  (
+	.A(\soc_top/FE_OCPN6708_n_3108 ),
+	.B(\soc_top/core_if_reg_pc[5] ),
+	.Y(\soc_top/n_3115 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88114  (
+	.A(\soc_top/FE_OCPN6708_n_3108 ),
+	.B(\soc_top/core_if_reg_pc[2] ),
+	.Y(\soc_top/n_3114 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88116  (
+	.A(\soc_top/FE_OCPN6708_n_3108 ),
+	.B(\soc_top/core_if_reg_pc[0] ),
+	.Y(\soc_top/n_3111 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88117  (
+	.A(\soc_top/n_3108 ),
+	.B(\soc_top/core_if_reg_pc[1] ),
+	.Y(\soc_top/n_3110 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4bb_1 \soc_top/g88118  (
+	.A_N(\soc_top/FE_OFN47_core_if_reg_ins_20 ),
+	.B_N(\soc_top/core_if_reg_ins[31] ),
+	.C(\soc_top/n_3107 ),
+	.D(\soc_top/core_if_reg_ins[4] ),
+	.Y(\soc_top/n_3109 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_8 \soc_top/g88119  (
+	.A(\soc_top/n_6436 ),
+	.B(\soc_top/n_3108 ),
+	.Y(\soc_top/n_3113 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88121  (
+	.A(\soc_top/n_5606 ),
+	.B_N(\soc_top/FE_OFN162_core_InstructionDecode_io_hdu_pcWrite ),
+	.Y(\soc_top/core_InstructionDecode_bu_io_taken ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \soc_top/g88122  (
+	.A(\soc_top/core_if_reg_ins[12] ),
+	.B(\soc_top/core_if_reg_ins[13] ),
+	.C(\soc_top/n_3025 ),
+	.D(\soc_top/n_3103 ),
+	.Y(\soc_top/n_3107 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g88123  (
+	.A(\soc_top/n_5606 ),
+	.Y(\soc_top/n_3106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 \soc_top/g88124  (
+	.A1(\soc_top/core_if_reg_ins[13] ),
+	.A2(\soc_top/n_3104 ),
+	.B1(\soc_top/n_3030 ),
+	.Y(\soc_top/n_5606 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g88125  (
+	.A(\soc_top/n_3101 ),
+	.B(\soc_top/n_6002 ),
+	.X(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvlhigh_reg_io_we ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 \soc_top/g88126  (
+	.A_N(\soc_top/n_6002 ),
+	.B(\soc_top/n_3101 ),
+	.X(\soc_top/gpio_gpioRegTop_intr_ctrl_en_lvllow_reg_io_we ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 \soc_top/g88129  (
+	.A_N(\soc_top/core_if_reg_ins[2] ),
+	.B(\soc_top/n_3094 ),
+	.C(\soc_top/core_if_reg_ins[0] ),
+	.D(\soc_top/core_if_reg_ins[1] ),
+	.Y(\soc_top/n_3103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88131  (
+	.A(\soc_top/core_Execute_io_ALUresult[31] ),
+	.B(\soc_top/n_3036 ),
+	.Y(\soc_top/n_3100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g88132  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[7] ),
+	.A2(\soc_top/n_3038 ),
+	.B1(\soc_top/n_3060 ),
+	.B2(\soc_top/core_InstructionDecode_io_readData1[7] ),
+	.C1(\soc_top/core_Execute_io_ALUresult[7] ),
+	.C2(\soc_top/n_3036 ),
+	.Y(\soc_top/n_3099 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 \soc_top/g88133  (
+	.A(\soc_top/gen_dmem_host_adrReg[3] ),
+	.B(\soc_top/n_6003 ),
+	.C(\soc_top/n_3063 ),
+	.D_N(\soc_top/gen_dmem_host_adrReg[4] ),
+	.Y(\soc_top/n_3101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g88135  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[6] ),
+	.A2(\soc_top/n_3038 ),
+	.B1(\soc_top/n_3060 ),
+	.B2(\soc_top/core_InstructionDecode_io_readData1[6] ),
+	.C1(\soc_top/core_Execute_io_ALUresult[6] ),
+	.C2(\soc_top/n_3036 ),
+	.Y(\soc_top/n_3097 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g88136  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[3] ),
+	.A2(\soc_top/n_3038 ),
+	.B1(\soc_top/n_3060 ),
+	.B2(\soc_top/core_InstructionDecode_io_readData1[3] ),
+	.C1(\soc_top/core_Execute_io_ALUresult[3] ),
+	.C2(\soc_top/n_3036 ),
+	.Y(\soc_top/n_3096 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g88137  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[1] ),
+	.A2(\soc_top/n_3038 ),
+	.B1(\soc_top/n_3060 ),
+	.B2(\soc_top/core_InstructionDecode_io_readData1[1] ),
+	.C1(\soc_top/core_Execute_io_ALUresult[1] ),
+	.C2(\soc_top/n_3036 ),
+	.Y(\soc_top/n_3095 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 \soc_top/g88138  (
+	.A_N(\soc_top/n_5601 ),
+	.B(\soc_top/n_2784 ),
+	.X(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_we ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \soc_top/g88139  (
+	.A(\soc_top/core_if_reg_ins[14] ),
+	.B(\soc_top/core_if_reg_ins[3] ),
+	.C(\soc_top/FE_OFN52_core_if_reg_ins_15 ),
+	.D(\soc_top/n_3058 ),
+	.Y(\soc_top/n_3094 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 \soc_top/g88140  (
+	.A_N(\soc_top/n_6002 ),
+	.B(\soc_top/n_3079 ),
+	.X(\soc_top/gpio_gpioRegTop_intr_ctrl_en_falling_reg_io_we ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g88141  (
+	.A(\soc_top/n_3079 ),
+	.B(\soc_top/n_6002 ),
+	.X(\soc_top/gpio_gpioRegTop_intr_ctrl_en_rising_reg_io_we ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g88142  (
+	.A(\soc_top/n_2936 ),
+	.B(\soc_top/n_2960 ),
+	.C(\soc_top/n_3062 ),
+	.Y(\soc_top/core_Execute_io_ALUresult[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 \soc_top/g88143  (
+	.A(\soc_top/n_3071 ),
+	.B(\soc_top/n_6437 ),
+	.Y(\soc_top/n_3093 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88144  (
+	.A(\soc_top/n_2982 ),
+	.B(\soc_top/n_3066 ),
+	.Y(\soc_top/core_Execute_io_ALUresult[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g88145  (
+	.A(\soc_top/n_3086 ),
+	.Y(\soc_top/n_3092 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g88146  (
+	.A(\soc_top/n_3085 ),
+	.Y(\soc_top/n_3091 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g88148  (
+	.A(\soc_top/n_3082 ),
+	.Y(\soc_top/n_3089 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g88149  (
+	.A(\soc_top/n_3081 ),
+	.Y(\soc_top/n_3088 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g88150  (
+	.A(\soc_top/n_3080 ),
+	.Y(\soc_top/n_3087 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g88151  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[11] ),
+	.A2(\soc_top/FE_OFN199_n_3038 ),
+	.B1(\soc_top/n_3060 ),
+	.B2(\soc_top/core_InstructionDecode_io_readData1[11] ),
+	.C1(\soc_top/core_Execute_io_ALUresult[11] ),
+	.C2(\soc_top/n_3036 ),
+	.Y(\soc_top/n_3086 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g88152  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[9] ),
+	.A2(\soc_top/n_3038 ),
+	.B1(\soc_top/n_3060 ),
+	.B2(\soc_top/core_InstructionDecode_io_readData1[9] ),
+	.C1(\soc_top/core_Execute_io_ALUresult[9] ),
+	.C2(\soc_top/n_3036 ),
+	.Y(\soc_top/n_3085 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g88153  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[8] ),
+	.A2(\soc_top/n_3038 ),
+	.B1(\soc_top/n_3060 ),
+	.B2(\soc_top/core_InstructionDecode_io_readData1[8] ),
+	.C1(\soc_top/core_Execute_io_ALUresult[8] ),
+	.C2(\soc_top/n_3036 ),
+	.Y(\soc_top/n_3084 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g88154  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[4] ),
+	.A2(\soc_top/n_3038 ),
+	.B1(\soc_top/n_3060 ),
+	.B2(\soc_top/core_InstructionDecode_io_readData1[4] ),
+	.C1(\soc_top/core_Execute_io_ALUresult[4] ),
+	.C2(\soc_top/n_3036 ),
+	.Y(\soc_top/n_3083 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g88155  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[13] ),
+	.A2(\soc_top/FE_OFN199_n_3038 ),
+	.B1(\soc_top/n_3060 ),
+	.B2(\soc_top/core_InstructionDecode_io_readData1[13] ),
+	.C1(\soc_top/core_Execute_io_ALUresult[13] ),
+	.C2(\soc_top/n_3036 ),
+	.Y(\soc_top/n_3082 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g88156  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[12] ),
+	.A2(\soc_top/FE_OFN199_n_3038 ),
+	.B1(\soc_top/n_3060 ),
+	.B2(\soc_top/FE_OFN259_core_InstructionDecode_io_readData1_12 ),
+	.C1(\soc_top/core_Execute_io_ALUresult[12] ),
+	.C2(\soc_top/n_3036 ),
+	.Y(\soc_top/n_3081 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g88157  (
+	.A1(\soc_top/core_io_dmemReq_bits_addrRequest[10] ),
+	.A2(\soc_top/n_3038 ),
+	.B1(\soc_top/n_3060 ),
+	.B2(\soc_top/core_InstructionDecode_io_readData1[10] ),
+	.C1(\soc_top/core_Execute_io_ALUresult[10] ),
+	.C2(\soc_top/n_3036 ),
+	.Y(\soc_top/n_3080 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88158  (
+	.A(\soc_top/core_Execute_io_ALUresult[15] ),
+	.B(\soc_top/n_3036 ),
+	.Y(\soc_top/n_3078 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88159  (
+	.A(\soc_top/core_Execute_io_ALUresult[23] ),
+	.B(\soc_top/n_3036 ),
+	.Y(\soc_top/n_3077 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88160  (
+	.A(\soc_top/core_Execute_io_ALUresult[22] ),
+	.B(\soc_top/n_3036 ),
+	.Y(\soc_top/n_3076 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88161  (
+	.A(\soc_top/core_Execute_io_ALUresult[21] ),
+	.B(\soc_top/n_3036 ),
+	.Y(\soc_top/n_3075 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88162  (
+	.A(\soc_top/core_Execute_io_ALUresult[20] ),
+	.B(\soc_top/n_3036 ),
+	.Y(\soc_top/n_3074 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88163  (
+	.A(\soc_top/core_Execute_io_ALUresult[18] ),
+	.B(\soc_top/n_3036 ),
+	.Y(\soc_top/n_3073 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88164  (
+	.A(\soc_top/core_Execute_io_ALUresult[16] ),
+	.B(\soc_top/n_3036 ),
+	.Y(\soc_top/n_3072 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_2 \soc_top/g88165  (
+	.A(\soc_top/n_3033 ),
+	.B(\soc_top/n_3057 ),
+	.C(\soc_top/n_3034 ),
+	.Y(\soc_top/n_3071 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88166  (
+	.A(\soc_top/core_Execute_io_ALUresult[14] ),
+	.B(\soc_top/n_3036 ),
+	.Y(\soc_top/n_3070 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88168  (
+	.A1(\soc_top/n_5927 ),
+	.A2(\soc_top/n_2921 ),
+	.B1(\soc_top/n_5861 ),
+	.B2(\soc_top/n_2923 ),
+	.C1(\soc_top/n_3053 ),
+	.X(\soc_top/core_Execute_io_ALUresult[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88169  (
+	.A1(\soc_top/n_5928 ),
+	.A2(\soc_top/n_2921 ),
+	.B1(\soc_top/n_5862 ),
+	.B2(\soc_top/n_2923 ),
+	.C1(\soc_top/n_3054 ),
+	.X(\soc_top/core_Execute_io_ALUresult[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g88170  (
+	.A(\soc_top/n_6003 ),
+	.B(\soc_top/n_6885 ),
+	.C(\soc_top/n_5598 ),
+	.Y(\soc_top/n_3079 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88171  (
+	.A1(\soc_top/n_5910 ),
+	.A2(\soc_top/n_2921 ),
+	.B1(\soc_top/n_5844 ),
+	.B2(\soc_top/n_2923 ),
+	.C1(\soc_top/n_3052 ),
+	.X(\soc_top/core_Execute_io_ALUresult[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \soc_top/g88172  (
+	.A(\soc_top/n_5597 ),
+	.B(\soc_top/n_6435 ),
+	.X(\soc_top/n_5601 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g88175  (
+	.A1(\soc_top/n_5837 ),
+	.A2(\soc_top/FE_OFN93_n_2923 ),
+	.B1(\soc_top/n_5805 ),
+	.B2(\soc_top/n_2924 ),
+	.C1(\soc_top/n_3047 ),
+	.Y(\soc_top/n_3066 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g88176  (
+	.A1(\soc_top/FE_OFN199_n_3038 ),
+	.A2(\soc_top/FE_OFN80_core_io_dmemReq_bits_addrRequest_5 ),
+	.B1(\soc_top/FE_OFN118_core_InstructionDecode_io_readData1_5 ),
+	.B2(\soc_top/n_3060 ),
+	.Y(\soc_top/n_3065 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g88177  (
+	.A1(\soc_top/FE_OFN199_n_3038 ),
+	.A2(\soc_top/FE_OFN81_core_io_dmemReq_bits_addrRequest_2 ),
+	.B1(\soc_top/core_InstructionDecode_io_readData1[2] ),
+	.B2(\soc_top/n_3060 ),
+	.Y(\soc_top/n_3064 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88178  (
+	.A1(\soc_top/n_5932 ),
+	.A2(\soc_top/n_2921 ),
+	.B1(\soc_top/n_5898 ),
+	.B2(\soc_top/n_2920 ),
+	.C1(\soc_top/n_3044 ),
+	.X(\soc_top/core_Execute_io_ALUresult[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 \soc_top/g88179  (
+	.A1(\soc_top/n_5839 ),
+	.A2(\soc_top/FE_OFN93_n_2923 ),
+	.B1(\soc_top/n_5807 ),
+	.B2(\soc_top/n_2924 ),
+	.C1(\soc_top/n_3049 ),
+	.Y(\soc_top/n_3068 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88180  (
+	.A1(\soc_top/n_5806 ),
+	.A2(\soc_top/n_2924 ),
+	.B1(\soc_top/n_5838 ),
+	.B2(\soc_top/FE_OFN93_n_2923 ),
+	.C1(\soc_top/n_3048 ),
+	.X(\soc_top/core_Execute_io_ALUresult[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88181  (
+	.A1(\soc_top/n_5909 ),
+	.A2(\soc_top/n_2921 ),
+	.B1(\soc_top/n_5843 ),
+	.B2(\soc_top/n_2923 ),
+	.C1(\soc_top/n_3055 ),
+	.X(\soc_top/core_Execute_io_ALUresult[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88182  (
+	.A1(\soc_top/n_5933 ),
+	.A2(\soc_top/n_2921 ),
+	.B1(\soc_top/n_5899 ),
+	.B2(\soc_top/n_2920 ),
+	.C1(\soc_top/n_3046 ),
+	.X(\soc_top/core_Execute_io_ALUresult[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88183  (
+	.A1(\soc_top/n_5922 ),
+	.A2(\soc_top/n_2921 ),
+	.B1(\soc_top/n_5856 ),
+	.B2(\soc_top/n_2923 ),
+	.C1(\soc_top/n_3051 ),
+	.X(\soc_top/core_Execute_io_ALUresult[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88184  (
+	.A1(\soc_top/n_5808 ),
+	.A2(\soc_top/n_2924 ),
+	.B1(\soc_top/n_5840 ),
+	.B2(\soc_top/FE_OFN93_n_2923 ),
+	.C1(\soc_top/n_3050 ),
+	.X(\soc_top/core_Execute_io_ALUresult[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88185  (
+	.A1(\soc_top/n_5920 ),
+	.A2(\soc_top/n_2921 ),
+	.B1(\soc_top/n_5854 ),
+	.B2(\soc_top/n_2923 ),
+	.C1(\soc_top/n_3043 ),
+	.X(\soc_top/core_Execute_io_ALUresult[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88186  (
+	.A1(\soc_top/n_5931 ),
+	.A2(\soc_top/n_2921 ),
+	.B1(\soc_top/n_5897 ),
+	.B2(\soc_top/n_2920 ),
+	.C1(\soc_top/n_3042 ),
+	.X(\soc_top/core_Execute_io_ALUresult[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88187  (
+	.A1(\soc_top/n_5930 ),
+	.A2(\soc_top/n_2921 ),
+	.B1(\soc_top/n_5864 ),
+	.B2(\soc_top/FE_OFN93_n_2923 ),
+	.C1(\soc_top/n_3041 ),
+	.X(\soc_top/core_Execute_io_ALUresult[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88188  (
+	.A1(\soc_top/n_5929 ),
+	.A2(\soc_top/n_2921 ),
+	.B1(\soc_top/n_5863 ),
+	.B2(\soc_top/FE_OFN93_n_2923 ),
+	.C1(\soc_top/n_3040 ),
+	.X(\soc_top/core_Execute_io_ALUresult[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g88189  (
+	.A1(\soc_top/n_5842 ),
+	.A2(\soc_top/n_2923 ),
+	.B1(\soc_top/n_5908 ),
+	.B2(\soc_top/n_2921 ),
+	.C1(\soc_top/n_3056 ),
+	.Y(\soc_top/n_3067 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/g88190  (
+	.A_N(\soc_top/n_5598 ),
+	.B(\soc_top/n_2946 ),
+	.Y(\soc_top/n_3063 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g88191  (
+	.A1(\soc_top/n_5868 ),
+	.A2(\soc_top/n_3026 ),
+	.B1(\soc_top/n_5998 ),
+	.B2(\soc_top/n_2954 ),
+	.C1(\soc_top/n_5836 ),
+	.C2(\soc_top/n_2924 ),
+	.Y(\soc_top/n_3062 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g88194  (
+	.A(\soc_top/n_2957 ),
+	.B(\soc_top/n_2977 ),
+	.C(\soc_top/n_3016 ),
+	.D(\soc_top/n_2956 ),
+	.Y(\soc_top/core_Execute_io_ALUresult[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g88195  (
+	.A(\soc_top/n_2965 ),
+	.B(\soc_top/n_2980 ),
+	.C(\soc_top/n_3023 ),
+	.D(\soc_top/n_2964 ),
+	.Y(\soc_top/core_Execute_io_ALUresult[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g88196  (
+	.A(\soc_top/n_2962 ),
+	.B(\soc_top/n_2979 ),
+	.C(\soc_top/n_3021 ),
+	.D(\soc_top/n_2961 ),
+	.Y(\soc_top/core_Execute_io_ALUresult[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g88197  (
+	.A(\soc_top/n_2959 ),
+	.B(\soc_top/n_2978 ),
+	.C(\soc_top/n_3020 ),
+	.D(\soc_top/n_2958 ),
+	.Y(\soc_top/core_Execute_io_ALUresult[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88198  (
+	.A1(\soc_top/n_5841 ),
+	.A2(\soc_top/n_2923 ),
+	.B1(\soc_top/n_5907 ),
+	.B2(\soc_top/n_2921 ),
+	.C1(\soc_top/n_3045 ),
+	.X(\soc_top/core_Execute_io_ALUresult[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g88199  (
+	.A(\soc_top/n_2955 ),
+	.B(\soc_top/n_2976 ),
+	.C(\soc_top/n_3005 ),
+	.D(\soc_top/n_2953 ),
+	.Y(\soc_top/core_Execute_io_ALUresult[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g88200  (
+	.A(\soc_top/n_2952 ),
+	.B(\soc_top/n_2975 ),
+	.C(\soc_top/n_3011 ),
+	.D(\soc_top/n_2951 ),
+	.Y(\soc_top/core_Execute_io_ALUresult[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g88201  (
+	.A(\soc_top/n_2950 ),
+	.B(\soc_top/n_2974 ),
+	.C(\soc_top/n_3008 ),
+	.D(\soc_top/n_2949 ),
+	.Y(\soc_top/core_Execute_io_ALUresult[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g88202  (
+	.A(\soc_top/n_2948 ),
+	.B(\soc_top/n_2973 ),
+	.C(\soc_top/n_3014 ),
+	.D(\soc_top/n_2947 ),
+	.Y(\soc_top/core_Execute_io_ALUresult[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g88203  (
+	.A(\soc_top/n_2945 ),
+	.B(\soc_top/n_2972 ),
+	.C(\soc_top/n_3002 ),
+	.D(\soc_top/n_2944 ),
+	.Y(\soc_top/core_Execute_io_ALUresult[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g88204  (
+	.A(\soc_top/n_2943 ),
+	.B(\soc_top/n_2971 ),
+	.C(\soc_top/n_3000 ),
+	.D(\soc_top/n_2942 ),
+	.Y(\soc_top/core_Execute_io_ALUresult[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g88205  (
+	.A(\soc_top/n_2941 ),
+	.B(\soc_top/n_2970 ),
+	.C(\soc_top/n_2999 ),
+	.D(\soc_top/n_2940 ),
+	.Y(\soc_top/core_Execute_io_ALUresult[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g88206  (
+	.A(\soc_top/n_2939 ),
+	.B(\soc_top/n_2969 ),
+	.C(\soc_top/n_2997 ),
+	.D(\soc_top/n_2938 ),
+	.Y(\soc_top/core_Execute_io_ALUresult[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g88207  (
+	.A(\soc_top/n_2937 ),
+	.B(\soc_top/n_2968 ),
+	.C(\soc_top/n_3028 ),
+	.D(\soc_top/n_2963 ),
+	.Y(\soc_top/core_Execute_io_ALUresult[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g88208  (
+	.A(\soc_top/n_2935 ),
+	.B(\soc_top/n_2967 ),
+	.C(\soc_top/n_3024 ),
+	.D(\soc_top/n_2934 ),
+	.Y(\soc_top/core_Execute_io_ALUresult[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 \soc_top/g88211  (
+	.A(\soc_top/FE_OFN48_core_if_reg_ins_19 ),
+	.B(\soc_top/FE_OFN49_core_if_reg_ins_18 ),
+	.C(\soc_top/FE_OFN51_core_if_reg_ins_16 ),
+	.D(\soc_top/n_2988 ),
+	.X(\soc_top/n_3058 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g88212  (
+	.A(\soc_top/n_2984 ),
+	.B(\soc_top/n_3027 ),
+	.C(\soc_top/n_2864 ),
+	.D(\soc_top/n_2933 ),
+	.Y(\soc_top/n_3057 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88214  (
+	.A1(\soc_top/n_5810 ),
+	.A2(\soc_top/n_2924 ),
+	.B1(\soc_top/n_5874 ),
+	.B2(\soc_top/n_2920 ),
+	.C1(\soc_top/n_3015 ),
+	.X(\soc_top/n_3056 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88215  (
+	.A1(\soc_top/n_5811 ),
+	.A2(\soc_top/n_2924 ),
+	.B1(\soc_top/n_5875 ),
+	.B2(\soc_top/n_2920 ),
+	.C1(\soc_top/n_3017 ),
+	.X(\soc_top/n_3055 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88216  (
+	.A1(\soc_top/n_5894 ),
+	.A2(\soc_top/n_2920 ),
+	.B1(\soc_top/n_5830 ),
+	.B2(\soc_top/n_2924 ),
+	.C1(\soc_top/n_3031 ),
+	.X(\soc_top/n_3054 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88217  (
+	.A1(\soc_top/n_5893 ),
+	.A2(\soc_top/n_2920 ),
+	.B1(\soc_top/n_5829 ),
+	.B2(\soc_top/n_2924 ),
+	.C1(\soc_top/n_3019 ),
+	.X(\soc_top/n_3053 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88218  (
+	.A1(\soc_top/n_5812 ),
+	.A2(\soc_top/n_2924 ),
+	.B1(\soc_top/n_5876 ),
+	.B2(\soc_top/n_2920 ),
+	.C1(\soc_top/n_3018 ),
+	.X(\soc_top/n_3052 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g88219  (
+	.A(\soc_top/n_2926 ),
+	.B(\soc_top/n_5645 ),
+	.Y(\soc_top/n_3061 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 \soc_top/g88220  (
+	.A(\soc_top/gen_dmem_host_adrReg[0] ),
+	.B(\soc_top/n_2838 ),
+	.C(\soc_top/n_5645 ),
+	.X(\soc_top/n_5598 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_2 \soc_top/g88221  (
+	.A_N(\soc_top/n_5643 ),
+	.B(\soc_top/n_2785 ),
+	.C(\soc_top/n_3032 ),
+	.X(\soc_top/n_3060 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88222  (
+	.A1(\soc_top/n_5824 ),
+	.A2(\soc_top/n_2924 ),
+	.B1(\soc_top/n_5888 ),
+	.B2(\soc_top/n_2920 ),
+	.C1(\soc_top/n_3006 ),
+	.X(\soc_top/n_3051 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88223  (
+	.A1(\soc_top/n_5906 ),
+	.A2(\soc_top/n_2921 ),
+	.B1(\soc_top/n_5872 ),
+	.B2(\soc_top/n_2920 ),
+	.C1(\soc_top/n_3013 ),
+	.X(\soc_top/n_3050 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88224  (
+	.A1(\soc_top/n_5905 ),
+	.A2(\soc_top/n_2921 ),
+	.B1(\soc_top/n_5871 ),
+	.B2(\soc_top/n_2920 ),
+	.C1(\soc_top/n_3012 ),
+	.X(\soc_top/n_3049 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88225  (
+	.A1(\soc_top/n_5904 ),
+	.A2(\soc_top/n_2921 ),
+	.B1(\soc_top/n_5870 ),
+	.B2(\soc_top/n_2920 ),
+	.C1(\soc_top/n_3010 ),
+	.X(\soc_top/n_3048 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88226  (
+	.A1(\soc_top/n_5903 ),
+	.A2(\soc_top/n_2921 ),
+	.B1(\soc_top/n_5869 ),
+	.B2(\soc_top/n_2920 ),
+	.C1(\soc_top/n_3009 ),
+	.X(\soc_top/n_3047 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88227  (
+	.A1(\soc_top/n_5867 ),
+	.A2(\soc_top/FE_OFN93_n_2923 ),
+	.B1(\soc_top/n_5835 ),
+	.B2(\soc_top/n_2924 ),
+	.C1(\soc_top/n_3007 ),
+	.X(\soc_top/n_3046 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88228  (
+	.A1(\soc_top/n_5809 ),
+	.A2(\soc_top/n_2924 ),
+	.B1(\soc_top/n_5873 ),
+	.B2(\soc_top/n_2920 ),
+	.C1(\soc_top/n_3029 ),
+	.X(\soc_top/n_3045 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88229  (
+	.A1(\soc_top/n_5866 ),
+	.A2(\soc_top/FE_OFN93_n_2923 ),
+	.B1(\soc_top/n_5834 ),
+	.B2(\soc_top/n_2924 ),
+	.C1(\soc_top/n_3004 ),
+	.X(\soc_top/n_3044 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88230  (
+	.A1(\soc_top/n_5822 ),
+	.A2(\soc_top/n_2924 ),
+	.B1(\soc_top/n_5886 ),
+	.B2(\soc_top/n_2920 ),
+	.C1(\soc_top/n_3003 ),
+	.X(\soc_top/n_3043 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88231  (
+	.A1(\soc_top/n_5865 ),
+	.A2(\soc_top/FE_OFN93_n_2923 ),
+	.B1(\soc_top/n_5833 ),
+	.B2(\soc_top/n_2924 ),
+	.C1(\soc_top/n_3001 ),
+	.X(\soc_top/n_3042 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88232  (
+	.A1(\soc_top/n_5896 ),
+	.A2(\soc_top/n_2920 ),
+	.B1(\soc_top/n_5832 ),
+	.B2(\soc_top/n_2924 ),
+	.C1(\soc_top/n_2998 ),
+	.X(\soc_top/n_3041 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g88233  (
+	.A1(\soc_top/n_5895 ),
+	.A2(\soc_top/n_2920 ),
+	.B1(\soc_top/n_5831 ),
+	.B2(\soc_top/n_2924 ),
+	.C1(\soc_top/n_2996 ),
+	.X(\soc_top/n_3040 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88235  (
+	.A(\soc_top/n_2838 ),
+	.B_N(\soc_top/switch_io_devSel[1] ),
+	.Y(\soc_top/switch_io_devOut_2_valid ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/g88236  (
+	.A_N(\soc_top/switch_io_devSel[1] ),
+	.B(\soc_top/gen_dmem_host_adrReg[13] ),
+	.Y(\soc_top/n_5645 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/g88238  (
+	.A(\soc_top/n_3036 ),
+	.Y(\soc_top/n_3035 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g88239  (
+	.A(\soc_top/n_3022 ),
+	.B(\soc_top/n_2981 ),
+	.C(\soc_top/n_2986 ),
+	.Y(\soc_top/n_3034 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g88240  (
+	.A(\soc_top/n_2966 ),
+	.B(\soc_top/n_2985 ),
+	.C(\soc_top/n_2882 ),
+	.Y(\soc_top/n_3033 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311a_1 \soc_top/g88241  (
+	.A1(\soc_top/n_2888 ),
+	.A2(\soc_top/n_2927 ),
+	.A3(\soc_top/n_2993 ),
+	.B1(\soc_top/n_2930 ),
+	.C1(\soc_top/n_2785 ),
+	.X(\soc_top/n_3038 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 \soc_top/g88242  (
+	.A_N(\soc_top/n_3032 ),
+	.B(\soc_top/n_2785 ),
+	.X(\soc_top/n_3036 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g88243  (
+	.A1(\soc_top/n_2918 ),
+	.A2(\soc_top/n_2875 ),
+	.B1(\soc_top/n_2992 ),
+	.Y(\soc_top/n_3031 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 \soc_top/g88244  (
+	.A1(\soc_top/n_2929 ),
+	.A2(\soc_top/core_if_reg_ins[13] ),
+	.B1_N(\soc_top/core_InstructionDecode_control_io_branch ),
+	.Y(\soc_top/n_3030 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g88245  (
+	.A1(\soc_top/n_2918 ),
+	.A2(\soc_top/n_2862 ),
+	.B1(\soc_top/n_2987 ),
+	.Y(\soc_top/n_3029 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 \soc_top/g88246  (
+	.A1(\soc_top/n_5960 ),
+	.A2(\soc_top/n_5961 ),
+	.A3(\soc_top/n_2922 ),
+	.B1(\soc_top/n_2917 ),
+	.B2(\soc_top/n_2919 ),
+	.Y(\soc_top/n_3028 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \soc_top/g88247  (
+	.A(\soc_top/n_2897 ),
+	.B(\soc_top/n_2896 ),
+	.C(\soc_top/n_2858 ),
+	.D(\soc_top/n_2855 ),
+	.Y(\soc_top/n_3027 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g88248  (
+	.A1(\soc_top/n_2918 ),
+	.A2(\soc_top/n_5998 ),
+	.B1(\soc_top/n_2994 ),
+	.Y(\soc_top/n_3026 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 \soc_top/g88249  (
+	.A(\soc_top/core_if_reg_ins[26] ),
+	.B(\soc_top/core_if_reg_ins[27] ),
+	.C(\soc_top/core_if_reg_ins[25] ),
+	.D(\soc_top/n_2884 ),
+	.X(\soc_top/n_3025 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 \soc_top/g88250  (
+	.A1(\soc_top/n_5958 ),
+	.A2(\soc_top/n_5959 ),
+	.A3(\soc_top/n_2922 ),
+	.B1(\soc_top/n_2880 ),
+	.B2(\soc_top/n_2919 ),
+	.Y(\soc_top/n_3024 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 \soc_top/g88251  (
+	.A1(\soc_top/n_5956 ),
+	.A2(\soc_top/n_5957 ),
+	.A3(\soc_top/n_2922 ),
+	.B1(\soc_top/n_2878 ),
+	.B2(\soc_top/n_2919 ),
+	.Y(\soc_top/n_3023 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \soc_top/g88252  (
+	.A(\soc_top/FE_OFN53_core_if_reg_ins_14 ),
+	.B(\soc_top/n_2859 ),
+	.C(\soc_top/n_2854 ),
+	.D(\soc_top/n_2932 ),
+	.Y(\soc_top/n_3022 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 \soc_top/g88253  (
+	.A1(\soc_top/n_5954 ),
+	.A2(\soc_top/n_5955 ),
+	.A3(\soc_top/n_2922 ),
+	.B1(\soc_top/n_2874 ),
+	.B2(\soc_top/n_2919 ),
+	.Y(\soc_top/n_3021 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 \soc_top/g88254  (
+	.A1(\soc_top/n_5952 ),
+	.A2(\soc_top/n_5953 ),
+	.A3(\soc_top/n_2922 ),
+	.B1(\soc_top/n_2873 ),
+	.B2(\soc_top/n_2919 ),
+	.Y(\soc_top/n_3020 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g88255  (
+	.A1(\soc_top/n_2918 ),
+	.A2(\soc_top/n_2871 ),
+	.B1(\soc_top/n_2991 ),
+	.Y(\soc_top/n_3019 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g88256  (
+	.A1(\soc_top/n_2918 ),
+	.A2(\soc_top/n_2870 ),
+	.B1(\soc_top/n_2990 ),
+	.Y(\soc_top/n_3018 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g88257  (
+	.A1(\soc_top/n_2918 ),
+	.A2(\soc_top/n_2868 ),
+	.B1(\soc_top/n_2989 ),
+	.Y(\soc_top/n_3017 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 \soc_top/g88258  (
+	.A1(\soc_top/n_5982 ),
+	.A2(\soc_top/n_5983 ),
+	.A3(\soc_top/n_2922 ),
+	.B1(\soc_top/n_2866 ),
+	.B2(\soc_top/n_2919 ),
+	.Y(\soc_top/n_3016 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g88259  (
+	.A1(\soc_top/n_2918 ),
+	.A2(\soc_top/n_2865 ),
+	.B1(\soc_top/n_2995 ),
+	.Y(\soc_top/n_3015 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88260  (
+	.A(\soc_top/n_2983 ),
+	.B(\soc_top/n_2863 ),
+	.Y(\soc_top/n_3032 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g88261  (
+	.A(\soc_top/n_2931 ),
+	.B(\soc_top/n_2928 ),
+	.C(\soc_top/n_2911 ),
+	.D(\soc_top/n_2887 ),
+	.Y(\soc_top/switch_io_devSel[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 \soc_top/g88262  (
+	.A1(\soc_top/n_5972 ),
+	.A2(\soc_top/n_5973 ),
+	.A3(\soc_top/n_2922 ),
+	.B1(\soc_top/n_2846 ),
+	.B2(\soc_top/n_2919 ),
+	.Y(\soc_top/n_3014 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 \soc_top/g88263  (
+	.A1(\soc_top/n_5942 ),
+	.A2(\soc_top/FE_OFN245_n_5943 ),
+	.A3(\soc_top/n_2922 ),
+	.B1(\soc_top/n_2860 ),
+	.B2(\soc_top/n_2919 ),
+	.X(\soc_top/n_3013 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 \soc_top/g88264  (
+	.A1(\soc_top/n_6603 ),
+	.A2(\soc_top/FE_OFN244_n_5941 ),
+	.A3(\soc_top/n_2922 ),
+	.B1(\soc_top/n_2856 ),
+	.B2(\soc_top/n_2919 ),
+	.X(\soc_top/n_3012 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 \soc_top/g88265  (
+	.A1(\soc_top/n_5978 ),
+	.A2(\soc_top/n_5979 ),
+	.A3(\soc_top/n_2922 ),
+	.B1(\soc_top/n_2853 ),
+	.B2(\soc_top/n_2919 ),
+	.Y(\soc_top/n_3011 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 \soc_top/g88266  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/FE_OFN6605_n ),
+	.A3(\soc_top/n_2922 ),
+	.B1(\soc_top/n_2852 ),
+	.B2(\soc_top/n_2919 ),
+	.X(\soc_top/n_3010 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 \soc_top/g88267  (
+	.A1(\soc_top/FE_OFN305_n_5936 ),
+	.A2(\soc_top/n_5937 ),
+	.A3(\soc_top/n_2922 ),
+	.B1(\soc_top/n_2850 ),
+	.B2(\soc_top/n_2919 ),
+	.X(\soc_top/n_3009 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 \soc_top/g88268  (
+	.A1(\soc_top/n_5976 ),
+	.A2(\soc_top/n_5977 ),
+	.A3(\soc_top/n_2922 ),
+	.B1(\soc_top/n_2849 ),
+	.B2(\soc_top/n_2919 ),
+	.Y(\soc_top/n_3008 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 \soc_top/g88269  (
+	.A1(\soc_top/n_5996 ),
+	.A2(\soc_top/n_5997 ),
+	.A3(\soc_top/n_2922 ),
+	.B1(\soc_top/n_2847 ),
+	.B2(\soc_top/n_2919 ),
+	.X(\soc_top/n_3007 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 \soc_top/g88270  (
+	.A1(\soc_top/n_5974 ),
+	.A2(\soc_top/n_5975 ),
+	.A3(\soc_top/n_2922 ),
+	.B1(\soc_top/n_2848 ),
+	.B2(\soc_top/n_2919 ),
+	.X(\soc_top/n_3006 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 \soc_top/g88271  (
+	.A1(\soc_top/n_5980 ),
+	.A2(\soc_top/n_5981 ),
+	.A3(\soc_top/n_2922 ),
+	.B1(\soc_top/n_2861 ),
+	.B2(\soc_top/n_2919 ),
+	.Y(\soc_top/n_3005 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 \soc_top/g88272  (
+	.A1(\soc_top/n_5994 ),
+	.A2(\soc_top/n_5995 ),
+	.A3(\soc_top/n_2922 ),
+	.B1(\soc_top/n_2912 ),
+	.B2(\soc_top/n_2919 ),
+	.X(\soc_top/n_3004 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 \soc_top/g88273  (
+	.A1(\soc_top/n_5970 ),
+	.A2(\soc_top/n_5971 ),
+	.A3(\soc_top/n_2922 ),
+	.B1(\soc_top/n_2876 ),
+	.B2(\soc_top/n_2919 ),
+	.X(\soc_top/n_3003 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 \soc_top/g88274  (
+	.A1(\soc_top/n_5968 ),
+	.A2(\soc_top/FE_OFN6632_n_5969 ),
+	.A3(\soc_top/n_2922 ),
+	.B1(\soc_top/n_2851 ),
+	.B2(\soc_top/n_2919 ),
+	.Y(\soc_top/n_3002 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 \soc_top/g88275  (
+	.A1(\soc_top/n_5992 ),
+	.A2(\soc_top/n_5993 ),
+	.A3(\soc_top/n_2922 ),
+	.B1(\soc_top/n_2890 ),
+	.B2(\soc_top/n_2919 ),
+	.X(\soc_top/n_3001 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 \soc_top/g88276  (
+	.A1(\soc_top/n_5966 ),
+	.A2(\soc_top/n_5967 ),
+	.A3(\soc_top/n_2922 ),
+	.B1(\soc_top/n_2889 ),
+	.B2(\soc_top/n_2919 ),
+	.Y(\soc_top/n_3000 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 \soc_top/g88277  (
+	.A1(\soc_top/n_5964 ),
+	.A2(\soc_top/n_5965 ),
+	.A3(\soc_top/n_2922 ),
+	.B1(\soc_top/n_2909 ),
+	.B2(\soc_top/n_2919 ),
+	.Y(\soc_top/n_2999 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 \soc_top/g88278  (
+	.A1(\soc_top/n_5990 ),
+	.A2(\soc_top/n_5991 ),
+	.A3(\soc_top/n_2922 ),
+	.B1(\soc_top/n_2914 ),
+	.B2(\soc_top/n_2919 ),
+	.X(\soc_top/n_2998 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 \soc_top/g88279  (
+	.A1(\soc_top/n_5962 ),
+	.A2(\soc_top/n_5963 ),
+	.A3(\soc_top/n_2922 ),
+	.B1(\soc_top/n_2915 ),
+	.B2(\soc_top/n_2919 ),
+	.Y(\soc_top/n_2997 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 \soc_top/g88280  (
+	.A1(\soc_top/n_5988 ),
+	.A2(\soc_top/n_5989 ),
+	.A3(\soc_top/n_2922 ),
+	.B1(\soc_top/n_2881 ),
+	.B2(\soc_top/n_2919 ),
+	.X(\soc_top/n_2996 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g88281  (
+	.A(\soc_top/n_5946 ),
+	.B(\soc_top/n_5947 ),
+	.C(\soc_top/n_2922 ),
+	.Y(\soc_top/n_2995 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g88282  (
+	.A1(\soc_top/n_5998 ),
+	.A2(\soc_top/n_2922 ),
+	.B1(\soc_top/n_2923 ),
+	.Y(\soc_top/n_2994 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top/g88283  (
+	.A1(\soc_top/n_2718 ),
+	.A2(\soc_top/core_id_reg_ins[7] ),
+	.B1(\soc_top/n_2837 ),
+	.C1(\soc_top/n_2867 ),
+	.D1(\soc_top/n_2906 ),
+	.Y(\soc_top/n_2993 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g88284  (
+	.A(\soc_top/n_5986 ),
+	.B(\soc_top/n_5987 ),
+	.C(\soc_top/n_2922 ),
+	.Y(\soc_top/n_2992 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g88285  (
+	.A(\soc_top/n_5984 ),
+	.B(\soc_top/n_5985 ),
+	.C(\soc_top/n_2922 ),
+	.Y(\soc_top/n_2991 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g88286  (
+	.A(\soc_top/n_5950 ),
+	.B(\soc_top/n_5951 ),
+	.C(\soc_top/n_2922 ),
+	.Y(\soc_top/n_2990 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g88287  (
+	.A(\soc_top/FE_OFN6647_n_5948 ),
+	.B(\soc_top/n_5949 ),
+	.C(\soc_top/n_2922 ),
+	.Y(\soc_top/n_2989 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \soc_top/g88288  (
+	.A_N(\soc_top/FE_OFN50_core_if_reg_ins_17 ),
+	.B(\soc_top/n_2883 ),
+	.C(\soc_top/n_2908 ),
+	.Y(\soc_top/n_2988 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g88289  (
+	.A(\soc_top/n_5944 ),
+	.B(\soc_top/n_5945 ),
+	.C(\soc_top/n_2922 ),
+	.Y(\soc_top/n_2987 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_2 \soc_top/g88290  (
+	.A(\soc_top/n_2894 ),
+	.B(\soc_top/n_2845 ),
+	.C(\soc_top/n_2895 ),
+	.D(\soc_top/n_2844 ),
+	.Y(\soc_top/n_2986 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \soc_top/g88291  (
+	.A(\soc_top/n_2903 ),
+	.B(\soc_top/n_2879 ),
+	.C(\soc_top/n_2905 ),
+	.D(\soc_top/n_2877 ),
+	.Y(\soc_top/n_2985 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_2 \soc_top/g88292  (
+	.A(\soc_top/n_2900 ),
+	.B(\soc_top/n_2869 ),
+	.C(\soc_top/n_2902 ),
+	.D(\soc_top/n_2872 ),
+	.Y(\soc_top/n_2984 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \soc_top/g88293  (
+	.A(\soc_top/n_2893 ),
+	.B(\soc_top/n_2857 ),
+	.C(\soc_top/n_2901 ),
+	.D(\soc_top/n_2927 ),
+	.Y(\soc_top/n_2983 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g88294  (
+	.A1(\soc_top/core_Execute_aluCtl_io_out[0] ),
+	.A2(\soc_top/n_5902 ),
+	.B1(\soc_top/n_2692 ),
+	.B2(\soc_top/n_5901 ),
+	.C1(\soc_top/n_2913 ),
+	.Y(\soc_top/n_2982 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_2 \soc_top/g88296  (
+	.A(\soc_top/n_2843 ),
+	.B(\soc_top/n_2907 ),
+	.C(\soc_top/n_2842 ),
+	.D(\soc_top/n_2891 ),
+	.Y(\soc_top/n_2981 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g88297  (
+	.A1(\soc_top/n_5847 ),
+	.A2(\soc_top/FE_OFN93_n_2923 ),
+	.B1(\soc_top/n_5815 ),
+	.B2(\soc_top/n_2924 ),
+	.Y(\soc_top/n_2980 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g88298  (
+	.A1(\soc_top/n_5846 ),
+	.A2(\soc_top/FE_OFN93_n_2923 ),
+	.B1(\soc_top/n_5814 ),
+	.B2(\soc_top/n_2924 ),
+	.Y(\soc_top/n_2979 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g88299  (
+	.A1(\soc_top/n_5845 ),
+	.A2(\soc_top/FE_OFN93_n_2923 ),
+	.B1(\soc_top/n_5813 ),
+	.B2(\soc_top/n_2924 ),
+	.Y(\soc_top/n_2978 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g88300  (
+	.A1(\soc_top/n_5860 ),
+	.A2(\soc_top/FE_OFN93_n_2923 ),
+	.B1(\soc_top/n_5828 ),
+	.B2(\soc_top/n_2924 ),
+	.Y(\soc_top/n_2977 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g88301  (
+	.A1(\soc_top/n_5859 ),
+	.A2(\soc_top/FE_OFN93_n_2923 ),
+	.B1(\soc_top/n_5827 ),
+	.B2(\soc_top/n_2924 ),
+	.Y(\soc_top/n_2976 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g88302  (
+	.A1(\soc_top/n_5858 ),
+	.A2(\soc_top/FE_OFN93_n_2923 ),
+	.B1(\soc_top/n_5826 ),
+	.B2(\soc_top/n_2924 ),
+	.Y(\soc_top/n_2975 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g88303  (
+	.A1(\soc_top/n_5857 ),
+	.A2(\soc_top/FE_OFN93_n_2923 ),
+	.B1(\soc_top/n_5825 ),
+	.B2(\soc_top/n_2924 ),
+	.Y(\soc_top/n_2974 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g88304  (
+	.A1(\soc_top/n_5855 ),
+	.A2(\soc_top/FE_OFN93_n_2923 ),
+	.B1(\soc_top/n_5823 ),
+	.B2(\soc_top/n_2924 ),
+	.Y(\soc_top/n_2973 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g88305  (
+	.A1(\soc_top/n_5853 ),
+	.A2(\soc_top/FE_OFN93_n_2923 ),
+	.B1(\soc_top/n_5821 ),
+	.B2(\soc_top/n_2924 ),
+	.Y(\soc_top/n_2972 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g88306  (
+	.A1(\soc_top/n_5852 ),
+	.A2(\soc_top/FE_OFN93_n_2923 ),
+	.B1(\soc_top/n_5820 ),
+	.B2(\soc_top/n_2924 ),
+	.Y(\soc_top/n_2971 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g88307  (
+	.A1(\soc_top/n_5851 ),
+	.A2(\soc_top/n_2923 ),
+	.B1(\soc_top/n_5883 ),
+	.B2(\soc_top/n_2920 ),
+	.Y(\soc_top/n_2970 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g88308  (
+	.A1(\soc_top/n_5850 ),
+	.A2(\soc_top/FE_OFN93_n_2923 ),
+	.B1(\soc_top/n_5818 ),
+	.B2(\soc_top/n_2924 ),
+	.Y(\soc_top/n_2969 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g88309  (
+	.A1(\soc_top/n_5849 ),
+	.A2(\soc_top/FE_OFN93_n_2923 ),
+	.B1(\soc_top/n_5817 ),
+	.B2(\soc_top/n_2924 ),
+	.Y(\soc_top/n_2968 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g88310  (
+	.A1(\soc_top/n_5848 ),
+	.A2(\soc_top/FE_OFN93_n_2923 ),
+	.B1(\soc_top/n_5816 ),
+	.B2(\soc_top/n_2924 ),
+	.Y(\soc_top/n_2967 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \soc_top/g88311  (
+	.A(\soc_top/n_2892 ),
+	.B(\soc_top/n_2910 ),
+	.C(\soc_top/n_2904 ),
+	.D(\soc_top/n_2916 ),
+	.Y(\soc_top/n_2966 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88312  (
+	.A(\soc_top/n_5913 ),
+	.B(\soc_top/n_2921 ),
+	.Y(\soc_top/n_2965 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88313  (
+	.A(\soc_top/n_5879 ),
+	.B(\soc_top/n_2920 ),
+	.Y(\soc_top/n_2964 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88314  (
+	.A(\soc_top/n_5881 ),
+	.B(\soc_top/n_2920 ),
+	.Y(\soc_top/n_2963 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88315  (
+	.A(\soc_top/n_5912 ),
+	.B(\soc_top/n_2921 ),
+	.Y(\soc_top/n_2962 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88316  (
+	.A(\soc_top/n_5878 ),
+	.B(\soc_top/n_2920 ),
+	.Y(\soc_top/n_2961 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88317  (
+	.A(\soc_top/n_5900 ),
+	.B(\soc_top/n_2920 ),
+	.Y(\soc_top/n_2960 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88318  (
+	.A(\soc_top/n_5911 ),
+	.B(\soc_top/n_2921 ),
+	.Y(\soc_top/n_2959 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88319  (
+	.A(\soc_top/n_5877 ),
+	.B(\soc_top/n_2920 ),
+	.Y(\soc_top/n_2958 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88320  (
+	.A(\soc_top/n_5926 ),
+	.B(\soc_top/n_2921 ),
+	.Y(\soc_top/n_2957 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88321  (
+	.A(\soc_top/n_5892 ),
+	.B(\soc_top/n_2920 ),
+	.Y(\soc_top/n_2956 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88322  (
+	.A(\soc_top/n_5925 ),
+	.B(\soc_top/n_2921 ),
+	.Y(\soc_top/n_2955 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g88323  (
+	.A(\soc_top/n_2918 ),
+	.B(\soc_top/n_5868 ),
+	.Y(\soc_top/n_2954 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88324  (
+	.A(\soc_top/n_5891 ),
+	.B(\soc_top/n_2920 ),
+	.Y(\soc_top/n_2953 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88325  (
+	.A(\soc_top/n_7338 ),
+	.B(\soc_top/n_2921 ),
+	.Y(\soc_top/n_2952 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88326  (
+	.A(\soc_top/n_5890 ),
+	.B(\soc_top/n_2920 ),
+	.Y(\soc_top/n_2951 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88327  (
+	.A(\soc_top/n_7340 ),
+	.B(\soc_top/n_2921 ),
+	.Y(\soc_top/n_2950 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88328  (
+	.A(\soc_top/n_5889 ),
+	.B(\soc_top/n_2920 ),
+	.Y(\soc_top/n_2949 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88329  (
+	.A(\soc_top/n_5999 ),
+	.B(\soc_top/n_5599 ),
+	.Y(\soc_top/puart_io_isStalled ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88330  (
+	.A(\soc_top/n_5921 ),
+	.B(\soc_top/n_2921 ),
+	.Y(\soc_top/n_2948 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88331  (
+	.A(\soc_top/n_5887 ),
+	.B(\soc_top/n_2920 ),
+	.Y(\soc_top/n_2947 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88332  (
+	.A(\soc_top/n_5919 ),
+	.B(\soc_top/n_2921 ),
+	.Y(\soc_top/n_2945 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88333  (
+	.A(\soc_top/n_5885 ),
+	.B(\soc_top/n_2920 ),
+	.Y(\soc_top/n_2944 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88334  (
+	.A(\soc_top/n_5918 ),
+	.B(\soc_top/n_2921 ),
+	.Y(\soc_top/n_2943 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88335  (
+	.A(\soc_top/n_5884 ),
+	.B(\soc_top/n_2920 ),
+	.Y(\soc_top/n_2942 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88336  (
+	.A(\soc_top/n_5917 ),
+	.B(\soc_top/n_2921 ),
+	.Y(\soc_top/n_2941 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88337  (
+	.A(\soc_top/n_5819 ),
+	.B(\soc_top/n_2924 ),
+	.Y(\soc_top/n_2940 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88338  (
+	.A(\soc_top/n_5916 ),
+	.B(\soc_top/n_2921 ),
+	.Y(\soc_top/n_2939 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88339  (
+	.A(\soc_top/n_5882 ),
+	.B(\soc_top/n_2920 ),
+	.Y(\soc_top/n_2938 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88340  (
+	.A(\soc_top/n_5915 ),
+	.B(\soc_top/n_2921 ),
+	.Y(\soc_top/n_2937 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88341  (
+	.A(\soc_top/n_5934 ),
+	.B(\soc_top/n_2921 ),
+	.Y(\soc_top/n_2936 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88342  (
+	.A(\soc_top/n_5914 ),
+	.B(\soc_top/n_2921 ),
+	.Y(\soc_top/n_2935 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88343  (
+	.A(\soc_top/n_5880 ),
+	.B(\soc_top/n_2920 ),
+	.Y(\soc_top/n_2934 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g88344  (
+	.A(\soc_top/n_2898 ),
+	.B(\soc_top/n_2899 ),
+	.Y(\soc_top/n_2933 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g88345  (
+	.A(\soc_top/n_2792 ),
+	.B(\soc_top/n_2807 ),
+	.C(\soc_top/n_2787 ),
+	.D(\soc_top/n_2790 ),
+	.Y(\soc_top/n_2932 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \soc_top/g88346  (
+	.A(\soc_top/gen_dmem_host_adrReg[15] ),
+	.B(\soc_top/gen_dmem_host_adrReg[21] ),
+	.C(\soc_top/gen_dmem_host_adrReg[20] ),
+	.D(\soc_top/n_2885 ),
+	.Y(\soc_top/n_2931 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 \soc_top/g88347  (
+	.A(\soc_top/n_5609 ),
+	.B(\soc_top/n_5610 ),
+	.C(\soc_top/n_2764 ),
+	.D_N(\soc_top/n_5612 ),
+	.Y(\soc_top/n_2930 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/g88348  (
+	.A(\soc_top/n_2786 ),
+	.B(\soc_top/n_5739 ),
+	.Y(\soc_top/n_2929 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g88349  (
+	.A(\soc_top/gen_dmem_host_adrReg[12] ),
+	.B(\soc_top/n_2712 ),
+	.C(\soc_top/n_2886 ),
+	.Y(\soc_top/n_2928 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 \soc_top/g88350  (
+	.A(\soc_top/gen_dmem_host_adrReg[1] ),
+	.B(\soc_top/n_2925 ),
+	.C_N(\soc_top/gen_dmem_host_selReg[0] ),
+	.D_N(\soc_top/gen_dmem_host_cycReg ),
+	.Y(\soc_top/n_2946 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 \soc_top/g88351  (
+	.A(\soc_top/gen_dmem_host_adrReg[3] ),
+	.B(\soc_top/gen_dmem_host_adrReg[4] ),
+	.C_N(\soc_top/n_6003 ),
+	.X(\soc_top/n_5597 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g88445  (
+	.A(\soc_top/n_2919 ),
+	.Y(\soc_top/n_2918 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88447  (
+	.A(\soc_top/n_5961 ),
+	.B(\soc_top/n_5960 ),
+	.X(\soc_top/n_2917 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88448  (
+	.A(\soc_top/FE_OFN5617_n_5786 ),
+	.B(\soc_top/n_6463 ),
+	.X(\soc_top/n_2916 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88449  (
+	.A(\soc_top/n_5963 ),
+	.B(\soc_top/n_5962 ),
+	.X(\soc_top/n_2915 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88450  (
+	.A(\soc_top/n_5991 ),
+	.B(\soc_top/n_5990 ),
+	.X(\soc_top/n_2914 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g88451  (
+	.A(\soc_top/core_Execute_aluCtl_io_out[1] ),
+	.B(\soc_top/n_2839 ),
+	.Y(\soc_top/n_2913 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88452  (
+	.A(\soc_top/n_2793 ),
+	.B(\soc_top/n_5596 ),
+	.Y(\soc_top/core_InstructionDecode_io_immediate[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88453  (
+	.A(\soc_top/n_2821 ),
+	.B(\soc_top/n_5596 ),
+	.Y(\soc_top/core_InstructionDecode_io_immediate[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88454  (
+	.A(\soc_top/n_2777 ),
+	.B(\soc_top/n_5596 ),
+	.Y(\soc_top/core_InstructionDecode_io_immediate[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88455  (
+	.A(\soc_top/n_2776 ),
+	.B(\soc_top/n_5596 ),
+	.Y(\soc_top/core_InstructionDecode_io_immediate[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88456  (
+	.A(\soc_top/n_2829 ),
+	.B(\soc_top/n_5596 ),
+	.Y(\soc_top/core_InstructionDecode_io_immediate[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88457  (
+	.A(\soc_top/n_5995 ),
+	.B(\soc_top/n_5994 ),
+	.X(\soc_top/n_2912 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \soc_top/g88458  (
+	.A(\soc_top/gen_dmem_host_adrReg[31] ),
+	.B(\soc_top/gen_dmem_host_adrReg[25] ),
+	.C_N(\soc_top/gen_dmem_host_adrReg[30] ),
+	.Y(\soc_top/n_2911 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88459  (
+	.A(\soc_top/n_5787 ),
+	.B(\soc_top/n_6459 ),
+	.X(\soc_top/n_2910 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88460  (
+	.A(\soc_top/n_5965 ),
+	.B(\soc_top/n_5964 ),
+	.X(\soc_top/n_2909 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g88461  (
+	.A(\soc_top/FE_OFN88_gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_stbReg ),
+	.C(\soc_top/gen_imem_host_cycReg ),
+	.Y(\soc_top/n_6001 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g88462  (
+	.A(\soc_top/core_if_reg_ins[7] ),
+	.B(\soc_top/core_if_reg_ins[10] ),
+	.C(\soc_top/core_if_reg_ins[9] ),
+	.Y(\soc_top/n_2908 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88463  (
+	.A(\soc_top/n_6467 ),
+	.B(\soc_top/n_5765 ),
+	.X(\soc_top/n_2907 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g88464  (
+	.A1(\soc_top/core_id_reg_ins[7] ),
+	.A2(\soc_top/n_2718 ),
+	.B1(\soc_top/core_id_reg_ins[11] ),
+	.B2(\soc_top/n_2701 ),
+	.Y(\soc_top/n_2906 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/g88465  (
+	.A1(\soc_top/n_5757 ),
+	.A2(\soc_top/n_2706 ),
+	.B1(\soc_top/FE_OFN5612_n_5758 ),
+	.B2(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_6 ),
+	.Y(\soc_top/n_2905 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/g88466  (
+	.A1(\soc_top/n_2704 ),
+	.A2(\soc_top/n_6469 ),
+	.B1(\soc_top/n_5756 ),
+	.B2(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_20 ),
+	.Y(\soc_top/n_2904 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/g88467  (
+	.A1(\soc_top/n_5789 ),
+	.A2(\soc_top/n_2717 ),
+	.B1(\soc_top/n_5790 ),
+	.B2(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_15 ),
+	.Y(\soc_top/n_2903 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/g88468  (
+	.A1(\soc_top/n_5749 ),
+	.A2(\soc_top/FE_OFN295_core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_1 ),
+	.B1(\soc_top/n_5750 ),
+	.B2(\soc_top/FE_OFN303_n_6488 ),
+	.Y(\soc_top/n_2902 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/g88469  (
+	.A1(\soc_top/core_id_reg_ins[11] ),
+	.A2(\soc_top/FE_DBTN6_core_if_reg_ins_19 ),
+	.B1(\soc_top/FE_OFN52_core_if_reg_ins_15 ),
+	.B2(\soc_top/n_2693 ),
+	.Y(\soc_top/n_2901 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/g88470  (
+	.A1(\soc_top/n_6491 ),
+	.A2(\soc_top/n_2705 ),
+	.B1(\soc_top/n_6489 ),
+	.B2(\soc_top/n_2699 ),
+	.Y(\soc_top/n_2900 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/g88471  (
+	.A1(\soc_top/n_5761 ),
+	.A2(\soc_top/n_2719 ),
+	.B1(\soc_top/n_6485 ),
+	.B2(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_4 ),
+	.Y(\soc_top/n_2899 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/g88472  (
+	.A1(\soc_top/n_5793 ),
+	.A2(\soc_top/n_2726 ),
+	.B1(\soc_top/n_6451 ),
+	.B2(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_5 ),
+	.Y(\soc_top/n_2898 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/g88473  (
+	.A1(\soc_top/n_5741 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_10 ),
+	.B1(\soc_top/n_5742 ),
+	.B2(\soc_top/n_2724 ),
+	.Y(\soc_top/n_2897 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/g88474  (
+	.A1(\soc_top/n_2708 ),
+	.A2(\soc_top/n_5773 ),
+	.B1(\soc_top/n_5774 ),
+	.B2(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_22 ),
+	.Y(\soc_top/n_2896 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/g88475  (
+	.A1(\soc_top/n_5770 ),
+	.A2(\soc_top/n_2723 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_12 ),
+	.B2(\soc_top/n_5771 ),
+	.Y(\soc_top/n_2895 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/g88476  (
+	.A1(\soc_top/n_6455 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_9 ),
+	.B1(\soc_top/n_6453 ),
+	.B2(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_14 ),
+	.Y(\soc_top/n_2894 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/g88477  (
+	.A1(\soc_top/core_id_reg_ins[7] ),
+	.A2(\soc_top/FE_DBTN3_core_if_reg_ins_15 ),
+	.B1(\soc_top/FE_OFN48_core_if_reg_ins_19 ),
+	.B2(\soc_top/FE_DBTN11_core_id_reg_ins_11 ),
+	.Y(\soc_top/n_2893 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/g88478  (
+	.A1(\soc_top/n_6483 ),
+	.A2(\soc_top/FE_OFN5606_n_2722 ),
+	.B1(\soc_top/n_6481 ),
+	.B2(\soc_top/n_2710 ),
+	.Y(\soc_top/n_2892 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88479  (
+	.A(\soc_top/n_6461 ),
+	.B(\soc_top/n_5767 ),
+	.X(\soc_top/n_2891 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88480  (
+	.A(\soc_top/n_5993 ),
+	.B(\soc_top/n_5992 ),
+	.X(\soc_top/n_2890 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88481  (
+	.A(\soc_top/n_5967 ),
+	.B(\soc_top/n_5966 ),
+	.X(\soc_top/n_2889 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top/g88482  (
+	.A1_N(\soc_top/FE_OFN6740_core_ex_reg_ins_9 ),
+	.A2_N(\soc_top/core_id_reg_ins[9] ),
+	.B1(\soc_top/FE_OFN6740_core_ex_reg_ins_9 ),
+	.B2(\soc_top/core_id_reg_ins[9] ),
+	.Y(\soc_top/n_2888 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \soc_top/g88483  (
+	.A(\soc_top/gen_dmem_host_adrReg[26] ),
+	.B(\soc_top/gen_dmem_host_adrReg[28] ),
+	.C(\soc_top/gen_dmem_host_adrReg[29] ),
+	.D(\soc_top/gen_dmem_host_adrReg[27] ),
+	.Y(\soc_top/n_2887 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 \soc_top/g88484  (
+	.A(\soc_top/gen_dmem_host_adrReg[14] ),
+	.B(\soc_top/gen_dmem_host_adrReg[22] ),
+	.C(\soc_top/gen_dmem_host_adrReg[23] ),
+	.D(\soc_top/gen_dmem_host_adrReg[24] ),
+	.X(\soc_top/n_2886 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 \soc_top/g88485  (
+	.A(\soc_top/gen_dmem_host_adrReg[16] ),
+	.B(\soc_top/gen_dmem_host_adrReg[17] ),
+	.C(\soc_top/gen_dmem_host_adrReg[18] ),
+	.D(\soc_top/gen_dmem_host_adrReg[19] ),
+	.X(\soc_top/n_2885 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 \soc_top/g88486  (
+	.A(\soc_top/FE_OFN46_core_if_reg_ins_21 ),
+	.B(\soc_top/FE_OFN43_core_if_reg_ins_24 ),
+	.C(\soc_top/FE_OFN45_core_if_reg_ins_22 ),
+	.D(\soc_top/FE_OFN44_core_if_reg_ins_23 ),
+	.X(\soc_top/n_2884 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \soc_top/g88487  (
+	.A(\soc_top/core_if_reg_ins[8] ),
+	.B(\soc_top/core_if_reg_ins[11] ),
+	.C(\soc_top/core_if_reg_ins[5] ),
+	.D(\soc_top/core_if_reg_ins[6] ),
+	.Y(\soc_top/n_2883 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/g88488  (
+	.A(\soc_top/n_6473 ),
+	.B(\soc_top/n_5763 ),
+	.Y(\soc_top/n_2882 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88489  (
+	.A(\soc_top/n_5989 ),
+	.B(\soc_top/n_5988 ),
+	.X(\soc_top/n_2881 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88490  (
+	.A(\soc_top/n_5959 ),
+	.B(\soc_top/n_5958 ),
+	.X(\soc_top/n_2880 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88491  (
+	.A(\soc_top/n_6475 ),
+	.B(\soc_top/FE_OFN5622_n_5760 ),
+	.X(\soc_top/n_2879 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88492  (
+	.A(\soc_top/n_5957 ),
+	.B(\soc_top/n_5956 ),
+	.X(\soc_top/n_2878 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88493  (
+	.A(\soc_top/n_6477 ),
+	.B(\soc_top/n_5759 ),
+	.X(\soc_top/n_2877 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88494  (
+	.A(\soc_top/n_5971 ),
+	.B(\soc_top/n_5970 ),
+	.X(\soc_top/n_2876 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/g88495  (
+	.A(\soc_top/n_5987 ),
+	.B(\soc_top/n_5986 ),
+	.Y(\soc_top/n_2875 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88496  (
+	.A(\soc_top/n_5955 ),
+	.B(\soc_top/n_5954 ),
+	.X(\soc_top/n_2874 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88497  (
+	.A(\soc_top/n_5953 ),
+	.B(\soc_top/n_5952 ),
+	.X(\soc_top/n_2873 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88498  (
+	.A(\soc_top/n_6505 ),
+	.B(\soc_top/n_5752 ),
+	.X(\soc_top/n_2872 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/g88499  (
+	.A(\soc_top/n_5985 ),
+	.B(\soc_top/n_5984 ),
+	.Y(\soc_top/n_2871 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/g88500  (
+	.A(\soc_top/n_5951 ),
+	.B(\soc_top/n_5950 ),
+	.Y(\soc_top/n_2870 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88501  (
+	.A(\soc_top/n_6487 ),
+	.B(\soc_top/n_6479 ),
+	.X(\soc_top/n_2869 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/g88502  (
+	.A(\soc_top/n_5949 ),
+	.B(\soc_top/FE_OFN6647_n_5948 ),
+	.Y(\soc_top/n_2868 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/g88503  (
+	.A(\soc_top/core_ex_reg_ins[10] ),
+	.B(\soc_top/core_id_reg_ins[10] ),
+	.Y(\soc_top/n_2867 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88504  (
+	.A(\soc_top/n_5983 ),
+	.B(\soc_top/n_5982 ),
+	.X(\soc_top/n_2866 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/g88505  (
+	.A(\soc_top/n_5947 ),
+	.B(\soc_top/n_5946 ),
+	.Y(\soc_top/n_2865 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/g88506  (
+	.A(\soc_top/n_6471 ),
+	.B(\soc_top/n_5764 ),
+	.Y(\soc_top/n_2864 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/g88507  (
+	.A(\soc_top/core_id_reg_ins[10] ),
+	.B(\soc_top/FE_OFN49_core_if_reg_ins_18 ),
+	.Y(\soc_top/n_2863 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/g88508  (
+	.A(\soc_top/n_5945 ),
+	.B(\soc_top/n_5944 ),
+	.Y(\soc_top/n_2862 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88509  (
+	.A(\soc_top/n_5981 ),
+	.B(\soc_top/n_5980 ),
+	.X(\soc_top/n_2861 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88510  (
+	.A(\soc_top/FE_OFN245_n_5943 ),
+	.B(\soc_top/n_5942 ),
+	.X(\soc_top/n_2860 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88511  (
+	.A(\soc_top/n_6493 ),
+	.B(\soc_top/n_6497 ),
+	.X(\soc_top/n_2859 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88512  (
+	.A(\soc_top/n_6501 ),
+	.B(\soc_top/n_5744 ),
+	.X(\soc_top/n_2858 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88513  (
+	.A(\soc_top/core_id_reg_ins[9] ),
+	.B(\soc_top/FE_OFN50_core_if_reg_ins_17 ),
+	.X(\soc_top/n_2857 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88514  (
+	.A(\soc_top/FE_OFN244_n_5941 ),
+	.B(\soc_top/n_6603 ),
+	.X(\soc_top/n_2856 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88515  (
+	.A(\soc_top/n_6503 ),
+	.B(\soc_top/n_6511 ),
+	.X(\soc_top/n_2855 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88516  (
+	.A(\soc_top/n_6449 ),
+	.B(\soc_top/n_5747 ),
+	.X(\soc_top/n_2854 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88517  (
+	.A(\soc_top/n_5979 ),
+	.B(\soc_top/n_5978 ),
+	.X(\soc_top/n_2853 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88518  (
+	.A(\soc_top/FE_OFN6605_n ),
+	.B(\soc_top/FE_DBTN2_n_5938 ),
+	.X(\soc_top/n_2852 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88519  (
+	.A(\soc_top/FE_OFN6632_n_5969 ),
+	.B(\soc_top/n_5968 ),
+	.X(\soc_top/n_2851 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88520  (
+	.A(\soc_top/n_5937 ),
+	.B(\soc_top/FE_OFN305_n_5936 ),
+	.X(\soc_top/n_2850 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88521  (
+	.A(\soc_top/n_5977 ),
+	.B(\soc_top/n_5976 ),
+	.X(\soc_top/n_2849 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88522  (
+	.A(\soc_top/n_5975 ),
+	.B(\soc_top/n_5974 ),
+	.X(\soc_top/n_2848 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88523  (
+	.A(\soc_top/n_5997 ),
+	.B(\soc_top/n_5996 ),
+	.X(\soc_top/n_2847 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88524  (
+	.A(\soc_top/n_5973 ),
+	.B(\soc_top/n_5972 ),
+	.X(\soc_top/n_2846 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88525  (
+	.A(\soc_top/n_6513 ),
+	.B(\soc_top/n_5772 ),
+	.X(\soc_top/n_2845 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88526  (
+	.A(\soc_top/n_6457 ),
+	.B(\soc_top/n_5769 ),
+	.X(\soc_top/n_2844 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88527  (
+	.A(\soc_top/n_6465 ),
+	.B(\soc_top/n_5766 ),
+	.X(\soc_top/n_2843 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/g88528  (
+	.A(\soc_top/n_5800 ),
+	.B(\soc_top/n_5768 ),
+	.X(\soc_top/n_2842 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 \soc_top/g88529  (
+	.A(\soc_top/state[1] ),
+	.B(\soc_top/puart_io_done ),
+	.C_N(\soc_top/state[0] ),
+	.X(\soc_top/n_5599 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top/g88530  (
+	.A1_N(\soc_top/core_id_reg_ins[8] ),
+	.A2_N(\soc_top/FE_OFN51_core_if_reg_ins_16 ),
+	.B1(\soc_top/core_id_reg_ins[8] ),
+	.B2(\soc_top/FE_OFN51_core_if_reg_ins_16 ),
+	.Y(\soc_top/n_2927 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \soc_top/g88531  (
+	.A(\soc_top/puart_io_done ),
+	.B(\soc_top/n_5605 ),
+	.X(\soc_top/n_5999 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88532  (
+	.A(\soc_top/switch_io_devOut_0_bits_stb ),
+	.B(\soc_top/gen_dmem_host_cycReg ),
+	.Y(\soc_top/n_2926 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g88533  (
+	.A(\soc_top/gen_dmem_host_selReg[1] ),
+	.B(\soc_top/gen_dmem_host_weReg ),
+	.C(\soc_top/gen_dmem_host_selReg[3] ),
+	.D(\soc_top/gen_dmem_host_selReg[2] ),
+	.Y(\soc_top/n_2925 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_2 \soc_top/g88534  (
+	.A(\soc_top/n_2840 ),
+	.B(\soc_top/core_Execute_aluCtl_io_out[0] ),
+	.C(\soc_top/core_Execute_aluCtl_io_out[1] ),
+	.X(\soc_top/n_2924 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \soc_top/g88535  (
+	.A(\soc_top/n_2841 ),
+	.B(\soc_top/n_2692 ),
+	.C(\soc_top/core_Execute_aluCtl_io_out[3] ),
+	.X(\soc_top/n_2923 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 \soc_top/g88536  (
+	.A_N(\soc_top/core_Execute_aluCtl_io_out[3] ),
+	.B(\soc_top/n_2841 ),
+	.X(\soc_top/n_2922 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_2 \soc_top/g88537  (
+	.A_N(\soc_top/core_Execute_aluCtl_io_out[2] ),
+	.B(\soc_top/n_2694 ),
+	.C(\soc_top/core_Execute_aluCtl_io_out[1] ),
+	.X(\soc_top/n_2921 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_2 \soc_top/g88538  (
+	.A(\soc_top/n_2840 ),
+	.B(\soc_top/n_2692 ),
+	.C(\soc_top/core_Execute_aluCtl_io_out[1] ),
+	.X(\soc_top/n_2920 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 \soc_top/g88539  (
+	.A(\soc_top/n_2841 ),
+	.B(\soc_top/core_Execute_aluCtl_io_out[0] ),
+	.X(\soc_top/n_2919 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g88540  (
+	.A(\soc_top/n_2839 ),
+	.Y(\soc_top/n_2840 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g88541  (
+	.A(\soc_top/n_2838 ),
+	.Y(\soc_top/switch_io_devOut_0_bits_stb ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88543  (
+	.A(\soc_top/FE_DBTN11_core_id_reg_ins_11 ),
+	.B(\soc_top/core_ex_reg_ins[11] ),
+	.Y(\soc_top/n_2837 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88544  (
+	.A(\soc_top/FE_OFN20_wb_rst_i ),
+	.B_N(io_in[10]),
+	.Y(\soc_top/n_2836 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88545  (
+	.A(\soc_top/FE_OFN11_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_f3[2] ),
+	.Y(\soc_top/n_2835 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88546  (
+	.A(\soc_top/gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_datReg[5] ),
+	.Y(\soc_top/n_6009 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88547  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_pc[3] ),
+	.Y(\soc_top/n_2834 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88548  (
+	.A(\soc_top/FE_OFN10_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_pc[19] ),
+	.Y(\soc_top/n_2833 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88549  (
+	.A(\soc_top/FE_OFN15_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_pc[25] ),
+	.Y(\soc_top/n_2832 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88550  (
+	.A(\soc_top/gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_adrReg[7] ),
+	.X(\soc_top/gen_dmem_slave_io_wbMasterReceiver_bits_adr[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88551  (
+	.A(\soc_top/FE_OFN21_wb_rst_i ),
+	.B_N(io_in[37]),
+	.Y(\soc_top/n_2831 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88552  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_pc[13] ),
+	.Y(\soc_top/n_2830 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88553  (
+	.A(\soc_top/n_2691 ),
+	.B(\soc_top/core_if_reg_ins[25] ),
+	.Y(\soc_top/n_2829 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88554  (
+	.A(\soc_top/FE_OFN17_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_writeData[4] ),
+	.Y(\soc_top/n_2828 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88555  (
+	.A(\soc_top/FE_OFN23_wb_rst_i ),
+	.B_N(io_in[24]),
+	.Y(\soc_top/n_2827 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88556  (
+	.A(\soc_top/FE_OFN15_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_pc[29] ),
+	.Y(\soc_top/n_2826 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88557  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_writeData[22] ),
+	.Y(\soc_top/n_2825 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88558  (
+	.A(\soc_top/FE_OFN15_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_pc[1] ),
+	.Y(\soc_top/n_2824 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88559  (
+	.A(\soc_top/FE_OFN88_gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_datReg[6] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88560  (
+	.A(\soc_top/FE_OFN22_wb_rst_i ),
+	.B_N(io_in[13]),
+	.Y(\soc_top/n_2823 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88561  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_pc[6] ),
+	.Y(\soc_top/n_2822 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88562  (
+	.A(\soc_top/n_2691 ),
+	.B(\soc_top/core_if_reg_ins[26] ),
+	.Y(\soc_top/n_2821 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88563  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_writeData[23] ),
+	.Y(\soc_top/n_2820 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88564  (
+	.A(\soc_top/gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_adrReg[4] ),
+	.X(\soc_top/gen_dmem_slave_io_wbMasterReceiver_bits_adr[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88565  (
+	.A(\soc_top/gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_datReg[18] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88566  (
+	.A(\soc_top/FE_OFN17_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_writeData[20] ),
+	.Y(\soc_top/n_2819 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88567  (
+	.A(\soc_top/FE_OFN5_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_writeData[18] ),
+	.Y(\soc_top/n_2818 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88568  (
+	.A(\soc_top/FE_OFN5_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_writeData[11] ),
+	.Y(\soc_top/n_2817 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88570  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_pc[20] ),
+	.Y(\soc_top/n_2815 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88571  (
+	.A(\soc_top/gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_datReg[19] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88572  (
+	.A(\soc_top/n_5642 ),
+	.B(\soc_top/core_if_reg_ins[30] ),
+	.X(\soc_top/core_InstructionDecode_io_immediate[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88573  (
+	.A(\soc_top/FE_OFN16_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_pc[14] ),
+	.Y(\soc_top/n_2814 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88574  (
+	.A(\soc_top/FE_OFN20_wb_rst_i ),
+	.B_N(io_in[11]),
+	.Y(\soc_top/n_2813 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88575  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_writeData[1] ),
+	.Y(\soc_top/n_2812 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88576  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_pc[21] ),
+	.Y(\soc_top/n_2811 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88577  (
+	.A(\soc_top/FE_OFN19_wb_rst_i ),
+	.B_N(io_in[12]),
+	.Y(\soc_top/n_2810 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88579  (
+	.A(\soc_top/gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_datReg[26] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88580  (
+	.A(\soc_top/FE_OFN88_gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_datReg[8] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88581  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_pc[9] ),
+	.Y(\soc_top/n_2808 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/g88582  (
+	.A_N(\soc_top/n_6507 ),
+	.B(\soc_top/n_6495 ),
+	.Y(\soc_top/n_2807 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88583  (
+	.A(\soc_top/FE_OFN23_wb_rst_i ),
+	.B_N(io_in[34]),
+	.Y(\soc_top/n_2806 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88584  (
+	.A(\soc_top/FE_OFN24_wb_rst_i ),
+	.B_N(io_in[33]),
+	.Y(\soc_top/n_2805 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88585  (
+	.A(\soc_top/gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_datReg[10] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88586  (
+	.A(\soc_top/n_5642 ),
+	.B(\soc_top/core_if_reg_ins[29] ),
+	.X(\soc_top/core_InstructionDecode_io_immediate[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88587  (
+	.A(\soc_top/FE_OFN7_wb_rst_i ),
+	.B_N(io_in[26]),
+	.Y(\soc_top/n_2804 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88588  (
+	.A(\soc_top/FE_OFN24_wb_rst_i ),
+	.B_N(io_in[8]),
+	.Y(\soc_top/n_2803 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88589  (
+	.A(\soc_top/FE_OFN15_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_pc[27] ),
+	.Y(\soc_top/n_2802 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88590  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_writeData[31] ),
+	.Y(\soc_top/n_2801 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88591  (
+	.A(\soc_top/FE_OFN17_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_writeData[28] ),
+	.Y(\soc_top/n_2800 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88592  (
+	.A(\soc_top/FE_OFN87_gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_datReg[19] ),
+	.Y(\soc_top/n_6023 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88593  (
+	.A(\soc_top/n_5642 ),
+	.B(\soc_top/core_if_reg_ins[26] ),
+	.X(\soc_top/core_InstructionDecode_io_immediate[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88594  (
+	.A(\soc_top/gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_datReg[22] ),
+	.Y(\soc_top/n_6026 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88595  (
+	.A(\soc_top/FE_OFN17_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_writeData[29] ),
+	.Y(\soc_top/n_2799 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88596  (
+	.A(\soc_top/FE_OFN87_gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_datReg[10] ),
+	.Y(\soc_top/n_6014 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88597  (
+	.A(\soc_top/n_5642 ),
+	.B(\soc_top/core_if_reg_ins[28] ),
+	.X(\soc_top/core_InstructionDecode_io_immediate[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88598  (
+	.A(\soc_top/FE_OFN15_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_pc[28] ),
+	.Y(\soc_top/n_2798 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88599  (
+	.A(\soc_top/FE_OFN6_wb_rst_i ),
+	.B_N(io_in[20]),
+	.Y(\soc_top/n_2797 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88600  (
+	.A(\soc_top/FE_OFN87_gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_datReg[18] ),
+	.Y(\soc_top/n_6022 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88601  (
+	.A(\soc_top/FE_OFN5_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_writeData[30] ),
+	.Y(\soc_top/n_2796 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88602  (
+	.A(\soc_top/gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_datReg[9] ),
+	.Y(\soc_top/n_6013 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88603  (
+	.A(\soc_top/gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_datReg[14] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88604  (
+	.A(\soc_top/gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_datReg[11] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88605  (
+	.A(\soc_top/FE_OFN15_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_pc[26] ),
+	.Y(\soc_top/n_2795 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88606  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_writeData[25] ),
+	.Y(\soc_top/n_2794 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88607  (
+	.A(\soc_top/gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_datReg[21] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88608  (
+	.A(\soc_top/n_2691 ),
+	.B(\soc_top/core_if_reg_ins[27] ),
+	.Y(\soc_top/n_2793 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/g88609  (
+	.A_N(\soc_top/n_6509 ),
+	.B(\soc_top/n_6499 ),
+	.Y(\soc_top/n_2792 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88610  (
+	.A(\soc_top/FE_OFN87_gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_datReg[3] ),
+	.Y(\soc_top/n_6007 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88611  (
+	.A(\soc_top/gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_datReg[22] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88612  (
+	.A(\soc_top/gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_datReg[6] ),
+	.Y(\soc_top/n_6010 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88613  (
+	.A(\soc_top/FE_OFN87_gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_datReg[7] ),
+	.Y(\soc_top/n_6011 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88614  (
+	.A(\soc_top/n_5642 ),
+	.B(\soc_top/core_if_reg_ins[27] ),
+	.X(\soc_top/core_InstructionDecode_io_immediate[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88615  (
+	.A(\soc_top/FE_OFN23_wb_rst_i ),
+	.B_N(io_in[18]),
+	.Y(\soc_top/n_2791 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88616  (
+	.A(\soc_top/gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_adrReg[6] ),
+	.X(\soc_top/gen_dmem_slave_io_wbMasterReceiver_bits_adr[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88617  (
+	.A(\soc_top/FE_OFN87_gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_datReg[13] ),
+	.Y(\soc_top/n_6017 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88618  (
+	.A(\soc_top/gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_adrReg[3] ),
+	.X(\soc_top/gen_dmem_slave_io_wbMasterReceiver_bits_adr[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88619  (
+	.A(\soc_top/gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_adrReg[1] ),
+	.X(\soc_top/gen_dmem_slave_io_wbMasterReceiver_bits_adr[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88620  (
+	.A(\soc_top/gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_adrReg[0] ),
+	.X(\soc_top/switch_io_devOut_0_bits_adr[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88621  (
+	.A(\soc_top/FE_OFN88_gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_weReg ),
+	.X(\soc_top/gen_imem_slave_io_reqOut_bits_isWrite ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88622  (
+	.A(\soc_top/FE_OFN88_gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_adrReg[7] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_adr[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88623  (
+	.A(\soc_top/FE_OFN88_gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_adrReg[6] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_adr[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88624  (
+	.A(\soc_top/FE_OFN88_gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_adrReg[5] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_adr[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88625  (
+	.A(\soc_top/FE_OFN88_gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_adrReg[4] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_adr[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88626  (
+	.A(\soc_top/FE_OFN88_gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_adrReg[3] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_adr[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88627  (
+	.A(\soc_top/FE_OFN88_gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_adrReg[2] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_adr[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88628  (
+	.A(\soc_top/FE_OFN87_gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_datReg[12] ),
+	.Y(\soc_top/n_6016 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/g88629  (
+	.A_N(\soc_top/n_6495 ),
+	.B(\soc_top/n_6507 ),
+	.Y(\soc_top/n_2790 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88630  (
+	.A(\soc_top/FE_OFN88_gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_adrReg[0] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_adr[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 \soc_top/g88631  (
+	.A(\soc_top/gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_datReg[31] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88632  (
+	.A(\soc_top/FE_OFN21_wb_rst_i ),
+	.B_N(io_in[21]),
+	.Y(\soc_top/n_2789 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88633  (
+	.A(\soc_top/gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_datReg[29] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88634  (
+	.A(\soc_top/gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_datReg[28] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88635  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_pc[15] ),
+	.Y(\soc_top/n_2788 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88636  (
+	.A(\soc_top/gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_datReg[27] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/g88637  (
+	.A_N(\soc_top/n_6499 ),
+	.B(\soc_top/n_6509 ),
+	.Y(\soc_top/n_2787 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88638  (
+	.A(\soc_top/gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_datReg[25] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88639  (
+	.A(\soc_top/gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_datReg[24] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88640  (
+	.A(\soc_top/gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_datReg[23] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/g88641  (
+	.A_N(\soc_top/state[0] ),
+	.B(\soc_top/state[1] ),
+	.Y(\soc_top/n_5605 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88642  (
+	.A(\soc_top/core_if_reg_ins[12] ),
+	.B_N(\soc_top/core_if_reg_ins[14] ),
+	.Y(\soc_top/n_2786 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g88643  (
+	.A(\soc_top/core_Execute_aluCtl_io_out[1] ),
+	.B(\soc_top/core_Execute_aluCtl_io_out[2] ),
+	.Y(\soc_top/n_2841 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88644  (
+	.A(\soc_top/n_2694 ),
+	.B(\soc_top/core_Execute_aluCtl_io_out[2] ),
+	.Y(\soc_top/n_2839 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88645  (
+	.A(\soc_top/gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_stbReg ),
+	.Y(\soc_top/n_2838 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88647  (
+	.A(\soc_top/gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_datReg[17] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88648  (
+	.A(\soc_top/gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_datReg[16] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88649  (
+	.A(\soc_top/gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_datReg[15] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88650  (
+	.A(\soc_top/gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_datReg[13] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88651  (
+	.A(\soc_top/gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_datReg[12] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88652  (
+	.A(\soc_top/FE_OFN88_gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_datReg[9] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88653  (
+	.A(\soc_top/FE_OFN88_gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_datReg[7] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88654  (
+	.A(\soc_top/FE_OFN5_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_writeData[27] ),
+	.Y(\soc_top/n_2783 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88655  (
+	.A(\soc_top/FE_OFN88_gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_datReg[5] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88656  (
+	.A(\soc_top/FE_OFN88_gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_datReg[4] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88657  (
+	.A(\soc_top/FE_OFN88_gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_datReg[3] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88658  (
+	.A(\soc_top/FE_OFN88_gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_datReg[2] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88659  (
+	.A(\soc_top/FE_OFN88_gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_datReg[1] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88660  (
+	.A(\soc_top/FE_OFN88_gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_datReg[0] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88661  (
+	.A(\soc_top/FE_OFN22_wb_rst_i ),
+	.B_N(io_in[6]),
+	.Y(\soc_top/n_2782 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88662  (
+	.A(\soc_top/FE_OFN88_gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_selReg[0] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_sel[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88663  (
+	.A(\soc_top/n_2712 ),
+	.B_N(\soc_top/gen_dmem_host_cycReg ),
+	.Y(\soc_top/switch_io_devOut_0_bits_cyc ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88664  (
+	.A(\soc_top/FE_OFN10_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_pc[17] ),
+	.Y(\soc_top/n_2781 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88665  (
+	.A(\soc_top/n_2712 ),
+	.B_N(\soc_top/gen_dmem_host_weReg ),
+	.Y(\soc_top/gen_dmem_slave_io_reqOut_bits_isWrite ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88666  (
+	.A(\soc_top/FE_OFN24_wb_rst_i ),
+	.B_N(io_in[22]),
+	.Y(\soc_top/n_2780 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88667  (
+	.A(\soc_top/gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_selReg[2] ),
+	.X(\soc_top/gen_dmem_slave_io_wbMasterReceiver_bits_sel[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88668  (
+	.A(\soc_top/gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_selReg[1] ),
+	.X(\soc_top/gen_dmem_slave_io_wbMasterReceiver_bits_sel[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88669  (
+	.A(\soc_top/gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_selReg[0] ),
+	.X(\soc_top/switch_io_devOut_0_bits_sel[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88670  (
+	.A(\soc_top/FE_OFN16_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_pc[11] ),
+	.Y(\soc_top/n_2779 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88671  (
+	.A(\soc_top/FE_OFN21_wb_rst_i ),
+	.B_N(io_in[32]),
+	.Y(\soc_top/n_2778 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88672  (
+	.A(\soc_top/n_2691 ),
+	.B(\soc_top/core_if_reg_ins[29] ),
+	.Y(\soc_top/n_2777 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88673  (
+	.A(\soc_top/FE_OFN88_gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_adrReg[1] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_adr[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88674  (
+	.A(\soc_top/n_2691 ),
+	.B(\soc_top/core_if_reg_ins[28] ),
+	.Y(\soc_top/n_2776 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88675  (
+	.A(\soc_top/n_2691 ),
+	.B_N(\soc_top/core_if_reg_ins[25] ),
+	.Y(\soc_top/core_InstructionDecode_io_immediate[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88676  (
+	.A(\soc_top/gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_datReg[20] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88677  (
+	.A(\soc_top/FE_OFN87_gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_datReg[30] ),
+	.Y(\soc_top/n_6034 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88678  (
+	.A(\soc_top/FE_OFN87_gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_datReg[16] ),
+	.Y(\soc_top/n_6020 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88679  (
+	.A(\soc_top/FE_OFN19_wb_rst_i ),
+	.B_N(io_in[28]),
+	.Y(\soc_top/n_2775 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88680  (
+	.A(\soc_top/FE_OFN10_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_pc[22] ),
+	.Y(\soc_top/n_2774 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88681  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_pc[31] ),
+	.Y(\soc_top/n_2773 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88682  (
+	.A(\soc_top/FE_OFN6_wb_rst_i ),
+	.B_N(io_in[31]),
+	.Y(\soc_top/n_2772 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88683  (
+	.A(\soc_top/gen_imem_host_startWBTransaction ),
+	.B(\soc_top/gen_imem_host_datReg[30] ),
+	.X(\soc_top/gen_imem_host_io_wbMasterTransmitter_bits_dat[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88684  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_pc[12] ),
+	.Y(\soc_top/n_2771 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88685  (
+	.A(\soc_top/FE_OFN23_wb_rst_i ),
+	.B_N(io_in[35]),
+	.Y(\soc_top/n_2770 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88686  (
+	.A(\soc_top/FE_OFN5_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_writeData[19] ),
+	.Y(\soc_top/n_2769 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88687  (
+	.A(\soc_top/FE_OFN87_gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_datReg[0] ),
+	.Y(\soc_top/n_6004 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88688  (
+	.A(\soc_top/gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_datReg[1] ),
+	.Y(\soc_top/n_6005 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88689  (
+	.A(\soc_top/FE_OFN87_gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_datReg[15] ),
+	.Y(\soc_top/n_6019 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88690  (
+	.A(\soc_top/FE_OFN87_gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_datReg[14] ),
+	.Y(\soc_top/n_6018 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88691  (
+	.A(\soc_top/FE_OFN87_gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_datReg[11] ),
+	.Y(\soc_top/n_6015 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88692  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_pc[10] ),
+	.Y(\soc_top/n_2768 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88693  (
+	.A(\soc_top/FE_OFN17_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_writeData[21] ),
+	.Y(\soc_top/n_2767 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88694  (
+	.A(\soc_top/FE_OFN87_gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_datReg[8] ),
+	.Y(\soc_top/n_6012 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g88695  (
+	.A(\soc_top/gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_selReg[3] ),
+	.X(\soc_top/gen_dmem_slave_io_wbMasterReceiver_bits_sel[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88696  (
+	.A(\soc_top/gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_datReg[4] ),
+	.Y(\soc_top/n_6008 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88697  (
+	.A(\soc_top/FE_OFN87_gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_datReg[2] ),
+	.Y(\soc_top/n_6006 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88698  (
+	.A(\soc_top/gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_datReg[17] ),
+	.Y(\soc_top/n_6021 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88699  (
+	.A(\soc_top/FE_OFN87_gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_datReg[31] ),
+	.Y(\soc_top/n_6035 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88700  (
+	.A(\soc_top/FE_OFN87_gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_datReg[29] ),
+	.Y(\soc_top/n_6033 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88701  (
+	.A(\soc_top/FE_OFN87_gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_datReg[28] ),
+	.Y(\soc_top/n_6032 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88702  (
+	.A(\soc_top/FE_OFN87_gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_datReg[26] ),
+	.Y(\soc_top/n_6030 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88703  (
+	.A(\soc_top/gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_datReg[25] ),
+	.Y(\soc_top/n_6029 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88704  (
+	.A(\soc_top/FE_OFN87_gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_datReg[24] ),
+	.Y(\soc_top/n_6028 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88705  (
+	.A(\soc_top/FE_OFN87_gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_datReg[23] ),
+	.Y(\soc_top/n_6027 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88706  (
+	.A(\soc_top/gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_datReg[21] ),
+	.Y(\soc_top/n_6025 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88707  (
+	.A(\soc_top/gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_datReg[20] ),
+	.Y(\soc_top/n_6024 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88708  (
+	.A(\soc_top/FE_OFN22_wb_rst_i ),
+	.B_N(io_in[29]),
+	.Y(\soc_top/n_2766 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88709  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_pc[23] ),
+	.Y(\soc_top/n_2765 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88710  (
+	.A(\soc_top/n_5608 ),
+	.B(\soc_top/n_5611 ),
+	.Y(\soc_top/n_2764 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88711  (
+	.A(\soc_top/FE_OFN16_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_pc[5] ),
+	.Y(\soc_top/n_2763 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88712  (
+	.A(\soc_top/FE_OFN15_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_pc[7] ),
+	.Y(\soc_top/n_2762 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88714  (
+	.A(\soc_top/FE_OFN5_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_writeData[17] ),
+	.Y(\soc_top/n_2760 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88715  (
+	.A(\soc_top/FE_OFN5_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_writeData[16] ),
+	.Y(\soc_top/n_2759 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88716  (
+	.A(\soc_top/FE_OFN11_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_f3[0] ),
+	.Y(\soc_top/n_2758 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88717  (
+	.A(\soc_top/FE_OFN11_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_f3[1] ),
+	.Y(\soc_top/n_2757 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88718  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_pc[0] ),
+	.Y(\soc_top/n_2756 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88719  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_pc[2] ),
+	.Y(\soc_top/n_2755 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88720  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_pc[4] ),
+	.Y(\soc_top/n_2754 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88721  (
+	.A(\soc_top/FE_OFN16_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_pc[8] ),
+	.Y(\soc_top/n_2753 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88722  (
+	.A(\soc_top/FE_OFN87_gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_datReg[27] ),
+	.Y(\soc_top/n_6031 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88723  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_pc[16] ),
+	.Y(\soc_top/n_2752 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88724  (
+	.A(\soc_top/FE_OFN10_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_pc[18] ),
+	.Y(\soc_top/n_2751 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88725  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_pc[30] ),
+	.Y(\soc_top/n_2750 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88727  (
+	.A(\soc_top/FE_OFN5_wb_rst_i ),
+	.B_N(\soc_top/n_6606 ),
+	.Y(\soc_top/n_2748 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88728  (
+	.A(\soc_top/FE_OFN22_wb_rst_i ),
+	.B_N(io_in[14]),
+	.Y(\soc_top/n_2747 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88729  (
+	.A(\soc_top/FE_OFN5_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_writeData[13] ),
+	.Y(\soc_top/n_2746 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88730  (
+	.A(\soc_top/FE_OFN5_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_writeData[12] ),
+	.Y(\soc_top/n_2745 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88731  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B_N(\soc_top/core_id_reg_pc[24] ),
+	.Y(\soc_top/n_2744 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88732  (
+	.A(\soc_top/FE_OFN17_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_writeData[24] ),
+	.Y(\soc_top/n_2743 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88733  (
+	.A(\soc_top/FE_OFN5_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_writeData[26] ),
+	.Y(\soc_top/n_2742 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88734  (
+	.A(\soc_top/FE_OFN8_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_ctl_memToReg[1] ),
+	.Y(\soc_top/n_2741 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88735  (
+	.A(\soc_top/FE_OFN6_wb_rst_i ),
+	.B_N(io_in[30]),
+	.Y(\soc_top/n_2740 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88736  (
+	.A(\soc_top/FE_OFN21_wb_rst_i ),
+	.B_N(io_in[16]),
+	.Y(\soc_top/n_2739 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88737  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_writeData[15] ),
+	.Y(\soc_top/n_2738 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88738  (
+	.A(\soc_top/FE_OFN6_wb_rst_i ),
+	.B_N(io_in[36]),
+	.Y(\soc_top/n_2737 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88739  (
+	.A(\soc_top/FE_OFN6_wb_rst_i ),
+	.B_N(io_in[7]),
+	.Y(\soc_top/n_2736 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88740  (
+	.A(\soc_top/FE_OFN22_wb_rst_i ),
+	.B_N(io_in[9]),
+	.Y(\soc_top/n_2735 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88741  (
+	.A(\soc_top/FE_OFN20_wb_rst_i ),
+	.B_N(io_in[15]),
+	.Y(\soc_top/n_2734 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88742  (
+	.A(\soc_top/FE_OFN21_wb_rst_i ),
+	.B_N(io_in[17]),
+	.Y(\soc_top/n_2733 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88743  (
+	.A(\soc_top/FE_OFN23_wb_rst_i ),
+	.B_N(io_in[19]),
+	.Y(\soc_top/n_2732 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88744  (
+	.A(\soc_top/FE_OFN17_wb_rst_i ),
+	.B_N(io_in[23]),
+	.Y(\soc_top/n_2731 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88745  (
+	.A(\soc_top/FE_OFN19_wb_rst_i ),
+	.B_N(io_in[25]),
+	.Y(\soc_top/n_2730 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g88746  (
+	.A(\soc_top/FE_OFN7_wb_rst_i ),
+	.B_N(io_in[27]),
+	.Y(\soc_top/n_2729 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \soc_top/g88747  (
+	.A(\soc_top/gen_dmem_host_adrReg[0] ),
+	.B(\soc_top/gen_dmem_host_adrReg[1] ),
+	.X(\soc_top/n_5602 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 \soc_top/g88748  (
+	.A(\soc_top/n_2720 ),
+	.B(\soc_top/core_InstructionDecode_control_io_jump[0] ),
+	.Y(\soc_top/n_2785 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88749  (
+	.A(\soc_top/gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_adrReg[5] ),
+	.Y(\soc_top/n_6003 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g88750  (
+	.A(\soc_top/gen_dmem_host_startWBTransaction ),
+	.B(\soc_top/gen_dmem_host_adrReg[2] ),
+	.Y(\soc_top/n_6002 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/g88753  (
+	.A(\soc_top/n_5761 ),
+	.Y(\soc_top/n_2726 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/g88755  (
+	.A(\soc_top/n_5774 ),
+	.Y(\soc_top/n_2724 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g88759  (
+	.A(\soc_top/core_InstructionDecode_control_io_jump[1] ),
+	.Y(\soc_top/n_2720 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g88760  (
+	.A(\soc_top/n_5793 ),
+	.Y(\soc_top/n_2719 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g88761  (
+	.A(\soc_top/core_ex_reg_ins[7] ),
+	.Y(\soc_top/n_2718 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/g88762  (
+	.A(\soc_top/n_5757 ),
+	.Y(\soc_top/n_2717 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/g88769  (
+	.A(\soc_top/n_5756 ),
+	.Y(\soc_top/n_2710 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g88771  (
+	.A(\soc_top/n_5741 ),
+	.Y(\soc_top/n_2708 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g88772  (
+	.A(\soc_top/n_5742 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g88773  (
+	.A(\soc_top/n_5789 ),
+	.Y(\soc_top/n_2706 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/g88780  (
+	.A(\soc_top/n_5750 ),
+	.Y(\soc_top/n_2699 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g88785  (
+	.A(\soc_top/core_Execute_aluCtl_io_out[3] ),
+	.Y(\soc_top/n_2694 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g88786  (
+	.A(\soc_top/core_id_reg_ins[7] ),
+	.Y(\soc_top/n_2693 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g88787  (
+	.A(\soc_top/core_Execute_aluCtl_io_out[0] ),
+	.Y(\soc_top/n_2692 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 \soc_top/g128149  (
+	.A(\soc_top/n_2690 ),
+	.B(\soc_top/core_id_reg_ins[6] ),
+	.C(\soc_top/core_id_reg_ins[0] ),
+	.D(\soc_top/core_id_reg_ins[1] ),
+	.X(\soc_top/core_InstructionDecode_io_id_ex_branch ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 \soc_top/g128150  (
+	.A(\soc_top/core_id_reg_ins[4] ),
+	.B(\soc_top/core_id_reg_ins[2] ),
+	.C(\soc_top/core_id_reg_ins[3] ),
+	.D_N(\soc_top/core_id_reg_ins[5] ),
+	.Y(\soc_top/n_2690 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_ins_reg[5]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2689 ),
+	.Q(\soc_top/core_id_reg_ins[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_ctl_memRead_reg  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_2687 ),
+	.Q(\soc_top/core_id_reg_ctl_memRead ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_ins_reg[6]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2684 ),
+	.Q(\soc_top/core_id_reg_ins[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_ins_reg[4]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2688 ),
+	.Q(\soc_top/core_id_reg_ins[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_ins_reg[0]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2683 ),
+	.Q(\soc_top/core_id_reg_ins[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_ins_reg[3]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2682 ),
+	.Q(\soc_top/core_id_reg_ins[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_ins_reg[1]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2686 ),
+	.Q(\soc_top/core_id_reg_ins[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_ins_reg[2]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2685 ),
+	.Q(\soc_top/core_id_reg_ins[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128151  (
+	.A(\soc_top/FE_OFN8_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_ins[5] ),
+	.Y(\soc_top/n_2689 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128152  (
+	.A(\soc_top/FE_OFN8_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_ins[4] ),
+	.Y(\soc_top/n_2688 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128153  (
+	.A(\soc_top/FE_OFN3_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_ctl_memToReg[0] ),
+	.Y(\soc_top/n_2687 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128154  (
+	.A(\soc_top/FE_OFN1_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_ins[1] ),
+	.Y(\soc_top/n_2686 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128155  (
+	.A(\soc_top/FE_OFN8_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_ins[2] ),
+	.Y(\soc_top/n_2685 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128156  (
+	.A(\soc_top/FE_OFN1_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_ins[6] ),
+	.Y(\soc_top/n_2684 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128157  (
+	.A(\soc_top/FE_OFN8_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_ins[0] ),
+	.Y(\soc_top/n_2683 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128158  (
+	.A(\soc_top/FE_OFN8_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_ins[3] ),
+	.Y(\soc_top/n_2682 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_1_reg[0]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1264 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_1[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_1_reg[1]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1262 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_1[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_1_reg[2]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1272 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_1[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_1_reg[3]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_1286 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_1[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_1_reg[4]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1279 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_1[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_1_reg[5]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1270 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_1[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_1_reg[6]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1268 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_1[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_1_reg[7]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1266 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_1[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_1_reg[8]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_716 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_1[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_1_reg[9]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_1260 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_1[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_1_reg[10]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_1258 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_1[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_1_reg[11]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_1257 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_1[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_1_reg[12]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1253 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_1[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_1_reg[13]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1249 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_1[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_1_reg[14]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1245 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_1[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_1_reg[15]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1244 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_1[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_1_reg[16]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_542 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_1[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_1_reg[17]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1039 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_1[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_1_reg[18]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1235 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_1[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_1_reg[19]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_1237 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_1[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_1_reg[20]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1233 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_1[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_1_reg[21]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1185 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_1[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_1_reg[22]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1169 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_1[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_1_reg[23]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1183 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_1[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_1_reg[24]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1194 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_1[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_1_reg[25]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_608 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_1[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_1_reg[26]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1225 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_1[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_1_reg[27]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1223 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_1[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_1_reg[28]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_1222 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_1[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_1_reg[29]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_548 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_1[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_1_reg[30]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_1220 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_1[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_1_reg[31]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1219 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_1[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_2_reg[0]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1216 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_2[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_2_reg[1]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1212 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_2[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_2_reg[2]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1296 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_2[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_2_reg[3]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_653 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_2[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_2_reg[4]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_1319 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_2[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_2_reg[5]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_435 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_2[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_2_reg[6]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_1208 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_2[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_2_reg[7]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_1206 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_2[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_2_reg[8]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_1203 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_2[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_2_reg[9]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_1201 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_2[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_2_reg[10]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_1197 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_2[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_2_reg[11]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_1172 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_2[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_2_reg[12]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1192 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_2[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_2_reg[13]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1288 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_2[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_2_reg[14]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_1190 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_2[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_2_reg[15]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1186 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_2[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_2_reg[16]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_535 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_2[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_2_reg[17]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1179 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_2[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_2_reg[18]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1178 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_2[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_2_reg[19]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_433 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_2[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_2_reg[20]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1174 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_2[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_2_reg[21]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_478 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_2[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_2_reg[22]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_1173 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_2[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_2_reg[23]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_498 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_2[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_2_reg[24]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1170 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_2[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_2_reg[25]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_1168 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_2[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_2_reg[26]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_1165 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_2[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_2_reg[27]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_665 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_2[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_2_reg[28]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_1158 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_2[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_2_reg[29]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_691 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_2[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_2_reg[30]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_1155 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_2[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_2_reg[31]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_467 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_2[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_3_reg[0]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_1143 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_3[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_3_reg[1]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1141 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_3[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_3_reg[2]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1145 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_3[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_3_reg[3]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_1303 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_3[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_3_reg[4]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1135 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_3[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_3_reg[5]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1320 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_3[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_3_reg[6]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_1132 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_3[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_3_reg[7]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_607 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_3[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_3_reg[8]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_1126 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_3[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_3_reg[9]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_1124 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_3[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_3_reg[10]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1122 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_3[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_3_reg[11]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1118 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_3[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_3_reg[12]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1114 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_3[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_3_reg[13]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1151 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_3[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_3_reg[14]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1112 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_3[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_3_reg[15]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1110 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_3[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_3_reg[16]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_455 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_3[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_3_reg[17]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1103 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_3[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_3_reg[18]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1106 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_3[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_3_reg[19]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_511 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_3[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_3_reg[20]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1099 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_3[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_3_reg[21]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_578 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_3[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_3_reg[22]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_1097 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_3[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_3_reg[23]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1093 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_3[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_3_reg[24]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1091 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_3[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_3_reg[25]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_1089 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_3[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_3_reg[26]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1086 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_3[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_3_reg[27]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_445 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_3[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_3_reg[28]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_1079 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_3[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_3_reg[29]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1080 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_3[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_3_reg[30]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_442 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_3[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_3_reg[31]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1073 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_3[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_4_reg[0]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_1063 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_4[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_4_reg[1]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_589 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_4[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_4_reg[2]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_1060 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_4[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_4_reg[3]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_1054 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_4[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_4_reg[4]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_1052 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_4[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_4_reg[5]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_493 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_4[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_4_reg[6]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_1047 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_4[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_4_reg[7]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_1043 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_4[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_4_reg[8]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_1044 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_4[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_4_reg[9]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_1071 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_4[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_4_reg[10]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_1034 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_4[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_4_reg[11]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_474 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_4[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_4_reg[12]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_1030 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_4[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_4_reg[13]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_1277 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_4[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_4_reg[14]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_1025 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_4[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_4_reg[15]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_726 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_4[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_4_reg[16]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_1024 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_4[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_4_reg[17]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_1076 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_4[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_4_reg[18]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_1033 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_4[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_4_reg[19]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_728 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_4[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_4_reg[20]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_1015 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_4[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_4_reg[21]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_1014 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_4[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_4_reg[22]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_1009 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_4[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_4_reg[23]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_1007 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_4[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_4_reg[24]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_1005 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_4[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_4_reg[25]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_1002 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_4[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_4_reg[26]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_997 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_4[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_4_reg[27]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_994 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_4[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_4_reg[28]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_992 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_4[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_4_reg[29]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_991 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_4[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_4_reg[30]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_990 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_4[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_4_reg[31]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_988 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_4[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_5_reg[0]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_987 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_5[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_5_reg[1]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_989 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_5[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_5_reg[2]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_986 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_5[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_5_reg[3]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_985 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_5[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_5_reg[4]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_984 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_5[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_5_reg[5]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_983 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_5[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_5_reg[6]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_982 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_5[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_5_reg[7]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_981 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_5[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_5_reg[8]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_980 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_5[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_5_reg[9]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_979 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_5[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_5_reg[10]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_978 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_5[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_5_reg[11]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_977 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_5[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_5_reg[12]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_976 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_5[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_5_reg[13]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_974 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_5[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_5_reg[14]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_971 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_5[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_5_reg[15]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_963 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_5[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_5_reg[16]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_961 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_5[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_5_reg[17]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_965 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_5[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_5_reg[18]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_959 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_5[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_5_reg[19]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_955 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_5[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_5_reg[20]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_953 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_5[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_5_reg[21]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_951 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_5[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_5_reg[22]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_947 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_5[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_5_reg[23]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_944 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_5[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_5_reg[24]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_942 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_5[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_5_reg[25]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_939 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_5[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_5_reg[26]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_935 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_5[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_5_reg[27]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_931 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_5[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_5_reg[28]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_929 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_5[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_5_reg[29]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_927 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_5[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_5_reg[30]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_923 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_5[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_5_reg[31]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1372 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_5[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_6_reg[0]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_1360 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_6[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_6_reg[1]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_1376 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_6[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_6_reg[2]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_1410 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_6[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_6_reg[3]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_921 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_6[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_6_reg[4]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_920 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_6[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_6_reg[5]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_915 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_6[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_6_reg[6]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_913 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_6[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_6_reg[7]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_911 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_6[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_6_reg[8]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_908 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_6[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_6_reg[9]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_903 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_6[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_6_reg[10]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_900 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_6[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_6_reg[11]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_897 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_6[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_6_reg[12]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_896 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_6[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_6_reg[13]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_892 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_6[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_6_reg[14]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_890 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_6[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_6_reg[15]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_884 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_6[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_6_reg[16]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_882 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_6[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_6_reg[17]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_880 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_6[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_6_reg[18]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_876 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_6[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_6_reg[19]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_874 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_6[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_6_reg[20]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_872 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_6[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_6_reg[21]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_868 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_6[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_6_reg[22]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_865 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_6[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_6_reg[23]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_863 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_6[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_6_reg[24]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_860 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_6[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_6_reg[25]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_856 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_6[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_6_reg[26]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_852 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_6[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_6_reg[27]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_850 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_6[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_6_reg[28]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_848 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_6[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_6_reg[29]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_846 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_6[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_6_reg[30]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_844 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_6[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_6_reg[31]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_845 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_6[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_7_reg[0]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_843 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_7[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_7_reg[1]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_841 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_7[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_7_reg[2]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_840 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_7[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_7_reg[3]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_839 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_7[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_7_reg[4]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_838 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_7[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_7_reg[5]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_836 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_7[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_7_reg[6]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_835 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_7[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_7_reg[7]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_834 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_7[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_7_reg[8]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_832 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_7[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_7_reg[9]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_831 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_7[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_7_reg[10]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_830 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_7[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_7_reg[11]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_829 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_7[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_7_reg[12]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_828 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_7[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_7_reg[13]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_826 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_7[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_7_reg[14]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_819 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_7[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_7_reg[15]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_817 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_7[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_7_reg[16]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_821 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_7[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_7_reg[17]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_814 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_7[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_7_reg[18]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_811 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_7[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_7_reg[19]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_808 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_7[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_7_reg[20]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_807 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_7[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_7_reg[21]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_802 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_7[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_7_reg[22]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_800 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_7[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_7_reg[23]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_798 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_7[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_7_reg[24]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_795 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_7[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_7_reg[25]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_790 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_7[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_7_reg[26]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_787 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_7[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_7_reg[27]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_784 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_7[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_7_reg[28]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_783 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_7[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_7_reg[29]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_779 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_7[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_7_reg[30]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_769 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_7[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_7_reg[31]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_776 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_7[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_8_reg[0]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_772 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_8[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_8_reg[1]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_764 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_8[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_8_reg[2]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_762 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_8[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_8_reg[3]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_759 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_8[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_8_reg[4]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_755 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_8[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_8_reg[5]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_752 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_8[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_8_reg[6]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_750 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_8[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_8_reg[7]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_746 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_8[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_8_reg[8]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_742 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_8[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_8_reg[9]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_738 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_8[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_8_reg[10]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_736 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_8[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_8_reg[11]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_734 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_8[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_8_reg[12]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_730 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_8[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_8_reg[13]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_721 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_8[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_8_reg[14]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_725 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_8[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_8_reg[15]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_729 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_8[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_8_reg[16]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_727 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_8[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_8_reg[17]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_724 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_8[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_8_reg[18]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_723 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_8[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_8_reg[19]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_722 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_8[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_8_reg[20]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_720 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_8[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_8_reg[21]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_719 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_8[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_8_reg[22]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_717 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_8[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_8_reg[23]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_714 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_8[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_8_reg[24]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_713 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_8[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_8_reg[25]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_712 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_8[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_8_reg[26]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_711 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_8[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_8_reg[27]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_710 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_8[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_8_reg[28]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_709 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_8[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_8_reg[29]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_706 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_8[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_8_reg[30]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_708 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_8[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_8_reg[31]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_707 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_8[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_9_reg[0]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_705 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_9[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_9_reg[1]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_704 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_9[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_9_reg[2]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_702 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_9[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_9_reg[3]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_701 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_9[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_9_reg[4]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_700 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_9[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_9_reg[5]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_699 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_9[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_9_reg[6]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_698 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_9[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_9_reg[7]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_697 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_9[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_9_reg[8]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_696 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_9[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_9_reg[9]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_695 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_9[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_9_reg[10]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_694 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_9[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_9_reg[11]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_693 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_9[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_9_reg[12]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_692 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_9[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_9_reg[13]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_689 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_9[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_9_reg[14]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_688 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_9[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_9_reg[15]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_690 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_9[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_9_reg[16]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_687 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_9[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_9_reg[17]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_685 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_9[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_9_reg[18]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_684 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_9[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_9_reg[19]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_683 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_9[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_9_reg[20]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_682 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_9[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_9_reg[21]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_681 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_9[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_9_reg[22]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_680 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_9[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_9_reg[23]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_678 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_9[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_9_reg[24]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_677 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_9[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_9_reg[25]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_676 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_9[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_9_reg[26]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_675 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_9[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_9_reg[27]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_674 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_9[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_9_reg[28]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_672 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_9[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_9_reg[29]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_668 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_9[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_9_reg[30]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_671 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_9[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_9_reg[31]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_669 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_9[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_10_reg[0]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_667 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_10[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_10_reg[1]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_666 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_10[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_10_reg[2]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_664 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_10[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_10_reg[3]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_703 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_10[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_10_reg[4]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_662 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_10[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_10_reg[5]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_661 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_10[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_10_reg[6]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_660 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_10[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_10_reg[7]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_659 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_10[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_10_reg[8]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_658 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_10[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_10_reg[9]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_657 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_10[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_10_reg[10]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_656 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_10[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_10_reg[11]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_655 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_10[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_10_reg[12]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_654 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_10[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_10_reg[13]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_652 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_10[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_10_reg[14]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_651 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_10[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_10_reg[15]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_650 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_10[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_10_reg[16]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_649 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_10[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_10_reg[17]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_648 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_10[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_10_reg[18]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_647 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_10[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_10_reg[19]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_569 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_10[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_10_reg[20]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_646 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_10[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_10_reg[21]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_645 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_10[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_10_reg[22]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_644 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_10[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_10_reg[23]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_642 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_10[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_10_reg[24]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_641 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_10[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_10_reg[25]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_640 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_10[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_10_reg[26]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_639 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_10[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_10_reg[27]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_638 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_10[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_10_reg[28]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_636 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_10[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_10_reg[29]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_643 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_10[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_10_reg[30]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_637 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_10[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_10_reg[31]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_635 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_10[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_11_reg[0]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_634 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_11[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_11_reg[1]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_633 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_11[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_11_reg[2]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_632 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_11[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_11_reg[3]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_718 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_11[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_11_reg[4]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_715 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_11[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_11_reg[5]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_631 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_11[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_11_reg[6]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_630 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_11[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_11_reg[7]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_747 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_11[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_11_reg[8]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_629 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_11[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_11_reg[9]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_760 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_11[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_11_reg[10]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_628 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_11[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_11_reg[11]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_627 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_11[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_11_reg[12]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_625 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_11[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_11_reg[13]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_624 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_11[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_11_reg[14]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_626 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_11[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_11_reg[15]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_622 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_11[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_11_reg[16]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_621 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_11[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_11_reg[17]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_620 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_11[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_11_reg[18]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_619 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_11[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_11_reg[19]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_618 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_11[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_11_reg[20]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_617 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_11[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_11_reg[21]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_616 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_11[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_11_reg[22]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_615 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_11[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_11_reg[23]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_614 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_11[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_11_reg[24]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_613 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_11[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_11_reg[25]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_612 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_11[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_11_reg[26]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_611 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_11[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_11_reg[27]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_610 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_11[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_11_reg[28]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_605 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_11[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_11_reg[29]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_609 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_11[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_11_reg[30]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_606 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_11[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_11_reg[31]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_604 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_11[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_12_reg[0]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_602 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_12[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_12_reg[1]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_601 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_12[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_12_reg[2]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_600 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_12[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_12_reg[3]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_599 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_12[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_12_reg[4]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_598 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_12[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_12_reg[5]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_596 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_12[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_12_reg[6]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_594 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_12[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_12_reg[7]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_593 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_12[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_12_reg[8]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_592 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_12[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_12_reg[9]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_591 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_12[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_12_reg[10]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_588 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_12[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_12_reg[11]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_583 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_12[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_12_reg[12]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_586 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_12[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_12_reg[13]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_585 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_12[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_12_reg[14]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_584 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_12[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_12_reg[15]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_581 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_12[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_12_reg[16]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_580 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_12[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_12_reg[17]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_579 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_12[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_12_reg[18]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_577 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_12[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_12_reg[19]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_576 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_12[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_12_reg[20]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_575 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_12[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_12_reg[21]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_574 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_12[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_12_reg[22]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_1104 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_12[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_12_reg[23]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_572 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_12[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_12_reg[24]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_571 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_12[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_12_reg[25]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_570 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_12[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_12_reg[26]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_568 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_12[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_12_reg[27]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_565 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_12[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_12_reg[28]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_567 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_12[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_12_reg[29]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_566 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_12[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_12_reg[30]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_564 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_12[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_12_reg[31]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_563 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_12[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_13_reg[0]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_562 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_13[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_13_reg[1]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_561 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_13[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_13_reg[2]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_560 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_13[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_13_reg[3]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_559 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_13[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_13_reg[4]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_558 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_13[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_13_reg[5]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_557 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_13[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_13_reg[6]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_556 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_13[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_13_reg[7]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_555 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_13[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_13_reg[8]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_554 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_13[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_13_reg[9]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_553 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_13[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_13_reg[10]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_551 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_13[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_13_reg[11]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_549 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_13[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_13_reg[12]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_547 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_13[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_13_reg[13]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_550 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_13[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_13_reg[14]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_546 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_13[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_13_reg[15]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_545 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_13[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_13_reg[16]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_544 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_13[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_13_reg[17]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_543 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_13[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_13_reg[18]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_541 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_13[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_13_reg[19]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_540 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_13[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_13_reg[20]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_539 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_13[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_13_reg[21]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_537 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_13[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_13_reg[22]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_536 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_13[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_13_reg[23]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_534 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_13[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_13_reg[24]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_533 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_13[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_13_reg[25]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_532 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_13[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_13_reg[26]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_531 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_13[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_13_reg[27]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_528 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_13[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_13_reg[28]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_530 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_13[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_13_reg[29]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_529 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_13[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_13_reg[30]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_527 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_13[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_13_reg[31]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_526 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_13[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_14_reg[0]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_524 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_14[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_14_reg[1]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_522 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_14[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_14_reg[2]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_521 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_14[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_14_reg[3]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_520 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_14[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_14_reg[4]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_519 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_14[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_14_reg[5]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_518 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_14[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_14_reg[6]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_517 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_14[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_14_reg[7]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_516 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_14[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_14_reg[8]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_515 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_14[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_14_reg[9]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_514 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_14[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_14_reg[10]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_512 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_14[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_14_reg[11]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_510 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_14[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_14_reg[12]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_509 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_14[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_14_reg[13]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_508 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_14[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_14_reg[14]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_506 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_14[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_14_reg[15]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_505 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_14[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_14_reg[16]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_504 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_14[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_14_reg[17]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_503 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_14[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_14_reg[18]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_502 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_14[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_14_reg[19]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_500 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_14[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_14_reg[20]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_499 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_14[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_14_reg[21]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_497 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_14[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_14_reg[22]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_494 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_14[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_14_reg[23]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_492 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_14[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_14_reg[24]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_491 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_14[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_14_reg[25]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_489 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_14[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_14_reg[26]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_484 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_14[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_14_reg[27]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_487 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_14[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_14_reg[28]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_485 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_14[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_14_reg[29]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_483 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_14[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_14_reg[30]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_482 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_14[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_14_reg[31]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_481 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_14[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_15_reg[0]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_477 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_15[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_15_reg[1]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_475 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_15[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_15_reg[2]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_472 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_15[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_15_reg[3]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_471 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_15[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_15_reg[4]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_470 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_15[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_15_reg[5]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_469 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_15[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_15_reg[6]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_466 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_15[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_15_reg[7]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_465 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_15[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_15_reg[8]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_464 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_15[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_15_reg[9]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_463 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_15[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_15_reg[10]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_460 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_15[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_15_reg[11]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_459 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_15[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_15_reg[12]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_461 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_15[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_15_reg[13]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_457 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_15[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_15_reg[14]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_454 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_15[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_15_reg[15]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_453 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_15[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_15_reg[16]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_452 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_15[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_15_reg[17]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_451 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_15[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_15_reg[18]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_449 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_15[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_15_reg[19]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_447 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_15[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_15_reg[20]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_446 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_15[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_15_reg[21]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_444 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_15[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_15_reg[22]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_441 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_15[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_15_reg[23]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_440 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_15[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_15_reg[24]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_439 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_15[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_15_reg[25]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_438 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_15[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_15_reg[26]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_431 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_15[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_15_reg[27]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_437 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_15[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_15_reg[28]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_434 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_15[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_15_reg[29]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_430 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_15[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_15_reg[30]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_428 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_15[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_15_reg[31]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_427 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_15[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_16_reg[0]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_426 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_16[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_16_reg[1]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_424 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_16[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_16_reg[2]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_423 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_16[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_16_reg[3]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_422 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_16[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_16_reg[4]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_420 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_16[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_16_reg[5]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_418 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_16[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_16_reg[6]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_417 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_16[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_16_reg[7]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_416 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_16[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_16_reg[8]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_415 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_16[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_16_reg[9]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_1326 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_16[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_16_reg[10]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_1325 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_16[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_16_reg[11]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_1327 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_16[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_16_reg[12]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_1324 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_16[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_16_reg[13]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_1323 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_16[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_16_reg[14]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_1322 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_16[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_16_reg[15]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_1321 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_16[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_16_reg[16]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_1318 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_16[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_16_reg[17]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_1317 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_16[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_16_reg[18]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_1315 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_16[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_16_reg[19]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_1314 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_16[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_16_reg[20]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_1313 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_16[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_16_reg[21]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_1312 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_16[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_16_reg[22]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_1311 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_16[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_16_reg[23]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_1310 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_16[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_16_reg[24]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_1308 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_16[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_16_reg[25]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_1305 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_16[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_16_reg[26]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_1307 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_16[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_16_reg[27]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_1306 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_16[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_16_reg[28]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_1304 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_16[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_16_reg[29]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_1302 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_16[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_16_reg[30]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_1301 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_16[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_16_reg[31]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_1300 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_16[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_17_reg[0]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1297 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_17[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_17_reg[1]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1295 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_17[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_17_reg[2]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1294 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_17[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_17_reg[3]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_1293 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_17[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_17_reg[4]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1292 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_17[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_17_reg[5]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1291 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_17[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_17_reg[6]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_1290 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_17[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_17_reg[7]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1289 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_17[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_17_reg[8]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_1287 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_17[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_17_reg[9]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1285 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_17[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_17_reg[10]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1284 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_17[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_17_reg[11]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1283 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_17[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_17_reg[12]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1282 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_17[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_17_reg[13]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1281 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_17[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_17_reg[14]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_1278 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_17[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_17_reg[15]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1276 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_17[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_17_reg[16]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1274 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_17[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_17_reg[17]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1273 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_17[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_17_reg[18]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1271 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_17[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_17_reg[19]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1269 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_17[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_17_reg[20]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1267 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_17[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_17_reg[21]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1265 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_17[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_17_reg[22]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_1263 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_17[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_17_reg[23]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_623 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_17[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_17_reg[24]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1261 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_17[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_17_reg[25]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_833 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_17[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_17_reg[26]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1259 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_17[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_17_reg[27]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_837 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_17[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_17_reg[28]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_842 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_17[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_17_reg[29]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1256 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_17[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_17_reg[30]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1255 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_17[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_17_reg[31]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1254 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_17[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_18_reg[0]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_1252 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_18[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_18_reg[1]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_1251 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_18[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_18_reg[2]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_1248 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_18[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_18_reg[3]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_1247 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_18[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_18_reg[4]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_1243 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_18[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_18_reg[5]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_1241 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_18[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_18_reg[6]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_1240 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_18[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_18_reg[7]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_1239 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_18[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_18_reg[8]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_1238 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_18[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_18_reg[9]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_673 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_18[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_18_reg[10]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_679 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_18[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_18_reg[11]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_686 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_18[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_18_reg[12]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_1236 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_18[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_18_reg[13]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_1035 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_18[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_18_reg[14]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_1046 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_18[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_18_reg[15]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_1234 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_18[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_18_reg[16]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_1232 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_18[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_18_reg[17]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_1231 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_18[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_18_reg[18]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_1160 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_18[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_18_reg[19]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_1154 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_18[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_18_reg[20]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_1230 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_18[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_18_reg[21]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_1180 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_18[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_18_reg[22]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_1229 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_18[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_18_reg[23]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_1228 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_18[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_18_reg[24]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_1227 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_18[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_18_reg[25]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_1226 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_18[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_18_reg[26]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_507 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_18[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_18_reg[27]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_1224 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_18[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_18_reg[28]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_456 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_18[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_18_reg[29]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_473 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_18[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_18_reg[30]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_480 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_18[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_18_reg[31]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_496 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_18[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_19_reg[0]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1221 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_19[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_19_reg[1]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_525 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_19[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_19_reg[2]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_573 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_19[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_19_reg[3]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_582 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_19[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_19_reg[4]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1218 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_19[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_19_reg[5]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1217 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_19[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_19_reg[6]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_590 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_19[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_19_reg[7]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1147 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_19[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_19_reg[8]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_1215 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_19[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_19_reg[9]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_1214 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_19[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_19_reg[10]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_1213 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_19[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_19_reg[11]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_1275 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_19[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_19_reg[12]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1299 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_19[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_19_reg[13]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1309 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_19[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_19_reg[14]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_1211 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_19[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_19_reg[15]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1210 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_19[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_19_reg[16]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1209 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_19[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_19_reg[17]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_670 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_19[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_19_reg[18]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_663 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_19[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_19_reg[19]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_432 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_19[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_19_reg[20]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1207 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_19[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_19_reg[21]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_513 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_19[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_19_reg[22]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_523 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_19[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_19_reg[23]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1205 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_19[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_19_reg[24]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1204 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_19[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_19_reg[25]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_1202 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_19[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_19_reg[26]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1200 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_19[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_19_reg[27]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1198 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_19[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_19_reg[28]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_1196 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_19[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_19_reg[29]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1195 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_19[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_19_reg[30]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_1193 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_19[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_19_reg[31]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1175 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_19[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_20_reg[0]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_1177 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_20[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_20_reg[1]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_495 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_20[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_20_reg[2]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_1191 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_20[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_20_reg[3]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_1189 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_20[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_20_reg[4]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_1188 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_20[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_20_reg[5]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_1187 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_20[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_20_reg[6]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_603 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_20[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_20_reg[7]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_1184 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_20[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_20_reg[8]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_429 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_20[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_20_reg[9]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_1182 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_20[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_20_reg[10]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_1250 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_20[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_20_reg[11]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_1181 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_20[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_20_reg[12]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_462 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_20[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_20_reg[13]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_419 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_20[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_20_reg[14]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_421 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_20[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_20_reg[15]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_1176 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_20[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_20_reg[16]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_448 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_20[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_20_reg[17]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_450 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_20[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_20_reg[18]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_458 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_20[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_20_reg[19]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_468 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_20[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_20_reg[20]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_479 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_20[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_20_reg[21]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_488 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_20[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_20_reg[22]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_490 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_20[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_20_reg[23]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_1171 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_20[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_20_reg[24]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_1280 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_20[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_20_reg[25]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_1167 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_20[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_20_reg[26]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_1166 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_20[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_20_reg[27]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_1298 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_20[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_20_reg[28]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_1164 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_20[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_20_reg[29]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_1163 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_20[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_20_reg[30]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_1316 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_20[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_20_reg[31]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_1161 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_20[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_21_reg[0]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1159 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_21[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_21_reg[1]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1157 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_21[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_21_reg[2]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1156 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_21[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_21_reg[3]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_436 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_21[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_21_reg[4]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1153 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_21[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_21_reg[5]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1152 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_21[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_21_reg[6]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_486 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_21[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_21_reg[7]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1150 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_21[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_21_reg[8]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_1149 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_21[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_21_reg[9]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1148 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_21[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_21_reg[10]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1146 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_21[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_21_reg[11]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1144 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_21[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_21_reg[12]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1142 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_21[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_21_reg[13]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1140 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_21[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_21_reg[14]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_1139 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_21[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_21_reg[15]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1138 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_21[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_21_reg[16]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_1137 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_21[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_21_reg[17]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1134 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_21[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_21_reg[18]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1133 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_21[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_21_reg[19]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1131 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_21[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_21_reg[20]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1130 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_21[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_21_reg[21]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1129 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_21[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_21_reg[22]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1128 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_21[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_21_reg[23]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1127 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_21[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_21_reg[24]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1125 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_21[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_21_reg[25]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_1123 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_21[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_21_reg[26]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1246 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_21[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_21_reg[27]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1121 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_21[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_21_reg[28]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1120 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_21[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_21_reg[29]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1119 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_21[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_21_reg[30]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1117 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_21[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_21_reg[31]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1116 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_21[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_22_reg[0]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_1115 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_22[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_22_reg[1]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_1113 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_22[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_22_reg[2]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_1162 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_22[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_22_reg[3]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_501 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_22[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_22_reg[4]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_1111 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_22[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_22_reg[5]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_1199 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_22[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_22_reg[6]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_1109 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_22[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_22_reg[7]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_1136 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_22[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_22_reg[8]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_1108 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_22[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_22_reg[9]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_1107 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_22[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_22_reg[10]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_1105 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_22[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_22_reg[11]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_443 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_22[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_22_reg[12]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_1242 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_22[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_22_reg[13]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_1102 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_22[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_22_reg[14]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_1101 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_22[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_22_reg[15]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_1100 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_22[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_22_reg[16]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_552 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_22[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_22_reg[17]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_1098 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_22[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_22_reg[18]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_587 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_22[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_22_reg[19]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_1096 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_22[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_22_reg[20]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_1095 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_22[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_22_reg[21]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_1094 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_22[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_22_reg[22]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_1092 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_22[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_22_reg[23]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_1090 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_22[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_22_reg[24]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_1088 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_22[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_22_reg[25]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_1087 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_22[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_22_reg[26]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_538 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_22[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_22_reg[27]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_595 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_22[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_22_reg[28]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_597 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_22[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_22_reg[29]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_1085 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_22[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_22_reg[30]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_1084 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_22[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_22_reg[31]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_1083 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_22[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_23_reg[0]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1082 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_23[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_23_reg[1]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1078 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_23[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_23_reg[2]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1077 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_23[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_23_reg[3]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_1075 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_23[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_23_reg[4]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1074 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_23[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_23_reg[5]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1072 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_23[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_23_reg[6]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_1070 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_23[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_23_reg[7]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1068 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_23[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_23_reg[8]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_1067 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_23[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_23_reg[9]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_1066 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_23[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_23_reg[10]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1065 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_23[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_23_reg[11]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1064 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_23[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_23_reg[12]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1062 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_23[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_23_reg[13]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1057 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_23[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_23_reg[14]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1056 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_23[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_23_reg[15]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1055 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_23[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_23_reg[16]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_1053 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_23[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_23_reg[17]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1051 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_23[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_23_reg[18]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1050 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_23[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_23_reg[19]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1049 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_23[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_23_reg[20]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1037 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_23[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_23_reg[21]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1045 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_23[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_23_reg[22]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_1059 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_23[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_23_reg[23]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1042 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_23[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_23_reg[24]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1041 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_23[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_23_reg[25]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_1040 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_23[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_23_reg[26]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1061 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_23[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_23_reg[27]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1038 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_23[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_23_reg[28]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_1036 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_23[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_23_reg[29]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1048 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_23[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_23_reg[30]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1069 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_23[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_23_reg[31]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1032 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_23[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_24_reg[0]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_1031 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_24[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_24_reg[1]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_1029 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_24[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_24_reg[2]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_1028 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_24[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_24_reg[3]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_1027 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_24[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_24_reg[4]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_1026 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_24[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_24_reg[5]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_1081 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_24[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_24_reg[6]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_1023 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_24[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_24_reg[7]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_425 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_24[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_24_reg[8]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_1022 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_24[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_24_reg[9]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_476 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_24[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_24_reg[10]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_1021 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_24[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_24_reg[11]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_1020 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_24[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_24_reg[12]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_1019 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_24[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_24_reg[13]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_1058 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_24[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_24_reg[14]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_1018 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_24[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_24_reg[15]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_1017 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_24[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_24_reg[16]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_1016 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_24[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_24_reg[17]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_1013 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_24[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_24_reg[18]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_1012 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_24[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_24_reg[19]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_1011 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_24[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_24_reg[20]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_1010 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_24[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_24_reg[21]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_1008 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_24[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_24_reg[22]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_1006 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_24[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_24_reg[23]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_1004 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_24[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_24_reg[24]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_1003 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_24[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_24_reg[25]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_1001 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_24[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_24_reg[26]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_1000 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_24[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_24_reg[27]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_999 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_24[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_24_reg[28]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_998 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_24[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_24_reg[29]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_996 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_24[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_24_reg[30]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_995 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_24[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_24_reg[31]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_993 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_24[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_25_reg[0]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1703 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_25[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_25_reg[1]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1706 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_25[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_25_reg[2]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1705 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_25[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_25_reg[3]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_1704 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_25[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_25_reg[4]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1702 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_25[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_25_reg[5]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1700 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_25[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_25_reg[6]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_1701 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_25[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_25_reg[7]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1699 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_25[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_25_reg[8]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_1698 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_25[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_25_reg[9]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1697 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_25[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_25_reg[10]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1696 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_25[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_25_reg[11]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1695 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_25[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_25_reg[12]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1694 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_25[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_25_reg[13]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1693 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_25[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_25_reg[14]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_1692 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_25[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_25_reg[15]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1691 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_25[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_25_reg[16]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_1690 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_25[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_25_reg[17]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1689 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_25[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_25_reg[18]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1688 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_25[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_25_reg[19]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1687 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_25[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_25_reg[20]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1684 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_25[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_25_reg[21]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1686 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_25[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_25_reg[22]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1685 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_25[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_25_reg[23]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1683 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_25[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_25_reg[24]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1682 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_25[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_25_reg[25]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_1681 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_25[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_25_reg[26]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1680 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_25[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_25_reg[27]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_1679 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_25[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_25_reg[28]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1678 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_25[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_25_reg[29]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1677 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_25[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_25_reg[30]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1676 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_25[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_25_reg[31]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1675 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_25[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_26_reg[0]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_975 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_26[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_26_reg[1]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_973 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_26[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_26_reg[2]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_972 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_26[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_26_reg[3]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_970 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_26[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_26_reg[4]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_969 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_26[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_26_reg[5]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_968 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_26[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_26_reg[6]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_967 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_26[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_26_reg[7]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_966 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_26[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_26_reg[8]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_964 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_26[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_26_reg[9]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_962 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_26[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_26_reg[10]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_960 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_26[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_26_reg[11]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_958 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_26[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_26_reg[12]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_957 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_26[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_26_reg[13]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_956 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_26[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_26_reg[14]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_954 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_26[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_26_reg[15]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_952 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_26[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_26_reg[16]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_950 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_26[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_26_reg[17]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_949 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_26[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_26_reg[18]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_948 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_26[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_26_reg[19]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_946 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_26[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_26_reg[20]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_945 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_26[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_26_reg[21]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_943 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_26[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_26_reg[22]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_941 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_26[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_26_reg[23]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_940 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_26[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_26_reg[24]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_938 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_26[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_26_reg[25]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_937 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_26[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_26_reg[26]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_936 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_26[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_26_reg[27]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_934 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_26[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_26_reg[28]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_933 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_26[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_26_reg[29]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_932 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_26[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_26_reg[30]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_930 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_26[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_26_reg[31]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_928 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_26[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_27_reg[0]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_926 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_27[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_27_reg[1]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_925 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_27[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_27_reg[2]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_924 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_27[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_27_reg[3]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_922 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_27[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_27_reg[4]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1361 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_27[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_27_reg[5]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1364 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_27[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_27_reg[6]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_1365 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_27[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_27_reg[7]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1370 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_27[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_27_reg[8]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_1371 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_27[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_27_reg[9]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1373 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_27[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_27_reg[10]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1374 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_27[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_27_reg[11]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1377 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_27[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_27_reg[12]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1378 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_27[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_27_reg[13]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1411 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_27[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_27_reg[14]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_1412 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_27[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_27_reg[15]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_919 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_27[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_27_reg[16]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_918 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_27[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_27_reg[17]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_917 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_27[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_27_reg[18]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_916 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_27[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_27_reg[19]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_914 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_27[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_27_reg[20]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_912 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_27[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_27_reg[21]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_910 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_27[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_27_reg[22]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_909 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_27[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_27_reg[23]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_907 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_27[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_27_reg[24]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_906 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_27[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_27_reg[25]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_905 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_27[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_27_reg[26]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_904 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_27[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_27_reg[27]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_902 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_27[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_27_reg[28]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_901 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_27[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_27_reg[29]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_899 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_27[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_27_reg[30]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_898 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_27[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_27_reg[31]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_895 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_27[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_28_reg[0]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_894 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_28[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_28_reg[1]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_893 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_28[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_28_reg[2]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_891 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_28[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_28_reg[3]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_889 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_28[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_28_reg[4]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_888 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_28[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_28_reg[5]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_887 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_28[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_28_reg[6]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_886 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_28[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_28_reg[7]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_885 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_28[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_28_reg[8]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_883 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_28[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_28_reg[9]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_881 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_28[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_28_reg[10]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_879 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_28[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_28_reg[11]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_878 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_28[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_28_reg[12]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_877 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_28[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_28_reg[13]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_875 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_28[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_28_reg[14]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_873 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_28[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_28_reg[15]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_871 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_28[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_28_reg[16]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_870 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_28[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_28_reg[17]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_869 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_28[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_28_reg[18]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_867 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_28[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_28_reg[19]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_866 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_28[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_28_reg[20]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_864 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_28[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_28_reg[21]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_862 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_28[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_28_reg[22]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_861 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_28[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_28_reg[23]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_859 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_28[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_28_reg[24]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_858 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_28[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_28_reg[25]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_857 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_28[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_28_reg[26]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_855 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_28[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_28_reg[27]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_854 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_28[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_28_reg[28]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_853 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_28[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_28_reg[29]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_851 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_28[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_28_reg[30]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_849 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_28[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_28_reg[31]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_847 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_28[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_29_reg[0]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1673 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_29[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_29_reg[1]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1674 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_29[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_29_reg[2]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1672 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_29[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_29_reg[3]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_1670 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_29[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_29_reg[4]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1671 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_29[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_29_reg[5]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1669 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_29[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_29_reg[6]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1668 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_29[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_29_reg[7]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1667 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_29[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_29_reg[8]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_1666 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_29[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_29_reg[9]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_1665 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_29[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_29_reg[10]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_1759 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_29[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_29_reg[11]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_1663 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_29[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_29_reg[12]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1662 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_29[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_29_reg[13]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1661 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_29[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_29_reg[14]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_1660 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_29[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_29_reg[15]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1659 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_29[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_29_reg[16]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_1658 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_29[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_29_reg[17]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_1657 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_29[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_29_reg[18]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_1654 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_29[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_29_reg[19]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1656 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_29[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_29_reg[20]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1655 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_29[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_29_reg[21]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1653 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_29[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_29_reg[22]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_1652 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_29[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_29_reg[23]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_1651 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_29[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_29_reg[24]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1650 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_29[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_29_reg[25]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_1649 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_29[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_29_reg[26]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1648 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_29[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_29_reg[27]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1647 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_29[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_29_reg[28]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_1646 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_29[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_29_reg[29]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_1645 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_29[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_29_reg[30]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_1644 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_29[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_29_reg[31]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_1643 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_29[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_30_reg[0]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_827 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_30[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_30_reg[1]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_825 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_30[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_30_reg[2]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_824 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_30[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_30_reg[3]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_823 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_30[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_30_reg[4]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_822 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_30[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_30_reg[5]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_820 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_30[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_30_reg[6]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_818 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_30[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_30_reg[7]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_816 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_30[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_30_reg[8]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_815 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_30[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_30_reg[9]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_813 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_30[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_30_reg[10]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_812 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_30[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_30_reg[11]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_810 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_30[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_30_reg[12]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_809 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_30[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_30_reg[13]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_806 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_30[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_30_reg[14]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_805 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_30[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_30_reg[15]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_804 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_30[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_30_reg[16]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_803 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_30[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_30_reg[17]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_801 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_30[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_30_reg[18]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_799 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_30[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_30_reg[19]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_797 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_30[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_30_reg[20]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_796 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_30[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_30_reg[21]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_794 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_30[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_30_reg[22]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_793 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_30[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_30_reg[23]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_792 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_30[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_30_reg[24]  (
+	.CLK(\soc_top/CTS_7 ),
+	.D(\soc_top/n_791 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_30[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_30_reg[25]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_789 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_30[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_30_reg[26]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_788 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_30[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_30_reg[27]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_786 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_30[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_30_reg[28]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_785 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_30[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_30_reg[29]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_782 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_30[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_30_reg[30]  (
+	.CLK(\soc_top/CTS_13 ),
+	.D(\soc_top/n_781 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_30[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_30_reg[31]  (
+	.CLK(\soc_top/CTS_4 ),
+	.D(\soc_top/n_780 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_30[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_31_reg[0]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_778 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_31[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_31_reg[1]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_777 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_31[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_31_reg[2]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_775 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_31[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_31_reg[3]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_774 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_31[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_31_reg[4]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_773 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_31[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_31_reg[5]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_771 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_31[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_31_reg[6]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_770 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_31[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_31_reg[7]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_768 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_31[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_31_reg[8]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_767 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_31[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_31_reg[9]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_766 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_31[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_31_reg[10]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_765 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_31[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_31_reg[11]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_763 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_31[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_31_reg[12]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_761 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_31[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_31_reg[13]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_758 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_31[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_31_reg[14]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_757 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_31[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_31_reg[15]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_756 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_31[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_31_reg[16]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_754 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_31[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_31_reg[17]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_753 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_31[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_31_reg[18]  (
+	.CLK(\soc_top/CTS_23 ),
+	.D(\soc_top/n_751 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_31[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_31_reg[19]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_749 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_31[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_31_reg[20]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_748 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_31[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_31_reg[21]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_745 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_31[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_31_reg[22]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_744 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_31[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_31_reg[23]  (
+	.CLK(\soc_top/CTS_14 ),
+	.D(\soc_top/n_743 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_31[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_31_reg[24]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_741 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_31[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_31_reg[25]  (
+	.CLK(\soc_top/CTS_22 ),
+	.D(\soc_top/n_740 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_31[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_31_reg[26]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_739 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_31[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_31_reg[27]  (
+	.CLK(\soc_top/CTS_16 ),
+	.D(\soc_top/n_737 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_31[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_31_reg[28]  (
+	.CLK(\soc_top/CTS_1 ),
+	.D(\soc_top/n_735 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_31[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_31_reg[29]  (
+	.CLK(\soc_top/CTS_2 ),
+	.D(\soc_top/n_733 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_31[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_31_reg[30]  (
+	.CLK(\soc_top/CTS_3 ),
+	.D(\soc_top/n_732 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_31[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_InstructionDecode_registers_reg_31_reg[31]  (
+	.CLK(\soc_top/CTS_15 ),
+	.D(\soc_top/n_731 ),
+	.Q(\soc_top/core_InstructionDecode_registers_reg_31[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_MEM_funct3_reg[0]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_2679 ),
+	.Q(\soc_top/core_MEM_funct3[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_MEM_funct3_reg[1]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_2681 ),
+	.Q(\soc_top/core_MEM_funct3[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_MEM_funct3_reg[2]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_2680 ),
+	.Q(\soc_top/core_MEM_funct3[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_MEM_offset_reg[0]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_2678 ),
+	.Q(\soc_top/core_MEM_offset[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_2 \soc_top/core_MEM_offset_reg[1]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_2677 ),
+	.Q(\soc_top/core_MEM_offset[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_ctl_memRead_reg  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_1768 ),
+	.Q(\soc_top/core_ex_reg_ctl_memRead ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_ctl_memToReg_reg[1]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_1769 ),
+	.Q(\soc_top/core_ex_reg_ctl_memToReg[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_ctl_memWrite_reg  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_1823 ),
+	.Q(\soc_top/core_io_dmemReq_bits_isWrite ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_ctl_regWrite_reg  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_1770 ),
+	.Q(\soc_top/core_ex_reg_ctl_regWrite ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_result_reg[0]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_207 ),
+	.Q(\soc_top/core_io_dmemReq_bits_addrRequest[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_result_reg[1]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_146 ),
+	.Q(\soc_top/core_io_dmemReq_bits_addrRequest[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_result_reg[2]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_6433 ),
+	.Q(\soc_top/core_io_dmemReq_bits_addrRequest[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_result_reg[3]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_220 ),
+	.Q(\soc_top/core_io_dmemReq_bits_addrRequest[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_result_reg[4]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_149 ),
+	.Q(\soc_top/core_io_dmemReq_bits_addrRequest[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_result_reg[5]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_6434 ),
+	.Q(\soc_top/core_io_dmemReq_bits_addrRequest[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_result_reg[6]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_154 ),
+	.Q(\soc_top/core_io_dmemReq_bits_addrRequest[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_result_reg[7]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_148 ),
+	.Q(\soc_top/core_io_dmemReq_bits_addrRequest[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_result_reg[8]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_223 ),
+	.Q(\soc_top/core_io_dmemReq_bits_addrRequest[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_result_reg[9]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_133 ),
+	.Q(\soc_top/core_io_dmemReq_bits_addrRequest[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_result_reg[10]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_224 ),
+	.Q(\soc_top/core_io_dmemReq_bits_addrRequest[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_result_reg[11]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_108 ),
+	.Q(\soc_top/core_io_dmemReq_bits_addrRequest[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_result_reg[12]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_111 ),
+	.Q(\soc_top/core_io_dmemReq_bits_addrRequest[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_result_reg[13]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_112 ),
+	.Q(\soc_top/core_io_dmemReq_bits_addrRequest[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_result_reg[14]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_119 ),
+	.Q(\soc_top/core_io_dmemReq_bits_addrRequest[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_result_reg[15]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_144 ),
+	.Q(\soc_top/core_io_dmemReq_bits_addrRequest[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_result_reg[16]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_226 ),
+	.Q(\soc_top/core_io_dmemReq_bits_addrRequest[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_result_reg[17]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_125 ),
+	.Q(\soc_top/core_io_dmemReq_bits_addrRequest[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_result_reg[18]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_227 ),
+	.Q(\soc_top/core_io_dmemReq_bits_addrRequest[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_result_reg[19]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_228 ),
+	.Q(\soc_top/core_io_dmemReq_bits_addrRequest[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_result_reg[20]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_105 ),
+	.Q(\soc_top/core_io_dmemReq_bits_addrRequest[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_result_reg[21]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_106 ),
+	.Q(\soc_top/core_io_dmemReq_bits_addrRequest[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_result_reg[22]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_109 ),
+	.Q(\soc_top/core_io_dmemReq_bits_addrRequest[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_result_reg[23]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_110 ),
+	.Q(\soc_top/core_io_dmemReq_bits_addrRequest[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_result_reg[24]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_229 ),
+	.Q(\soc_top/core_io_dmemReq_bits_addrRequest[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_result_reg[25]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_114 ),
+	.Q(\soc_top/core_io_dmemReq_bits_addrRequest[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_result_reg[26]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_232 ),
+	.Q(\soc_top/core_io_dmemReq_bits_addrRequest[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_result_reg[27]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_234 ),
+	.Q(\soc_top/core_io_dmemReq_bits_addrRequest[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_result_reg[28]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_164 ),
+	.Q(\soc_top/core_io_dmemReq_bits_addrRequest[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_result_reg[29]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_156 ),
+	.Q(\soc_top/core_io_dmemReq_bits_addrRequest[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_result_reg[30]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_157 ),
+	.Q(\soc_top/core_io_dmemReq_bits_addrRequest[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_result_reg[31]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_113 ),
+	.Q(\soc_top/core_io_dmemReq_bits_addrRequest[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wd_reg[2]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_115 ),
+	.Q(\soc_top/core_ex_reg_wd[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wd_reg[5]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_6429 ),
+	.Q(\soc_top/core_ex_reg_wd[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wd_reg[6]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_6428 ),
+	.Q(\soc_top/core_ex_reg_wd[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wd_reg[8]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_6425 ),
+	.Q(\soc_top/core_ex_reg_wd[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wd_reg[10]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_118 ),
+	.Q(\soc_top/core_ex_reg_wd[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wd_reg[14]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_165 ),
+	.Q(\soc_top/core_ex_reg_wd[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wra_reg[0]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_1642 ),
+	.Q(\soc_top/core_ex_reg_wra[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wra_reg[1]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_1640 ),
+	.Q(\soc_top/core_ex_reg_wra[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wra_reg[2]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_1641 ),
+	.Q(\soc_top/core_ex_reg_wra[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wra_reg[3]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_1639 ),
+	.Q(\soc_top/core_ex_reg_wra[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_ex_reg_wra_reg[4]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_1638 ),
+	.Q(\soc_top/core_ex_reg_wra[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_ctl_aluOp_reg[1]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_121 ),
+	.Q(\soc_top/core_id_reg_ctl_aluOp[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_f3_reg[0]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_120 ),
+	.Q(\soc_top/core_id_reg_f3[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_f3_reg[1]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_126 ),
+	.Q(\soc_top/core_id_reg_f3[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_f3_reg[2]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_222 ),
+	.Q(\soc_top/core_id_reg_f3[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_f7_reg  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_225 ),
+	.Q(\soc_top/core_id_reg_f7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_imm_reg[8]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_127 ),
+	.Q(\soc_top/core_id_reg_imm[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_ins_reg[7]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_163 ),
+	.Q(\soc_top/core_id_reg_ins[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_ins_reg[8]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_130 ),
+	.Q(\soc_top/core_id_reg_ins[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_ins_reg[9]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_162 ),
+	.Q(\soc_top/core_id_reg_ins[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_ins_reg[10]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_128 ),
+	.Q(\soc_top/core_id_reg_ins[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_ins_reg[11]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_129 ),
+	.Q(\soc_top/core_id_reg_ins[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd1_reg[2]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_161 ),
+	.Q(\soc_top/core_id_reg_rd1[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd1_reg[4]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_132 ),
+	.Q(\soc_top/core_id_reg_rd1[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd2_reg[5]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_131 ),
+	.Q(\soc_top/core_id_reg_rd2[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd2_reg[6]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_160 ),
+	.Q(\soc_top/core_id_reg_rd2[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd2_reg[12]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_137 ),
+	.Q(\soc_top/core_id_reg_rd2[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd2_reg[13]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_135 ),
+	.Q(\soc_top/core_id_reg_rd2[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd2_reg[14]  (
+	.CLK(\soc_top/CTS_9 ),
+	.D(\soc_top/n_134 ),
+	.Q(\soc_top/core_id_reg_rd2[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd2_reg[16]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_6408 ),
+	.Q(\soc_top/core_id_reg_rd2[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd2_reg[18]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_6407 ),
+	.Q(\soc_top/core_id_reg_rd2[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd2_reg[20]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_6405 ),
+	.Q(\soc_top/core_id_reg_rd2[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd2_reg[21]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_138 ),
+	.Q(\soc_top/core_id_reg_rd2[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd2_reg[22]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_158 ),
+	.Q(\soc_top/core_id_reg_rd2[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd2_reg[23]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_6403 ),
+	.Q(\soc_top/core_id_reg_rd2[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_id_reg_rd2_reg[24]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_6402 ),
+	.Q(\soc_top/core_id_reg_rd2[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_ins_reg[0]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2107 ),
+	.Q(\soc_top/core_if_reg_ins[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_ins_reg[1]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2106 ),
+	.Q(\soc_top/core_if_reg_ins[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_ins_reg[2]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2105 ),
+	.Q(\soc_top/core_if_reg_ins[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_ins_reg[3]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2099 ),
+	.Q(\soc_top/core_if_reg_ins[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_ins_reg[4]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2104 ),
+	.Q(\soc_top/core_if_reg_ins[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_ins_reg[5]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2103 ),
+	.Q(\soc_top/core_if_reg_ins[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_ins_reg[6]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2102 ),
+	.Q(\soc_top/core_if_reg_ins[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_ins_reg[7]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2101 ),
+	.Q(\soc_top/core_if_reg_ins[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_ins_reg[8]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2100 ),
+	.Q(\soc_top/core_if_reg_ins[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_ins_reg[9]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_2098 ),
+	.Q(\soc_top/core_if_reg_ins[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_ins_reg[10]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2096 ),
+	.Q(\soc_top/core_if_reg_ins[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_ins_reg[11]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2097 ),
+	.Q(\soc_top/core_if_reg_ins[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_ins_reg[12]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2095 ),
+	.Q(\soc_top/core_if_reg_ins[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_ins_reg[13]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2094 ),
+	.Q(\soc_top/core_if_reg_ins[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_ins_reg[14]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2093 ),
+	.Q(\soc_top/core_if_reg_ins[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_ins_reg[15]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2092 ),
+	.Q(\soc_top/core_if_reg_ins[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_ins_reg[16]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_2090 ),
+	.Q(\soc_top/core_if_reg_ins[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_ins_reg[17]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2091 ),
+	.Q(\soc_top/core_if_reg_ins[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_ins_reg[18]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2089 ),
+	.Q(\soc_top/core_if_reg_ins[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_ins_reg[19]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2088 ),
+	.Q(\soc_top/core_if_reg_ins[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_ins_reg[20]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2087 ),
+	.Q(\soc_top/core_if_reg_ins[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_ins_reg[21]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_2086 ),
+	.Q(\soc_top/core_if_reg_ins[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_ins_reg[22]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_2083 ),
+	.Q(\soc_top/core_if_reg_ins[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_ins_reg[23]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_2085 ),
+	.Q(\soc_top/core_if_reg_ins[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_ins_reg[24]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_2084 ),
+	.Q(\soc_top/core_if_reg_ins[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_ins_reg[25]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_2082 ),
+	.Q(\soc_top/core_if_reg_ins[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_ins_reg[26]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2081 ),
+	.Q(\soc_top/core_if_reg_ins[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_ins_reg[27]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2080 ),
+	.Q(\soc_top/core_if_reg_ins[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_ins_reg[28]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2079 ),
+	.Q(\soc_top/core_if_reg_ins[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_ins_reg[29]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2078 ),
+	.Q(\soc_top/core_if_reg_ins[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_ins_reg[30]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2077 ),
+	.Q(\soc_top/core_if_reg_ins[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_ins_reg[31]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2076 ),
+	.Q(\soc_top/core_if_reg_ins[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_pc_reg[0]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_1902 ),
+	.Q(\soc_top/core_if_reg_pc[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_pc_reg[1]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_1901 ),
+	.Q(\soc_top/core_if_reg_pc[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_pc_reg[2]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_1900 ),
+	.Q(\soc_top/core_if_reg_pc[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_pc_reg[3]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_1899 ),
+	.Q(\soc_top/core_if_reg_pc[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_pc_reg[4]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_1898 ),
+	.Q(\soc_top/core_if_reg_pc[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_pc_reg[5]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_1897 ),
+	.Q(\soc_top/core_if_reg_pc[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_pc_reg[6]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_1896 ),
+	.Q(\soc_top/core_if_reg_pc[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_pc_reg[7]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_1895 ),
+	.Q(\soc_top/core_if_reg_pc[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_pc_reg[8]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_1894 ),
+	.Q(\soc_top/core_if_reg_pc[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_pc_reg[9]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_1891 ),
+	.Q(\soc_top/core_if_reg_pc[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_pc_reg[10]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_1893 ),
+	.Q(\soc_top/core_if_reg_pc[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_pc_reg[11]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_1892 ),
+	.Q(\soc_top/core_if_reg_pc[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_pc_reg[12]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_1890 ),
+	.Q(\soc_top/core_if_reg_pc[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_pc_reg[13]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_1889 ),
+	.Q(\soc_top/core_if_reg_pc[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_pc_reg[14]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_1888 ),
+	.Q(\soc_top/core_if_reg_pc[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_pc_reg[15]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_1887 ),
+	.Q(\soc_top/core_if_reg_pc[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_pc_reg[16]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_1885 ),
+	.Q(\soc_top/core_if_reg_pc[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_pc_reg[17]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_1886 ),
+	.Q(\soc_top/core_if_reg_pc[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_pc_reg[18]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_1884 ),
+	.Q(\soc_top/core_if_reg_pc[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_pc_reg[19]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_1883 ),
+	.Q(\soc_top/core_if_reg_pc[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_pc_reg[20]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_1882 ),
+	.Q(\soc_top/core_if_reg_pc[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_pc_reg[21]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_1881 ),
+	.Q(\soc_top/core_if_reg_pc[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_pc_reg[22]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_1904 ),
+	.Q(\soc_top/core_if_reg_pc[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_pc_reg[23]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_1879 ),
+	.Q(\soc_top/core_if_reg_pc[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_pc_reg[24]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_1880 ),
+	.Q(\soc_top/core_if_reg_pc[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_pc_reg[25]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_1878 ),
+	.Q(\soc_top/core_if_reg_pc[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_pc_reg[26]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_1877 ),
+	.Q(\soc_top/core_if_reg_pc[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_pc_reg[27]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_1903 ),
+	.Q(\soc_top/core_if_reg_pc[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_pc_reg[28]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_2019 ),
+	.Q(\soc_top/core_if_reg_pc[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_pc_reg[29]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_1939 ),
+	.Q(\soc_top/core_if_reg_pc[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_pc_reg[30]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_2018 ),
+	.Q(\soc_top/core_if_reg_pc[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_if_reg_pc_reg[31]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_2020 ),
+	.Q(\soc_top/core_if_reg_pc[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_ins_reg[7]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_1771 ),
+	.Q(\soc_top/core_mem_reg_ins[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_ins_reg[8]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_1772 ),
+	.Q(\soc_top/core_mem_reg_ins[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_ins_reg[9]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_1773 ),
+	.Q(\soc_top/core_mem_reg_ins[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_ins_reg[10]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_1774 ),
+	.Q(\soc_top/core_mem_reg_ins[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_ins_reg[11]  (
+	.CLK(\soc_top/CTS_8 ),
+	.D(\soc_top/n_1775 ),
+	.Q(\soc_top/core_mem_reg_ins[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_pc_reg[0]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1776 ),
+	.Q(\soc_top/core_mem_reg_pc[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_pc_reg[1]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1777 ),
+	.Q(\soc_top/core_mem_reg_pc[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_pc_reg[2]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_1778 ),
+	.Q(\soc_top/core_mem_reg_pc[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_pc_reg[3]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_1779 ),
+	.Q(\soc_top/core_mem_reg_pc[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_pc_reg[4]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_1780 ),
+	.Q(\soc_top/core_mem_reg_pc[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_pc_reg[5]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1781 ),
+	.Q(\soc_top/core_mem_reg_pc[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_pc_reg[6]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_1782 ),
+	.Q(\soc_top/core_mem_reg_pc[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_pc_reg[7]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_1783 ),
+	.Q(\soc_top/core_mem_reg_pc[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_pc_reg[8]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_1784 ),
+	.Q(\soc_top/core_mem_reg_pc[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_pc_reg[9]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_1785 ),
+	.Q(\soc_top/core_mem_reg_pc[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_pc_reg[10]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_1786 ),
+	.Q(\soc_top/core_mem_reg_pc[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_pc_reg[11]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_1787 ),
+	.Q(\soc_top/core_mem_reg_pc[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_pc_reg[12]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1788 ),
+	.Q(\soc_top/core_mem_reg_pc[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_pc_reg[13]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1789 ),
+	.Q(\soc_top/core_mem_reg_pc[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_pc_reg[14]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1790 ),
+	.Q(\soc_top/core_mem_reg_pc[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_pc_reg[15]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1791 ),
+	.Q(\soc_top/core_mem_reg_pc[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_pc_reg[16]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1792 ),
+	.Q(\soc_top/core_mem_reg_pc[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_pc_reg[17]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1793 ),
+	.Q(\soc_top/core_mem_reg_pc[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_pc_reg[18]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1794 ),
+	.Q(\soc_top/core_mem_reg_pc[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_pc_reg[19]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_1795 ),
+	.Q(\soc_top/core_mem_reg_pc[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_pc_reg[20]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1796 ),
+	.Q(\soc_top/core_mem_reg_pc[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_pc_reg[21]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1797 ),
+	.Q(\soc_top/core_mem_reg_pc[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_pc_reg[22]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1798 ),
+	.Q(\soc_top/core_mem_reg_pc[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_pc_reg[23]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1799 ),
+	.Q(\soc_top/core_mem_reg_pc[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_pc_reg[24]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_1801 ),
+	.Q(\soc_top/core_mem_reg_pc[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_pc_reg[25]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_1800 ),
+	.Q(\soc_top/core_mem_reg_pc[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_pc_reg[26]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_1802 ),
+	.Q(\soc_top/core_mem_reg_pc[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_pc_reg[27]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_1803 ),
+	.Q(\soc_top/core_mem_reg_pc[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_pc_reg[28]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_1804 ),
+	.Q(\soc_top/core_mem_reg_pc[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_pc_reg[29]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_1805 ),
+	.Q(\soc_top/core_mem_reg_pc[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_pc_reg[30]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_1806 ),
+	.Q(\soc_top/core_mem_reg_pc[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_pc_reg[31]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1807 ),
+	.Q(\soc_top/core_mem_reg_pc[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_result_reg[0]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1637 ),
+	.Q(\soc_top/core_mem_reg_result[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_result_reg[1]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1636 ),
+	.Q(\soc_top/core_mem_reg_result[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_result_reg[2]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_1635 ),
+	.Q(\soc_top/core_mem_reg_result[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_result_reg[3]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_1634 ),
+	.Q(\soc_top/core_mem_reg_result[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_result_reg[4]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1633 ),
+	.Q(\soc_top/core_mem_reg_result[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_result_reg[5]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1632 ),
+	.Q(\soc_top/core_mem_reg_result[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_result_reg[6]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_1631 ),
+	.Q(\soc_top/core_mem_reg_result[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_result_reg[7]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1630 ),
+	.Q(\soc_top/core_mem_reg_result[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_result_reg[8]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_1629 ),
+	.Q(\soc_top/core_mem_reg_result[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_result_reg[9]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_1628 ),
+	.Q(\soc_top/core_mem_reg_result[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_result_reg[10]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_1627 ),
+	.Q(\soc_top/core_mem_reg_result[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_result_reg[11]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_1626 ),
+	.Q(\soc_top/core_mem_reg_result[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_result_reg[12]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1625 ),
+	.Q(\soc_top/core_mem_reg_result[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_result_reg[13]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1624 ),
+	.Q(\soc_top/core_mem_reg_result[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_result_reg[14]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1623 ),
+	.Q(\soc_top/core_mem_reg_result[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_result_reg[15]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1622 ),
+	.Q(\soc_top/core_mem_reg_result[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_result_reg[16]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1621 ),
+	.Q(\soc_top/core_mem_reg_result[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_result_reg[17]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_1620 ),
+	.Q(\soc_top/core_mem_reg_result[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_result_reg[18]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_1619 ),
+	.Q(\soc_top/core_mem_reg_result[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_result_reg[19]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_1618 ),
+	.Q(\soc_top/core_mem_reg_result[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_result_reg[20]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1617 ),
+	.Q(\soc_top/core_mem_reg_result[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_result_reg[21]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1614 ),
+	.Q(\soc_top/core_mem_reg_result[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_result_reg[22]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1616 ),
+	.Q(\soc_top/core_mem_reg_result[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_result_reg[23]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1615 ),
+	.Q(\soc_top/core_mem_reg_result[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_result_reg[24]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1613 ),
+	.Q(\soc_top/core_mem_reg_result[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_result_reg[25]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1612 ),
+	.Q(\soc_top/core_mem_reg_result[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_result_reg[26]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_1611 ),
+	.Q(\soc_top/core_mem_reg_result[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_result_reg[27]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_1610 ),
+	.Q(\soc_top/core_mem_reg_result[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_result_reg[28]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_1609 ),
+	.Q(\soc_top/core_mem_reg_result[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_result_reg[29]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1608 ),
+	.Q(\soc_top/core_mem_reg_result[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_result_reg[30]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1607 ),
+	.Q(\soc_top/core_mem_reg_result[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_mem_reg_result_reg[31]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1606 ),
+	.Q(\soc_top/core_mem_reg_result[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_pc_pc_reg_reg[0]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_2299 ),
+	.Q(\soc_top/core_pc_io_out[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_pc_pc_reg_reg[1]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_2301 ),
+	.Q(\soc_top/core_pc_io_out[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_pc_pc_reg_reg[2]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2300 ),
+	.Q(\soc_top/core_pc_io_out[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_pc_pc_reg_reg[3]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2369 ),
+	.Q(\soc_top/core_pc_io_out[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_pc_pc_reg_reg[4]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2371 ),
+	.Q(\soc_top/core_pc_io_out[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_pc_pc_reg_reg[5]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2370 ),
+	.Q(\soc_top/core_pc_io_out[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_pc_pc_reg_reg[6]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2373 ),
+	.Q(\soc_top/core_pc_io_out[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_pc_pc_reg_reg[7]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2372 ),
+	.Q(\soc_top/core_pc_io_out[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_pc_pc_reg_reg[8]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2374 ),
+	.Q(\soc_top/core_pc_io_out[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_pc_pc_reg_reg[9]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2375 ),
+	.Q(\soc_top/core_pc_io_out[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_pc_pc_reg_reg[10]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_2441 ),
+	.Q(\soc_top/core_pc_io_out[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_pc_pc_reg_reg[11]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_2440 ),
+	.Q(\soc_top/core_pc_io_out[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_pc_pc_reg_reg[12]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_2439 ),
+	.Q(\soc_top/core_pc_io_out[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_pc_pc_reg_reg[13]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_2438 ),
+	.Q(\soc_top/core_pc_io_out[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_pc_pc_reg_reg[14]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_2437 ),
+	.Q(\soc_top/core_pc_io_out[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_pc_pc_reg_reg[15]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_2444 ),
+	.Q(\soc_top/core_pc_io_out[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_pc_pc_reg_reg[16]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_2451 ),
+	.Q(\soc_top/core_pc_io_out[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_pc_pc_reg_reg[17]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_2452 ),
+	.Q(\soc_top/core_pc_io_out[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_pc_pc_reg_reg[18]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_2415 ),
+	.Q(\soc_top/core_pc_io_out[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_pc_pc_reg_reg[19]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_2435 ),
+	.Q(\soc_top/core_pc_io_out[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_pc_pc_reg_reg[20]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_2434 ),
+	.Q(\soc_top/core_pc_io_out[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_pc_pc_reg_reg[21]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_2433 ),
+	.Q(\soc_top/core_pc_io_out[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_pc_pc_reg_reg[22]  (
+	.CLK(\soc_top/CTS_10 ),
+	.D(\soc_top/n_2431 ),
+	.Q(\soc_top/core_pc_io_out[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_pc_pc_reg_reg[23]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_2432 ),
+	.Q(\soc_top/core_pc_io_out[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_pc_pc_reg_reg[24]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_2430 ),
+	.Q(\soc_top/core_pc_io_out[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_pc_pc_reg_reg[25]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_2429 ),
+	.Q(\soc_top/core_pc_io_out[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_pc_pc_reg_reg[26]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_2428 ),
+	.Q(\soc_top/core_pc_io_out[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_pc_pc_reg_reg[27]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_2427 ),
+	.Q(\soc_top/core_pc_io_out[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_pc_pc_reg_reg[28]  (
+	.CLK(\soc_top/CTS_27 ),
+	.D(\soc_top/n_2426 ),
+	.Q(\soc_top/core_pc_io_out[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_pc_pc_reg_reg[29]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_2425 ),
+	.Q(\soc_top/core_pc_io_out[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_pc_pc_reg_reg[30]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_2424 ),
+	.Q(\soc_top/core_pc_io_out[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/core_pc_pc_reg_reg[31]  (
+	.CLK(\soc_top/CTS_26 ),
+	.D(\soc_top/n_2423 ),
+	.Q(\soc_top/core_pc_io_out[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_adrReg_reg[0]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1605 ),
+	.Q(\soc_top/gen_dmem_host_adrReg[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_adrReg_reg[1]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1604 ),
+	.Q(\soc_top/gen_dmem_host_adrReg[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_adrReg_reg[2]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_1603 ),
+	.Q(\soc_top/gen_dmem_host_adrReg[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_adrReg_reg[3]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1602 ),
+	.Q(\soc_top/gen_dmem_host_adrReg[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_adrReg_reg[4]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1601 ),
+	.Q(\soc_top/gen_dmem_host_adrReg[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_adrReg_reg[5]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_1600 ),
+	.Q(\soc_top/gen_dmem_host_adrReg[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_adrReg_reg[6]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1599 ),
+	.Q(\soc_top/gen_dmem_host_adrReg[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_adrReg_reg[7]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1598 ),
+	.Q(\soc_top/gen_dmem_host_adrReg[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_adrReg_reg[12]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1597 ),
+	.Q(\soc_top/gen_dmem_host_adrReg[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_adrReg_reg[13]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_1596 ),
+	.Q(\soc_top/gen_dmem_host_adrReg[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_adrReg_reg[14]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1595 ),
+	.Q(\soc_top/gen_dmem_host_adrReg[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_adrReg_reg[15]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1593 ),
+	.Q(\soc_top/gen_dmem_host_adrReg[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_adrReg_reg[16]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_1594 ),
+	.Q(\soc_top/gen_dmem_host_adrReg[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_adrReg_reg[17]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_1592 ),
+	.Q(\soc_top/gen_dmem_host_adrReg[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_adrReg_reg[18]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_1591 ),
+	.Q(\soc_top/gen_dmem_host_adrReg[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_adrReg_reg[19]  (
+	.CLK(\soc_top/CTS_6 ),
+	.D(\soc_top/n_1590 ),
+	.Q(\soc_top/gen_dmem_host_adrReg[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_adrReg_reg[20]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1589 ),
+	.Q(\soc_top/gen_dmem_host_adrReg[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_adrReg_reg[21]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1588 ),
+	.Q(\soc_top/gen_dmem_host_adrReg[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_adrReg_reg[22]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1587 ),
+	.Q(\soc_top/gen_dmem_host_adrReg[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_adrReg_reg[23]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1586 ),
+	.Q(\soc_top/gen_dmem_host_adrReg[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_adrReg_reg[24]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1585 ),
+	.Q(\soc_top/gen_dmem_host_adrReg[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_adrReg_reg[25]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1584 ),
+	.Q(\soc_top/gen_dmem_host_adrReg[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_adrReg_reg[26]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1583 ),
+	.Q(\soc_top/gen_dmem_host_adrReg[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_adrReg_reg[27]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1582 ),
+	.Q(\soc_top/gen_dmem_host_adrReg[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_adrReg_reg[28]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1581 ),
+	.Q(\soc_top/gen_dmem_host_adrReg[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_adrReg_reg[29]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1580 ),
+	.Q(\soc_top/gen_dmem_host_adrReg[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_adrReg_reg[30]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1578 ),
+	.Q(\soc_top/gen_dmem_host_adrReg[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_adrReg_reg[31]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_1579 ),
+	.Q(\soc_top/gen_dmem_host_adrReg[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_cycReg_reg  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_1472 ),
+	.Q(\soc_top/gen_dmem_host_cycReg ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_datReg_reg[0]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2205 ),
+	.Q(\soc_top/gen_dmem_host_datReg[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_datReg_reg[1]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_2206 ),
+	.Q(\soc_top/gen_dmem_host_datReg[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_datReg_reg[2]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2204 ),
+	.Q(\soc_top/gen_dmem_host_datReg[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_datReg_reg[3]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2207 ),
+	.Q(\soc_top/gen_dmem_host_datReg[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_datReg_reg[4]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2208 ),
+	.Q(\soc_top/gen_dmem_host_datReg[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_datReg_reg[5]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2209 ),
+	.Q(\soc_top/gen_dmem_host_datReg[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_datReg_reg[6]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_2210 ),
+	.Q(\soc_top/gen_dmem_host_datReg[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_datReg_reg[7]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_2211 ),
+	.Q(\soc_top/gen_dmem_host_datReg[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_datReg_reg[8]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2359 ),
+	.Q(\soc_top/gen_dmem_host_datReg[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_datReg_reg[9]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_2360 ),
+	.Q(\soc_top/gen_dmem_host_datReg[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_datReg_reg[10]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2361 ),
+	.Q(\soc_top/gen_dmem_host_datReg[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_datReg_reg[11]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2362 ),
+	.Q(\soc_top/gen_dmem_host_datReg[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_datReg_reg[12]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2363 ),
+	.Q(\soc_top/gen_dmem_host_datReg[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_datReg_reg[13]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2364 ),
+	.Q(\soc_top/gen_dmem_host_datReg[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_datReg_reg[14]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_2365 ),
+	.Q(\soc_top/gen_dmem_host_datReg[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_datReg_reg[15]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_2366 ),
+	.Q(\soc_top/gen_dmem_host_datReg[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_datReg_reg[16]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2450 ),
+	.Q(\soc_top/gen_dmem_host_datReg[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_datReg_reg[17]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2449 ),
+	.Q(\soc_top/gen_dmem_host_datReg[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_datReg_reg[18]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2448 ),
+	.Q(\soc_top/gen_dmem_host_datReg[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_datReg_reg[19]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2447 ),
+	.Q(\soc_top/gen_dmem_host_datReg[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_datReg_reg[20]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2446 ),
+	.Q(\soc_top/gen_dmem_host_datReg[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_datReg_reg[21]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2445 ),
+	.Q(\soc_top/gen_dmem_host_datReg[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_datReg_reg[22]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_2453 ),
+	.Q(\soc_top/gen_dmem_host_datReg[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_datReg_reg[23]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_2443 ),
+	.Q(\soc_top/gen_dmem_host_datReg[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_datReg_reg[24]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_2387 ),
+	.Q(\soc_top/gen_dmem_host_datReg[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_datReg_reg[25]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2388 ),
+	.Q(\soc_top/gen_dmem_host_datReg[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_datReg_reg[26]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2389 ),
+	.Q(\soc_top/gen_dmem_host_datReg[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_datReg_reg[27]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2390 ),
+	.Q(\soc_top/gen_dmem_host_datReg[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_datReg_reg[28]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2391 ),
+	.Q(\soc_top/gen_dmem_host_datReg[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_datReg_reg[29]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_2392 ),
+	.Q(\soc_top/gen_dmem_host_datReg[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_datReg_reg[30]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_2393 ),
+	.Q(\soc_top/gen_dmem_host_datReg[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_datReg_reg[31]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_2353 ),
+	.Q(\soc_top/gen_dmem_host_datReg[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_dataReg_reg[0]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/n_2613 ),
+	.Q(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_dataReg_reg[1]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2623 ),
+	.Q(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_dataReg_reg[2]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2633 ),
+	.Q(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_dataReg_reg[3]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/n_2612 ),
+	.Q(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_dataReg_reg[4]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2609 ),
+	.Q(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_dataReg_reg[5]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2622 ),
+	.Q(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_dataReg_reg[6]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_2611 ),
+	.Q(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_dataReg_reg[7]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2621 ),
+	.Q(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_dataReg_reg[8]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/n_2610 ),
+	.Q(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_dataReg_reg[9]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_2624 ),
+	.Q(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_dataReg_reg[10]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2620 ),
+	.Q(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_dataReg_reg[11]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2619 ),
+	.Q(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_dataReg_reg[12]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2618 ),
+	.Q(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_dataReg_reg[13]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2617 ),
+	.Q(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_dataReg_reg[14]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2616 ),
+	.Q(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_dataReg_reg[15]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2615 ),
+	.Q(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_dataReg_reg[16]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2614 ),
+	.Q(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_dataReg_reg[17]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2544 ),
+	.Q(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_dataReg_reg[18]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2626 ),
+	.Q(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_dataReg_reg[19]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2625 ),
+	.Q(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_dataReg_reg[20]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/n_2543 ),
+	.Q(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_dataReg_reg[21]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2542 ),
+	.Q(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_dataReg_reg[22]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2627 ),
+	.Q(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_dataReg_reg[23]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/n_2541 ),
+	.Q(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_dataReg_reg[24]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2628 ),
+	.Q(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_dataReg_reg[25]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2540 ),
+	.Q(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_dataReg_reg[26]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2629 ),
+	.Q(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_dataReg_reg[27]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2630 ),
+	.Q(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_dataReg_reg[28]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/n_2631 ),
+	.Q(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_dataReg_reg[29]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/n_2562 ),
+	.Q(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_dataReg_reg[30]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2538 ),
+	.Q(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_dataReg_reg[31]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2632 ),
+	.Q(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_readyReg_reg  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_1369 ),
+	.Q(\soc_top/gen_dmem_host_readyReg ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_respReg_reg  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_1810 ),
+	.Q(\soc_top/gen_dmem_host_io_rspOut_valid ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_selReg_reg[0]  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_2022 ),
+	.Q(\soc_top/gen_dmem_host_selReg[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_selReg_reg[1]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_2021 ),
+	.Q(\soc_top/gen_dmem_host_selReg[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_selReg_reg[2]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_2024 ),
+	.Q(\soc_top/gen_dmem_host_selReg[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_selReg_reg[3]  (
+	.CLK(\soc_top/CTS_30 ),
+	.D(\soc_top/n_2023 ),
+	.Q(\soc_top/gen_dmem_host_selReg[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_stateReg_reg  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_1433 ),
+	.Q(\soc_top/gen_dmem_host_stateReg ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_stbReg_reg  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_1471 ),
+	.Q(\soc_top/gen_dmem_host_stbReg ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_dmem_host_weReg_reg  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_1767 ),
+	.Q(\soc_top/gen_dmem_host_weReg ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_adrReg_reg[0]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2413 ),
+	.Q(\soc_top/gen_imem_host_adrReg[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_adrReg_reg[1]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2442 ),
+	.Q(\soc_top/gen_imem_host_adrReg[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_adrReg_reg[2]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2399 ),
+	.Q(\soc_top/gen_imem_host_adrReg[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_adrReg_reg[3]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2398 ),
+	.Q(\soc_top/gen_imem_host_adrReg[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_adrReg_reg[4]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2397 ),
+	.Q(\soc_top/gen_imem_host_adrReg[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_adrReg_reg[5]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2396 ),
+	.Q(\soc_top/gen_imem_host_adrReg[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_adrReg_reg[6]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2395 ),
+	.Q(\soc_top/gen_imem_host_adrReg[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_adrReg_reg[7]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_2394 ),
+	.Q(\soc_top/gen_imem_host_adrReg[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_cycReg_reg  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/n_1467 ),
+	.Q(\soc_top/gen_imem_host_cycReg ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_datReg_reg[0]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/n_1738 ),
+	.Q(\soc_top/gen_imem_host_datReg[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_datReg_reg[1]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/n_1734 ),
+	.Q(\soc_top/gen_imem_host_datReg[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_datReg_reg[2]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/n_1736 ),
+	.Q(\soc_top/gen_imem_host_datReg[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_datReg_reg[3]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/n_1737 ),
+	.Q(\soc_top/gen_imem_host_datReg[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_datReg_reg[4]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/n_1735 ),
+	.Q(\soc_top/gen_imem_host_datReg[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_datReg_reg[5]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/n_1733 ),
+	.Q(\soc_top/gen_imem_host_datReg[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_datReg_reg[6]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/n_1732 ),
+	.Q(\soc_top/gen_imem_host_datReg[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_datReg_reg[7]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/n_1731 ),
+	.Q(\soc_top/gen_imem_host_datReg[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_datReg_reg[8]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/n_1730 ),
+	.Q(\soc_top/gen_imem_host_datReg[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_datReg_reg[9]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/n_1729 ),
+	.Q(\soc_top/gen_imem_host_datReg[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_datReg_reg[10]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/n_1728 ),
+	.Q(\soc_top/gen_imem_host_datReg[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_datReg_reg[11]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/n_1727 ),
+	.Q(\soc_top/gen_imem_host_datReg[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_datReg_reg[12]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/n_1726 ),
+	.Q(\soc_top/gen_imem_host_datReg[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_datReg_reg[13]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/n_1725 ),
+	.Q(\soc_top/gen_imem_host_datReg[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_datReg_reg[14]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/n_1723 ),
+	.Q(\soc_top/gen_imem_host_datReg[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_datReg_reg[15]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/n_1724 ),
+	.Q(\soc_top/gen_imem_host_datReg[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_datReg_reg[16]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/n_1722 ),
+	.Q(\soc_top/gen_imem_host_datReg[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_datReg_reg[17]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/n_1721 ),
+	.Q(\soc_top/gen_imem_host_datReg[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_datReg_reg[18]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/n_1720 ),
+	.Q(\soc_top/gen_imem_host_datReg[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_datReg_reg[19]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/n_1719 ),
+	.Q(\soc_top/gen_imem_host_datReg[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_datReg_reg[20]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/n_1718 ),
+	.Q(\soc_top/gen_imem_host_datReg[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_datReg_reg[21]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/n_1717 ),
+	.Q(\soc_top/gen_imem_host_datReg[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_datReg_reg[22]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1716 ),
+	.Q(\soc_top/gen_imem_host_datReg[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_datReg_reg[23]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1715 ),
+	.Q(\soc_top/gen_imem_host_datReg[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_datReg_reg[24]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1714 ),
+	.Q(\soc_top/gen_imem_host_datReg[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_datReg_reg[25]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1713 ),
+	.Q(\soc_top/gen_imem_host_datReg[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_datReg_reg[26]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1712 ),
+	.Q(\soc_top/gen_imem_host_datReg[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_datReg_reg[27]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1710 ),
+	.Q(\soc_top/gen_imem_host_datReg[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_datReg_reg[28]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1711 ),
+	.Q(\soc_top/gen_imem_host_datReg[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_datReg_reg[29]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1709 ),
+	.Q(\soc_top/gen_imem_host_datReg[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_datReg_reg[30]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1708 ),
+	.Q(\soc_top/gen_imem_host_datReg[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_datReg_reg[31]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1707 ),
+	.Q(\soc_top/gen_imem_host_datReg[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_dataReg_reg[0]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1466 ),
+	.Q(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_dataReg_reg[1]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1435 ),
+	.Q(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_dataReg_reg[2]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1436 ),
+	.Q(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_dataReg_reg[3]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1437 ),
+	.Q(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_dataReg_reg[4]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1438 ),
+	.Q(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_dataReg_reg[5]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1439 ),
+	.Q(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_dataReg_reg[6]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1440 ),
+	.Q(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_dataReg_reg[7]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1441 ),
+	.Q(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_dataReg_reg[8]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1442 ),
+	.Q(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_dataReg_reg[9]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1443 ),
+	.Q(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_dataReg_reg[10]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1444 ),
+	.Q(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_dataReg_reg[11]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1445 ),
+	.Q(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_dataReg_reg[12]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1446 ),
+	.Q(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_dataReg_reg[13]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1447 ),
+	.Q(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_dataReg_reg[14]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1448 ),
+	.Q(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_dataReg_reg[15]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1449 ),
+	.Q(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_dataReg_reg[16]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1450 ),
+	.Q(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_dataReg_reg[17]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1451 ),
+	.Q(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_dataReg_reg[18]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1452 ),
+	.Q(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_dataReg_reg[19]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1453 ),
+	.Q(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_dataReg_reg[20]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1454 ),
+	.Q(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_dataReg_reg[21]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1455 ),
+	.Q(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_dataReg_reg[22]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1456 ),
+	.Q(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_dataReg_reg[23]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1457 ),
+	.Q(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_dataReg_reg[24]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1458 ),
+	.Q(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_dataReg_reg[25]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1459 ),
+	.Q(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_dataReg_reg[26]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1460 ),
+	.Q(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_dataReg_reg[27]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1461 ),
+	.Q(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_dataReg_reg[28]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1462 ),
+	.Q(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_dataReg_reg[29]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_1463 ),
+	.Q(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_dataReg_reg[30]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_1464 ),
+	.Q(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_dataReg_reg[31]  (
+	.CLK(\soc_top/CTS_28 ),
+	.D(\soc_top/n_1465 ),
+	.Q(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_readyReg_reg  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1368 ),
+	.Q(\soc_top/gen_imem_host_io_reqIn_ready ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_respReg_reg  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1367 ),
+	.Q(\soc_top/gen_imem_host_io_rspOut_valid ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_selReg_reg[0]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/n_1468 ),
+	.Q(\soc_top/gen_imem_host_selReg[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_startWBTransaction_reg  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1811 ),
+	.Q(\soc_top/gen_imem_host_startWBTransaction ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_stateReg_reg  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_390 ),
+	.Q(\soc_top/gen_imem_host_stateReg ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_stbReg_reg  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/n_1469 ),
+	.Q(\soc_top/gen_imem_host_stbReg ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gen_imem_host_weReg_reg  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/n_1808 ),
+	.Q(\soc_top/gen_imem_host_weReg ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_en_q_reg[0]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/n_2227 ),
+	.Q(gpio_oe[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_en_q_reg[1]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/n_2228 ),
+	.Q(gpio_oe[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_en_q_reg[2]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/n_2229 ),
+	.Q(gpio_oe[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_en_q_reg[3]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2232 ),
+	.Q(gpio_oe[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_en_q_reg[4]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2230 ),
+	.Q(gpio_oe[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_en_q_reg[5]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2231 ),
+	.Q(gpio_oe[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_en_q_reg[6]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2233 ),
+	.Q(gpio_oe[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_en_q_reg[7]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2234 ),
+	.Q(gpio_oe[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_en_q_reg[8]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2235 ),
+	.Q(gpio_oe[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_en_q_reg[9]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2236 ),
+	.Q(gpio_oe[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_en_q_reg[10]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/n_2237 ),
+	.Q(gpio_oe[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_en_q_reg[11]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/n_2238 ),
+	.Q(gpio_oe[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_en_q_reg[12]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/n_2239 ),
+	.Q(gpio_oe[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_en_q_reg[13]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/n_2240 ),
+	.Q(gpio_oe[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_en_q_reg[14]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2201 ),
+	.Q(gpio_oe[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_en_q_reg[15]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/n_2200 ),
+	.Q(gpio_oe[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_en_q_reg[16]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/n_2199 ),
+	.Q(gpio_oe[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_en_q_reg[17]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/n_2195 ),
+	.Q(gpio_oe[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_en_q_reg[18]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/n_2198 ),
+	.Q(gpio_oe[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_en_q_reg[19]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2197 ),
+	.Q(gpio_oe[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_en_q_reg[20]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2196 ),
+	.Q(gpio_oe[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_en_q_reg[21]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2194 ),
+	.Q(gpio_oe[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_en_q_reg[22]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2193 ),
+	.Q(gpio_oe[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_en_q_reg[23]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2192 ),
+	.Q(gpio_oe[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_en_q_reg[24]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2191 ),
+	.Q(gpio_oe[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_en_q_reg[25]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2190 ),
+	.Q(gpio_oe[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_en_q_reg[26]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/n_2189 ),
+	.Q(gpio_oe[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_en_q_reg[27]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/n_2188 ),
+	.Q(gpio_oe[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_en_q_reg[28]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/n_2187 ),
+	.Q(gpio_oe[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_en_q_reg[29]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/n_2186 ),
+	.Q(gpio_oe[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_en_q_reg[30]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2185 ),
+	.Q(gpio_oe[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_en_q_reg[31]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/n_2184 ),
+	.Q(gpio_oe[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_q_reg[0]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2183 ),
+	.Q(io_out[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_q_reg[1]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2180 ),
+	.Q(io_out[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_q_reg[2]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/n_2182 ),
+	.Q(io_out[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_q_reg[3]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2181 ),
+	.Q(io_out[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_q_reg[4]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2179 ),
+	.Q(io_out[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_q_reg[5]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2178 ),
+	.Q(io_out[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_q_reg[6]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2177 ),
+	.Q(io_out[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_q_reg[7]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2176 ),
+	.Q(io_out[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_q_reg[8]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2175 ),
+	.Q(io_out[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_q_reg[9]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/n_2174 ),
+	.Q(io_out[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_q_reg[10]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/n_2173 ),
+	.Q(io_out[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_q_reg[11]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/n_2172 ),
+	.Q(io_out[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_q_reg[12]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/n_2171 ),
+	.Q(io_out[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_q_reg[13]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/n_2170 ),
+	.Q(io_out[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_q_reg[14]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/n_2169 ),
+	.Q(io_out[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_q_reg[15]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/n_2168 ),
+	.Q(io_out[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_q_reg[16]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/n_2167 ),
+	.Q(FE_OFN39_io_out_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_q_reg[17]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2166 ),
+	.Q(FE_OFN38_io_out_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_q_reg[18]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/n_2165 ),
+	.Q(io_out[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_q_reg[19]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2164 ),
+	.Q(FE_OFN37_io_out_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_q_reg[20]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2163 ),
+	.Q(FE_OFN36_io_out_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_q_reg[21]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2162 ),
+	.Q(FE_OFN35_io_out_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_q_reg[22]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/n_2161 ),
+	.Q(FE_OFN6691_io_out_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_q_reg[23]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2160 ),
+	.Q(FE_OFN33_io_out_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_q_reg[24]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2159 ),
+	.Q(FE_OFN32_io_out_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_q_reg[25]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/n_2158 ),
+	.Q(FE_OFN31_io_out_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_q_reg[26]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/n_2157 ),
+	.Q(io_out[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_q_reg[27]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/n_2156 ),
+	.Q(FE_OFN30_io_out_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_q_reg[28]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/n_2155 ),
+	.Q(FE_OFN29_io_out_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_q_reg[29]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/n_2154 ),
+	.Q(FE_OFN28_io_out_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_q_reg[30]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/n_2153 ),
+	.Q(FE_OFN27_io_out_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_cio_gpio_q_reg[31]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/n_2152 ),
+	.Q(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_state_reg_q_reg_reg[0]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/n_2659 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_state_reg_q_reg_reg[1]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/n_2665 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_state_reg_q_reg_reg[2]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2663 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_state_reg_q_reg_reg[3]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/n_2664 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_state_reg_q_reg_reg[4]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/n_2648 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_state_reg_q_reg_reg[5]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/n_2661 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_state_reg_q_reg_reg[6]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/n_2660 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_state_reg_q_reg_reg[7]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2658 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_state_reg_q_reg_reg[8]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2657 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_state_reg_q_reg_reg[9]  (
+	.CLK(\soc_top/CTS_12 ),
+	.D(\soc_top/n_2655 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_state_reg_q_reg_reg[10]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/n_2656 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_state_reg_q_reg_reg[11]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2654 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_state_reg_q_reg_reg[12]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/n_2653 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_state_reg_q_reg_reg[13]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/n_2652 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_state_reg_q_reg_reg[14]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2651 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_state_reg_q_reg_reg[15]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/n_2650 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_state_reg_q_reg_reg[16]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/n_2649 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_state_reg_q_reg_reg[17]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2662 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_state_reg_q_reg_reg[18]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/n_2647 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_state_reg_q_reg_reg[19]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2646 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_state_reg_q_reg_reg[20]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/n_2645 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_state_reg_q_reg_reg[21]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/n_2644 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_state_reg_q_reg_reg[22]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2643 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_state_reg_q_reg_reg[23]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/n_2642 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_state_reg_q_reg_reg[24]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2639 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_state_reg_q_reg_reg[25]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2641 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_state_reg_q_reg_reg[26]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2640 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_state_reg_q_reg_reg[27]  (
+	.CLK(\soc_top/CTS_17 ),
+	.D(\soc_top/n_2638 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_state_reg_q_reg_reg[28]  (
+	.CLK(\soc_top/CTS_20 ),
+	.D(\soc_top/n_2637 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_state_reg_q_reg_reg[29]  (
+	.CLK(\soc_top/CTS_19 ),
+	.D(\soc_top/n_2636 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_state_reg_q_reg_reg[30]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/n_2635 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/gpio_gpioRegTop_intr_state_reg_q_reg_reg[31]  (
+	.CLK(\soc_top/CTS_18 ),
+	.D(\soc_top/n_2634 ),
+	.Q(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_addr_reg_reg[2]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1515 ),
+	.Q(\soc_top/rx_addr_reg[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_addr_reg_reg[3]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1516 ),
+	.Q(\soc_top/rx_addr_reg[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_addr_reg_reg[4]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1517 ),
+	.Q(\soc_top/rx_addr_reg[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_addr_reg_reg[5]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1518 ),
+	.Q(\soc_top/rx_addr_reg[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_addr_reg_reg[6]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1519 ),
+	.Q(\soc_top/rx_addr_reg[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_addr_reg_reg[7]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1520 ),
+	.Q(\soc_top/rx_addr_reg[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_data_reg_reg[0]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/n_1521 ),
+	.Q(\soc_top/rx_data_reg[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_data_reg_reg[1]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/n_1522 ),
+	.Q(\soc_top/rx_data_reg[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_data_reg_reg[2]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/n_1523 ),
+	.Q(\soc_top/rx_data_reg[2] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_data_reg_reg[3]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/n_1524 ),
+	.Q(\soc_top/rx_data_reg[3] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_data_reg_reg[4]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/n_1525 ),
+	.Q(\soc_top/rx_data_reg[4] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_data_reg_reg[5]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/n_1526 ),
+	.Q(\soc_top/rx_data_reg[5] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_data_reg_reg[6]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/n_1527 ),
+	.Q(\soc_top/rx_data_reg[6] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_data_reg_reg[7]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/n_1528 ),
+	.Q(\soc_top/rx_data_reg[7] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_data_reg_reg[8]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/n_1529 ),
+	.Q(\soc_top/rx_data_reg[8] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_data_reg_reg[9]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/n_1530 ),
+	.Q(\soc_top/rx_data_reg[9] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_data_reg_reg[10]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/n_1531 ),
+	.Q(\soc_top/rx_data_reg[10] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_data_reg_reg[11]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/n_1532 ),
+	.Q(\soc_top/rx_data_reg[11] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_data_reg_reg[12]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/n_1533 ),
+	.Q(\soc_top/rx_data_reg[12] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_data_reg_reg[13]  (
+	.CLK(\soc_top/CTS_25 ),
+	.D(\soc_top/n_1534 ),
+	.Q(\soc_top/rx_data_reg[13] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_data_reg_reg[14]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/n_1535 ),
+	.Q(\soc_top/rx_data_reg[14] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_data_reg_reg[15]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/n_1536 ),
+	.Q(\soc_top/rx_data_reg[15] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_data_reg_reg[16]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/n_1537 ),
+	.Q(\soc_top/rx_data_reg[16] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_data_reg_reg[17]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/n_1538 ),
+	.Q(\soc_top/rx_data_reg[17] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_data_reg_reg[18]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/n_1539 ),
+	.Q(\soc_top/rx_data_reg[18] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_data_reg_reg[19]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/n_1540 ),
+	.Q(\soc_top/rx_data_reg[19] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_data_reg_reg[20]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/n_1541 ),
+	.Q(\soc_top/rx_data_reg[20] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_data_reg_reg[21]  (
+	.CLK(\soc_top/CTS_24 ),
+	.D(\soc_top/n_1542 ),
+	.Q(\soc_top/rx_data_reg[21] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_data_reg_reg[22]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1543 ),
+	.Q(\soc_top/rx_data_reg[22] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_data_reg_reg[23]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1544 ),
+	.Q(\soc_top/rx_data_reg[23] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_data_reg_reg[24]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1545 ),
+	.Q(\soc_top/rx_data_reg[24] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_data_reg_reg[25]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1546 ),
+	.Q(\soc_top/rx_data_reg[25] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_data_reg_reg[26]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1547 ),
+	.Q(\soc_top/rx_data_reg[26] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_data_reg_reg[27]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1548 ),
+	.Q(\soc_top/rx_data_reg[27] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_data_reg_reg[28]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1549 ),
+	.Q(\soc_top/rx_data_reg[28] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_data_reg_reg[29]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1550 ),
+	.Q(\soc_top/rx_data_reg[29] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_data_reg_reg[30]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1551 ),
+	.Q(\soc_top/rx_data_reg[30] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/rx_data_reg_reg[31]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1552 ),
+	.Q(\soc_top/rx_data_reg[31] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/state_reg[0]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_2025 ),
+	.Q(\soc_top/state[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top/state_reg[1]  (
+	.CLK(\soc_top/CTS_31 ),
+	.D(\soc_top/n_1363 ),
+	.Q(\soc_top/state[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g122820  (
+	.A(\soc_top/FE_OFN17_wb_rst_i ),
+	.B(\soc_top/n_2676 ),
+	.Y(\soc_top/n_2681 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g122821  (
+	.A(\soc_top/FE_OFN11_wb_rst_i ),
+	.B(\soc_top/n_2675 ),
+	.Y(\soc_top/n_2680 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g122822  (
+	.A(\soc_top/FE_OFN17_wb_rst_i ),
+	.B(\soc_top/n_2674 ),
+	.Y(\soc_top/n_2679 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g122823  (
+	.A1(\soc_top/n_2673 ),
+	.A2(\soc_top/core_MEM_offset[0] ),
+	.B1(\soc_top/FE_OFN83_core_io_dmemReq_bits_addrRequest_0 ),
+	.B2(\soc_top/FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_2678 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g122824  (
+	.A1(\soc_top/n_2673 ),
+	.A2(\soc_top/core_MEM_offset[1] ),
+	.B1(\soc_top/FE_OFN82_core_io_dmemReq_bits_addrRequest_1 ),
+	.B2(\soc_top/FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_2677 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g122825  (
+	.A1(\soc_top/FE_OFN197_n_2672 ),
+	.A2(\soc_top/core_MEM_funct3[1] ),
+	.B1(\soc_top/n_2671 ),
+	.B2(\soc_top/core_ex_reg_ins[13] ),
+	.Y(\soc_top/n_2676 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g122826  (
+	.A1(\soc_top/FE_OFN197_n_2672 ),
+	.A2(\soc_top/core_MEM_funct3[2] ),
+	.B1(\soc_top/n_2671 ),
+	.B2(\soc_top/core_ex_reg_ins[14] ),
+	.Y(\soc_top/n_2675 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g122827  (
+	.A1(\soc_top/FE_OFN197_n_2672 ),
+	.A2(\soc_top/core_MEM_funct3[0] ),
+	.B1(\soc_top/n_2671 ),
+	.B2(\soc_top/core_ex_reg_ins[12] ),
+	.Y(\soc_top/n_2674 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g122828  (
+	.A(\soc_top/FE_OFN17_wb_rst_i ),
+	.B(\soc_top/n_2671 ),
+	.Y(\soc_top/n_2673 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g122829  (
+	.A(\soc_top/FE_OFN197_n_2672 ),
+	.Y(\soc_top/n_2671 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g122830  (
+	.A(\soc_top/core_io_dmemReq_bits_addrRequest[24] ),
+	.B(\soc_top/core_io_dmemReq_bits_addrRequest[14] ),
+	.C(\soc_top/n_2670 ),
+	.Y(\soc_top/n_2672 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 \soc_top/g122831  (
+	.A(\soc_top/FE_OFN81_core_io_dmemReq_bits_addrRequest_2 ),
+	.B(\soc_top/core_io_dmemReq_bits_addrRequest[17] ),
+	.C(\soc_top/core_io_dmemReq_bits_addrRequest[27] ),
+	.D(\soc_top/n_2669 ),
+	.X(\soc_top/n_2670 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 \soc_top/g122832  (
+	.A(\soc_top/FE_OFN80_core_io_dmemReq_bits_addrRequest_5 ),
+	.B(\soc_top/core_io_dmemReq_bits_addrRequest[31] ),
+	.C(\soc_top/core_io_dmemReq_bits_addrRequest[13] ),
+	.D(\soc_top/n_2668 ),
+	.X(\soc_top/n_2669 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 \soc_top/g122833  (
+	.A(\soc_top/core_io_dmemReq_bits_addrRequest[19] ),
+	.B(\soc_top/core_io_dmemReq_bits_addrRequest[26] ),
+	.C(\soc_top/core_io_dmemReq_bits_addrRequest[23] ),
+	.D(\soc_top/n_2667 ),
+	.X(\soc_top/n_2668 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 \soc_top/g122834  (
+	.A(\soc_top/core_io_dmemReq_bits_addrRequest[4] ),
+	.B(\soc_top/core_io_dmemReq_bits_addrRequest[16] ),
+	.C(\soc_top/core_io_dmemReq_bits_addrRequest[12] ),
+	.D(\soc_top/n_2666 ),
+	.X(\soc_top/n_2667 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 \soc_top/g122851  (
+	.A(\soc_top/core_io_dmemReq_bits_addrRequest[3] ),
+	.B(\soc_top/FE_OFN6761_core_io_dmemReq_bits_addrRequest_6 ),
+	.C(\soc_top/core_io_dmemReq_bits_addrRequest[15] ),
+	.D(\soc_top/n_2529 ),
+	.X(\soc_top/n_2666 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g122868  (
+	.A1(\soc_top/n_2607 ),
+	.A2(\soc_top/n_2576 ),
+	.B1(\soc_top/FE_OFN7_wb_rst_i ),
+	.Y(\soc_top/n_2665 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g122869  (
+	.A1(\soc_top/n_2605 ),
+	.A2(\soc_top/n_2573 ),
+	.B1(\soc_top/FE_OFN22_wb_rst_i ),
+	.Y(\soc_top/n_2664 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g122870  (
+	.A1(\soc_top/n_2606 ),
+	.A2(\soc_top/n_2574 ),
+	.B1(\soc_top/FE_OFN24_wb_rst_i ),
+	.Y(\soc_top/n_2663 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g122896  (
+	.A1(\soc_top/n_2591 ),
+	.A2(\soc_top/n_2559 ),
+	.B1(\soc_top/FE_OFN7_wb_rst_i ),
+	.Y(\soc_top/n_2662 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g122897  (
+	.A1(\soc_top/n_2603 ),
+	.A2(\soc_top/n_2571 ),
+	.B1(\soc_top/FE_OFN6_wb_rst_i ),
+	.Y(\soc_top/n_2661 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g122898  (
+	.A1(\soc_top/n_2602 ),
+	.A2(\soc_top/n_2570 ),
+	.B1(\soc_top/FE_OFN6_wb_rst_i ),
+	.Y(\soc_top/n_2660 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g122899  (
+	.A1(\soc_top/n_2585 ),
+	.A2(\soc_top/n_2575 ),
+	.B1(\soc_top/FE_OFN7_wb_rst_i ),
+	.Y(\soc_top/n_2659 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g122900  (
+	.A1(\soc_top/n_2601 ),
+	.A2(\soc_top/n_2569 ),
+	.B1(\soc_top/FE_OFN22_wb_rst_i ),
+	.Y(\soc_top/n_2658 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g122901  (
+	.A1(\soc_top/n_2600 ),
+	.A2(\soc_top/n_2568 ),
+	.B1(\soc_top/FE_OFN22_wb_rst_i ),
+	.Y(\soc_top/n_2657 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g122902  (
+	.A1(\soc_top/n_2598 ),
+	.A2(\soc_top/n_2566 ),
+	.B1(\soc_top/FE_OFN21_wb_rst_i ),
+	.Y(\soc_top/n_2656 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g122903  (
+	.A1(\soc_top/n_2599 ),
+	.A2(\soc_top/n_2567 ),
+	.B1(\soc_top/FE_OFN6_wb_rst_i ),
+	.Y(\soc_top/n_2655 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g122904  (
+	.A1(\soc_top/n_2597 ),
+	.A2(\soc_top/n_2565 ),
+	.B1(\soc_top/FE_OFN21_wb_rst_i ),
+	.Y(\soc_top/n_2654 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g122905  (
+	.A1(\soc_top/n_2596 ),
+	.A2(\soc_top/n_2564 ),
+	.B1(\soc_top/FE_OFN24_wb_rst_i ),
+	.Y(\soc_top/n_2653 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g122906  (
+	.A1(\soc_top/n_2595 ),
+	.A2(\soc_top/n_2563 ),
+	.B1(\soc_top/FE_OFN24_wb_rst_i ),
+	.Y(\soc_top/n_2652 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g122907  (
+	.A1(\soc_top/n_2594 ),
+	.A2(\soc_top/n_2539 ),
+	.B1(\soc_top/FE_OFN19_wb_rst_i ),
+	.Y(\soc_top/n_2651 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g122908  (
+	.A1(\soc_top/n_2593 ),
+	.A2(\soc_top/n_2561 ),
+	.B1(\soc_top/FE_OFN21_wb_rst_i ),
+	.Y(\soc_top/n_2650 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g122909  (
+	.A1(\soc_top/n_2592 ),
+	.A2(\soc_top/n_2560 ),
+	.B1(\soc_top/FE_OFN22_wb_rst_i ),
+	.Y(\soc_top/n_2649 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g122910  (
+	.A1(\soc_top/n_2604 ),
+	.A2(\soc_top/n_2572 ),
+	.B1(\soc_top/FE_OFN6_wb_rst_i ),
+	.Y(\soc_top/n_2648 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g122911  (
+	.A1(\soc_top/n_2590 ),
+	.A2(\soc_top/n_2558 ),
+	.B1(\soc_top/FE_OFN24_wb_rst_i ),
+	.Y(\soc_top/n_2647 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g122912  (
+	.A1(\soc_top/n_2589 ),
+	.A2(\soc_top/n_2557 ),
+	.B1(\soc_top/FE_OFN19_wb_rst_i ),
+	.Y(\soc_top/n_2646 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g122913  (
+	.A1(\soc_top/n_2588 ),
+	.A2(\soc_top/n_2556 ),
+	.B1(\soc_top/FE_OFN7_wb_rst_i ),
+	.Y(\soc_top/n_2645 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g122914  (
+	.A1(\soc_top/n_2587 ),
+	.A2(\soc_top/n_2555 ),
+	.B1(\soc_top/FE_OFN7_wb_rst_i ),
+	.Y(\soc_top/n_2644 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g122915  (
+	.A1(\soc_top/n_2586 ),
+	.A2(\soc_top/n_2554 ),
+	.B1(\soc_top/FE_OFN19_wb_rst_i ),
+	.Y(\soc_top/n_2643 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g122916  (
+	.A1(\soc_top/n_2608 ),
+	.A2(\soc_top/n_2553 ),
+	.B1(\soc_top/FE_OFN24_wb_rst_i ),
+	.Y(\soc_top/n_2642 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g122917  (
+	.A1(\soc_top/n_2583 ),
+	.A2(\soc_top/n_2551 ),
+	.B1(\soc_top/FE_OFN6_wb_rst_i ),
+	.Y(\soc_top/n_2641 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g122918  (
+	.A1(\soc_top/n_2582 ),
+	.A2(\soc_top/n_2550 ),
+	.B1(\soc_top/FE_OFN21_wb_rst_i ),
+	.Y(\soc_top/n_2640 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g122919  (
+	.A1(\soc_top/n_2584 ),
+	.A2(\soc_top/n_2552 ),
+	.B1(\soc_top/FE_OFN19_wb_rst_i ),
+	.Y(\soc_top/n_2639 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g122920  (
+	.A1(\soc_top/n_2581 ),
+	.A2(\soc_top/n_2549 ),
+	.B1(\soc_top/FE_OFN24_wb_rst_i ),
+	.Y(\soc_top/n_2638 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g122921  (
+	.A1(\soc_top/n_2580 ),
+	.A2(\soc_top/n_2548 ),
+	.B1(\soc_top/FE_OFN24_wb_rst_i ),
+	.Y(\soc_top/n_2637 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g122922  (
+	.A1(\soc_top/n_2579 ),
+	.A2(\soc_top/n_2547 ),
+	.B1(\soc_top/FE_OFN24_wb_rst_i ),
+	.Y(\soc_top/n_2636 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g122923  (
+	.A1(\soc_top/n_2578 ),
+	.A2(\soc_top/n_2546 ),
+	.B1(\soc_top/FE_OFN6_wb_rst_i ),
+	.Y(\soc_top/n_2635 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g122924  (
+	.A1(\soc_top/n_2577 ),
+	.A2(\soc_top/n_2545 ),
+	.B1(\soc_top/FE_OFN21_wb_rst_i ),
+	.Y(\soc_top/n_2634 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122925  (
+	.A(\soc_top/FE_OFN274_n_2527 ),
+	.B(\soc_top/n_1915 ),
+	.Y(\soc_top/n_2633 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122926  (
+	.A(\soc_top/n_2530 ),
+	.B(\soc_top/n_2010 ),
+	.Y(\soc_top/n_2632 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122927  (
+	.A(\soc_top/n_2531 ),
+	.B(\soc_top/n_2011 ),
+	.Y(\soc_top/n_2631 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122928  (
+	.A(\soc_top/n_2532 ),
+	.B(\soc_top/n_2012 ),
+	.Y(\soc_top/n_2630 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122929  (
+	.A(\soc_top/n_2533 ),
+	.B(\soc_top/n_2013 ),
+	.Y(\soc_top/n_2629 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122930  (
+	.A(\soc_top/FE_OFN275_n_2534 ),
+	.B(\soc_top/n_2014 ),
+	.Y(\soc_top/n_2628 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122931  (
+	.A(\soc_top/n_2535 ),
+	.B(\soc_top/n_2015 ),
+	.Y(\soc_top/n_2627 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122932  (
+	.A(\soc_top/FE_OFN277_n_2537 ),
+	.B(\soc_top/n_2017 ),
+	.Y(\soc_top/n_2626 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122933  (
+	.A(\soc_top/FE_OFN276_n_2536 ),
+	.B(\soc_top/n_2016 ),
+	.Y(\soc_top/n_2625 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 \soc_top/g122941  (
+	.A1(\soc_top/n_1826 ),
+	.A2(\soc_top/FE_OFN55_dmem_io_rsp_bits_dataResponse_9 ),
+	.B1(\soc_top/n_2478 ),
+	.C1(\soc_top/n_2483 ),
+	.X(\soc_top/n_2624 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122942  (
+	.A(\soc_top/n_2528 ),
+	.B(\soc_top/n_1916 ),
+	.Y(\soc_top/n_2623 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122943  (
+	.A(\soc_top/n_2525 ),
+	.B(\soc_top/n_1913 ),
+	.Y(\soc_top/n_2622 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122944  (
+	.A(\soc_top/FE_OFN273_n_2524 ),
+	.B(\soc_top/n_1912 ),
+	.Y(\soc_top/n_2621 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122945  (
+	.A(\soc_top/FE_OFN272_n_2523 ),
+	.B(\soc_top/n_1911 ),
+	.Y(\soc_top/n_2620 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122946  (
+	.A(\soc_top/FE_OFN271_n_2522 ),
+	.B(\soc_top/n_1910 ),
+	.Y(\soc_top/n_2619 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122947  (
+	.A(\soc_top/FE_OFN270_n_2521 ),
+	.B(\soc_top/n_1909 ),
+	.Y(\soc_top/n_2618 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122948  (
+	.A(\soc_top/FE_OFN269_n_2520 ),
+	.B(\soc_top/n_1908 ),
+	.Y(\soc_top/n_2617 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122949  (
+	.A(\soc_top/FE_OFN268_n_2519 ),
+	.B(\soc_top/n_1907 ),
+	.Y(\soc_top/n_2616 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122950  (
+	.A(\soc_top/FE_OFN267_n_2518 ),
+	.B(\soc_top/n_1906 ),
+	.Y(\soc_top/n_2615 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122951  (
+	.A(\soc_top/FE_OFN266_n_2517 ),
+	.B(\soc_top/n_1905 ),
+	.Y(\soc_top/n_2614 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 \soc_top/g122952  (
+	.A1(\soc_top/n_1826 ),
+	.A2(\soc_top/FE_OFN62_dmem_io_rsp_bits_dataResponse_0 ),
+	.B1(\soc_top/n_2482 ),
+	.C1(\soc_top/n_2496 ),
+	.X(\soc_top/n_2613 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 \soc_top/g122953  (
+	.A1(\soc_top/n_1826 ),
+	.A2(\soc_top/FE_OFN59_dmem_io_rsp_bits_dataResponse_3 ),
+	.B1(\soc_top/n_2481 ),
+	.C1(\soc_top/n_2486 ),
+	.X(\soc_top/n_2612 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 \soc_top/g122954  (
+	.A1(\soc_top/n_1826 ),
+	.A2(\soc_top/FE_OFN57_dmem_io_rsp_bits_dataResponse_6 ),
+	.B1(\soc_top/n_2480 ),
+	.C1(\soc_top/n_2485 ),
+	.X(\soc_top/n_2611 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 \soc_top/g122955  (
+	.A1(\soc_top/n_1826 ),
+	.A2(\soc_top/FE_OFN56_dmem_io_rsp_bits_dataResponse_8 ),
+	.B1(\soc_top/n_2479 ),
+	.C1(\soc_top/n_2484 ),
+	.X(\soc_top/n_2610 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122956  (
+	.A(\soc_top/n_2526 ),
+	.B(\soc_top/n_1914 ),
+	.Y(\soc_top/n_2609 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122957  (
+	.A(\soc_top/n_2516 ),
+	.B(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[23] ),
+	.Y(\soc_top/n_2608 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122958  (
+	.A(\soc_top/n_2516 ),
+	.B(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[1] ),
+	.Y(\soc_top/n_2607 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122959  (
+	.A(\soc_top/n_2516 ),
+	.B(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[2] ),
+	.Y(\soc_top/n_2606 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122960  (
+	.A(\soc_top/n_2516 ),
+	.B(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[3] ),
+	.Y(\soc_top/n_2605 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122961  (
+	.A(\soc_top/FE_OFN265_n_2516 ),
+	.B(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[4] ),
+	.Y(\soc_top/n_2604 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122962  (
+	.A(\soc_top/FE_OFN265_n_2516 ),
+	.B(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[5] ),
+	.Y(\soc_top/n_2603 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122963  (
+	.A(\soc_top/FE_OFN265_n_2516 ),
+	.B(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[6] ),
+	.Y(\soc_top/n_2602 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122964  (
+	.A(\soc_top/n_2516 ),
+	.B(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[7] ),
+	.Y(\soc_top/n_2601 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122965  (
+	.A(\soc_top/n_2516 ),
+	.B(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[8] ),
+	.Y(\soc_top/n_2600 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122966  (
+	.A(\soc_top/FE_OFN265_n_2516 ),
+	.B(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[9] ),
+	.Y(\soc_top/n_2599 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122967  (
+	.A(\soc_top/n_2516 ),
+	.B(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[10] ),
+	.Y(\soc_top/n_2598 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122968  (
+	.A(\soc_top/n_2516 ),
+	.B(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[11] ),
+	.Y(\soc_top/n_2597 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122969  (
+	.A(\soc_top/n_2516 ),
+	.B(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[12] ),
+	.Y(\soc_top/n_2596 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122970  (
+	.A(\soc_top/n_2516 ),
+	.B(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[13] ),
+	.Y(\soc_top/n_2595 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122971  (
+	.A(\soc_top/FE_OFN265_n_2516 ),
+	.B(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[14] ),
+	.Y(\soc_top/n_2594 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122972  (
+	.A(\soc_top/n_2516 ),
+	.B(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[15] ),
+	.Y(\soc_top/n_2593 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122973  (
+	.A(\soc_top/n_2516 ),
+	.B(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[16] ),
+	.Y(\soc_top/n_2592 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122974  (
+	.A(\soc_top/n_2516 ),
+	.B(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[17] ),
+	.Y(\soc_top/n_2591 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122975  (
+	.A(\soc_top/n_2516 ),
+	.B(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[18] ),
+	.Y(\soc_top/n_2590 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122976  (
+	.A(\soc_top/FE_OFN265_n_2516 ),
+	.B(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[19] ),
+	.Y(\soc_top/n_2589 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122977  (
+	.A(\soc_top/n_2516 ),
+	.B(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[20] ),
+	.Y(\soc_top/n_2588 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122978  (
+	.A(\soc_top/n_2516 ),
+	.B(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[21] ),
+	.Y(\soc_top/n_2587 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122979  (
+	.A(\soc_top/FE_OFN265_n_2516 ),
+	.B(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[22] ),
+	.Y(\soc_top/n_2586 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122980  (
+	.A(\soc_top/n_2516 ),
+	.B(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[0] ),
+	.Y(\soc_top/n_2585 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122981  (
+	.A(\soc_top/FE_OFN265_n_2516 ),
+	.B(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[24] ),
+	.Y(\soc_top/n_2584 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122982  (
+	.A(\soc_top/FE_OFN265_n_2516 ),
+	.B(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[25] ),
+	.Y(\soc_top/n_2583 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122983  (
+	.A(\soc_top/n_2516 ),
+	.B(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[26] ),
+	.Y(\soc_top/n_2582 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122984  (
+	.A(\soc_top/n_2516 ),
+	.B(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[27] ),
+	.Y(\soc_top/n_2581 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122985  (
+	.A(\soc_top/n_2516 ),
+	.B(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[28] ),
+	.Y(\soc_top/n_2580 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122986  (
+	.A(\soc_top/n_2516 ),
+	.B(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[29] ),
+	.Y(\soc_top/n_2579 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122987  (
+	.A(\soc_top/FE_OFN265_n_2516 ),
+	.B(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[30] ),
+	.Y(\soc_top/n_2578 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g122988  (
+	.A(\soc_top/n_2516 ),
+	.B(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[31] ),
+	.Y(\soc_top/n_2577 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g122989  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[1] ),
+	.A2(\soc_top/n_1871 ),
+	.B1(\soc_top/n_6005 ),
+	.B2(\soc_top/n_169 ),
+	.C1(\soc_top/n_2515 ),
+	.Y(\soc_top/n_2576 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g122990  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[0] ),
+	.A2(\soc_top/n_1875 ),
+	.B1(\soc_top/n_6004 ),
+	.B2(\soc_top/n_169 ),
+	.C1(\soc_top/n_2515 ),
+	.Y(\soc_top/n_2575 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g122991  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[2] ),
+	.A2(\soc_top/n_1849 ),
+	.B1(\soc_top/n_6006 ),
+	.B2(\soc_top/FE_OFN165_n_169 ),
+	.C1(\soc_top/n_2515 ),
+	.Y(\soc_top/n_2574 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g122992  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[3] ),
+	.A2(\soc_top/n_1853 ),
+	.B1(\soc_top/n_6007 ),
+	.B2(\soc_top/n_169 ),
+	.C1(\soc_top/n_2515 ),
+	.Y(\soc_top/n_2573 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g122993  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[4] ),
+	.A2(\soc_top/n_1873 ),
+	.B1(\soc_top/n_6008 ),
+	.B2(\soc_top/n_169 ),
+	.C1(\soc_top/n_2515 ),
+	.Y(\soc_top/n_2572 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g122994  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[5] ),
+	.A2(\soc_top/n_1876 ),
+	.B1(\soc_top/n_6009 ),
+	.B2(\soc_top/n_169 ),
+	.C1(\soc_top/n_2515 ),
+	.Y(\soc_top/n_2571 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g122995  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[6] ),
+	.A2(\soc_top/n_1874 ),
+	.B1(\soc_top/n_6010 ),
+	.B2(\soc_top/n_169 ),
+	.C1(\soc_top/n_2515 ),
+	.Y(\soc_top/n_2570 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g122996  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[7] ),
+	.A2(\soc_top/n_1872 ),
+	.B1(\soc_top/n_6011 ),
+	.B2(\soc_top/n_169 ),
+	.C1(\soc_top/n_2515 ),
+	.Y(\soc_top/n_2569 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g122997  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[8] ),
+	.A2(\soc_top/n_1854 ),
+	.B1(\soc_top/n_6012 ),
+	.B2(\soc_top/n_169 ),
+	.C1(\soc_top/n_2515 ),
+	.Y(\soc_top/n_2568 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g122998  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[9] ),
+	.A2(\soc_top/FE_OFN264_n_1851 ),
+	.B1(\soc_top/n_6013 ),
+	.B2(\soc_top/n_169 ),
+	.C1(\soc_top/n_2515 ),
+	.Y(\soc_top/n_2567 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g122999  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[10] ),
+	.A2(\soc_top/n_1852 ),
+	.B1(\soc_top/n_6014 ),
+	.B2(\soc_top/FE_OFN165_n_169 ),
+	.C1(\soc_top/n_2515 ),
+	.Y(\soc_top/n_2566 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g123000  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[11] ),
+	.A2(\soc_top/n_1850 ),
+	.B1(\soc_top/n_6015 ),
+	.B2(\soc_top/FE_OFN165_n_169 ),
+	.C1(\soc_top/n_2515 ),
+	.Y(\soc_top/n_2565 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g123001  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[12] ),
+	.A2(\soc_top/n_2054 ),
+	.B1(\soc_top/n_6016 ),
+	.B2(\soc_top/FE_OFN165_n_169 ),
+	.C1(\soc_top/n_2515 ),
+	.Y(\soc_top/n_2564 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g123002  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[13] ),
+	.A2(\soc_top/n_2052 ),
+	.B1(\soc_top/n_6017 ),
+	.B2(\soc_top/FE_OFN165_n_169 ),
+	.C1(\soc_top/n_2515 ),
+	.Y(\soc_top/n_2563 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/g123003  (
+	.A1(\soc_top/n_1826 ),
+	.A2(\soc_top/dmem_io_rsp_bits_dataResponse[29] ),
+	.B1(\soc_top/n_2502 ),
+	.X(\soc_top/n_2562 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g123004  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[15] ),
+	.A2(\soc_top/n_2051 ),
+	.B1(\soc_top/n_6019 ),
+	.B2(\soc_top/FE_OFN165_n_169 ),
+	.C1(\soc_top/n_2515 ),
+	.Y(\soc_top/n_2561 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g123005  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[16] ),
+	.A2(\soc_top/n_1855 ),
+	.B1(\soc_top/n_6020 ),
+	.B2(\soc_top/n_169 ),
+	.C1(\soc_top/n_2515 ),
+	.Y(\soc_top/n_2560 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g123006  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[17] ),
+	.A2(\soc_top/n_1861 ),
+	.B1(\soc_top/n_6021 ),
+	.B2(\soc_top/n_169 ),
+	.C1(\soc_top/n_2515 ),
+	.Y(\soc_top/n_2559 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g123007  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[18] ),
+	.A2(\soc_top/n_1858 ),
+	.B1(\soc_top/n_6022 ),
+	.B2(\soc_top/FE_OFN165_n_169 ),
+	.C1(\soc_top/n_2515 ),
+	.Y(\soc_top/n_2558 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g123008  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[19] ),
+	.A2(\soc_top/n_1860 ),
+	.B1(\soc_top/n_6023 ),
+	.B2(\soc_top/n_169 ),
+	.C1(\soc_top/n_2515 ),
+	.Y(\soc_top/n_2557 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g123009  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[20] ),
+	.A2(\soc_top/n_1870 ),
+	.B1(\soc_top/n_6024 ),
+	.B2(\soc_top/n_169 ),
+	.C1(\soc_top/n_2515 ),
+	.Y(\soc_top/n_2556 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g123010  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[21] ),
+	.A2(\soc_top/n_1869 ),
+	.B1(\soc_top/n_6025 ),
+	.B2(\soc_top/n_169 ),
+	.C1(\soc_top/n_2515 ),
+	.Y(\soc_top/n_2555 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g123011  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[22] ),
+	.A2(\soc_top/n_1856 ),
+	.B1(\soc_top/n_6026 ),
+	.B2(\soc_top/n_169 ),
+	.C1(\soc_top/n_2515 ),
+	.Y(\soc_top/n_2554 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g123012  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[23] ),
+	.A2(\soc_top/n_1859 ),
+	.B1(\soc_top/n_6027 ),
+	.B2(\soc_top/n_169 ),
+	.C1(\soc_top/n_2515 ),
+	.Y(\soc_top/n_2553 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g123013  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[24] ),
+	.A2(\soc_top/n_1862 ),
+	.B1(\soc_top/n_6028 ),
+	.B2(\soc_top/n_169 ),
+	.C1(\soc_top/n_2515 ),
+	.Y(\soc_top/n_2552 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g123014  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[25] ),
+	.A2(\soc_top/n_1868 ),
+	.B1(\soc_top/n_6029 ),
+	.B2(\soc_top/n_169 ),
+	.C1(\soc_top/n_2515 ),
+	.Y(\soc_top/n_2551 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g123015  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[26] ),
+	.A2(\soc_top/n_1863 ),
+	.B1(\soc_top/n_6030 ),
+	.B2(\soc_top/FE_OFN165_n_169 ),
+	.C1(\soc_top/n_2515 ),
+	.Y(\soc_top/n_2550 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g123016  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[27] ),
+	.A2(\soc_top/n_1866 ),
+	.B1(\soc_top/n_6031 ),
+	.B2(\soc_top/FE_OFN165_n_169 ),
+	.C1(\soc_top/n_2515 ),
+	.Y(\soc_top/n_2549 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g123017  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[28] ),
+	.A2(\soc_top/n_1857 ),
+	.B1(\soc_top/n_6032 ),
+	.B2(\soc_top/FE_OFN165_n_169 ),
+	.C1(\soc_top/n_2515 ),
+	.Y(\soc_top/n_2548 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g123018  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[29] ),
+	.A2(\soc_top/n_1867 ),
+	.B1(\soc_top/n_6033 ),
+	.B2(\soc_top/n_169 ),
+	.C1(\soc_top/n_2515 ),
+	.Y(\soc_top/n_2547 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g123019  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[30] ),
+	.A2(\soc_top/n_1864 ),
+	.B1(\soc_top/n_6034 ),
+	.B2(\soc_top/n_169 ),
+	.C1(\soc_top/n_2515 ),
+	.Y(\soc_top/n_2546 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g123020  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[31] ),
+	.A2(\soc_top/n_1865 ),
+	.B1(\soc_top/n_6035 ),
+	.B2(\soc_top/FE_OFN165_n_169 ),
+	.C1(\soc_top/n_2515 ),
+	.Y(\soc_top/n_2545 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/g123021  (
+	.A1(\soc_top/n_1826 ),
+	.A2(\soc_top/dmem_io_rsp_bits_dataResponse[17] ),
+	.B1(\soc_top/n_2513 ),
+	.X(\soc_top/n_2544 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/g123022  (
+	.A1(\soc_top/n_1826 ),
+	.A2(\soc_top/dmem_io_rsp_bits_dataResponse[20] ),
+	.B1(\soc_top/n_2511 ),
+	.X(\soc_top/n_2543 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/g123023  (
+	.A1(\soc_top/n_1826 ),
+	.A2(\soc_top/dmem_io_rsp_bits_dataResponse[21] ),
+	.B1(\soc_top/n_2510 ),
+	.X(\soc_top/n_2542 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/g123024  (
+	.A1(\soc_top/n_1826 ),
+	.A2(\soc_top/dmem_io_rsp_bits_dataResponse[23] ),
+	.B1(\soc_top/n_2508 ),
+	.X(\soc_top/n_2541 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/g123025  (
+	.A1(\soc_top/n_1826 ),
+	.A2(\soc_top/FE_OFN54_dmem_io_rsp_bits_dataResponse_25 ),
+	.B1(\soc_top/n_2506 ),
+	.X(\soc_top/n_2540 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g123026  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[14] ),
+	.A2(\soc_top/n_2053 ),
+	.B1(\soc_top/n_6018 ),
+	.B2(\soc_top/n_169 ),
+	.C1(\soc_top/n_2515 ),
+	.Y(\soc_top/n_2539 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/g123027  (
+	.A1(\soc_top/n_1826 ),
+	.A2(\soc_top/dmem_io_rsp_bits_dataResponse[30] ),
+	.B1(\soc_top/n_2501 ),
+	.X(\soc_top/n_2538 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123028  (
+	.A1(\soc_top/n_2243 ),
+	.A2(io_out[24]),
+	.B1(\soc_top/n_2241 ),
+	.B2(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[18] ),
+	.C1(\soc_top/n_2505 ),
+	.Y(\soc_top/n_2537 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123029  (
+	.A1(\soc_top/n_2243 ),
+	.A2(FE_OFN37_io_out_25),
+	.B1(\soc_top/n_2241 ),
+	.B2(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[19] ),
+	.C1(\soc_top/n_2512 ),
+	.Y(\soc_top/n_2536 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123030  (
+	.A1(\soc_top/n_2243 ),
+	.A2(FE_OFN6691_io_out_28),
+	.B1(\soc_top/n_2241 ),
+	.B2(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[22] ),
+	.C1(\soc_top/n_2509 ),
+	.Y(\soc_top/n_2535 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123031  (
+	.A1(\soc_top/n_2243 ),
+	.A2(FE_OFN32_io_out_30),
+	.B1(\soc_top/n_2241 ),
+	.B2(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[24] ),
+	.C1(\soc_top/n_2507 ),
+	.Y(\soc_top/n_2534 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123032  (
+	.A1(\soc_top/n_2243 ),
+	.A2(io_out[32]),
+	.B1(\soc_top/n_2241 ),
+	.B2(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[26] ),
+	.C1(\soc_top/n_2514 ),
+	.Y(\soc_top/n_2533 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123033  (
+	.A1(\soc_top/n_2243 ),
+	.A2(FE_OFN30_io_out_33),
+	.B1(\soc_top/n_2241 ),
+	.B2(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[27] ),
+	.C1(\soc_top/n_2504 ),
+	.Y(\soc_top/n_2532 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123034  (
+	.A1(\soc_top/n_2243 ),
+	.A2(FE_OFN29_io_out_34),
+	.B1(\soc_top/n_2241 ),
+	.B2(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[28] ),
+	.C1(\soc_top/n_2503 ),
+	.Y(\soc_top/n_2531 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123035  (
+	.A1(\soc_top/n_2243 ),
+	.A2(FE_OFN26_io_out_37),
+	.B1(\soc_top/n_2241 ),
+	.B2(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[31] ),
+	.C1(\soc_top/n_2500 ),
+	.Y(\soc_top/n_2530 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 \soc_top/g123036  (
+	.A(\soc_top/core_io_dmemReq_bits_addrRequest[18] ),
+	.B(\soc_top/core_io_dmemReq_bits_addrRequest[20] ),
+	.C(\soc_top/core_io_dmemReq_bits_addrRequest[22] ),
+	.D(\soc_top/n_2405 ),
+	.X(\soc_top/n_2529 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123037  (
+	.A1(\soc_top/n_2354 ),
+	.A2(gpio_oe[1]),
+	.B1(\soc_top/n_2242 ),
+	.B2(gpio_oe[17]),
+	.C1(\soc_top/n_2497 ),
+	.Y(\soc_top/n_2528 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123038  (
+	.A1(\soc_top/n_2354 ),
+	.A2(gpio_oe[2]),
+	.B1(\soc_top/n_2242 ),
+	.B2(gpio_oe[18]),
+	.C1(\soc_top/n_2499 ),
+	.Y(\soc_top/n_2527 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123039  (
+	.A1(\soc_top/n_2354 ),
+	.A2(gpio_oe[4]),
+	.B1(\soc_top/n_2242 ),
+	.B2(gpio_oe[20]),
+	.C1(\soc_top/n_2487 ),
+	.Y(\soc_top/n_2526 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123040  (
+	.A1(\soc_top/n_2354 ),
+	.A2(gpio_oe[5]),
+	.B1(\soc_top/n_2242 ),
+	.B2(gpio_oe[21]),
+	.C1(\soc_top/n_2495 ),
+	.Y(\soc_top/n_2525 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123041  (
+	.A1(\soc_top/n_2354 ),
+	.A2(gpio_oe[7]),
+	.B1(\soc_top/n_2242 ),
+	.B2(gpio_oe[23]),
+	.C1(\soc_top/n_2494 ),
+	.Y(\soc_top/n_2524 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123042  (
+	.A1(\soc_top/n_2354 ),
+	.A2(gpio_oe[10]),
+	.B1(\soc_top/n_2242 ),
+	.B2(gpio_oe[26]),
+	.C1(\soc_top/n_2493 ),
+	.Y(\soc_top/n_2523 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123043  (
+	.A1(\soc_top/n_2354 ),
+	.A2(gpio_oe[11]),
+	.B1(\soc_top/n_2242 ),
+	.B2(gpio_oe[27]),
+	.C1(\soc_top/n_2492 ),
+	.Y(\soc_top/n_2522 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123044  (
+	.A1(\soc_top/n_2354 ),
+	.A2(gpio_oe[12]),
+	.B1(\soc_top/n_2242 ),
+	.B2(gpio_oe[28]),
+	.C1(\soc_top/n_2491 ),
+	.Y(\soc_top/n_2521 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123045  (
+	.A1(\soc_top/n_2354 ),
+	.A2(gpio_oe[13]),
+	.B1(\soc_top/n_2242 ),
+	.B2(gpio_oe[29]),
+	.C1(\soc_top/n_2490 ),
+	.Y(\soc_top/n_2520 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123046  (
+	.A1(\soc_top/n_2354 ),
+	.A2(gpio_oe[14]),
+	.B1(\soc_top/n_2242 ),
+	.B2(gpio_oe[30]),
+	.C1(\soc_top/n_2489 ),
+	.Y(\soc_top/n_2519 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123047  (
+	.A1(\soc_top/n_2354 ),
+	.A2(gpio_oe[15]),
+	.B1(\soc_top/n_2242 ),
+	.B2(gpio_oe[31]),
+	.C1(\soc_top/n_2488 ),
+	.Y(\soc_top/n_2518 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123048  (
+	.A1(\soc_top/n_2243 ),
+	.A2(FE_OFN39_io_out_22),
+	.B1(\soc_top/n_2241 ),
+	.B2(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[16] ),
+	.C1(\soc_top/n_2498 ),
+	.Y(\soc_top/n_2517 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g123049  (
+	.A(\soc_top/FE_OFN265_n_2516 ),
+	.Y(\soc_top/n_2515 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g123050  (
+	.A(\soc_top/n_2418 ),
+	.B(\soc_top/n_2310 ),
+	.C(\soc_top/n_2203 ),
+	.D(\soc_top/n_2311 ),
+	.Y(\soc_top/n_2514 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g123051  (
+	.A(\soc_top/n_2465 ),
+	.B(\soc_top/n_2412 ),
+	.C(\soc_top/n_2352 ),
+	.D(\soc_top/n_2324 ),
+	.Y(\soc_top/n_2513 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g123052  (
+	.A(\soc_top/n_2421 ),
+	.B(\soc_top/n_2320 ),
+	.C(\soc_top/n_2203 ),
+	.D(\soc_top/n_2321 ),
+	.Y(\soc_top/n_2512 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g123053  (
+	.A(\soc_top/n_2464 ),
+	.B(\soc_top/n_2411 ),
+	.C(\soc_top/n_2351 ),
+	.D(\soc_top/n_2319 ),
+	.Y(\soc_top/n_2511 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g123054  (
+	.A(\soc_top/n_2463 ),
+	.B(\soc_top/n_2410 ),
+	.C(\soc_top/n_2350 ),
+	.D(\soc_top/n_2318 ),
+	.Y(\soc_top/n_2510 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g123055  (
+	.A(\soc_top/n_2420 ),
+	.B(\soc_top/n_2316 ),
+	.C(\soc_top/n_2203 ),
+	.D(\soc_top/n_2317 ),
+	.Y(\soc_top/n_2509 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g123056  (
+	.A(\soc_top/n_2462 ),
+	.B(\soc_top/n_2409 ),
+	.C(\soc_top/n_2349 ),
+	.D(\soc_top/n_2315 ),
+	.Y(\soc_top/n_2508 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g123057  (
+	.A(\soc_top/n_2419 ),
+	.B(\soc_top/n_2313 ),
+	.C(\soc_top/n_2203 ),
+	.D(\soc_top/n_2314 ),
+	.Y(\soc_top/n_2507 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g123058  (
+	.A(\soc_top/n_2461 ),
+	.B(\soc_top/n_2408 ),
+	.C(\soc_top/n_2348 ),
+	.D(\soc_top/n_2312 ),
+	.Y(\soc_top/n_2506 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g123059  (
+	.A(\soc_top/n_2422 ),
+	.B(\soc_top/n_2322 ),
+	.C(\soc_top/n_2203 ),
+	.D(\soc_top/n_2323 ),
+	.Y(\soc_top/n_2505 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g123060  (
+	.A(\soc_top/n_2417 ),
+	.B(\soc_top/n_2308 ),
+	.C(\soc_top/n_2203 ),
+	.D(\soc_top/n_2309 ),
+	.Y(\soc_top/n_2504 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g123061  (
+	.A(\soc_top/n_2416 ),
+	.B(\soc_top/n_2306 ),
+	.C(\soc_top/n_2203 ),
+	.D(\soc_top/n_2307 ),
+	.Y(\soc_top/n_2503 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g123062  (
+	.A(\soc_top/n_2460 ),
+	.B(\soc_top/n_2407 ),
+	.C(\soc_top/n_2347 ),
+	.D(\soc_top/n_2305 ),
+	.Y(\soc_top/n_2502 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g123063  (
+	.A(\soc_top/n_2459 ),
+	.B(\soc_top/n_2406 ),
+	.C(\soc_top/n_2346 ),
+	.D(\soc_top/n_2304 ),
+	.Y(\soc_top/n_2501 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g123064  (
+	.A(\soc_top/n_2436 ),
+	.B(\soc_top/n_2302 ),
+	.C(\soc_top/n_2203 ),
+	.D(\soc_top/n_2303 ),
+	.Y(\soc_top/n_2500 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g123065  (
+	.A(\soc_top/n_2476 ),
+	.B(\soc_top/n_2377 ),
+	.C(\soc_top/n_2335 ),
+	.D(\soc_top/n_2271 ),
+	.Y(\soc_top/n_2499 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g123066  (
+	.A(\soc_top/n_2414 ),
+	.B(\soc_top/n_2325 ),
+	.C(\soc_top/n_2203 ),
+	.D(\soc_top/n_2244 ),
+	.Y(\soc_top/n_2498 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g123067  (
+	.A(\soc_top/n_2477 ),
+	.B(\soc_top/n_2376 ),
+	.C(\soc_top/n_2336 ),
+	.D(\soc_top/n_2273 ),
+	.Y(\soc_top/n_2497 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_2 \soc_top/g123068  (
+	.A(\soc_top/n_2466 ),
+	.B(\soc_top/n_2218 ),
+	.C(\soc_top/FE_OFN165_n_169 ),
+	.X(\soc_top/n_2516 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g123069  (
+	.A(\soc_top/n_2458 ),
+	.B(\soc_top/n_2404 ),
+	.C(\soc_top/n_2355 ),
+	.Y(\soc_top/n_2496 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g123070  (
+	.A(\soc_top/n_2474 ),
+	.B(\soc_top/n_2379 ),
+	.C(\soc_top/n_2333 ),
+	.D(\soc_top/n_2265 ),
+	.Y(\soc_top/n_2495 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g123071  (
+	.A(\soc_top/n_2473 ),
+	.B(\soc_top/n_2380 ),
+	.C(\soc_top/n_2332 ),
+	.D(\soc_top/n_2261 ),
+	.Y(\soc_top/n_2494 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g123072  (
+	.A(\soc_top/n_2472 ),
+	.B(\soc_top/n_2381 ),
+	.C(\soc_top/n_2331 ),
+	.D(\soc_top/n_2255 ),
+	.Y(\soc_top/n_2493 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g123073  (
+	.A(\soc_top/n_2471 ),
+	.B(\soc_top/n_2382 ),
+	.C(\soc_top/n_2330 ),
+	.D(\soc_top/n_2253 ),
+	.Y(\soc_top/n_2492 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g123074  (
+	.A(\soc_top/n_2470 ),
+	.B(\soc_top/n_2383 ),
+	.C(\soc_top/n_2329 ),
+	.D(\soc_top/n_2251 ),
+	.Y(\soc_top/n_2491 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g123075  (
+	.A(\soc_top/n_2469 ),
+	.B(\soc_top/n_2384 ),
+	.C(\soc_top/n_2328 ),
+	.D(\soc_top/n_2249 ),
+	.Y(\soc_top/n_2490 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g123076  (
+	.A(\soc_top/n_2468 ),
+	.B(\soc_top/n_2385 ),
+	.C(\soc_top/n_2327 ),
+	.D(\soc_top/n_2247 ),
+	.Y(\soc_top/n_2489 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g123077  (
+	.A(\soc_top/n_2467 ),
+	.B(\soc_top/n_2386 ),
+	.C(\soc_top/n_2326 ),
+	.D(\soc_top/n_2245 ),
+	.Y(\soc_top/n_2488 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g123078  (
+	.A(\soc_top/n_2475 ),
+	.B(\soc_top/n_2378 ),
+	.C(\soc_top/n_2334 ),
+	.D(\soc_top/n_2267 ),
+	.Y(\soc_top/n_2487 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g123079  (
+	.A(\soc_top/n_2457 ),
+	.B(\soc_top/n_2403 ),
+	.C(\soc_top/n_2356 ),
+	.Y(\soc_top/n_2486 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g123080  (
+	.A(\soc_top/n_2456 ),
+	.B(\soc_top/n_2402 ),
+	.C(\soc_top/n_2357 ),
+	.Y(\soc_top/n_2485 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g123081  (
+	.A(\soc_top/n_2455 ),
+	.B(\soc_top/n_2401 ),
+	.C(\soc_top/n_2358 ),
+	.Y(\soc_top/n_2484 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g123082  (
+	.A(\soc_top/n_2454 ),
+	.B(\soc_top/n_2400 ),
+	.C(\soc_top/n_2117 ),
+	.Y(\soc_top/n_2483 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g123083  (
+	.A(\soc_top/n_2276 ),
+	.B(\soc_top/n_2275 ),
+	.C(\soc_top/n_2134 ),
+	.D(\soc_top/n_2203 ),
+	.Y(\soc_top/n_2482 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g123084  (
+	.A(\soc_top/n_2270 ),
+	.B(\soc_top/n_2269 ),
+	.C(\soc_top/n_2133 ),
+	.D(\soc_top/n_2203 ),
+	.Y(\soc_top/n_2481 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g123085  (
+	.A(\soc_top/n_2264 ),
+	.B(\soc_top/n_2263 ),
+	.C(\soc_top/n_2132 ),
+	.D(\soc_top/n_2203 ),
+	.Y(\soc_top/n_2480 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g123086  (
+	.A(\soc_top/n_2260 ),
+	.B(\soc_top/n_2259 ),
+	.C(\soc_top/n_2131 ),
+	.D(\soc_top/n_2203 ),
+	.Y(\soc_top/n_2479 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g123087  (
+	.A(\soc_top/n_2258 ),
+	.B(\soc_top/n_2257 ),
+	.C(\soc_top/n_2130 ),
+	.D(\soc_top/n_2203 ),
+	.Y(\soc_top/n_2478 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123119  (
+	.A1(\soc_top/n_2241 ),
+	.A2(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[1] ),
+	.B1(\soc_top/n_2035 ),
+	.B2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[1] ),
+	.C1(\soc_top/n_2274 ),
+	.Y(\soc_top/n_2477 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123120  (
+	.A1(\soc_top/n_2241 ),
+	.A2(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[2] ),
+	.B1(\soc_top/FE_OFN90_n_2035 ),
+	.B2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[2] ),
+	.C1(\soc_top/n_2272 ),
+	.Y(\soc_top/n_2476 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123121  (
+	.A1(\soc_top/n_2241 ),
+	.A2(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[4] ),
+	.B1(\soc_top/n_2035 ),
+	.B2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[4] ),
+	.C1(\soc_top/n_2268 ),
+	.Y(\soc_top/n_2475 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123122  (
+	.A1(\soc_top/n_2241 ),
+	.A2(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[5] ),
+	.B1(\soc_top/n_2035 ),
+	.B2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[5] ),
+	.C1(\soc_top/n_2266 ),
+	.Y(\soc_top/n_2474 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123123  (
+	.A1(\soc_top/n_2241 ),
+	.A2(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[7] ),
+	.B1(\soc_top/FE_OFN90_n_2035 ),
+	.B2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[7] ),
+	.C1(\soc_top/n_2262 ),
+	.Y(\soc_top/n_2473 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123124  (
+	.A1(\soc_top/n_2241 ),
+	.A2(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[10] ),
+	.B1(\soc_top/FE_OFN90_n_2035 ),
+	.B2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[10] ),
+	.C1(\soc_top/n_2256 ),
+	.Y(\soc_top/n_2472 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123125  (
+	.A1(\soc_top/n_2241 ),
+	.A2(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[11] ),
+	.B1(\soc_top/FE_OFN90_n_2035 ),
+	.B2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[11] ),
+	.C1(\soc_top/n_2254 ),
+	.Y(\soc_top/n_2471 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123126  (
+	.A1(\soc_top/n_2241 ),
+	.A2(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[12] ),
+	.B1(\soc_top/FE_OFN90_n_2035 ),
+	.B2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[12] ),
+	.C1(\soc_top/n_2252 ),
+	.Y(\soc_top/n_2470 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123127  (
+	.A1(\soc_top/n_2241 ),
+	.A2(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[13] ),
+	.B1(\soc_top/FE_OFN90_n_2035 ),
+	.B2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[13] ),
+	.C1(\soc_top/n_2250 ),
+	.Y(\soc_top/n_2469 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123128  (
+	.A1(\soc_top/n_2241 ),
+	.A2(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[14] ),
+	.B1(\soc_top/n_2035 ),
+	.B2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[14] ),
+	.C1(\soc_top/n_2248 ),
+	.Y(\soc_top/n_2468 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123129  (
+	.A1(\soc_top/n_2241 ),
+	.A2(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[15] ),
+	.B1(\soc_top/FE_OFN90_n_2035 ),
+	.B2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[15] ),
+	.C1(\soc_top/n_2246 ),
+	.Y(\soc_top/n_2467 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g123130  (
+	.A(\soc_top/n_2051 ),
+	.B(\soc_top/n_2368 ),
+	.C(\soc_top/n_2367 ),
+	.Y(\soc_top/n_2466 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123131  (
+	.A1(\soc_top/n_2241 ),
+	.A2(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[17] ),
+	.B1(\soc_top/n_2243 ),
+	.B2(FE_OFN38_io_out_23),
+	.C1(\soc_top/n_2202 ),
+	.Y(\soc_top/n_2465 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123132  (
+	.A1(\soc_top/n_2241 ),
+	.A2(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[20] ),
+	.B1(\soc_top/n_2243 ),
+	.B2(FE_OFN36_io_out_26),
+	.C1(\soc_top/n_2202 ),
+	.Y(\soc_top/n_2464 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123133  (
+	.A1(\soc_top/n_2241 ),
+	.A2(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[21] ),
+	.B1(\soc_top/n_2243 ),
+	.B2(FE_OFN35_io_out_27),
+	.C1(\soc_top/n_2202 ),
+	.Y(\soc_top/n_2463 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123134  (
+	.A1(\soc_top/n_2241 ),
+	.A2(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[23] ),
+	.B1(\soc_top/n_2243 ),
+	.B2(FE_OFN33_io_out_29),
+	.C1(\soc_top/n_2202 ),
+	.Y(\soc_top/n_2462 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123135  (
+	.A1(\soc_top/n_2241 ),
+	.A2(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[25] ),
+	.B1(\soc_top/n_2243 ),
+	.B2(FE_OFN31_io_out_31),
+	.C1(\soc_top/n_2202 ),
+	.Y(\soc_top/n_2461 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123136  (
+	.A1(\soc_top/n_2241 ),
+	.A2(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[29] ),
+	.B1(\soc_top/n_2243 ),
+	.B2(FE_OFN28_io_out_35),
+	.C1(\soc_top/n_2202 ),
+	.Y(\soc_top/n_2460 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123137  (
+	.A1(\soc_top/n_2241 ),
+	.A2(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[30] ),
+	.B1(\soc_top/n_2243 ),
+	.B2(FE_OFN27_io_out_36),
+	.C1(\soc_top/n_2202 ),
+	.Y(\soc_top/n_2459 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123138  (
+	.A1(\soc_top/n_2354 ),
+	.A2(gpio_oe[0]),
+	.B1(\soc_top/n_2242 ),
+	.B2(gpio_oe[16]),
+	.Y(\soc_top/n_2458 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123139  (
+	.A1(\soc_top/n_2354 ),
+	.A2(gpio_oe[3]),
+	.B1(\soc_top/n_2242 ),
+	.B2(gpio_oe[19]),
+	.Y(\soc_top/n_2457 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123140  (
+	.A1(\soc_top/n_2354 ),
+	.A2(gpio_oe[6]),
+	.B1(\soc_top/n_2242 ),
+	.B2(gpio_oe[22]),
+	.Y(\soc_top/n_2456 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123141  (
+	.A1(\soc_top/n_2354 ),
+	.A2(gpio_oe[8]),
+	.B1(\soc_top/n_2242 ),
+	.B2(gpio_oe[24]),
+	.Y(\soc_top/n_2455 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123142  (
+	.A1(\soc_top/n_2354 ),
+	.A2(gpio_oe[9]),
+	.B1(\soc_top/n_2242 ),
+	.B2(gpio_oe[25]),
+	.Y(\soc_top/n_2454 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123150  (
+	.A(\soc_top/n_2338 ),
+	.B(\soc_top/n_2061 ),
+	.Y(\soc_top/n_2453 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123151  (
+	.A(\soc_top/n_1931 ),
+	.B(\soc_top/n_2291 ),
+	.Y(\soc_top/n_2452 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123152  (
+	.A(\soc_top/n_1932 ),
+	.B(\soc_top/n_2292 ),
+	.Y(\soc_top/n_2451 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123153  (
+	.A(\soc_top/n_2344 ),
+	.B(\soc_top/n_2067 ),
+	.Y(\soc_top/n_2450 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123154  (
+	.A(\soc_top/n_2343 ),
+	.B(\soc_top/n_2066 ),
+	.Y(\soc_top/n_2449 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123155  (
+	.A(\soc_top/n_2342 ),
+	.B(\soc_top/n_2065 ),
+	.Y(\soc_top/n_2448 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123156  (
+	.A(\soc_top/n_2341 ),
+	.B(\soc_top/n_2064 ),
+	.Y(\soc_top/n_2447 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123157  (
+	.A(\soc_top/n_2340 ),
+	.B(\soc_top/n_2063 ),
+	.Y(\soc_top/n_2446 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123158  (
+	.A(\soc_top/n_2339 ),
+	.B(\soc_top/n_2062 ),
+	.Y(\soc_top/n_2445 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123159  (
+	.A(\soc_top/n_1933 ),
+	.B(\soc_top/n_2293 ),
+	.Y(\soc_top/n_2444 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123160  (
+	.A(\soc_top/n_2337 ),
+	.B(\soc_top/n_2060 ),
+	.Y(\soc_top/n_2443 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123161  (
+	.A(\soc_top/n_2009 ),
+	.B(\soc_top/n_2345 ),
+	.Y(\soc_top/n_2442 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123162  (
+	.A(\soc_top/n_1938 ),
+	.B(\soc_top/n_2298 ),
+	.Y(\soc_top/n_2441 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123163  (
+	.A(\soc_top/n_1937 ),
+	.B(\soc_top/n_2297 ),
+	.Y(\soc_top/n_2440 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123164  (
+	.A(\soc_top/n_1936 ),
+	.B(\soc_top/n_2296 ),
+	.Y(\soc_top/n_2439 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123165  (
+	.A(\soc_top/n_1935 ),
+	.B(\soc_top/n_2295 ),
+	.Y(\soc_top/n_2438 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123166  (
+	.A(\soc_top/n_1934 ),
+	.B(\soc_top/n_2294 ),
+	.Y(\soc_top/n_2437 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123193  (
+	.A1(\soc_top/FE_OFN108_n_6438 ),
+	.A2(gpio_oe[31]),
+	.B1(\soc_top/n_2036 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[31] ),
+	.C1(\soc_top/n_2143 ),
+	.Y(\soc_top/n_2436 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123194  (
+	.A(\soc_top/n_1929 ),
+	.B(\soc_top/n_2289 ),
+	.Y(\soc_top/n_2435 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123195  (
+	.A(\soc_top/n_1928 ),
+	.B(\soc_top/n_2287 ),
+	.Y(\soc_top/n_2434 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123196  (
+	.A(\soc_top/n_1927 ),
+	.B(\soc_top/n_2288 ),
+	.Y(\soc_top/n_2433 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123197  (
+	.A(\soc_top/n_1925 ),
+	.B(\soc_top/n_2285 ),
+	.Y(\soc_top/n_2432 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123198  (
+	.A(\soc_top/n_1926 ),
+	.B(\soc_top/n_2286 ),
+	.Y(\soc_top/n_2431 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123199  (
+	.A(\soc_top/n_1924 ),
+	.B(\soc_top/n_2284 ),
+	.Y(\soc_top/n_2430 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123200  (
+	.A(\soc_top/n_1923 ),
+	.B(\soc_top/n_2283 ),
+	.Y(\soc_top/n_2429 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123201  (
+	.A(\soc_top/n_1922 ),
+	.B(\soc_top/n_2282 ),
+	.Y(\soc_top/n_2428 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123202  (
+	.A(\soc_top/n_1921 ),
+	.B(\soc_top/n_2281 ),
+	.Y(\soc_top/n_2427 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123203  (
+	.A(\soc_top/n_1920 ),
+	.B(\soc_top/n_2280 ),
+	.Y(\soc_top/n_2426 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123204  (
+	.A(\soc_top/n_1919 ),
+	.B(\soc_top/n_2279 ),
+	.Y(\soc_top/n_2425 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123205  (
+	.A(\soc_top/n_1918 ),
+	.B(\soc_top/n_2278 ),
+	.Y(\soc_top/n_2424 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123206  (
+	.A(\soc_top/n_1917 ),
+	.B(\soc_top/n_2277 ),
+	.Y(\soc_top/n_2423 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123207  (
+	.A1(\soc_top/FE_OFN108_n_6438 ),
+	.A2(gpio_oe[18]),
+	.B1(\soc_top/n_2036 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[18] ),
+	.C1(\soc_top/n_2150 ),
+	.Y(\soc_top/n_2422 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123208  (
+	.A1(\soc_top/FE_OFN108_n_6438 ),
+	.A2(gpio_oe[19]),
+	.B1(\soc_top/n_2036 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[19] ),
+	.C1(\soc_top/n_2149 ),
+	.Y(\soc_top/n_2421 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123209  (
+	.A1(\soc_top/FE_OFN108_n_6438 ),
+	.A2(gpio_oe[22]),
+	.B1(\soc_top/n_2036 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[22] ),
+	.C1(\soc_top/n_2148 ),
+	.Y(\soc_top/n_2420 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123210  (
+	.A1(\soc_top/FE_OFN108_n_6438 ),
+	.A2(gpio_oe[24]),
+	.B1(\soc_top/n_2036 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[24] ),
+	.C1(\soc_top/n_2147 ),
+	.Y(\soc_top/n_2419 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123211  (
+	.A1(\soc_top/FE_OFN108_n_6438 ),
+	.A2(gpio_oe[26]),
+	.B1(\soc_top/n_2036 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[26] ),
+	.C1(\soc_top/n_2146 ),
+	.Y(\soc_top/n_2418 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123212  (
+	.A1(\soc_top/FE_OFN108_n_6438 ),
+	.A2(gpio_oe[27]),
+	.B1(\soc_top/n_2036 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[27] ),
+	.C1(\soc_top/n_2145 ),
+	.Y(\soc_top/n_2417 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123213  (
+	.A1(\soc_top/FE_OFN108_n_6438 ),
+	.A2(gpio_oe[28]),
+	.B1(\soc_top/n_2036 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[28] ),
+	.C1(\soc_top/n_2144 ),
+	.Y(\soc_top/n_2416 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123214  (
+	.A(\soc_top/n_1930 ),
+	.B(\soc_top/n_2290 ),
+	.Y(\soc_top/n_2415 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123215  (
+	.A1(\soc_top/FE_OFN108_n_6438 ),
+	.A2(gpio_oe[16]),
+	.B1(\soc_top/n_2036 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[16] ),
+	.C1(\soc_top/n_2151 ),
+	.Y(\soc_top/n_2414 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123216  (
+	.A1(\soc_top/n_5648 ),
+	.A2(\soc_top/n_6443 ),
+	.B1(\soc_top/n_6440 ),
+	.B2(\soc_top/core_pc_io_out[2] ),
+	.C1(\soc_top/n_2142 ),
+	.X(\soc_top/n_2413 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123217  (
+	.A1(gpio_oe[17]),
+	.A2(\soc_top/FE_OFN108_n_6438 ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[17] ),
+	.B2(\soc_top/n_2036 ),
+	.C1(\soc_top/n_2121 ),
+	.C2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[17] ),
+	.Y(\soc_top/n_2412 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123218  (
+	.A1(gpio_oe[20]),
+	.A2(\soc_top/FE_OFN108_n_6438 ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[20] ),
+	.B2(\soc_top/n_2036 ),
+	.C1(\soc_top/n_2124 ),
+	.C2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[20] ),
+	.Y(\soc_top/n_2411 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123219  (
+	.A1(gpio_oe[21]),
+	.A2(\soc_top/FE_OFN108_n_6438 ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[21] ),
+	.B2(\soc_top/n_2036 ),
+	.C1(\soc_top/n_2123 ),
+	.C2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[21] ),
+	.Y(\soc_top/n_2410 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123220  (
+	.A1(gpio_oe[23]),
+	.A2(\soc_top/FE_OFN108_n_6438 ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[23] ),
+	.B2(\soc_top/n_2036 ),
+	.C1(\soc_top/n_2124 ),
+	.C2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[23] ),
+	.Y(\soc_top/n_2409 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123221  (
+	.A1(gpio_oe[25]),
+	.A2(\soc_top/FE_OFN108_n_6438 ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[25] ),
+	.B2(\soc_top/n_2036 ),
+	.C1(\soc_top/n_2124 ),
+	.C2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[25] ),
+	.Y(\soc_top/n_2408 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123222  (
+	.A1(gpio_oe[29]),
+	.A2(\soc_top/FE_OFN108_n_6438 ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[29] ),
+	.B2(\soc_top/n_2036 ),
+	.C1(\soc_top/n_2121 ),
+	.C2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[29] ),
+	.Y(\soc_top/n_2407 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123223  (
+	.A1(gpio_oe[30]),
+	.A2(\soc_top/FE_OFN108_n_6438 ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[30] ),
+	.B2(\soc_top/n_2036 ),
+	.C1(\soc_top/n_2124 ),
+	.C2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[30] ),
+	.Y(\soc_top/n_2406 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 \soc_top/g123224  (
+	.A(\soc_top/core_io_dmemReq_bits_addrRequest[25] ),
+	.B(\soc_top/core_io_dmemReq_bits_addrRequest[30] ),
+	.C(\soc_top/core_io_dmemReq_bits_addrRequest[28] ),
+	.D(\soc_top/n_2108 ),
+	.X(\soc_top/n_2405 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123225  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[0] ),
+	.A2(\soc_top/FE_OFN90_n_2035 ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[0] ),
+	.B2(\soc_top/n_2124 ),
+	.C1(\soc_top/n_2122 ),
+	.C2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[0] ),
+	.Y(\soc_top/n_2404 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123226  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[3] ),
+	.A2(\soc_top/FE_OFN90_n_2035 ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[3] ),
+	.B2(\soc_top/n_2124 ),
+	.C1(\soc_top/n_2122 ),
+	.C2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[3] ),
+	.Y(\soc_top/n_2403 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123227  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[6] ),
+	.A2(\soc_top/n_2035 ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[6] ),
+	.B2(\soc_top/n_2124 ),
+	.C1(\soc_top/n_2122 ),
+	.C2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[6] ),
+	.Y(\soc_top/n_2402 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123228  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[8] ),
+	.A2(\soc_top/FE_OFN90_n_2035 ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[8] ),
+	.B2(\soc_top/n_2124 ),
+	.C1(\soc_top/n_2122 ),
+	.C2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[8] ),
+	.Y(\soc_top/n_2401 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123229  (
+	.A1(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[9] ),
+	.A2(\soc_top/n_2241 ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[9] ),
+	.B2(\soc_top/n_2124 ),
+	.C1(\soc_top/n_2122 ),
+	.C2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[9] ),
+	.Y(\soc_top/n_2400 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g123230  (
+	.A(\soc_top/n_2008 ),
+	.B(\soc_top/n_2212 ),
+	.C(\soc_top/n_2111 ),
+	.Y(\soc_top/n_2399 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g123231  (
+	.A(\soc_top/n_2007 ),
+	.B(\soc_top/n_2213 ),
+	.C(\soc_top/n_2112 ),
+	.Y(\soc_top/n_2398 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g123232  (
+	.A(\soc_top/n_2006 ),
+	.B(\soc_top/n_2214 ),
+	.C(\soc_top/n_2113 ),
+	.Y(\soc_top/n_2397 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g123233  (
+	.A(\soc_top/n_2005 ),
+	.B(\soc_top/n_2215 ),
+	.C(\soc_top/n_2114 ),
+	.Y(\soc_top/n_2396 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g123234  (
+	.A(\soc_top/n_2004 ),
+	.B(\soc_top/n_2216 ),
+	.C(\soc_top/n_2115 ),
+	.Y(\soc_top/n_2395 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g123235  (
+	.A(\soc_top/n_2003 ),
+	.B(\soc_top/n_2217 ),
+	.C(\soc_top/n_2116 ),
+	.Y(\soc_top/n_2394 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123236  (
+	.A1(\soc_top/n_2041 ),
+	.A2(\soc_top/core_ex_reg_wd[6] ),
+	.B1(\soc_top/FE_OFN195_n_1833 ),
+	.B2(\soc_top/core_ex_reg_wd[14] ),
+	.C1(\soc_top/n_2032 ),
+	.X(\soc_top/n_2393 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123237  (
+	.A1(\soc_top/n_2041 ),
+	.A2(\soc_top/core_ex_reg_wd[5] ),
+	.B1(\soc_top/FE_OFN195_n_1833 ),
+	.B2(\soc_top/core_ex_reg_wd[13] ),
+	.C1(\soc_top/n_2031 ),
+	.X(\soc_top/n_2392 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123238  (
+	.A1(\soc_top/n_2041 ),
+	.A2(\soc_top/core_ex_reg_wd[4] ),
+	.B1(\soc_top/n_1833 ),
+	.B2(\soc_top/core_ex_reg_wd[12] ),
+	.C1(\soc_top/n_2030 ),
+	.X(\soc_top/n_2391 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123239  (
+	.A1(\soc_top/n_2041 ),
+	.A2(\soc_top/core_ex_reg_wd[3] ),
+	.B1(\soc_top/FE_OFN195_n_1833 ),
+	.B2(\soc_top/core_ex_reg_wd[11] ),
+	.C1(\soc_top/n_2029 ),
+	.X(\soc_top/n_2390 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123240  (
+	.A1(\soc_top/n_2041 ),
+	.A2(\soc_top/core_ex_reg_wd[2] ),
+	.B1(\soc_top/FE_OFN195_n_1833 ),
+	.B2(\soc_top/core_ex_reg_wd[10] ),
+	.C1(\soc_top/n_2028 ),
+	.X(\soc_top/n_2389 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123241  (
+	.A1(\soc_top/n_2041 ),
+	.A2(\soc_top/core_ex_reg_wd[1] ),
+	.B1(\soc_top/FE_OFN195_n_1833 ),
+	.B2(\soc_top/core_ex_reg_wd[9] ),
+	.C1(\soc_top/n_2027 ),
+	.X(\soc_top/n_2388 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123242  (
+	.A1(\soc_top/n_2041 ),
+	.A2(\soc_top/core_ex_reg_wd[0] ),
+	.B1(\soc_top/n_1833 ),
+	.B2(\soc_top/core_ex_reg_wd[8] ),
+	.C1(\soc_top/n_2026 ),
+	.X(\soc_top/n_2387 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g123243  (
+	.A1(\soc_top/n_2123 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[15] ),
+	.B1(\soc_top/n_2202 ),
+	.Y(\soc_top/n_2386 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g123244  (
+	.A1(\soc_top/n_2121 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[14] ),
+	.B1(\soc_top/n_2202 ),
+	.Y(\soc_top/n_2385 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g123245  (
+	.A1(\soc_top/n_2123 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[13] ),
+	.B1(\soc_top/n_2202 ),
+	.Y(\soc_top/n_2384 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g123246  (
+	.A1(\soc_top/n_2123 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[12] ),
+	.B1(\soc_top/n_2202 ),
+	.Y(\soc_top/n_2383 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g123247  (
+	.A1(\soc_top/n_2123 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[11] ),
+	.B1(\soc_top/n_2202 ),
+	.Y(\soc_top/n_2382 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g123248  (
+	.A1(\soc_top/n_2121 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[10] ),
+	.B1(\soc_top/n_2202 ),
+	.Y(\soc_top/n_2381 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g123249  (
+	.A1(\soc_top/n_2121 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[7] ),
+	.B1(\soc_top/n_2202 ),
+	.Y(\soc_top/n_2380 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g123250  (
+	.A1(\soc_top/n_2121 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[5] ),
+	.B1(\soc_top/n_2202 ),
+	.Y(\soc_top/n_2379 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g123251  (
+	.A1(\soc_top/n_2123 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[4] ),
+	.B1(\soc_top/n_2202 ),
+	.Y(\soc_top/n_2378 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g123252  (
+	.A1(\soc_top/n_2123 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[2] ),
+	.B1(\soc_top/n_2202 ),
+	.Y(\soc_top/n_2377 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g123253  (
+	.A1(\soc_top/n_2123 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[1] ),
+	.B1(\soc_top/n_2202 ),
+	.Y(\soc_top/n_2376 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123254  (
+	.A(\soc_top/n_1766 ),
+	.B(\soc_top/n_2135 ),
+	.Y(\soc_top/n_2375 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123255  (
+	.A(\soc_top/n_1765 ),
+	.B(\soc_top/n_2136 ),
+	.Y(\soc_top/n_2374 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123256  (
+	.A(\soc_top/n_1763 ),
+	.B(\soc_top/n_2138 ),
+	.Y(\soc_top/n_2373 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123257  (
+	.A(\soc_top/n_1764 ),
+	.B(\soc_top/n_2137 ),
+	.Y(\soc_top/n_2372 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123258  (
+	.A(\soc_top/n_1761 ),
+	.B(\soc_top/n_2140 ),
+	.Y(\soc_top/n_2371 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123259  (
+	.A(\soc_top/n_1762 ),
+	.B(\soc_top/n_2139 ),
+	.Y(\soc_top/n_2370 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123260  (
+	.A(\soc_top/n_1760 ),
+	.B(\soc_top/n_2141 ),
+	.Y(\soc_top/n_2369 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g123261  (
+	.A(\soc_top/FE_OFN297_n_2056 ),
+	.B(\soc_top/n_2110 ),
+	.C(\soc_top/n_2055 ),
+	.D(\soc_top/n_2109 ),
+	.Y(\soc_top/n_2368 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g123262  (
+	.A(\soc_top/n_2058 ),
+	.B(\soc_top/n_2057 ),
+	.C(\soc_top/n_2119 ),
+	.D(\soc_top/n_2120 ),
+	.Y(\soc_top/n_2367 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123263  (
+	.A(\soc_top/n_2226 ),
+	.B(\soc_top/n_2068 ),
+	.Y(\soc_top/n_2366 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123264  (
+	.A(\soc_top/n_2225 ),
+	.B(\soc_top/n_2069 ),
+	.Y(\soc_top/n_2365 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123265  (
+	.A(\soc_top/n_2224 ),
+	.B(\soc_top/n_2070 ),
+	.Y(\soc_top/n_2364 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123266  (
+	.A(\soc_top/n_2223 ),
+	.B(\soc_top/n_2071 ),
+	.Y(\soc_top/n_2363 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123267  (
+	.A(\soc_top/n_2222 ),
+	.B(\soc_top/n_2072 ),
+	.Y(\soc_top/n_2362 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123268  (
+	.A(\soc_top/n_2221 ),
+	.B(\soc_top/n_2073 ),
+	.Y(\soc_top/n_2361 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123269  (
+	.A(\soc_top/n_2220 ),
+	.B(\soc_top/n_2074 ),
+	.Y(\soc_top/n_2360 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123270  (
+	.A(\soc_top/n_2219 ),
+	.B(\soc_top/n_2075 ),
+	.Y(\soc_top/n_2359 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123271  (
+	.A(\soc_top/n_2241 ),
+	.B(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[8] ),
+	.Y(\soc_top/n_2358 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123272  (
+	.A(\soc_top/n_2241 ),
+	.B(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[6] ),
+	.Y(\soc_top/n_2357 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123273  (
+	.A(\soc_top/n_2241 ),
+	.B(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[3] ),
+	.Y(\soc_top/n_2356 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123274  (
+	.A(\soc_top/n_2241 ),
+	.B(\soc_top/gpio_gpioRegTop_data_in_reg_io_qs[0] ),
+	.Y(\soc_top/n_2355 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123347  (
+	.A1(\soc_top/n_2041 ),
+	.A2(\soc_top/core_ex_reg_wd[7] ),
+	.B1(\soc_top/FE_OFN195_n_1833 ),
+	.B2(\soc_top/core_ex_reg_wd[15] ),
+	.C1(\soc_top/n_2033 ),
+	.X(\soc_top/n_2353 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123348  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[17] ),
+	.A2(\soc_top/n_2035 ),
+	.B1(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[17] ),
+	.B2(\soc_top/n_1554 ),
+	.C1(\soc_top/n_2123 ),
+	.C2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[17] ),
+	.Y(\soc_top/n_2352 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123349  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[20] ),
+	.A2(\soc_top/FE_OFN90_n_2035 ),
+	.B1(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[20] ),
+	.B2(\soc_top/n_1554 ),
+	.C1(\soc_top/n_2122 ),
+	.C2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[20] ),
+	.Y(\soc_top/n_2351 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123350  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[21] ),
+	.A2(\soc_top/FE_OFN90_n_2035 ),
+	.B1(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[21] ),
+	.B2(\soc_top/n_1554 ),
+	.C1(\soc_top/n_2121 ),
+	.C2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[21] ),
+	.Y(\soc_top/n_2350 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123351  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[23] ),
+	.A2(\soc_top/FE_OFN90_n_2035 ),
+	.B1(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[23] ),
+	.B2(\soc_top/n_1554 ),
+	.C1(\soc_top/n_2122 ),
+	.C2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[23] ),
+	.Y(\soc_top/n_2349 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123352  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[25] ),
+	.A2(\soc_top/n_2035 ),
+	.B1(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[25] ),
+	.B2(\soc_top/n_1554 ),
+	.C1(\soc_top/n_2122 ),
+	.C2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[25] ),
+	.Y(\soc_top/n_2348 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123353  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[29] ),
+	.A2(\soc_top/FE_OFN90_n_2035 ),
+	.B1(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[29] ),
+	.B2(\soc_top/n_1554 ),
+	.C1(\soc_top/n_2123 ),
+	.C2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[29] ),
+	.Y(\soc_top/n_2347 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123354  (
+	.A1(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[30] ),
+	.A2(\soc_top/n_2035 ),
+	.B1(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[30] ),
+	.B2(\soc_top/n_1554 ),
+	.C1(\soc_top/n_2122 ),
+	.C2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[30] ),
+	.Y(\soc_top/n_2346 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123355  (
+	.A1(\soc_top/n_5710 ),
+	.A2(\soc_top/n_2045 ),
+	.B1(\soc_top/gen_imem_host_adrReg[1] ),
+	.B2(\soc_top/n_1416 ),
+	.C1(\soc_top/n_6440 ),
+	.C2(\soc_top/core_pc_io_out[3] ),
+	.Y(\soc_top/n_2345 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123356  (
+	.A1(\soc_top/core_ex_reg_wd[8] ),
+	.A2(\soc_top/n_2043 ),
+	.B1(\soc_top/core_ex_reg_wd[0] ),
+	.B2(\soc_top/FE_OFN196_n_1842 ),
+	.C1(\soc_top/n_2041 ),
+	.C2(\soc_top/core_ex_reg_wd[24] ),
+	.Y(\soc_top/n_2344 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123357  (
+	.A1(\soc_top/core_ex_reg_wd[9] ),
+	.A2(\soc_top/n_2043 ),
+	.B1(\soc_top/core_ex_reg_wd[1] ),
+	.B2(\soc_top/FE_OFN196_n_1842 ),
+	.C1(\soc_top/n_2041 ),
+	.C2(\soc_top/core_ex_reg_wd[25] ),
+	.Y(\soc_top/n_2343 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123358  (
+	.A1(\soc_top/core_ex_reg_wd[10] ),
+	.A2(\soc_top/n_2043 ),
+	.B1(\soc_top/core_ex_reg_wd[2] ),
+	.B2(\soc_top/FE_OFN196_n_1842 ),
+	.C1(\soc_top/n_2041 ),
+	.C2(\soc_top/core_ex_reg_wd[26] ),
+	.Y(\soc_top/n_2342 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123359  (
+	.A1(\soc_top/core_ex_reg_wd[11] ),
+	.A2(\soc_top/n_2043 ),
+	.B1(\soc_top/core_ex_reg_wd[3] ),
+	.B2(\soc_top/FE_OFN196_n_1842 ),
+	.C1(\soc_top/n_2041 ),
+	.C2(\soc_top/core_ex_reg_wd[27] ),
+	.Y(\soc_top/n_2341 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123360  (
+	.A1(\soc_top/core_ex_reg_wd[20] ),
+	.A2(\soc_top/FE_OFN263_n_1845 ),
+	.B1(\soc_top/core_ex_reg_wd[12] ),
+	.B2(\soc_top/n_2043 ),
+	.C1(\soc_top/n_2041 ),
+	.C2(\soc_top/core_ex_reg_wd[28] ),
+	.Y(\soc_top/n_2340 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123361  (
+	.A1(\soc_top/core_ex_reg_wd[13] ),
+	.A2(\soc_top/n_2043 ),
+	.B1(\soc_top/core_ex_reg_wd[5] ),
+	.B2(\soc_top/FE_OFN196_n_1842 ),
+	.C1(\soc_top/n_2041 ),
+	.C2(\soc_top/core_ex_reg_wd[29] ),
+	.Y(\soc_top/n_2339 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123362  (
+	.A1(\soc_top/core_ex_reg_wd[22] ),
+	.A2(\soc_top/FE_OFN263_n_1845 ),
+	.B1(\soc_top/core_ex_reg_wd[14] ),
+	.B2(\soc_top/n_2043 ),
+	.C1(\soc_top/n_2041 ),
+	.C2(\soc_top/core_ex_reg_wd[30] ),
+	.Y(\soc_top/n_2338 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123363  (
+	.A1(\soc_top/core_ex_reg_wd[23] ),
+	.A2(\soc_top/FE_OFN263_n_1845 ),
+	.B1(\soc_top/core_ex_reg_wd[15] ),
+	.B2(\soc_top/n_2043 ),
+	.C1(\soc_top/n_2041 ),
+	.C2(\soc_top/core_ex_reg_wd[31] ),
+	.Y(\soc_top/n_2337 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123364  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[1] ),
+	.A2(\soc_top/n_2036 ),
+	.B1(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[1] ),
+	.B2(\soc_top/n_1554 ),
+	.C1(\soc_top/n_2121 ),
+	.C2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[1] ),
+	.Y(\soc_top/n_2336 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123365  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[2] ),
+	.A2(\soc_top/n_2036 ),
+	.B1(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[2] ),
+	.B2(\soc_top/n_1554 ),
+	.C1(\soc_top/n_2121 ),
+	.C2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[2] ),
+	.Y(\soc_top/n_2335 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123366  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[4] ),
+	.A2(\soc_top/n_2036 ),
+	.B1(\soc_top/FE_OFN5626_gen_dmem_host_io_rspOut_bits_dataResponse_4 ),
+	.B2(\soc_top/n_1554 ),
+	.C1(\soc_top/n_2121 ),
+	.C2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[4] ),
+	.Y(\soc_top/n_2334 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123367  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[5] ),
+	.A2(\soc_top/n_2036 ),
+	.B1(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[5] ),
+	.B2(\soc_top/n_1554 ),
+	.C1(\soc_top/n_2123 ),
+	.C2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[5] ),
+	.Y(\soc_top/n_2333 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123368  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[7] ),
+	.A2(\soc_top/n_2036 ),
+	.B1(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[7] ),
+	.B2(\soc_top/n_1554 ),
+	.C1(\soc_top/n_2123 ),
+	.C2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[7] ),
+	.Y(\soc_top/n_2332 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123369  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[10] ),
+	.A2(\soc_top/n_2036 ),
+	.B1(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[10] ),
+	.B2(\soc_top/n_1554 ),
+	.C1(\soc_top/n_2123 ),
+	.C2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[10] ),
+	.Y(\soc_top/n_2331 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123370  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[11] ),
+	.A2(\soc_top/n_2036 ),
+	.B1(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[11] ),
+	.B2(\soc_top/n_1554 ),
+	.C1(\soc_top/n_2121 ),
+	.C2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[11] ),
+	.Y(\soc_top/n_2330 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123371  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[12] ),
+	.A2(\soc_top/n_2036 ),
+	.B1(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[12] ),
+	.B2(\soc_top/n_1554 ),
+	.C1(\soc_top/n_2121 ),
+	.C2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[12] ),
+	.Y(\soc_top/n_2329 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123372  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[13] ),
+	.A2(\soc_top/n_2036 ),
+	.B1(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[13] ),
+	.B2(\soc_top/n_1554 ),
+	.C1(\soc_top/n_2121 ),
+	.C2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[13] ),
+	.Y(\soc_top/n_2328 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123373  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[14] ),
+	.A2(\soc_top/n_2036 ),
+	.B1(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[14] ),
+	.B2(\soc_top/n_1554 ),
+	.C1(\soc_top/n_2123 ),
+	.C2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[14] ),
+	.Y(\soc_top/n_2327 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123374  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[15] ),
+	.A2(\soc_top/n_2036 ),
+	.B1(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[15] ),
+	.B2(\soc_top/n_1554 ),
+	.C1(\soc_top/n_2121 ),
+	.C2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[15] ),
+	.Y(\soc_top/n_2326 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123375  (
+	.A1(\soc_top/n_2124 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[16] ),
+	.B1(\soc_top/n_2122 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[16] ),
+	.Y(\soc_top/n_2325 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123376  (
+	.A1(\soc_top/n_2124 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[17] ),
+	.B1(\soc_top/n_2122 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[17] ),
+	.Y(\soc_top/n_2324 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123377  (
+	.A1(\soc_top/n_2121 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[18] ),
+	.B1(\soc_top/n_2123 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[18] ),
+	.Y(\soc_top/n_2323 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123378  (
+	.A1(\soc_top/n_2124 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[18] ),
+	.B1(\soc_top/n_2122 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[18] ),
+	.Y(\soc_top/n_2322 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123379  (
+	.A1(\soc_top/n_2121 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[19] ),
+	.B1(\soc_top/n_2123 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[19] ),
+	.Y(\soc_top/n_2321 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123380  (
+	.A1(\soc_top/n_2124 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[19] ),
+	.B1(\soc_top/n_2122 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[19] ),
+	.Y(\soc_top/n_2320 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123381  (
+	.A1(\soc_top/n_2121 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[20] ),
+	.B1(\soc_top/n_2123 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[20] ),
+	.Y(\soc_top/n_2319 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123382  (
+	.A1(\soc_top/n_2124 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[21] ),
+	.B1(\soc_top/n_2122 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[21] ),
+	.Y(\soc_top/n_2318 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123383  (
+	.A1(\soc_top/n_2121 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[22] ),
+	.B1(\soc_top/n_2123 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[22] ),
+	.Y(\soc_top/n_2317 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123384  (
+	.A1(\soc_top/n_2124 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[22] ),
+	.B1(\soc_top/n_2122 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[22] ),
+	.Y(\soc_top/n_2316 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123385  (
+	.A1(\soc_top/n_2121 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[23] ),
+	.B1(\soc_top/n_2123 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[23] ),
+	.Y(\soc_top/n_2315 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123386  (
+	.A1(\soc_top/n_2121 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[24] ),
+	.B1(\soc_top/n_2123 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[24] ),
+	.Y(\soc_top/n_2314 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123387  (
+	.A1(\soc_top/n_2124 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[24] ),
+	.B1(\soc_top/n_2122 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[24] ),
+	.Y(\soc_top/n_2313 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123388  (
+	.A1(\soc_top/n_2121 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[25] ),
+	.B1(\soc_top/n_2123 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[25] ),
+	.Y(\soc_top/n_2312 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123389  (
+	.A1(\soc_top/n_2121 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[26] ),
+	.B1(\soc_top/n_2123 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[26] ),
+	.Y(\soc_top/n_2311 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123390  (
+	.A1(\soc_top/n_2124 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[26] ),
+	.B1(\soc_top/n_2122 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[26] ),
+	.Y(\soc_top/n_2310 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123391  (
+	.A1(\soc_top/n_2121 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[27] ),
+	.B1(\soc_top/n_2123 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[27] ),
+	.Y(\soc_top/n_2309 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123392  (
+	.A1(\soc_top/n_2124 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[27] ),
+	.B1(\soc_top/n_2122 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[27] ),
+	.Y(\soc_top/n_2308 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123393  (
+	.A1(\soc_top/n_2121 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[28] ),
+	.B1(\soc_top/n_2123 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[28] ),
+	.Y(\soc_top/n_2307 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123394  (
+	.A1(\soc_top/n_2124 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[28] ),
+	.B1(\soc_top/n_2122 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[28] ),
+	.Y(\soc_top/n_2306 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123395  (
+	.A1(\soc_top/n_2124 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[29] ),
+	.B1(\soc_top/n_2122 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[29] ),
+	.Y(\soc_top/n_2305 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123396  (
+	.A1(\soc_top/n_2121 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[30] ),
+	.B1(\soc_top/n_2123 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[30] ),
+	.Y(\soc_top/n_2304 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123397  (
+	.A1(\soc_top/n_2121 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[31] ),
+	.B1(\soc_top/n_2123 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[31] ),
+	.Y(\soc_top/n_2303 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123398  (
+	.A1(\soc_top/n_2124 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[31] ),
+	.B1(\soc_top/n_2122 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[31] ),
+	.Y(\soc_top/n_2302 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123399  (
+	.A1(\soc_top/n_6439 ),
+	.A2(\soc_top/core_pc_io_out[1] ),
+	.B1(\soc_top/n_5647 ),
+	.B2(\soc_top/n_1829 ),
+	.X(\soc_top/n_2301 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123400  (
+	.A1(\soc_top/n_5648 ),
+	.A2(\soc_top/n_1558 ),
+	.B1(\soc_top/n_1838 ),
+	.B2(\soc_top/core_pc_io_out[2] ),
+	.C1(\soc_top/n_2118 ),
+	.X(\soc_top/n_2300 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123401  (
+	.A1(\soc_top/n_6439 ),
+	.A2(\soc_top/core_pc_io_out[0] ),
+	.B1(\soc_top/n_5646 ),
+	.B2(\soc_top/n_1829 ),
+	.X(\soc_top/n_2299 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123402  (
+	.A1(\soc_top/n_2038 ),
+	.A2(\soc_top/n_5717 ),
+	.B1(\soc_top/n_2040 ),
+	.B2(\soc_top/core_pc_io_out[10] ),
+	.C1(\soc_top/FE_OFN8_wb_rst_i ),
+	.Y(\soc_top/n_2298 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123403  (
+	.A1(\soc_top/n_2038 ),
+	.A2(\soc_top/n_5718 ),
+	.B1(\soc_top/n_2040 ),
+	.B2(\soc_top/core_pc_io_out[11] ),
+	.C1(\soc_top/FE_OFN8_wb_rst_i ),
+	.Y(\soc_top/n_2297 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123404  (
+	.A1(\soc_top/n_2038 ),
+	.A2(\soc_top/n_5719 ),
+	.B1(\soc_top/n_2040 ),
+	.B2(\soc_top/core_pc_io_out[12] ),
+	.C1(\soc_top/FE_OFN4_wb_rst_i ),
+	.Y(\soc_top/n_2296 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123405  (
+	.A1(\soc_top/n_2038 ),
+	.A2(\soc_top/n_5720 ),
+	.B1(\soc_top/n_2040 ),
+	.B2(\soc_top/core_pc_io_out[13] ),
+	.C1(\soc_top/FE_OFN8_wb_rst_i ),
+	.Y(\soc_top/n_2295 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123406  (
+	.A1(\soc_top/n_2038 ),
+	.A2(\soc_top/n_5721 ),
+	.B1(\soc_top/n_2040 ),
+	.B2(\soc_top/core_pc_io_out[14] ),
+	.C1(\soc_top/FE_OFN4_wb_rst_i ),
+	.Y(\soc_top/n_2294 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123407  (
+	.A1(\soc_top/n_2038 ),
+	.A2(\soc_top/n_5722 ),
+	.B1(\soc_top/n_2040 ),
+	.B2(\soc_top/core_pc_io_out[15] ),
+	.C1(\soc_top/FE_OFN14_wb_rst_i ),
+	.Y(\soc_top/n_2293 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123408  (
+	.A1(\soc_top/n_2038 ),
+	.A2(\soc_top/n_5723 ),
+	.B1(\soc_top/n_2040 ),
+	.B2(\soc_top/core_pc_io_out[16] ),
+	.C1(\soc_top/FE_OFN14_wb_rst_i ),
+	.Y(\soc_top/n_2292 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123409  (
+	.A1(\soc_top/n_2038 ),
+	.A2(\soc_top/n_5724 ),
+	.B1(\soc_top/n_2040 ),
+	.B2(\soc_top/core_pc_io_out[17] ),
+	.C1(\soc_top/FE_OFN4_wb_rst_i ),
+	.Y(\soc_top/n_2291 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123410  (
+	.A1(\soc_top/n_2038 ),
+	.A2(\soc_top/n_5725 ),
+	.B1(\soc_top/n_2040 ),
+	.B2(\soc_top/core_pc_io_out[18] ),
+	.C1(\soc_top/FE_OFN11_wb_rst_i ),
+	.Y(\soc_top/n_2290 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123411  (
+	.A1(\soc_top/n_2038 ),
+	.A2(\soc_top/n_5726 ),
+	.B1(\soc_top/n_2040 ),
+	.B2(\soc_top/core_pc_io_out[19] ),
+	.C1(\soc_top/FE_OFN11_wb_rst_i ),
+	.Y(\soc_top/n_2289 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123412  (
+	.A1(\soc_top/n_2038 ),
+	.A2(\soc_top/n_5728 ),
+	.B1(\soc_top/n_2040 ),
+	.B2(\soc_top/core_pc_io_out[21] ),
+	.C1(\soc_top/FE_OFN11_wb_rst_i ),
+	.Y(\soc_top/n_2288 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123413  (
+	.A1(\soc_top/n_2038 ),
+	.A2(\soc_top/n_5727 ),
+	.B1(\soc_top/n_2040 ),
+	.B2(\soc_top/core_pc_io_out[20] ),
+	.C1(\soc_top/FE_OFN11_wb_rst_i ),
+	.Y(\soc_top/n_2287 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123414  (
+	.A1(\soc_top/n_2038 ),
+	.A2(\soc_top/n_5729 ),
+	.B1(\soc_top/n_2040 ),
+	.B2(\soc_top/core_pc_io_out[22] ),
+	.C1(\soc_top/FE_OFN11_wb_rst_i ),
+	.Y(\soc_top/n_2286 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123415  (
+	.A1(\soc_top/n_2038 ),
+	.A2(\soc_top/n_5730 ),
+	.B1(\soc_top/n_2040 ),
+	.B2(\soc_top/core_pc_io_out[23] ),
+	.C1(\soc_top/FE_OFN14_wb_rst_i ),
+	.Y(\soc_top/n_2285 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123416  (
+	.A1(\soc_top/n_2038 ),
+	.A2(\soc_top/n_5731 ),
+	.B1(\soc_top/n_2040 ),
+	.B2(\soc_top/core_pc_io_out[24] ),
+	.C1(\soc_top/FE_OFN14_wb_rst_i ),
+	.Y(\soc_top/n_2284 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123417  (
+	.A1(\soc_top/n_2038 ),
+	.A2(\soc_top/n_5732 ),
+	.B1(\soc_top/n_2040 ),
+	.B2(\soc_top/core_pc_io_out[25] ),
+	.C1(\soc_top/FE_OFN14_wb_rst_i ),
+	.Y(\soc_top/n_2283 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123418  (
+	.A1(\soc_top/n_2038 ),
+	.A2(\soc_top/n_5733 ),
+	.B1(\soc_top/n_2040 ),
+	.B2(\soc_top/core_pc_io_out[26] ),
+	.C1(\soc_top/FE_OFN4_wb_rst_i ),
+	.Y(\soc_top/n_2282 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123419  (
+	.A1(\soc_top/n_2038 ),
+	.A2(\soc_top/n_5734 ),
+	.B1(\soc_top/n_2040 ),
+	.B2(\soc_top/core_pc_io_out[27] ),
+	.C1(\soc_top/FE_OFN4_wb_rst_i ),
+	.Y(\soc_top/n_2281 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123420  (
+	.A1(\soc_top/n_2038 ),
+	.A2(\soc_top/n_5735 ),
+	.B1(\soc_top/n_2040 ),
+	.B2(\soc_top/core_pc_io_out[28] ),
+	.C1(\soc_top/FE_OFN4_wb_rst_i ),
+	.Y(\soc_top/n_2280 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123421  (
+	.A1(\soc_top/n_2038 ),
+	.A2(\soc_top/n_5736 ),
+	.B1(\soc_top/n_2040 ),
+	.B2(\soc_top/core_pc_io_out[29] ),
+	.C1(\soc_top/FE_OFN14_wb_rst_i ),
+	.Y(\soc_top/n_2279 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123422  (
+	.A1(\soc_top/n_2038 ),
+	.A2(\soc_top/n_5737 ),
+	.B1(\soc_top/n_2040 ),
+	.B2(\soc_top/core_pc_io_out[30] ),
+	.C1(\soc_top/FE_OFN14_wb_rst_i ),
+	.Y(\soc_top/n_2278 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123423  (
+	.A1(\soc_top/n_2038 ),
+	.A2(\soc_top/n_5738 ),
+	.B1(\soc_top/n_2040 ),
+	.B2(\soc_top/core_pc_io_out[31] ),
+	.C1(\soc_top/FE_OFN14_wb_rst_i ),
+	.Y(\soc_top/n_2277 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123424  (
+	.A1(\soc_top/n_2121 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[0] ),
+	.B1(\soc_top/n_2123 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[0] ),
+	.Y(\soc_top/n_2276 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123425  (
+	.A1(\soc_top/FE_OFN92_n_2127 ),
+	.A2(FE_OFN39_io_out_22),
+	.B1(\soc_top/FE_OFN91_n_2125 ),
+	.B2(io_out[6]),
+	.Y(\soc_top/n_2275 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123426  (
+	.A1(\soc_top/n_2124 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[1] ),
+	.B1(\soc_top/n_2122 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[1] ),
+	.X(\soc_top/n_2274 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123427  (
+	.A1(\soc_top/FE_OFN92_n_2127 ),
+	.A2(FE_OFN38_io_out_23),
+	.B1(\soc_top/FE_OFN91_n_2125 ),
+	.B2(io_out[7]),
+	.Y(\soc_top/n_2273 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123428  (
+	.A1(\soc_top/n_2124 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[2] ),
+	.B1(\soc_top/n_2122 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[2] ),
+	.X(\soc_top/n_2272 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123429  (
+	.A1(\soc_top/FE_OFN92_n_2127 ),
+	.A2(io_out[24]),
+	.B1(\soc_top/FE_OFN91_n_2125 ),
+	.B2(io_out[8]),
+	.Y(\soc_top/n_2271 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123430  (
+	.A1(\soc_top/n_2121 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[3] ),
+	.B1(\soc_top/n_2123 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[3] ),
+	.Y(\soc_top/n_2270 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123431  (
+	.A1(\soc_top/FE_OFN92_n_2127 ),
+	.A2(FE_OFN37_io_out_25),
+	.B1(\soc_top/FE_OFN91_n_2125 ),
+	.B2(io_out[9]),
+	.Y(\soc_top/n_2269 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123432  (
+	.A1(\soc_top/n_2124 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[4] ),
+	.B1(\soc_top/n_2122 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[4] ),
+	.X(\soc_top/n_2268 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123433  (
+	.A1(\soc_top/FE_OFN92_n_2127 ),
+	.A2(FE_OFN36_io_out_26),
+	.B1(\soc_top/FE_OFN91_n_2125 ),
+	.B2(io_out[10]),
+	.Y(\soc_top/n_2267 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123434  (
+	.A1(\soc_top/n_2124 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[5] ),
+	.B1(\soc_top/n_2122 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[5] ),
+	.X(\soc_top/n_2266 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123435  (
+	.A1(\soc_top/FE_OFN92_n_2127 ),
+	.A2(FE_OFN35_io_out_27),
+	.B1(\soc_top/FE_OFN91_n_2125 ),
+	.B2(io_out[11]),
+	.Y(\soc_top/n_2265 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123436  (
+	.A1(\soc_top/n_2121 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[6] ),
+	.B1(\soc_top/n_2123 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[6] ),
+	.Y(\soc_top/n_2264 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123437  (
+	.A1(\soc_top/FE_OFN92_n_2127 ),
+	.A2(FE_OFN6691_io_out_28),
+	.B1(\soc_top/FE_OFN91_n_2125 ),
+	.B2(io_out[12]),
+	.Y(\soc_top/n_2263 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123438  (
+	.A1(\soc_top/n_2124 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[7] ),
+	.B1(\soc_top/n_2122 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[7] ),
+	.X(\soc_top/n_2262 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123439  (
+	.A1(\soc_top/FE_OFN92_n_2127 ),
+	.A2(FE_OFN33_io_out_29),
+	.B1(\soc_top/FE_OFN91_n_2125 ),
+	.B2(io_out[13]),
+	.Y(\soc_top/n_2261 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123440  (
+	.A1(\soc_top/n_2121 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[8] ),
+	.B1(\soc_top/n_2123 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[8] ),
+	.Y(\soc_top/n_2260 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123441  (
+	.A1(\soc_top/FE_OFN92_n_2127 ),
+	.A2(FE_OFN32_io_out_30),
+	.B1(\soc_top/FE_OFN91_n_2125 ),
+	.B2(io_out[14]),
+	.Y(\soc_top/n_2259 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123442  (
+	.A1(\soc_top/n_2121 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[9] ),
+	.B1(\soc_top/n_2123 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[9] ),
+	.Y(\soc_top/n_2258 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123443  (
+	.A1(\soc_top/FE_OFN92_n_2127 ),
+	.A2(FE_OFN31_io_out_31),
+	.B1(\soc_top/FE_OFN91_n_2125 ),
+	.B2(io_out[15]),
+	.Y(\soc_top/n_2257 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123444  (
+	.A1(\soc_top/n_2124 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[10] ),
+	.B1(\soc_top/n_2122 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[10] ),
+	.X(\soc_top/n_2256 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123445  (
+	.A1(\soc_top/FE_OFN92_n_2127 ),
+	.A2(io_out[32]),
+	.B1(\soc_top/FE_OFN91_n_2125 ),
+	.B2(io_out[16]),
+	.Y(\soc_top/n_2255 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123446  (
+	.A1(\soc_top/n_2124 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[11] ),
+	.B1(\soc_top/n_2122 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[11] ),
+	.X(\soc_top/n_2254 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123447  (
+	.A1(\soc_top/FE_OFN92_n_2127 ),
+	.A2(FE_OFN30_io_out_33),
+	.B1(\soc_top/FE_OFN91_n_2125 ),
+	.B2(io_out[17]),
+	.Y(\soc_top/n_2253 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123448  (
+	.A1(\soc_top/n_2124 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[12] ),
+	.B1(\soc_top/n_2122 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[12] ),
+	.X(\soc_top/n_2252 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123449  (
+	.A1(\soc_top/FE_OFN92_n_2127 ),
+	.A2(FE_OFN29_io_out_34),
+	.B1(\soc_top/FE_OFN91_n_2125 ),
+	.B2(io_out[18]),
+	.Y(\soc_top/n_2251 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123450  (
+	.A1(\soc_top/n_2124 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[13] ),
+	.B1(\soc_top/n_2122 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[13] ),
+	.X(\soc_top/n_2250 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123451  (
+	.A1(\soc_top/FE_OFN92_n_2127 ),
+	.A2(FE_OFN28_io_out_35),
+	.B1(\soc_top/FE_OFN91_n_2125 ),
+	.B2(io_out[19]),
+	.Y(\soc_top/n_2249 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123452  (
+	.A1(\soc_top/n_2124 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[14] ),
+	.B1(\soc_top/n_2122 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[14] ),
+	.X(\soc_top/n_2248 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123453  (
+	.A1(\soc_top/FE_OFN92_n_2127 ),
+	.A2(FE_OFN27_io_out_36),
+	.B1(\soc_top/FE_OFN91_n_2125 ),
+	.B2(io_out[20]),
+	.Y(\soc_top/n_2247 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123454  (
+	.A1(\soc_top/n_2124 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[15] ),
+	.B1(\soc_top/n_2122 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[15] ),
+	.X(\soc_top/n_2246 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123455  (
+	.A1(\soc_top/FE_OFN92_n_2127 ),
+	.A2(FE_OFN26_io_out_37),
+	.B1(\soc_top/FE_OFN91_n_2125 ),
+	.B2(io_out[21]),
+	.Y(\soc_top/n_2245 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123456  (
+	.A1(\soc_top/n_2121 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[16] ),
+	.B1(\soc_top/n_2123 ),
+	.B2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[16] ),
+	.Y(\soc_top/n_2244 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_2 \soc_top/g123457  (
+	.A1(\soc_top/n_2129 ),
+	.A2(\soc_top/n_0 ),
+	.B1(\soc_top/FE_OFN108_n_6438 ),
+	.X(\soc_top/n_2354 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123458  (
+	.A1(\soc_top/FE_OFN194_n_1827 ),
+	.A2(gpio_oe[13]),
+	.B1(\soc_top/n_6442 ),
+	.B2(\soc_top/gen_dmem_host_datReg[13] ),
+	.C1(\soc_top/n_1989 ),
+	.X(\soc_top/n_2240 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123459  (
+	.A1(\soc_top/FE_OFN194_n_1827 ),
+	.A2(gpio_oe[12]),
+	.B1(\soc_top/n_6442 ),
+	.B2(\soc_top/gen_dmem_host_datReg[12] ),
+	.C1(\soc_top/n_1990 ),
+	.X(\soc_top/n_2239 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123460  (
+	.A1(\soc_top/FE_OFN194_n_1827 ),
+	.A2(gpio_oe[11]),
+	.B1(\soc_top/n_6442 ),
+	.B2(\soc_top/gen_dmem_host_datReg[11] ),
+	.C1(\soc_top/n_1999 ),
+	.X(\soc_top/n_2238 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123461  (
+	.A1(\soc_top/FE_OFN194_n_1827 ),
+	.A2(gpio_oe[10]),
+	.B1(\soc_top/n_6442 ),
+	.B2(\soc_top/gen_dmem_host_datReg[10] ),
+	.C1(\soc_top/n_1991 ),
+	.X(\soc_top/n_2237 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123462  (
+	.A1(\soc_top/n_1827 ),
+	.A2(gpio_oe[9]),
+	.B1(\soc_top/n_6442 ),
+	.B2(\soc_top/gen_dmem_host_datReg[9] ),
+	.C1(\soc_top/n_1992 ),
+	.X(\soc_top/n_2236 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123463  (
+	.A1(\soc_top/FE_OFN194_n_1827 ),
+	.A2(gpio_oe[8]),
+	.B1(\soc_top/n_6442 ),
+	.B2(\soc_top/gen_dmem_host_datReg[8] ),
+	.C1(\soc_top/n_1993 ),
+	.X(\soc_top/n_2235 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123464  (
+	.A1(\soc_top/FE_OFN194_n_1827 ),
+	.A2(gpio_oe[7]),
+	.B1(\soc_top/n_6442 ),
+	.B2(\soc_top/gen_dmem_host_datReg[7] ),
+	.C1(\soc_top/n_1994 ),
+	.X(\soc_top/n_2234 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123465  (
+	.A1(\soc_top/n_1827 ),
+	.A2(gpio_oe[6]),
+	.B1(\soc_top/n_6442 ),
+	.B2(\soc_top/gen_dmem_host_datReg[6] ),
+	.C1(\soc_top/n_1995 ),
+	.X(\soc_top/n_2233 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123466  (
+	.A1(\soc_top/FE_OFN194_n_1827 ),
+	.A2(gpio_oe[3]),
+	.B1(\soc_top/n_6442 ),
+	.B2(\soc_top/gen_dmem_host_datReg[3] ),
+	.C1(\soc_top/n_1998 ),
+	.X(\soc_top/n_2232 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123467  (
+	.A1(\soc_top/n_1827 ),
+	.A2(gpio_oe[5]),
+	.B1(\soc_top/n_6442 ),
+	.B2(\soc_top/gen_dmem_host_datReg[5] ),
+	.C1(\soc_top/n_1996 ),
+	.X(\soc_top/n_2231 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123468  (
+	.A1(\soc_top/n_1827 ),
+	.A2(gpio_oe[4]),
+	.B1(\soc_top/n_6442 ),
+	.B2(\soc_top/gen_dmem_host_datReg[4] ),
+	.C1(\soc_top/n_1997 ),
+	.X(\soc_top/n_2230 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123469  (
+	.A1(\soc_top/FE_OFN194_n_1827 ),
+	.A2(gpio_oe[2]),
+	.B1(\soc_top/n_6442 ),
+	.B2(\soc_top/gen_dmem_host_datReg[2] ),
+	.C1(\soc_top/n_2000 ),
+	.X(\soc_top/n_2229 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123470  (
+	.A1(\soc_top/n_1827 ),
+	.A2(gpio_oe[1]),
+	.B1(\soc_top/n_6442 ),
+	.B2(\soc_top/gen_dmem_host_datReg[1] ),
+	.C1(\soc_top/n_2001 ),
+	.X(\soc_top/n_2228 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123471  (
+	.A1(\soc_top/FE_OFN194_n_1827 ),
+	.A2(gpio_oe[0]),
+	.B1(\soc_top/n_6442 ),
+	.B2(\soc_top/gen_dmem_host_datReg[0] ),
+	.C1(\soc_top/n_2002 ),
+	.X(\soc_top/n_2227 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123472  (
+	.A1(\soc_top/core_ex_reg_wd[23] ),
+	.A2(\soc_top/n_1841 ),
+	.B1(\soc_top/core_ex_reg_wd[31] ),
+	.B2(\soc_top/FE_OFN195_n_1833 ),
+	.C1(\soc_top/FE_OFN189_n_1415 ),
+	.C2(\soc_top/gen_dmem_host_datReg[15] ),
+	.Y(\soc_top/n_2226 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123473  (
+	.A1(\soc_top/core_ex_reg_wd[6] ),
+	.A2(\soc_top/n_1840 ),
+	.B1(\soc_top/core_ex_reg_wd[14] ),
+	.B2(\soc_top/FE_OFN191_n_1427 ),
+	.C1(\soc_top/FE_OFN195_n_1833 ),
+	.C2(\soc_top/core_ex_reg_wd[30] ),
+	.Y(\soc_top/n_2225 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123474  (
+	.A1(\soc_top/core_ex_reg_wd[21] ),
+	.A2(\soc_top/n_1841 ),
+	.B1(\soc_top/core_ex_reg_wd[29] ),
+	.B2(\soc_top/n_1833 ),
+	.C1(\soc_top/FE_OFN191_n_1427 ),
+	.C2(\soc_top/core_ex_reg_wd[13] ),
+	.Y(\soc_top/n_2224 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123475  (
+	.A1(\soc_top/core_ex_reg_wd[4] ),
+	.A2(\soc_top/n_1840 ),
+	.B1(\soc_top/core_ex_reg_wd[12] ),
+	.B2(\soc_top/n_1427 ),
+	.C1(\soc_top/n_1833 ),
+	.C2(\soc_top/core_ex_reg_wd[28] ),
+	.Y(\soc_top/n_2223 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123476  (
+	.A1(\soc_top/core_ex_reg_wd[19] ),
+	.A2(\soc_top/n_1841 ),
+	.B1(\soc_top/core_ex_reg_wd[27] ),
+	.B2(\soc_top/n_1833 ),
+	.C1(\soc_top/FE_OFN191_n_1427 ),
+	.C2(\soc_top/core_ex_reg_wd[11] ),
+	.Y(\soc_top/n_2222 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123477  (
+	.A1(\soc_top/core_ex_reg_wd[18] ),
+	.A2(\soc_top/n_1841 ),
+	.B1(\soc_top/core_ex_reg_wd[26] ),
+	.B2(\soc_top/FE_OFN195_n_1833 ),
+	.C1(\soc_top/FE_OFN191_n_1427 ),
+	.C2(\soc_top/core_ex_reg_wd[10] ),
+	.Y(\soc_top/n_2221 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123478  (
+	.A1(\soc_top/core_ex_reg_wd[17] ),
+	.A2(\soc_top/n_1841 ),
+	.B1(\soc_top/core_ex_reg_wd[25] ),
+	.B2(\soc_top/FE_OFN195_n_1833 ),
+	.C1(\soc_top/FE_OFN191_n_1427 ),
+	.C2(\soc_top/core_ex_reg_wd[9] ),
+	.Y(\soc_top/n_2220 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123479  (
+	.A1(\soc_top/core_ex_reg_wd[16] ),
+	.A2(\soc_top/n_1841 ),
+	.B1(\soc_top/core_ex_reg_wd[24] ),
+	.B2(\soc_top/n_1833 ),
+	.C1(\soc_top/n_1415 ),
+	.C2(\soc_top/gen_dmem_host_datReg[8] ),
+	.Y(\soc_top/n_2219 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g123480  (
+	.A(\soc_top/n_2052 ),
+	.B(\soc_top/n_2053 ),
+	.C(\soc_top/n_2054 ),
+	.Y(\soc_top/n_2218 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123481  (
+	.A1(\soc_top/gen_imem_host_adrReg[7] ),
+	.A2(\soc_top/n_1416 ),
+	.B1(\soc_top/rx_addr_reg[7] ),
+	.B2(\soc_top/n_379 ),
+	.C1(\soc_top/n_2045 ),
+	.C2(\soc_top/n_5716 ),
+	.Y(\soc_top/n_2217 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123482  (
+	.A1(\soc_top/gen_imem_host_adrReg[6] ),
+	.A2(\soc_top/n_1416 ),
+	.B1(\soc_top/rx_addr_reg[6] ),
+	.B2(\soc_top/n_379 ),
+	.C1(\soc_top/n_2045 ),
+	.C2(\soc_top/n_5715 ),
+	.Y(\soc_top/n_2216 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123483  (
+	.A1(\soc_top/gen_imem_host_adrReg[5] ),
+	.A2(\soc_top/n_1416 ),
+	.B1(\soc_top/rx_addr_reg[5] ),
+	.B2(\soc_top/n_379 ),
+	.C1(\soc_top/n_2045 ),
+	.C2(\soc_top/n_5714 ),
+	.Y(\soc_top/n_2215 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123484  (
+	.A1(\soc_top/gen_imem_host_adrReg[4] ),
+	.A2(\soc_top/n_1416 ),
+	.B1(\soc_top/rx_addr_reg[4] ),
+	.B2(\soc_top/n_379 ),
+	.C1(\soc_top/n_2045 ),
+	.C2(\soc_top/n_5713 ),
+	.Y(\soc_top/n_2214 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123485  (
+	.A1(\soc_top/gen_imem_host_adrReg[3] ),
+	.A2(\soc_top/n_1416 ),
+	.B1(\soc_top/rx_addr_reg[3] ),
+	.B2(\soc_top/n_379 ),
+	.C1(\soc_top/n_2045 ),
+	.C2(\soc_top/n_5712 ),
+	.Y(\soc_top/n_2213 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g123486  (
+	.A1(\soc_top/gen_imem_host_adrReg[2] ),
+	.A2(\soc_top/n_1416 ),
+	.B1(\soc_top/rx_addr_reg[2] ),
+	.B2(\soc_top/n_379 ),
+	.C1(\soc_top/n_2045 ),
+	.C2(\soc_top/n_5711 ),
+	.Y(\soc_top/n_2212 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123487  (
+	.A1(\soc_top/n_6441 ),
+	.A2(\soc_top/core_ex_reg_wd[15] ),
+	.B1(\soc_top/n_1846 ),
+	.B2(\soc_top/core_ex_reg_wd[23] ),
+	.C1(\soc_top/n_1570 ),
+	.X(\soc_top/n_2211 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123488  (
+	.A1(\soc_top/n_6441 ),
+	.A2(\soc_top/core_ex_reg_wd[14] ),
+	.B1(\soc_top/n_1846 ),
+	.B2(\soc_top/core_ex_reg_wd[22] ),
+	.C1(\soc_top/n_1571 ),
+	.X(\soc_top/n_2210 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123489  (
+	.A1(\soc_top/n_6441 ),
+	.A2(\soc_top/core_ex_reg_wd[13] ),
+	.B1(\soc_top/n_1846 ),
+	.B2(\soc_top/core_ex_reg_wd[21] ),
+	.C1(\soc_top/n_1572 ),
+	.X(\soc_top/n_2209 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123490  (
+	.A1(\soc_top/n_6441 ),
+	.A2(\soc_top/core_ex_reg_wd[12] ),
+	.B1(\soc_top/n_1846 ),
+	.B2(\soc_top/core_ex_reg_wd[20] ),
+	.C1(\soc_top/n_1573 ),
+	.X(\soc_top/n_2208 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123491  (
+	.A1(\soc_top/n_6441 ),
+	.A2(\soc_top/core_ex_reg_wd[11] ),
+	.B1(\soc_top/n_1846 ),
+	.B2(\soc_top/core_ex_reg_wd[19] ),
+	.C1(\soc_top/n_1574 ),
+	.X(\soc_top/n_2207 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123492  (
+	.A1(\soc_top/n_6441 ),
+	.A2(\soc_top/core_ex_reg_wd[9] ),
+	.B1(\soc_top/n_1846 ),
+	.B2(\soc_top/core_ex_reg_wd[17] ),
+	.C1(\soc_top/n_1576 ),
+	.X(\soc_top/n_2206 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123493  (
+	.A1(\soc_top/n_6441 ),
+	.A2(\soc_top/core_ex_reg_wd[8] ),
+	.B1(\soc_top/n_1846 ),
+	.B2(\soc_top/core_ex_reg_wd[16] ),
+	.C1(\soc_top/n_1577 ),
+	.X(\soc_top/n_2205 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123494  (
+	.A1(\soc_top/n_6441 ),
+	.A2(\soc_top/core_ex_reg_wd[10] ),
+	.B1(\soc_top/n_1846 ),
+	.B2(\soc_top/core_ex_reg_wd[18] ),
+	.C1(\soc_top/n_1575 ),
+	.X(\soc_top/n_2204 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 \soc_top/g123527  (
+	.A(\soc_top/FE_OFN91_n_2125 ),
+	.B(\soc_top/n_0 ),
+	.X(\soc_top/n_2243 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 \soc_top/g123528  (
+	.A(\soc_top/n_2129 ),
+	.B(\soc_top/gen_dmem_host_adrReg[2] ),
+	.X(\soc_top/n_2242 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4bb_4 \soc_top/g123529  (
+	.A_N(\soc_top/gen_dmem_host_adrReg[5] ),
+	.B_N(\soc_top/gen_dmem_host_adrReg[4] ),
+	.C(\soc_top/n_1847 ),
+	.D(\soc_top/n_188 ),
+	.X(\soc_top/n_2241 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g123530  (
+	.A(\soc_top/n_2203 ),
+	.Y(\soc_top/n_2202 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123531  (
+	.A1(\soc_top/FE_OFN194_n_1827 ),
+	.A2(gpio_oe[14]),
+	.B1(\soc_top/n_6442 ),
+	.B2(\soc_top/gen_dmem_host_datReg[14] ),
+	.C1(\soc_top/n_1988 ),
+	.X(\soc_top/n_2201 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123532  (
+	.A1(\soc_top/FE_OFN194_n_1827 ),
+	.A2(gpio_oe[15]),
+	.B1(\soc_top/n_6442 ),
+	.B2(\soc_top/gen_dmem_host_datReg[15] ),
+	.C1(\soc_top/n_1987 ),
+	.X(\soc_top/n_2200 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123533  (
+	.A1(\soc_top/FE_OFN194_n_1827 ),
+	.A2(gpio_oe[16]),
+	.B1(\soc_top/n_6442 ),
+	.B2(\soc_top/gen_dmem_host_datReg[16] ),
+	.C1(\soc_top/n_1986 ),
+	.X(\soc_top/n_2199 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123534  (
+	.A1(\soc_top/FE_OFN194_n_1827 ),
+	.A2(gpio_oe[18]),
+	.B1(\soc_top/n_6442 ),
+	.B2(\soc_top/gen_dmem_host_datReg[18] ),
+	.C1(\soc_top/n_1984 ),
+	.X(\soc_top/n_2198 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123535  (
+	.A1(\soc_top/n_1827 ),
+	.A2(gpio_oe[19]),
+	.B1(\soc_top/n_6442 ),
+	.B2(\soc_top/gen_dmem_host_datReg[19] ),
+	.C1(\soc_top/n_1983 ),
+	.X(\soc_top/n_2197 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123536  (
+	.A1(\soc_top/n_1827 ),
+	.A2(gpio_oe[20]),
+	.B1(\soc_top/n_6442 ),
+	.B2(\soc_top/gen_dmem_host_datReg[20] ),
+	.C1(\soc_top/n_1982 ),
+	.X(\soc_top/n_2196 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123537  (
+	.A1(\soc_top/n_1827 ),
+	.A2(gpio_oe[17]),
+	.B1(\soc_top/n_6442 ),
+	.B2(\soc_top/gen_dmem_host_datReg[17] ),
+	.C1(\soc_top/n_1985 ),
+	.X(\soc_top/n_2195 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123538  (
+	.A1(\soc_top/n_1827 ),
+	.A2(gpio_oe[21]),
+	.B1(\soc_top/n_6442 ),
+	.B2(\soc_top/gen_dmem_host_datReg[21] ),
+	.C1(\soc_top/n_1981 ),
+	.X(\soc_top/n_2194 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123539  (
+	.A1(\soc_top/n_1827 ),
+	.A2(gpio_oe[22]),
+	.B1(\soc_top/n_6442 ),
+	.B2(\soc_top/gen_dmem_host_datReg[22] ),
+	.C1(\soc_top/n_1980 ),
+	.X(\soc_top/n_2193 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123540  (
+	.A1(\soc_top/FE_OFN194_n_1827 ),
+	.A2(gpio_oe[23]),
+	.B1(\soc_top/n_6442 ),
+	.B2(\soc_top/gen_dmem_host_datReg[23] ),
+	.C1(\soc_top/n_1979 ),
+	.X(\soc_top/n_2192 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123541  (
+	.A1(\soc_top/FE_OFN194_n_1827 ),
+	.A2(gpio_oe[24]),
+	.B1(\soc_top/n_6442 ),
+	.B2(\soc_top/gen_dmem_host_datReg[24] ),
+	.C1(\soc_top/n_1978 ),
+	.X(\soc_top/n_2191 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123542  (
+	.A1(\soc_top/n_1827 ),
+	.A2(gpio_oe[25]),
+	.B1(\soc_top/n_6442 ),
+	.B2(\soc_top/gen_dmem_host_datReg[25] ),
+	.C1(\soc_top/n_1977 ),
+	.X(\soc_top/n_2190 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123543  (
+	.A1(\soc_top/FE_OFN194_n_1827 ),
+	.A2(gpio_oe[26]),
+	.B1(\soc_top/n_6442 ),
+	.B2(\soc_top/gen_dmem_host_datReg[26] ),
+	.C1(\soc_top/n_1976 ),
+	.X(\soc_top/n_2189 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123544  (
+	.A1(\soc_top/FE_OFN194_n_1827 ),
+	.A2(gpio_oe[27]),
+	.B1(\soc_top/n_6442 ),
+	.B2(\soc_top/gen_dmem_host_datReg[27] ),
+	.C1(\soc_top/n_1975 ),
+	.X(\soc_top/n_2188 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123545  (
+	.A1(\soc_top/FE_OFN194_n_1827 ),
+	.A2(gpio_oe[28]),
+	.B1(\soc_top/n_6442 ),
+	.B2(\soc_top/gen_dmem_host_datReg[28] ),
+	.C1(\soc_top/n_1974 ),
+	.X(\soc_top/n_2187 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123546  (
+	.A1(\soc_top/FE_OFN194_n_1827 ),
+	.A2(gpio_oe[29]),
+	.B1(\soc_top/n_6442 ),
+	.B2(\soc_top/gen_dmem_host_datReg[29] ),
+	.C1(\soc_top/n_1973 ),
+	.X(\soc_top/n_2186 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123547  (
+	.A1(\soc_top/FE_OFN194_n_1827 ),
+	.A2(gpio_oe[30]),
+	.B1(\soc_top/n_6442 ),
+	.B2(\soc_top/gen_dmem_host_datReg[30] ),
+	.C1(\soc_top/n_1972 ),
+	.X(\soc_top/n_2185 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123548  (
+	.A1(\soc_top/FE_OFN194_n_1827 ),
+	.A2(gpio_oe[31]),
+	.B1(\soc_top/n_6442 ),
+	.B2(\soc_top/gen_dmem_host_datReg[31] ),
+	.C1(\soc_top/n_1971 ),
+	.X(\soc_top/n_2184 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123549  (
+	.A1(\soc_top/FE_OFN192_n_1555 ),
+	.A2(io_out[6]),
+	.B1(\soc_top/n_1824 ),
+	.B2(\soc_top/gen_dmem_host_datReg[0] ),
+	.C1(\soc_top/n_1969 ),
+	.X(\soc_top/n_2183 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123550  (
+	.A1(\soc_top/FE_OFN192_n_1555 ),
+	.A2(io_out[8]),
+	.B1(\soc_top/n_1824 ),
+	.B2(\soc_top/gen_dmem_host_datReg[2] ),
+	.C1(\soc_top/n_1968 ),
+	.X(\soc_top/n_2182 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123551  (
+	.A1(\soc_top/FE_OFN192_n_1555 ),
+	.A2(io_out[9]),
+	.B1(\soc_top/n_1824 ),
+	.B2(\soc_top/gen_dmem_host_datReg[3] ),
+	.C1(\soc_top/n_1967 ),
+	.X(\soc_top/n_2181 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123552  (
+	.A1(\soc_top/n_1555 ),
+	.A2(io_out[7]),
+	.B1(\soc_top/n_1824 ),
+	.B2(\soc_top/gen_dmem_host_datReg[1] ),
+	.C1(\soc_top/n_1970 ),
+	.X(\soc_top/n_2180 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123553  (
+	.A1(\soc_top/n_1555 ),
+	.A2(io_out[10]),
+	.B1(\soc_top/n_1824 ),
+	.B2(\soc_top/gen_dmem_host_datReg[4] ),
+	.C1(\soc_top/n_1966 ),
+	.X(\soc_top/n_2179 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123554  (
+	.A1(\soc_top/n_1555 ),
+	.A2(io_out[11]),
+	.B1(\soc_top/n_1824 ),
+	.B2(\soc_top/gen_dmem_host_datReg[5] ),
+	.C1(\soc_top/n_1965 ),
+	.X(\soc_top/n_2178 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123555  (
+	.A1(\soc_top/n_1555 ),
+	.A2(io_out[12]),
+	.B1(\soc_top/n_1824 ),
+	.B2(\soc_top/gen_dmem_host_datReg[6] ),
+	.C1(\soc_top/n_1964 ),
+	.X(\soc_top/n_2177 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123556  (
+	.A1(\soc_top/FE_OFN192_n_1555 ),
+	.A2(io_out[13]),
+	.B1(\soc_top/n_1824 ),
+	.B2(\soc_top/gen_dmem_host_datReg[7] ),
+	.C1(\soc_top/n_1963 ),
+	.X(\soc_top/n_2176 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123557  (
+	.A1(\soc_top/FE_OFN192_n_1555 ),
+	.A2(io_out[14]),
+	.B1(\soc_top/n_1824 ),
+	.B2(\soc_top/gen_dmem_host_datReg[8] ),
+	.C1(\soc_top/n_1962 ),
+	.X(\soc_top/n_2175 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123558  (
+	.A1(\soc_top/n_1555 ),
+	.A2(io_out[15]),
+	.B1(\soc_top/n_1824 ),
+	.B2(\soc_top/gen_dmem_host_datReg[9] ),
+	.C1(\soc_top/n_1961 ),
+	.X(\soc_top/n_2174 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123559  (
+	.A1(\soc_top/FE_OFN192_n_1555 ),
+	.A2(io_out[16]),
+	.B1(\soc_top/n_1824 ),
+	.B2(\soc_top/gen_dmem_host_datReg[10] ),
+	.C1(\soc_top/n_1960 ),
+	.X(\soc_top/n_2173 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123560  (
+	.A1(\soc_top/FE_OFN192_n_1555 ),
+	.A2(io_out[17]),
+	.B1(\soc_top/n_1824 ),
+	.B2(\soc_top/gen_dmem_host_datReg[11] ),
+	.C1(\soc_top/n_1959 ),
+	.X(\soc_top/n_2172 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123561  (
+	.A1(\soc_top/FE_OFN192_n_1555 ),
+	.A2(io_out[18]),
+	.B1(\soc_top/n_1824 ),
+	.B2(\soc_top/gen_dmem_host_datReg[12] ),
+	.C1(\soc_top/n_1958 ),
+	.X(\soc_top/n_2171 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123562  (
+	.A1(\soc_top/FE_OFN192_n_1555 ),
+	.A2(io_out[19]),
+	.B1(\soc_top/n_1824 ),
+	.B2(\soc_top/gen_dmem_host_datReg[13] ),
+	.C1(\soc_top/n_1957 ),
+	.X(\soc_top/n_2170 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123563  (
+	.A1(\soc_top/FE_OFN192_n_1555 ),
+	.A2(io_out[20]),
+	.B1(\soc_top/n_1824 ),
+	.B2(\soc_top/gen_dmem_host_datReg[14] ),
+	.C1(\soc_top/n_1956 ),
+	.X(\soc_top/n_2169 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123564  (
+	.A1(\soc_top/FE_OFN192_n_1555 ),
+	.A2(io_out[21]),
+	.B1(\soc_top/n_1824 ),
+	.B2(\soc_top/gen_dmem_host_datReg[15] ),
+	.C1(\soc_top/n_1955 ),
+	.X(\soc_top/n_2168 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123565  (
+	.A1(\soc_top/FE_OFN192_n_1555 ),
+	.A2(FE_OFN39_io_out_22),
+	.B1(\soc_top/n_1824 ),
+	.B2(\soc_top/gen_dmem_host_datReg[16] ),
+	.C1(\soc_top/n_1954 ),
+	.X(\soc_top/n_2167 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123566  (
+	.A1(\soc_top/n_1555 ),
+	.A2(FE_OFN38_io_out_23),
+	.B1(\soc_top/n_1824 ),
+	.B2(\soc_top/gen_dmem_host_datReg[17] ),
+	.C1(\soc_top/n_1953 ),
+	.X(\soc_top/n_2166 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123567  (
+	.A1(\soc_top/FE_OFN192_n_1555 ),
+	.A2(io_out[24]),
+	.B1(\soc_top/n_1824 ),
+	.B2(\soc_top/gen_dmem_host_datReg[18] ),
+	.C1(\soc_top/n_1952 ),
+	.X(\soc_top/n_2165 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123568  (
+	.A1(\soc_top/FE_OFN192_n_1555 ),
+	.A2(FE_OFN37_io_out_25),
+	.B1(\soc_top/n_1824 ),
+	.B2(\soc_top/gen_dmem_host_datReg[19] ),
+	.C1(\soc_top/n_1951 ),
+	.X(\soc_top/n_2164 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123569  (
+	.A1(\soc_top/n_1555 ),
+	.A2(FE_OFN36_io_out_26),
+	.B1(\soc_top/n_1824 ),
+	.B2(\soc_top/gen_dmem_host_datReg[20] ),
+	.C1(\soc_top/n_1950 ),
+	.X(\soc_top/n_2163 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123570  (
+	.A1(\soc_top/n_1555 ),
+	.A2(FE_OFN35_io_out_27),
+	.B1(\soc_top/n_1824 ),
+	.B2(\soc_top/gen_dmem_host_datReg[21] ),
+	.C1(\soc_top/n_1949 ),
+	.X(\soc_top/n_2162 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123571  (
+	.A1(\soc_top/n_1555 ),
+	.A2(FE_OFN6691_io_out_28),
+	.B1(\soc_top/n_1824 ),
+	.B2(\soc_top/gen_dmem_host_datReg[22] ),
+	.C1(\soc_top/n_1948 ),
+	.X(\soc_top/n_2161 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123572  (
+	.A1(\soc_top/FE_OFN192_n_1555 ),
+	.A2(FE_OFN33_io_out_29),
+	.B1(\soc_top/n_1824 ),
+	.B2(\soc_top/gen_dmem_host_datReg[23] ),
+	.C1(\soc_top/n_1947 ),
+	.X(\soc_top/n_2160 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123573  (
+	.A1(\soc_top/FE_OFN192_n_1555 ),
+	.A2(FE_OFN32_io_out_30),
+	.B1(\soc_top/n_1824 ),
+	.B2(\soc_top/gen_dmem_host_datReg[24] ),
+	.C1(\soc_top/n_1946 ),
+	.X(\soc_top/n_2159 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123574  (
+	.A1(\soc_top/n_1555 ),
+	.A2(FE_OFN31_io_out_31),
+	.B1(\soc_top/n_1824 ),
+	.B2(\soc_top/gen_dmem_host_datReg[25] ),
+	.C1(\soc_top/n_1945 ),
+	.X(\soc_top/n_2158 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123575  (
+	.A1(\soc_top/FE_OFN192_n_1555 ),
+	.A2(io_out[32]),
+	.B1(\soc_top/n_1824 ),
+	.B2(\soc_top/gen_dmem_host_datReg[26] ),
+	.C1(\soc_top/n_1944 ),
+	.X(\soc_top/n_2157 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123576  (
+	.A1(\soc_top/FE_OFN192_n_1555 ),
+	.A2(FE_OFN30_io_out_33),
+	.B1(\soc_top/n_1824 ),
+	.B2(\soc_top/gen_dmem_host_datReg[27] ),
+	.C1(\soc_top/n_1943 ),
+	.X(\soc_top/n_2156 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123577  (
+	.A1(\soc_top/FE_OFN192_n_1555 ),
+	.A2(FE_OFN29_io_out_34),
+	.B1(\soc_top/n_1824 ),
+	.B2(\soc_top/gen_dmem_host_datReg[28] ),
+	.C1(\soc_top/n_1942 ),
+	.X(\soc_top/n_2155 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123578  (
+	.A1(\soc_top/FE_OFN192_n_1555 ),
+	.A2(FE_OFN28_io_out_35),
+	.B1(\soc_top/n_1824 ),
+	.B2(\soc_top/gen_dmem_host_datReg[29] ),
+	.C1(\soc_top/n_1941 ),
+	.X(\soc_top/n_2154 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123579  (
+	.A1(\soc_top/FE_OFN192_n_1555 ),
+	.A2(FE_OFN27_io_out_36),
+	.B1(\soc_top/n_1824 ),
+	.B2(\soc_top/gen_dmem_host_datReg[30] ),
+	.C1(\soc_top/n_1940 ),
+	.X(\soc_top/n_2153 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123580  (
+	.A1(\soc_top/FE_OFN192_n_1555 ),
+	.A2(FE_OFN26_io_out_37),
+	.B1(\soc_top/n_1824 ),
+	.B2(\soc_top/gen_dmem_host_datReg[31] ),
+	.C1(\soc_top/n_2034 ),
+	.X(\soc_top/n_2152 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123581  (
+	.A1(\soc_top/n_2035 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[16] ),
+	.B1(\soc_top/n_1554 ),
+	.B2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[16] ),
+	.X(\soc_top/n_2151 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123582  (
+	.A1(\soc_top/FE_OFN90_n_2035 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[18] ),
+	.B1(\soc_top/n_1554 ),
+	.B2(\soc_top/FE_OFN5640_gen_dmem_host_io_rspOut_bits_dataResponse_18 ),
+	.X(\soc_top/n_2150 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123583  (
+	.A1(\soc_top/n_2035 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[19] ),
+	.B1(\soc_top/n_1554 ),
+	.B2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[19] ),
+	.X(\soc_top/n_2149 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123584  (
+	.A1(\soc_top/n_2035 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[22] ),
+	.B1(\soc_top/n_1554 ),
+	.B2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[22] ),
+	.X(\soc_top/n_2148 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123585  (
+	.A1(\soc_top/n_2035 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[24] ),
+	.B1(\soc_top/n_1554 ),
+	.B2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[24] ),
+	.X(\soc_top/n_2147 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123586  (
+	.A1(\soc_top/FE_OFN90_n_2035 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[26] ),
+	.B1(\soc_top/n_1554 ),
+	.B2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[26] ),
+	.X(\soc_top/n_2146 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123587  (
+	.A1(\soc_top/FE_OFN90_n_2035 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[27] ),
+	.B1(\soc_top/n_1554 ),
+	.B2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[27] ),
+	.X(\soc_top/n_2145 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123588  (
+	.A1(\soc_top/FE_OFN90_n_2035 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[28] ),
+	.B1(\soc_top/n_1554 ),
+	.B2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[28] ),
+	.X(\soc_top/n_2144 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123589  (
+	.A1(\soc_top/FE_OFN90_n_2035 ),
+	.A2(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[31] ),
+	.B1(\soc_top/n_1554 ),
+	.B2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[31] ),
+	.X(\soc_top/n_2143 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top/g123590  (
+	.A1_N(\soc_top/gen_imem_host_adrReg[0] ),
+	.A2_N(\soc_top/n_1416 ),
+	.B1(\soc_top/core_pc_io_out[2] ),
+	.B2(\soc_top/n_2044 ),
+	.Y(\soc_top/n_2142 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123591  (
+	.A1(\soc_top/n_1836 ),
+	.A2(\soc_top/n_5710 ),
+	.B1(\soc_top/n_1838 ),
+	.B2(\soc_top/core_pc_io_out[3] ),
+	.C1(\soc_top/FE_OFN1_wb_rst_i ),
+	.Y(\soc_top/n_2141 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123592  (
+	.A1(\soc_top/n_1836 ),
+	.A2(\soc_top/n_5711 ),
+	.B1(\soc_top/n_1838 ),
+	.B2(\soc_top/core_pc_io_out[4] ),
+	.C1(\soc_top/FE_OFN1_wb_rst_i ),
+	.Y(\soc_top/n_2140 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123593  (
+	.A1(\soc_top/n_1836 ),
+	.A2(\soc_top/n_5712 ),
+	.B1(\soc_top/n_1838 ),
+	.B2(\soc_top/core_pc_io_out[5] ),
+	.C1(\soc_top/FE_OFN1_wb_rst_i ),
+	.Y(\soc_top/n_2139 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123594  (
+	.A1(\soc_top/n_1836 ),
+	.A2(\soc_top/n_5713 ),
+	.B1(\soc_top/n_1838 ),
+	.B2(\soc_top/core_pc_io_out[6] ),
+	.C1(\soc_top/FE_OFN1_wb_rst_i ),
+	.Y(\soc_top/n_2138 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123595  (
+	.A1(\soc_top/n_1836 ),
+	.A2(\soc_top/n_5714 ),
+	.B1(\soc_top/n_1838 ),
+	.B2(\soc_top/core_pc_io_out[7] ),
+	.C1(\soc_top/FE_OFN1_wb_rst_i ),
+	.Y(\soc_top/n_2137 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123596  (
+	.A1(\soc_top/n_1836 ),
+	.A2(\soc_top/n_5715 ),
+	.B1(\soc_top/n_1838 ),
+	.B2(\soc_top/core_pc_io_out[8] ),
+	.C1(\soc_top/FE_OFN1_wb_rst_i ),
+	.Y(\soc_top/n_2136 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/g123597  (
+	.A1(\soc_top/n_1836 ),
+	.A2(\soc_top/n_5716 ),
+	.B1(\soc_top/n_1838 ),
+	.B2(\soc_top/core_pc_io_out[9] ),
+	.C1(\soc_top/FE_OFN1_wb_rst_i ),
+	.Y(\soc_top/n_2135 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123598  (
+	.A1(\soc_top/n_2036 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[0] ),
+	.B1(\soc_top/n_1554 ),
+	.B2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[0] ),
+	.Y(\soc_top/n_2134 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123599  (
+	.A1(\soc_top/n_2036 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[3] ),
+	.B1(\soc_top/n_1554 ),
+	.B2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[3] ),
+	.Y(\soc_top/n_2133 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123600  (
+	.A1(\soc_top/n_2036 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[6] ),
+	.B1(\soc_top/n_1554 ),
+	.B2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[6] ),
+	.Y(\soc_top/n_2132 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123601  (
+	.A1(\soc_top/n_2036 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[8] ),
+	.B1(\soc_top/n_1554 ),
+	.B2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[8] ),
+	.Y(\soc_top/n_2131 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123602  (
+	.A1(\soc_top/n_2036 ),
+	.A2(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_state_q[9] ),
+	.B1(\soc_top/n_1554 ),
+	.B2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[9] ),
+	.Y(\soc_top/n_2130 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_2 \soc_top/g123603  (
+	.A1(\soc_top/n_57 ),
+	.A2(\soc_top/n_2048 ),
+	.B1(\soc_top/n_2059 ),
+	.X(\soc_top/n_2203 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g123604  (
+	.A(\soc_top/n_1860 ),
+	.B(\soc_top/n_1856 ),
+	.C(\soc_top/n_1861 ),
+	.Y(\soc_top/n_2120 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g123605  (
+	.A(\soc_top/n_1866 ),
+	.B(\soc_top/n_1867 ),
+	.C(\soc_top/n_1868 ),
+	.Y(\soc_top/n_2119 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g123606  (
+	.A1(\soc_top/core_pc_io_out[2] ),
+	.A2(\soc_top/n_1835 ),
+	.B1(\soc_top/FE_DBTN13_wb_rst_i ),
+	.Y(\soc_top/n_2118 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123607  (
+	.A(\soc_top/n_2035 ),
+	.B(\soc_top/gpio_gpioRegTop_intr_enable_reg_io_qs[9] ),
+	.Y(\soc_top/n_2117 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123608  (
+	.A(\soc_top/n_6440 ),
+	.B(\soc_top/core_pc_io_out[9] ),
+	.Y(\soc_top/n_2116 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123609  (
+	.A(\soc_top/n_6440 ),
+	.B(\soc_top/core_pc_io_out[8] ),
+	.Y(\soc_top/n_2115 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123610  (
+	.A(\soc_top/n_6440 ),
+	.B(\soc_top/core_pc_io_out[7] ),
+	.Y(\soc_top/n_2114 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123611  (
+	.A(\soc_top/n_6440 ),
+	.B(\soc_top/core_pc_io_out[6] ),
+	.Y(\soc_top/n_2113 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123612  (
+	.A(\soc_top/n_6440 ),
+	.B(\soc_top/core_pc_io_out[5] ),
+	.Y(\soc_top/n_2112 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123613  (
+	.A(\soc_top/n_6440 ),
+	.B(\soc_top/core_pc_io_out[4] ),
+	.Y(\soc_top/n_2111 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123648  (
+	.A(\soc_top/gen_dmem_host_adrReg[3] ),
+	.B(\soc_top/n_2049 ),
+	.Y(\soc_top/n_2129 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123653  (
+	.A(\soc_top/n_198 ),
+	.B(\soc_top/n_2047 ),
+	.Y(\soc_top/n_2127 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123655  (
+	.A(\soc_top/gen_dmem_host_adrReg[3] ),
+	.B(\soc_top/n_2047 ),
+	.Y(\soc_top/n_2125 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g123656  (
+	.A(\soc_top/n_2050 ),
+	.B(\soc_top/n_197 ),
+	.X(\soc_top/n_2124 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 \soc_top/g123657  (
+	.A_N(\soc_top/n_2048 ),
+	.B(\soc_top/gen_dmem_host_adrReg[2] ),
+	.X(\soc_top/n_2123 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g123658  (
+	.A(\soc_top/n_2050 ),
+	.B(\soc_top/n_188 ),
+	.X(\soc_top/n_2122 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 \soc_top/g123659  (
+	.A_N(\soc_top/n_2048 ),
+	.B(\soc_top/n_0 ),
+	.X(\soc_top/n_2121 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \soc_top/g123660  (
+	.A(\soc_top/n_1875 ),
+	.B(\soc_top/n_1874 ),
+	.C(\soc_top/n_1873 ),
+	.D(\soc_top/n_1872 ),
+	.Y(\soc_top/n_2110 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g123661  (
+	.A(\soc_top/n_1871 ),
+	.B(\soc_top/n_1870 ),
+	.C(\soc_top/n_1869 ),
+	.Y(\soc_top/n_2109 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 \soc_top/g123662  (
+	.A(\soc_top/core_io_dmemReq_bits_addrRequest[7] ),
+	.B(\soc_top/core_io_dmemReq_bits_addrRequest[21] ),
+	.C(\soc_top/core_io_dmemReq_bits_addrRequest[29] ),
+	.D(\soc_top/n_1434 ),
+	.X(\soc_top/n_2108 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123663  (
+	.A1(\soc_top/n_1825 ),
+	.A2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[0] ),
+	.B1(\soc_top/n_1556 ),
+	.B2(\soc_top/core_if_reg_ins[0] ),
+	.C1(\soc_top/n_1848 ),
+	.X(\soc_top/n_2107 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123664  (
+	.A1(\soc_top/n_1825 ),
+	.A2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[1] ),
+	.B1(\soc_top/n_1556 ),
+	.B2(\soc_top/core_if_reg_ins[1] ),
+	.C1(\soc_top/n_1848 ),
+	.X(\soc_top/n_2106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123665  (
+	.A1(\soc_top/n_1825 ),
+	.A2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[2] ),
+	.B1(\soc_top/n_1556 ),
+	.B2(\soc_top/core_if_reg_ins[2] ),
+	.X(\soc_top/n_2105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123666  (
+	.A1(\soc_top/n_1825 ),
+	.A2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[4] ),
+	.B1(\soc_top/n_1556 ),
+	.B2(\soc_top/core_if_reg_ins[4] ),
+	.C1(\soc_top/n_1848 ),
+	.X(\soc_top/n_2104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123667  (
+	.A1(\soc_top/n_1825 ),
+	.A2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[5] ),
+	.B1(\soc_top/n_1556 ),
+	.B2(\soc_top/core_if_reg_ins[5] ),
+	.X(\soc_top/n_2103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123668  (
+	.A1(\soc_top/n_1825 ),
+	.A2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[6] ),
+	.B1(\soc_top/n_1556 ),
+	.B2(\soc_top/core_if_reg_ins[6] ),
+	.X(\soc_top/n_2102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123669  (
+	.A1(\soc_top/n_1825 ),
+	.A2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[7] ),
+	.B1(\soc_top/n_1556 ),
+	.B2(\soc_top/core_if_reg_ins[7] ),
+	.X(\soc_top/n_2101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123670  (
+	.A1(\soc_top/n_1825 ),
+	.A2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[8] ),
+	.B1(\soc_top/n_1556 ),
+	.B2(\soc_top/core_if_reg_ins[8] ),
+	.X(\soc_top/n_2100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123671  (
+	.A1(\soc_top/n_1825 ),
+	.A2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[3] ),
+	.B1(\soc_top/n_1556 ),
+	.B2(\soc_top/core_if_reg_ins[3] ),
+	.X(\soc_top/n_2099 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123672  (
+	.A1(\soc_top/n_1825 ),
+	.A2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[9] ),
+	.B1(\soc_top/n_1556 ),
+	.B2(\soc_top/core_if_reg_ins[9] ),
+	.X(\soc_top/n_2098 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123673  (
+	.A1(\soc_top/n_1825 ),
+	.A2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[11] ),
+	.B1(\soc_top/n_1556 ),
+	.B2(\soc_top/core_if_reg_ins[11] ),
+	.X(\soc_top/n_2097 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123674  (
+	.A1(\soc_top/n_1825 ),
+	.A2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[10] ),
+	.B1(\soc_top/n_1556 ),
+	.B2(\soc_top/core_if_reg_ins[10] ),
+	.X(\soc_top/n_2096 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123675  (
+	.A1(\soc_top/n_1825 ),
+	.A2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[12] ),
+	.B1(\soc_top/n_1556 ),
+	.B2(\soc_top/core_if_reg_ins[12] ),
+	.X(\soc_top/n_2095 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123676  (
+	.A1(\soc_top/n_1825 ),
+	.A2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[13] ),
+	.B1(\soc_top/n_1556 ),
+	.B2(\soc_top/core_if_reg_ins[13] ),
+	.X(\soc_top/n_2094 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123677  (
+	.A1(\soc_top/n_1825 ),
+	.A2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[14] ),
+	.B1(\soc_top/n_1556 ),
+	.B2(\soc_top/core_if_reg_ins[14] ),
+	.X(\soc_top/n_2093 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123678  (
+	.A1(\soc_top/n_1825 ),
+	.A2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[15] ),
+	.B1(\soc_top/n_1556 ),
+	.B2(\soc_top/FE_OFN52_core_if_reg_ins_15 ),
+	.X(\soc_top/n_2092 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123679  (
+	.A1(\soc_top/n_1825 ),
+	.A2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[17] ),
+	.B1(\soc_top/n_1556 ),
+	.B2(\soc_top/FE_OFN50_core_if_reg_ins_17 ),
+	.X(\soc_top/n_2091 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123680  (
+	.A1(\soc_top/n_1825 ),
+	.A2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[16] ),
+	.B1(\soc_top/n_1556 ),
+	.B2(\soc_top/FE_OFN51_core_if_reg_ins_16 ),
+	.X(\soc_top/n_2090 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123681  (
+	.A1(\soc_top/n_1825 ),
+	.A2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[18] ),
+	.B1(\soc_top/n_1556 ),
+	.B2(\soc_top/FE_OFN49_core_if_reg_ins_18 ),
+	.X(\soc_top/n_2089 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123682  (
+	.A1(\soc_top/n_1825 ),
+	.A2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[19] ),
+	.B1(\soc_top/n_1556 ),
+	.B2(\soc_top/FE_OFN48_core_if_reg_ins_19 ),
+	.X(\soc_top/n_2088 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123683  (
+	.A1(\soc_top/n_1825 ),
+	.A2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[20] ),
+	.B1(\soc_top/n_1556 ),
+	.B2(\soc_top/FE_OFN47_core_if_reg_ins_20 ),
+	.X(\soc_top/n_2087 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123684  (
+	.A1(\soc_top/n_1825 ),
+	.A2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[21] ),
+	.B1(\soc_top/n_1556 ),
+	.B2(\soc_top/FE_OFN46_core_if_reg_ins_21 ),
+	.X(\soc_top/n_2086 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123685  (
+	.A1(\soc_top/n_1825 ),
+	.A2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[23] ),
+	.B1(\soc_top/n_1556 ),
+	.B2(\soc_top/FE_OFN44_core_if_reg_ins_23 ),
+	.X(\soc_top/n_2085 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123686  (
+	.A1(\soc_top/n_1825 ),
+	.A2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[24] ),
+	.B1(\soc_top/n_1556 ),
+	.B2(\soc_top/FE_OFN43_core_if_reg_ins_24 ),
+	.X(\soc_top/n_2084 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123687  (
+	.A1(\soc_top/n_1825 ),
+	.A2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[22] ),
+	.B1(\soc_top/n_1556 ),
+	.B2(\soc_top/FE_OFN45_core_if_reg_ins_22 ),
+	.X(\soc_top/n_2083 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123688  (
+	.A1(\soc_top/n_1825 ),
+	.A2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[25] ),
+	.B1(\soc_top/n_1556 ),
+	.B2(\soc_top/core_if_reg_ins[25] ),
+	.X(\soc_top/n_2082 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123689  (
+	.A1(\soc_top/n_1825 ),
+	.A2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[26] ),
+	.B1(\soc_top/n_1556 ),
+	.B2(\soc_top/core_if_reg_ins[26] ),
+	.X(\soc_top/n_2081 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123690  (
+	.A1(\soc_top/n_1825 ),
+	.A2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[27] ),
+	.B1(\soc_top/n_1556 ),
+	.B2(\soc_top/core_if_reg_ins[27] ),
+	.X(\soc_top/n_2080 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123691  (
+	.A1(\soc_top/n_1825 ),
+	.A2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[28] ),
+	.B1(\soc_top/n_1556 ),
+	.B2(\soc_top/core_if_reg_ins[28] ),
+	.X(\soc_top/n_2079 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123692  (
+	.A1(\soc_top/n_1825 ),
+	.A2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[29] ),
+	.B1(\soc_top/n_1556 ),
+	.B2(\soc_top/core_if_reg_ins[29] ),
+	.X(\soc_top/n_2078 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123693  (
+	.A1(\soc_top/n_1825 ),
+	.A2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[30] ),
+	.B1(\soc_top/n_1556 ),
+	.B2(\soc_top/core_if_reg_ins[30] ),
+	.X(\soc_top/n_2077 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123694  (
+	.A1(\soc_top/n_1825 ),
+	.A2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[31] ),
+	.B1(\soc_top/n_1556 ),
+	.B2(\soc_top/core_if_reg_ins[31] ),
+	.X(\soc_top/n_2076 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123695  (
+	.A1(\soc_top/n_1840 ),
+	.A2(\soc_top/core_ex_reg_wd[0] ),
+	.B1(\soc_top/FE_OFN191_n_1427 ),
+	.B2(\soc_top/core_ex_reg_wd[8] ),
+	.Y(\soc_top/n_2075 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123696  (
+	.A1(\soc_top/n_1840 ),
+	.A2(\soc_top/core_ex_reg_wd[1] ),
+	.B1(\soc_top/FE_OFN189_n_1415 ),
+	.B2(\soc_top/gen_dmem_host_datReg[9] ),
+	.Y(\soc_top/n_2074 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123697  (
+	.A1(\soc_top/n_1840 ),
+	.A2(\soc_top/core_ex_reg_wd[2] ),
+	.B1(\soc_top/FE_OFN189_n_1415 ),
+	.B2(\soc_top/gen_dmem_host_datReg[10] ),
+	.Y(\soc_top/n_2073 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123698  (
+	.A1(\soc_top/n_1840 ),
+	.A2(\soc_top/core_ex_reg_wd[3] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_datReg[11] ),
+	.Y(\soc_top/n_2072 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123699  (
+	.A1(\soc_top/n_1841 ),
+	.A2(\soc_top/core_ex_reg_wd[20] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_datReg[12] ),
+	.Y(\soc_top/n_2071 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123700  (
+	.A1(\soc_top/n_1840 ),
+	.A2(\soc_top/core_ex_reg_wd[5] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_datReg[13] ),
+	.Y(\soc_top/n_2070 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123701  (
+	.A1(\soc_top/n_1841 ),
+	.A2(\soc_top/core_ex_reg_wd[22] ),
+	.B1(\soc_top/FE_OFN189_n_1415 ),
+	.B2(\soc_top/gen_dmem_host_datReg[14] ),
+	.Y(\soc_top/n_2069 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123702  (
+	.A1(\soc_top/n_1840 ),
+	.A2(\soc_top/core_ex_reg_wd[7] ),
+	.B1(\soc_top/FE_OFN191_n_1427 ),
+	.B2(\soc_top/core_ex_reg_wd[15] ),
+	.Y(\soc_top/n_2068 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123703  (
+	.A1(\soc_top/FE_OFN263_n_1845 ),
+	.A2(\soc_top/core_ex_reg_wd[16] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_datReg[16] ),
+	.Y(\soc_top/n_2067 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123704  (
+	.A1(\soc_top/FE_OFN263_n_1845 ),
+	.A2(\soc_top/core_ex_reg_wd[17] ),
+	.B1(\soc_top/FE_OFN189_n_1415 ),
+	.B2(\soc_top/gen_dmem_host_datReg[17] ),
+	.Y(\soc_top/n_2066 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123705  (
+	.A1(\soc_top/FE_OFN263_n_1845 ),
+	.A2(\soc_top/core_ex_reg_wd[18] ),
+	.B1(\soc_top/FE_OFN189_n_1415 ),
+	.B2(\soc_top/gen_dmem_host_datReg[18] ),
+	.Y(\soc_top/n_2065 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123706  (
+	.A1(\soc_top/FE_OFN263_n_1845 ),
+	.A2(\soc_top/core_ex_reg_wd[19] ),
+	.B1(\soc_top/FE_OFN189_n_1415 ),
+	.B2(\soc_top/gen_dmem_host_datReg[19] ),
+	.Y(\soc_top/n_2064 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123707  (
+	.A1(\soc_top/FE_OFN196_n_1842 ),
+	.A2(\soc_top/core_ex_reg_wd[4] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_datReg[20] ),
+	.Y(\soc_top/n_2063 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123708  (
+	.A1(\soc_top/FE_OFN263_n_1845 ),
+	.A2(\soc_top/core_ex_reg_wd[21] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_datReg[21] ),
+	.Y(\soc_top/n_2062 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123709  (
+	.A1(\soc_top/FE_OFN196_n_1842 ),
+	.A2(\soc_top/core_ex_reg_wd[6] ),
+	.B1(\soc_top/FE_OFN189_n_1415 ),
+	.B2(\soc_top/gen_dmem_host_datReg[22] ),
+	.Y(\soc_top/n_2061 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123710  (
+	.A1(\soc_top/FE_OFN196_n_1842 ),
+	.A2(\soc_top/core_ex_reg_wd[7] ),
+	.B1(\soc_top/FE_OFN189_n_1415 ),
+	.B2(\soc_top/gen_dmem_host_datReg[23] ),
+	.Y(\soc_top/n_2060 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g123711  (
+	.A1(\soc_top/n_1847 ),
+	.A2(\soc_top/n_5602 ),
+	.B1(\soc_top/n_1561 ),
+	.B2(\soc_top/switch_io_devSel[1] ),
+	.Y(\soc_top/n_2059 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \soc_top/g123712  (
+	.A(\soc_top/n_1862 ),
+	.B(\soc_top/n_1863 ),
+	.C(\soc_top/n_1864 ),
+	.D(\soc_top/n_1865 ),
+	.Y(\soc_top/n_2058 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \soc_top/g123713  (
+	.A(\soc_top/n_1855 ),
+	.B(\soc_top/n_1857 ),
+	.C(\soc_top/n_1858 ),
+	.D(\soc_top/n_1859 ),
+	.Y(\soc_top/n_2057 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \soc_top/g123714  (
+	.A(\soc_top/n_1849 ),
+	.B(\soc_top/n_1850 ),
+	.C(\soc_top/FE_OFN264_n_1851 ),
+	.D(\soc_top/n_1852 ),
+	.Y(\soc_top/n_2056 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g123715  (
+	.A(\soc_top/n_1853 ),
+	.B(\soc_top/n_1854 ),
+	.C(\soc_top/n_1876 ),
+	.Y(\soc_top/n_2055 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g123906  (
+	.A(\soc_top/n_2049 ),
+	.Y(\soc_top/n_2050 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g123908  (
+	.A(\soc_top/n_2044 ),
+	.Y(\soc_top/n_2045 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123911  (
+	.A(\soc_top/n_375 ),
+	.B(\soc_top/n_1834 ),
+	.Y(\soc_top/n_2034 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123912  (
+	.A1(\soc_top/n_1559 ),
+	.A2(\soc_top/core_ex_reg_wd[31] ),
+	.B1(\soc_top/FE_OFN189_n_1415 ),
+	.B2(\soc_top/gen_dmem_host_datReg[31] ),
+	.X(\soc_top/n_2033 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123913  (
+	.A1(\soc_top/n_1559 ),
+	.A2(\soc_top/core_ex_reg_wd[30] ),
+	.B1(\soc_top/FE_OFN189_n_1415 ),
+	.B2(\soc_top/gen_dmem_host_datReg[30] ),
+	.X(\soc_top/n_2032 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123914  (
+	.A1(\soc_top/n_1559 ),
+	.A2(\soc_top/core_ex_reg_wd[29] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_datReg[29] ),
+	.X(\soc_top/n_2031 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123915  (
+	.A1(\soc_top/n_1559 ),
+	.A2(\soc_top/core_ex_reg_wd[28] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_datReg[28] ),
+	.X(\soc_top/n_2030 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123916  (
+	.A1(\soc_top/n_1559 ),
+	.A2(\soc_top/core_ex_reg_wd[27] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_datReg[27] ),
+	.X(\soc_top/n_2029 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123917  (
+	.A1(\soc_top/n_1559 ),
+	.A2(\soc_top/core_ex_reg_wd[26] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_datReg[26] ),
+	.X(\soc_top/n_2028 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123918  (
+	.A1(\soc_top/n_1559 ),
+	.A2(\soc_top/core_ex_reg_wd[25] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_datReg[25] ),
+	.X(\soc_top/n_2027 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123919  (
+	.A1(\soc_top/n_1559 ),
+	.A2(\soc_top/core_ex_reg_wd[24] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_datReg[24] ),
+	.X(\soc_top/n_2026 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g123920  (
+	.A1(\soc_top/n_1470 ),
+	.A2(\soc_top/FE_DBTN13_wb_rst_i ),
+	.B1(\soc_top/n_6446 ),
+	.B2(\soc_top/state[0] ),
+	.X(\soc_top/n_2025 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123921  (
+	.A1(\soc_top/n_1422 ),
+	.A2(\soc_top/n_6447 ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_selReg[2] ),
+	.C1(\soc_top/n_6444 ),
+	.X(\soc_top/n_2024 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123922  (
+	.A1(\soc_top/n_1422 ),
+	.A2(\soc_top/n_414 ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_selReg[3] ),
+	.C1(\soc_top/n_6444 ),
+	.X(\soc_top/n_2023 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 \soc_top/g123923  (
+	.A1(\soc_top/FE_OFN17_wb_rst_i ),
+	.A2(\soc_top/core_io_dmemReq_bits_isWrite ),
+	.A3(\soc_top/n_145 ),
+	.B1(\soc_top/n_1426 ),
+	.C1(\soc_top/n_1432 ),
+	.Y(\soc_top/n_2022 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g123924  (
+	.A1(\soc_top/n_1422 ),
+	.A2(\soc_top/n_389 ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_selReg[1] ),
+	.C1(\soc_top/n_6444 ),
+	.X(\soc_top/n_2021 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123925  (
+	.A(\soc_top/FE_OFN14_wb_rst_i ),
+	.B(\soc_top/n_1739 ),
+	.Y(\soc_top/n_2020 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123926  (
+	.A(\soc_top/FE_OFN4_wb_rst_i ),
+	.B(\soc_top/n_1742 ),
+	.Y(\soc_top/n_2019 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123927  (
+	.A(\soc_top/FE_OFN14_wb_rst_i ),
+	.B(\soc_top/n_1740 ),
+	.Y(\soc_top/n_2018 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123928  (
+	.A(\soc_top/n_1826 ),
+	.B(\soc_top/dmem_io_rsp_bits_dataResponse[18] ),
+	.Y(\soc_top/n_2017 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123929  (
+	.A(\soc_top/n_1826 ),
+	.B(\soc_top/dmem_io_rsp_bits_dataResponse[19] ),
+	.Y(\soc_top/n_2016 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123930  (
+	.A(\soc_top/n_1826 ),
+	.B(\soc_top/dmem_io_rsp_bits_dataResponse[22] ),
+	.Y(\soc_top/n_2015 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123931  (
+	.A(\soc_top/n_1826 ),
+	.B(\soc_top/dmem_io_rsp_bits_dataResponse[24] ),
+	.Y(\soc_top/n_2014 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123932  (
+	.A(\soc_top/n_1826 ),
+	.B(\soc_top/dmem_io_rsp_bits_dataResponse[26] ),
+	.Y(\soc_top/n_2013 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123933  (
+	.A(\soc_top/n_1826 ),
+	.B(\soc_top/dmem_io_rsp_bits_dataResponse[27] ),
+	.Y(\soc_top/n_2012 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123934  (
+	.A(\soc_top/n_1826 ),
+	.B(\soc_top/dmem_io_rsp_bits_dataResponse[28] ),
+	.Y(\soc_top/n_2011 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123935  (
+	.A(\soc_top/n_1826 ),
+	.B(\soc_top/dmem_io_rsp_bits_dataResponse[31] ),
+	.Y(\soc_top/n_2010 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123936  (
+	.A(\soc_top/n_5649 ),
+	.B(\soc_top/n_6443 ),
+	.Y(\soc_top/n_2009 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123937  (
+	.A(\soc_top/n_5650 ),
+	.B(\soc_top/n_6443 ),
+	.Y(\soc_top/n_2008 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123938  (
+	.A(\soc_top/n_5651 ),
+	.B(\soc_top/n_6443 ),
+	.Y(\soc_top/n_2007 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123939  (
+	.A(\soc_top/n_5652 ),
+	.B(\soc_top/n_6443 ),
+	.Y(\soc_top/n_2006 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123940  (
+	.A(\soc_top/n_5653 ),
+	.B(\soc_top/n_6443 ),
+	.Y(\soc_top/n_2005 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123941  (
+	.A(\soc_top/n_5654 ),
+	.B(\soc_top/n_6443 ),
+	.Y(\soc_top/n_2004 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g123942  (
+	.A(\soc_top/n_5655 ),
+	.B(\soc_top/n_6443 ),
+	.Y(\soc_top/n_2003 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123943  (
+	.A(\soc_top/n_309 ),
+	.B(\soc_top/n_1830 ),
+	.Y(\soc_top/n_2002 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123944  (
+	.A(\soc_top/n_331 ),
+	.B(\soc_top/n_1830 ),
+	.Y(\soc_top/n_2001 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123945  (
+	.A(\soc_top/n_351 ),
+	.B(\soc_top/n_1830 ),
+	.Y(\soc_top/n_2000 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123946  (
+	.A(\soc_top/n_374 ),
+	.B(\soc_top/n_1830 ),
+	.Y(\soc_top/n_1999 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123947  (
+	.A(\soc_top/n_376 ),
+	.B(\soc_top/n_1830 ),
+	.Y(\soc_top/n_1998 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123948  (
+	.A(\soc_top/n_398 ),
+	.B(\soc_top/n_1830 ),
+	.Y(\soc_top/n_1997 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123949  (
+	.A(\soc_top/n_354 ),
+	.B(\soc_top/n_1830 ),
+	.Y(\soc_top/n_1996 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123950  (
+	.A(\soc_top/n_356 ),
+	.B(\soc_top/n_1830 ),
+	.Y(\soc_top/n_1995 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123951  (
+	.A(\soc_top/n_359 ),
+	.B(\soc_top/n_1830 ),
+	.Y(\soc_top/n_1994 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123952  (
+	.A(\soc_top/n_394 ),
+	.B(\soc_top/n_1830 ),
+	.Y(\soc_top/n_1993 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123953  (
+	.A(\soc_top/n_370 ),
+	.B(\soc_top/n_1830 ),
+	.Y(\soc_top/n_1992 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123954  (
+	.A(\soc_top/n_329 ),
+	.B(\soc_top/n_1830 ),
+	.Y(\soc_top/n_1991 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123955  (
+	.A(\soc_top/n_314 ),
+	.B(\soc_top/n_1830 ),
+	.Y(\soc_top/n_1990 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123956  (
+	.A(\soc_top/n_373 ),
+	.B(\soc_top/n_1830 ),
+	.Y(\soc_top/n_1989 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123957  (
+	.A(\soc_top/n_387 ),
+	.B(\soc_top/n_1830 ),
+	.Y(\soc_top/n_1988 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123958  (
+	.A(\soc_top/n_372 ),
+	.B(\soc_top/n_1830 ),
+	.Y(\soc_top/n_1987 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123959  (
+	.A(\soc_top/n_316 ),
+	.B(\soc_top/n_1832 ),
+	.Y(\soc_top/n_1986 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123960  (
+	.A(\soc_top/n_315 ),
+	.B(\soc_top/n_1832 ),
+	.Y(\soc_top/n_1985 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123961  (
+	.A(\soc_top/n_307 ),
+	.B(\soc_top/n_1832 ),
+	.Y(\soc_top/n_1984 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123962  (
+	.A(\soc_top/n_345 ),
+	.B(\soc_top/n_1832 ),
+	.Y(\soc_top/n_1983 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123963  (
+	.A(\soc_top/n_403 ),
+	.B(\soc_top/n_1832 ),
+	.Y(\soc_top/n_1982 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123964  (
+	.A(\soc_top/n_400 ),
+	.B(\soc_top/n_1832 ),
+	.Y(\soc_top/n_1981 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123965  (
+	.A(\soc_top/n_302 ),
+	.B(\soc_top/n_1832 ),
+	.Y(\soc_top/n_1980 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123966  (
+	.A(\soc_top/n_296 ),
+	.B(\soc_top/n_1832 ),
+	.Y(\soc_top/n_1979 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123967  (
+	.A(\soc_top/n_297 ),
+	.B(\soc_top/n_1832 ),
+	.Y(\soc_top/n_1978 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123968  (
+	.A(\soc_top/n_333 ),
+	.B(\soc_top/n_1832 ),
+	.Y(\soc_top/n_1977 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123969  (
+	.A(\soc_top/n_305 ),
+	.B(\soc_top/n_1832 ),
+	.Y(\soc_top/n_1976 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123970  (
+	.A(\soc_top/n_343 ),
+	.B(\soc_top/n_1832 ),
+	.Y(\soc_top/n_1975 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123971  (
+	.A(\soc_top/n_323 ),
+	.B(\soc_top/n_1832 ),
+	.Y(\soc_top/n_1974 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123972  (
+	.A(\soc_top/n_318 ),
+	.B(\soc_top/n_1832 ),
+	.Y(\soc_top/n_1973 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123973  (
+	.A(\soc_top/n_303 ),
+	.B(\soc_top/n_1832 ),
+	.Y(\soc_top/n_1972 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123974  (
+	.A(\soc_top/n_322 ),
+	.B(\soc_top/n_1832 ),
+	.Y(\soc_top/n_1971 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123975  (
+	.A(\soc_top/n_368 ),
+	.B(\soc_top/n_1831 ),
+	.Y(\soc_top/n_1970 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123976  (
+	.A(\soc_top/n_392 ),
+	.B(\soc_top/n_1831 ),
+	.Y(\soc_top/n_1969 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123977  (
+	.A(\soc_top/n_367 ),
+	.B(\soc_top/n_1831 ),
+	.Y(\soc_top/n_1968 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123978  (
+	.A(\soc_top/n_332 ),
+	.B(\soc_top/n_1831 ),
+	.Y(\soc_top/n_1967 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123979  (
+	.A(\soc_top/n_366 ),
+	.B(\soc_top/n_1831 ),
+	.Y(\soc_top/n_1966 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123980  (
+	.A(\soc_top/n_396 ),
+	.B(\soc_top/n_1831 ),
+	.Y(\soc_top/n_1965 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123981  (
+	.A(\soc_top/n_365 ),
+	.B(\soc_top/n_1831 ),
+	.Y(\soc_top/n_1964 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123982  (
+	.A(\soc_top/n_339 ),
+	.B(\soc_top/n_1831 ),
+	.Y(\soc_top/n_1963 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123983  (
+	.A(\soc_top/n_364 ),
+	.B(\soc_top/n_1831 ),
+	.Y(\soc_top/n_1962 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123984  (
+	.A(\soc_top/n_363 ),
+	.B(\soc_top/n_1831 ),
+	.Y(\soc_top/n_1961 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123985  (
+	.A(\soc_top/n_362 ),
+	.B(\soc_top/n_1831 ),
+	.Y(\soc_top/n_1960 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123986  (
+	.A(\soc_top/n_299 ),
+	.B(\soc_top/n_1831 ),
+	.Y(\soc_top/n_1959 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123987  (
+	.A(\soc_top/n_360 ),
+	.B(\soc_top/n_1831 ),
+	.Y(\soc_top/n_1958 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123988  (
+	.A(\soc_top/n_328 ),
+	.B(\soc_top/n_1831 ),
+	.Y(\soc_top/n_1957 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123989  (
+	.A(\soc_top/n_358 ),
+	.B(\soc_top/n_1831 ),
+	.Y(\soc_top/n_1956 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123990  (
+	.A(\soc_top/n_321 ),
+	.B(\soc_top/n_1831 ),
+	.Y(\soc_top/n_1955 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123991  (
+	.A(\soc_top/n_357 ),
+	.B(\soc_top/n_1834 ),
+	.Y(\soc_top/n_1954 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123992  (
+	.A(\soc_top/n_312 ),
+	.B(\soc_top/n_1834 ),
+	.Y(\soc_top/n_1953 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123993  (
+	.A(\soc_top/n_340 ),
+	.B(\soc_top/n_1834 ),
+	.Y(\soc_top/n_1952 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123994  (
+	.A(\soc_top/n_325 ),
+	.B(\soc_top/n_1834 ),
+	.Y(\soc_top/n_1951 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123995  (
+	.A(\soc_top/n_336 ),
+	.B(\soc_top/n_1834 ),
+	.Y(\soc_top/n_1950 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123996  (
+	.A(\soc_top/n_397 ),
+	.B(\soc_top/n_1834 ),
+	.Y(\soc_top/n_1949 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123997  (
+	.A(\soc_top/n_361 ),
+	.B(\soc_top/n_1834 ),
+	.Y(\soc_top/n_1948 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123998  (
+	.A(\soc_top/n_319 ),
+	.B(\soc_top/n_1834 ),
+	.Y(\soc_top/n_1947 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g123999  (
+	.A(\soc_top/n_313 ),
+	.B(\soc_top/n_1834 ),
+	.Y(\soc_top/n_1946 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124000  (
+	.A(\soc_top/n_406 ),
+	.B(\soc_top/n_1834 ),
+	.Y(\soc_top/n_1945 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124001  (
+	.A(\soc_top/n_310 ),
+	.B(\soc_top/n_1834 ),
+	.Y(\soc_top/n_1944 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124002  (
+	.A(\soc_top/n_338 ),
+	.B(\soc_top/n_1834 ),
+	.Y(\soc_top/n_1943 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124003  (
+	.A(\soc_top/n_308 ),
+	.B(\soc_top/n_1834 ),
+	.Y(\soc_top/n_1942 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124004  (
+	.A(\soc_top/n_306 ),
+	.B(\soc_top/n_1834 ),
+	.Y(\soc_top/n_1941 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124005  (
+	.A(\soc_top/n_334 ),
+	.B(\soc_top/n_1834 ),
+	.Y(\soc_top/n_1940 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124006  (
+	.A(\soc_top/FE_OFN4_wb_rst_i ),
+	.B(\soc_top/n_1741 ),
+	.Y(\soc_top/n_1939 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124007  (
+	.A(\soc_top/n_5656 ),
+	.B(\soc_top/n_1829 ),
+	.Y(\soc_top/n_1938 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124008  (
+	.A(\soc_top/n_5657 ),
+	.B(\soc_top/n_1829 ),
+	.Y(\soc_top/n_1937 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124009  (
+	.A(\soc_top/n_5658 ),
+	.B(\soc_top/n_1829 ),
+	.Y(\soc_top/n_1936 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124010  (
+	.A(\soc_top/n_5659 ),
+	.B(\soc_top/n_1829 ),
+	.Y(\soc_top/n_1935 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124011  (
+	.A(\soc_top/n_5660 ),
+	.B(\soc_top/n_1829 ),
+	.Y(\soc_top/n_1934 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124012  (
+	.A(\soc_top/n_5661 ),
+	.B(\soc_top/n_1829 ),
+	.Y(\soc_top/n_1933 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124013  (
+	.A(\soc_top/n_5662 ),
+	.B(\soc_top/n_1829 ),
+	.Y(\soc_top/n_1932 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124014  (
+	.A(\soc_top/n_5663 ),
+	.B(\soc_top/n_1829 ),
+	.Y(\soc_top/n_1931 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124015  (
+	.A(\soc_top/n_5664 ),
+	.B(\soc_top/n_1829 ),
+	.Y(\soc_top/n_1930 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124016  (
+	.A(\soc_top/n_5665 ),
+	.B(\soc_top/n_1829 ),
+	.Y(\soc_top/n_1929 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124017  (
+	.A(\soc_top/n_5666 ),
+	.B(\soc_top/n_1829 ),
+	.Y(\soc_top/n_1928 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124018  (
+	.A(\soc_top/n_5667 ),
+	.B(\soc_top/n_1829 ),
+	.Y(\soc_top/n_1927 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124019  (
+	.A(\soc_top/n_5668 ),
+	.B(\soc_top/n_1829 ),
+	.Y(\soc_top/n_1926 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124020  (
+	.A(\soc_top/n_5669 ),
+	.B(\soc_top/n_1829 ),
+	.Y(\soc_top/n_1925 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124021  (
+	.A(\soc_top/n_5670 ),
+	.B(\soc_top/n_1829 ),
+	.Y(\soc_top/n_1924 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124022  (
+	.A(\soc_top/n_5671 ),
+	.B(\soc_top/n_1829 ),
+	.Y(\soc_top/n_1923 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124023  (
+	.A(\soc_top/n_5672 ),
+	.B(\soc_top/n_1829 ),
+	.Y(\soc_top/n_1922 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124024  (
+	.A(\soc_top/n_5673 ),
+	.B(\soc_top/n_1829 ),
+	.Y(\soc_top/n_1921 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124025  (
+	.A(\soc_top/n_5674 ),
+	.B(\soc_top/n_1829 ),
+	.Y(\soc_top/n_1920 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124026  (
+	.A(\soc_top/n_5675 ),
+	.B(\soc_top/n_1829 ),
+	.Y(\soc_top/n_1919 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124027  (
+	.A(\soc_top/n_5676 ),
+	.B(\soc_top/n_1829 ),
+	.Y(\soc_top/n_1918 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124028  (
+	.A(\soc_top/n_5677 ),
+	.B(\soc_top/n_1829 ),
+	.Y(\soc_top/n_1917 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124029  (
+	.A(\soc_top/n_1826 ),
+	.B(\soc_top/FE_OFN61_dmem_io_rsp_bits_dataResponse_1 ),
+	.Y(\soc_top/n_1916 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124030  (
+	.A(\soc_top/n_1826 ),
+	.B(\soc_top/FE_OFN60_dmem_io_rsp_bits_dataResponse_2 ),
+	.Y(\soc_top/n_1915 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124031  (
+	.A(\soc_top/n_1826 ),
+	.B(\soc_top/dmem_io_rsp_bits_dataResponse[4] ),
+	.Y(\soc_top/n_1914 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124032  (
+	.A(\soc_top/n_1826 ),
+	.B(\soc_top/dmem_io_rsp_bits_dataResponse[5] ),
+	.Y(\soc_top/n_1913 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124033  (
+	.A(\soc_top/n_1826 ),
+	.B(\soc_top/dmem_io_rsp_bits_dataResponse[7] ),
+	.Y(\soc_top/n_1912 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124034  (
+	.A(\soc_top/n_1826 ),
+	.B(\soc_top/dmem_io_rsp_bits_dataResponse[10] ),
+	.Y(\soc_top/n_1911 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124035  (
+	.A(\soc_top/n_1826 ),
+	.B(\soc_top/dmem_io_rsp_bits_dataResponse[11] ),
+	.Y(\soc_top/n_1910 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124036  (
+	.A(\soc_top/n_1826 ),
+	.B(\soc_top/dmem_io_rsp_bits_dataResponse[12] ),
+	.Y(\soc_top/n_1909 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124037  (
+	.A(\soc_top/n_1826 ),
+	.B(\soc_top/dmem_io_rsp_bits_dataResponse[13] ),
+	.Y(\soc_top/n_1908 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124038  (
+	.A(\soc_top/n_1826 ),
+	.B(\soc_top/dmem_io_rsp_bits_dataResponse[14] ),
+	.Y(\soc_top/n_1907 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124039  (
+	.A(\soc_top/n_1826 ),
+	.B(\soc_top/dmem_io_rsp_bits_dataResponse[15] ),
+	.Y(\soc_top/n_1906 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124040  (
+	.A(\soc_top/n_1826 ),
+	.B(\soc_top/dmem_io_rsp_bits_dataResponse[16] ),
+	.Y(\soc_top/n_1905 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124041  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B(\soc_top/n_1748 ),
+	.Y(\soc_top/n_1904 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124042  (
+	.A(\soc_top/FE_OFN4_wb_rst_i ),
+	.B(\soc_top/n_1743 ),
+	.Y(\soc_top/n_1903 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124043  (
+	.A(\soc_top/FE_OFN8_wb_rst_i ),
+	.B(\soc_top/n_1812 ),
+	.Y(\soc_top/n_1902 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124044  (
+	.A(\soc_top/FE_OFN8_wb_rst_i ),
+	.B(\soc_top/n_1813 ),
+	.Y(\soc_top/n_1901 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124045  (
+	.A(\soc_top/FE_OFN1_wb_rst_i ),
+	.B(\soc_top/n_1814 ),
+	.Y(\soc_top/n_1900 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124046  (
+	.A(\soc_top/FE_OFN1_wb_rst_i ),
+	.B(\soc_top/n_1815 ),
+	.Y(\soc_top/n_1899 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124047  (
+	.A(\soc_top/FE_OFN1_wb_rst_i ),
+	.B(\soc_top/n_1816 ),
+	.Y(\soc_top/n_1898 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124048  (
+	.A(\soc_top/FE_OFN1_wb_rst_i ),
+	.B(\soc_top/n_1817 ),
+	.Y(\soc_top/n_1897 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124049  (
+	.A(\soc_top/FE_OFN1_wb_rst_i ),
+	.B(\soc_top/n_1818 ),
+	.Y(\soc_top/n_1896 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124050  (
+	.A(\soc_top/FE_OFN1_wb_rst_i ),
+	.B(\soc_top/n_1819 ),
+	.Y(\soc_top/n_1895 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124051  (
+	.A(\soc_top/FE_OFN1_wb_rst_i ),
+	.B(\soc_top/n_1820 ),
+	.Y(\soc_top/n_1894 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124052  (
+	.A(\soc_top/FE_OFN8_wb_rst_i ),
+	.B(\soc_top/n_1822 ),
+	.Y(\soc_top/n_1893 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124053  (
+	.A(\soc_top/FE_OFN8_wb_rst_i ),
+	.B(\soc_top/n_1664 ),
+	.Y(\soc_top/n_1892 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124054  (
+	.A(\soc_top/FE_OFN1_wb_rst_i ),
+	.B(\soc_top/n_1821 ),
+	.Y(\soc_top/n_1891 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124055  (
+	.A(\soc_top/FE_OFN4_wb_rst_i ),
+	.B(\soc_top/n_1758 ),
+	.Y(\soc_top/n_1890 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124056  (
+	.A(\soc_top/FE_OFN14_wb_rst_i ),
+	.B(\soc_top/n_1757 ),
+	.Y(\soc_top/n_1889 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124057  (
+	.A(\soc_top/FE_OFN4_wb_rst_i ),
+	.B(\soc_top/n_1756 ),
+	.Y(\soc_top/n_1888 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124058  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B(\soc_top/n_1755 ),
+	.Y(\soc_top/n_1887 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124059  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B(\soc_top/n_1753 ),
+	.Y(\soc_top/n_1886 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124060  (
+	.A(\soc_top/FE_OFN14_wb_rst_i ),
+	.B(\soc_top/n_1754 ),
+	.Y(\soc_top/n_1885 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124061  (
+	.A(\soc_top/FE_OFN11_wb_rst_i ),
+	.B(\soc_top/n_1752 ),
+	.Y(\soc_top/n_1884 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124062  (
+	.A(\soc_top/FE_OFN11_wb_rst_i ),
+	.B(\soc_top/n_1751 ),
+	.Y(\soc_top/n_1883 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124063  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B(\soc_top/n_1750 ),
+	.Y(\soc_top/n_1882 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124064  (
+	.A(\soc_top/FE_OFN11_wb_rst_i ),
+	.B(\soc_top/n_1749 ),
+	.Y(\soc_top/n_1881 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124065  (
+	.A(\soc_top/FE_OFN14_wb_rst_i ),
+	.B(\soc_top/n_1746 ),
+	.Y(\soc_top/n_1880 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124066  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B(\soc_top/n_1747 ),
+	.Y(\soc_top/n_1879 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124067  (
+	.A(\soc_top/FE_OFN14_wb_rst_i ),
+	.B(\soc_top/n_1745 ),
+	.Y(\soc_top/n_1878 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124068  (
+	.A(\soc_top/FE_OFN4_wb_rst_i ),
+	.B(\soc_top/n_1744 ),
+	.Y(\soc_top/n_1877 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g124075  (
+	.A1(\soc_top/n_6016 ),
+	.A2(\soc_top/n_1419 ),
+	.B1(io_in[18]),
+	.B2(\soc_top/n_341 ),
+	.C1(\soc_top/n_1408 ),
+	.Y(\soc_top/n_2054 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g124076  (
+	.A1(\soc_top/n_6018 ),
+	.A2(\soc_top/n_1419 ),
+	.B1(io_in[20]),
+	.B2(\soc_top/n_355 ),
+	.C1(\soc_top/n_1407 ),
+	.Y(\soc_top/n_2053 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g124077  (
+	.A1(\soc_top/n_6017 ),
+	.A2(\soc_top/n_1419 ),
+	.B1(io_in[19]),
+	.B2(\soc_top/n_371 ),
+	.C1(\soc_top/n_1406 ),
+	.Y(\soc_top/n_2052 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g124078  (
+	.A1(\soc_top/n_6019 ),
+	.A2(\soc_top/n_1419 ),
+	.B1(io_in[21]),
+	.B2(\soc_top/n_401 ),
+	.C1(\soc_top/n_1405 ),
+	.Y(\soc_top/n_2051 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g124090  (
+	.A(\soc_top/n_1847 ),
+	.B(\soc_top/n_70 ),
+	.C(\soc_top/gen_dmem_host_adrReg[5] ),
+	.Y(\soc_top/n_2049 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g124091  (
+	.A(\soc_top/n_1847 ),
+	.B(\soc_top/gen_dmem_host_adrReg[4] ),
+	.C(\soc_top/gen_dmem_host_adrReg[5] ),
+	.Y(\soc_top/n_2048 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g124092  (
+	.A(\soc_top/n_1847 ),
+	.B(\soc_top/n_9 ),
+	.C(\soc_top/gen_dmem_host_adrReg[4] ),
+	.Y(\soc_top/n_2047 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124093  (
+	.A(\soc_top/n_1836 ),
+	.B(\soc_top/n_412 ),
+	.Y(\soc_top/n_2044 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124094  (
+	.A(\soc_top/n_65 ),
+	.B(\soc_top/n_1839 ),
+	.Y(\soc_top/n_2043 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g124096  (
+	.A(\soc_top/n_1841 ),
+	.B(\soc_top/FE_OFN83_core_io_dmemReq_bits_addrRequest_0 ),
+	.X(\soc_top/n_2041 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 \soc_top/g124097  (
+	.A(\soc_top/n_1838 ),
+	.B(\soc_top/FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_2040 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 \soc_top/g124098  (
+	.A(\soc_top/n_1836 ),
+	.B(\soc_top/FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_2038 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_4 \soc_top/g124099  (
+	.A_N(\soc_top/n_5597 ),
+	.B(\soc_top/n_1847 ),
+	.C(\soc_top/n_6002 ),
+	.X(\soc_top/n_2036 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_2 \soc_top/g124100  (
+	.A_N(\soc_top/n_5597 ),
+	.B(\soc_top/n_1847 ),
+	.C(\soc_top/gen_dmem_host_adrReg[2] ),
+	.X(\soc_top/n_2035 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g124101  (
+	.A(\soc_top/n_1839 ),
+	.Y(\soc_top/n_1840 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g124103  (
+	.A(\soc_top/n_1835 ),
+	.Y(\soc_top/n_1836 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124104  (
+	.A(\soc_top/FE_OFN11_wb_rst_i ),
+	.B(\soc_top/n_1476 ),
+	.Y(\soc_top/n_1823 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g124105  (
+	.A1(\soc_top/n_1413 ),
+	.A2(\soc_top/core_pc_io_out[10] ),
+	.B1(\soc_top/n_1414 ),
+	.B2(\soc_top/core_if_reg_pc[10] ),
+	.Y(\soc_top/n_1822 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g124106  (
+	.A1(\soc_top/n_1413 ),
+	.A2(\soc_top/core_pc_io_out[9] ),
+	.B1(\soc_top/n_1414 ),
+	.B2(\soc_top/core_if_reg_pc[9] ),
+	.Y(\soc_top/n_1821 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g124107  (
+	.A1(\soc_top/n_1413 ),
+	.A2(\soc_top/core_pc_io_out[8] ),
+	.B1(\soc_top/n_1414 ),
+	.B2(\soc_top/core_if_reg_pc[8] ),
+	.Y(\soc_top/n_1820 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g124108  (
+	.A1(\soc_top/n_1413 ),
+	.A2(\soc_top/core_pc_io_out[7] ),
+	.B1(\soc_top/n_1414 ),
+	.B2(\soc_top/core_if_reg_pc[7] ),
+	.Y(\soc_top/n_1819 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g124109  (
+	.A1(\soc_top/n_1413 ),
+	.A2(\soc_top/core_pc_io_out[6] ),
+	.B1(\soc_top/n_1414 ),
+	.B2(\soc_top/core_if_reg_pc[6] ),
+	.Y(\soc_top/n_1818 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g124110  (
+	.A1(\soc_top/n_1413 ),
+	.A2(\soc_top/core_pc_io_out[5] ),
+	.B1(\soc_top/n_1414 ),
+	.B2(\soc_top/core_if_reg_pc[5] ),
+	.Y(\soc_top/n_1817 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g124111  (
+	.A1(\soc_top/n_1413 ),
+	.A2(\soc_top/core_pc_io_out[4] ),
+	.B1(\soc_top/n_1414 ),
+	.B2(\soc_top/core_if_reg_pc[4] ),
+	.Y(\soc_top/n_1816 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g124112  (
+	.A1(\soc_top/n_1413 ),
+	.A2(\soc_top/core_pc_io_out[3] ),
+	.B1(\soc_top/n_1414 ),
+	.B2(\soc_top/core_if_reg_pc[3] ),
+	.Y(\soc_top/n_1815 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g124113  (
+	.A1(\soc_top/n_1413 ),
+	.A2(\soc_top/core_pc_io_out[2] ),
+	.B1(\soc_top/n_1414 ),
+	.B2(\soc_top/core_if_reg_pc[2] ),
+	.Y(\soc_top/n_1814 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g124114  (
+	.A1(\soc_top/n_1413 ),
+	.A2(\soc_top/core_pc_io_out[1] ),
+	.B1(\soc_top/n_1414 ),
+	.B2(\soc_top/core_if_reg_pc[1] ),
+	.Y(\soc_top/n_1813 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g124115  (
+	.A1(\soc_top/n_1413 ),
+	.A2(\soc_top/core_pc_io_out[0] ),
+	.B1(\soc_top/n_1414 ),
+	.B2(\soc_top/core_if_reg_pc[0] ),
+	.Y(\soc_top/n_1812 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g124116  (
+	.A1(\soc_top/n_378 ),
+	.A2(\soc_top/n_1366 ),
+	.B1(\soc_top/n_167 ),
+	.Y(\soc_top/n_1811 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 \soc_top/g124117  (
+	.A1(\soc_top/gen_dmem_host_io_rspOut_valid ),
+	.A2(\soc_top/n_1428 ),
+	.B1(\soc_top/n_293 ),
+	.X(\soc_top/n_1810 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 \soc_top/g124118  (
+	.A1(\soc_top/gen_dmem_host_startWBTransaction ),
+	.A2(\soc_top/n_409 ),
+	.B1(\soc_top/n_1554 ),
+	.X(\soc_top/n_1809 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124119  (
+	.A(\soc_top/FE_OFN2_wb_rst_i ),
+	.B(\soc_top/n_1553 ),
+	.Y(\soc_top/n_1808 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124120  (
+	.A(\soc_top/FE_OFN11_wb_rst_i ),
+	.B(\soc_top/n_1514 ),
+	.Y(\soc_top/n_1807 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124121  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B(\soc_top/n_1513 ),
+	.Y(\soc_top/n_1806 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124122  (
+	.A(\soc_top/FE_OFN11_wb_rst_i ),
+	.B(\soc_top/n_1512 ),
+	.Y(\soc_top/n_1805 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124123  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B(\soc_top/n_1511 ),
+	.Y(\soc_top/n_1804 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124124  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B(\soc_top/n_1510 ),
+	.Y(\soc_top/n_1803 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124125  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B(\soc_top/n_1509 ),
+	.Y(\soc_top/n_1802 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124126  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B(\soc_top/n_1507 ),
+	.Y(\soc_top/n_1801 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124127  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B(\soc_top/n_1508 ),
+	.Y(\soc_top/n_1800 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124128  (
+	.A(\soc_top/FE_OFN11_wb_rst_i ),
+	.B(\soc_top/n_1506 ),
+	.Y(\soc_top/n_1799 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124129  (
+	.A(\soc_top/FE_OFN10_wb_rst_i ),
+	.B(\soc_top/n_1505 ),
+	.Y(\soc_top/n_1798 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124130  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B(\soc_top/n_1504 ),
+	.Y(\soc_top/n_1797 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124131  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B(\soc_top/n_1503 ),
+	.Y(\soc_top/n_1796 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124132  (
+	.A(\soc_top/FE_OFN10_wb_rst_i ),
+	.B(\soc_top/n_1502 ),
+	.Y(\soc_top/n_1795 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124133  (
+	.A(\soc_top/FE_OFN10_wb_rst_i ),
+	.B(\soc_top/n_1501 ),
+	.Y(\soc_top/n_1794 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124134  (
+	.A(\soc_top/FE_OFN10_wb_rst_i ),
+	.B(\soc_top/n_1500 ),
+	.Y(\soc_top/n_1793 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124135  (
+	.A(\soc_top/FE_OFN11_wb_rst_i ),
+	.B(\soc_top/n_1499 ),
+	.Y(\soc_top/n_1792 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124136  (
+	.A(\soc_top/FE_OFN11_wb_rst_i ),
+	.B(\soc_top/n_1498 ),
+	.Y(\soc_top/n_1791 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124137  (
+	.A(\soc_top/FE_OFN10_wb_rst_i ),
+	.B(\soc_top/n_1497 ),
+	.Y(\soc_top/n_1790 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124138  (
+	.A(\soc_top/FE_OFN11_wb_rst_i ),
+	.B(\soc_top/n_1496 ),
+	.Y(\soc_top/n_1789 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124139  (
+	.A(\soc_top/FE_OFN11_wb_rst_i ),
+	.B(\soc_top/n_1495 ),
+	.Y(\soc_top/n_1788 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124140  (
+	.A(\soc_top/FE_OFN10_wb_rst_i ),
+	.B(\soc_top/n_1494 ),
+	.Y(\soc_top/n_1787 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124141  (
+	.A(\soc_top/FE_OFN10_wb_rst_i ),
+	.B(\soc_top/n_1493 ),
+	.Y(\soc_top/n_1786 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124142  (
+	.A(\soc_top/FE_OFN10_wb_rst_i ),
+	.B(\soc_top/n_1492 ),
+	.Y(\soc_top/n_1785 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124143  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B(\soc_top/n_1491 ),
+	.Y(\soc_top/n_1784 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124144  (
+	.A(\soc_top/FE_OFN11_wb_rst_i ),
+	.B(\soc_top/n_1490 ),
+	.Y(\soc_top/n_1783 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124145  (
+	.A(\soc_top/FE_OFN5_wb_rst_i ),
+	.B(\soc_top/n_1489 ),
+	.Y(\soc_top/n_1782 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124146  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B(\soc_top/n_1488 ),
+	.Y(\soc_top/n_1781 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124148  (
+	.A(\soc_top/FE_OFN11_wb_rst_i ),
+	.B(\soc_top/n_1487 ),
+	.Y(\soc_top/n_1780 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124149  (
+	.A(\soc_top/FE_OFN5_wb_rst_i ),
+	.B(\soc_top/n_1486 ),
+	.Y(\soc_top/n_1779 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124150  (
+	.A(\soc_top/FE_OFN5_wb_rst_i ),
+	.B(\soc_top/n_1485 ),
+	.Y(\soc_top/n_1778 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124151  (
+	.A(\soc_top/FE_OFN11_wb_rst_i ),
+	.B(\soc_top/n_1484 ),
+	.Y(\soc_top/n_1777 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124152  (
+	.A(\soc_top/FE_OFN11_wb_rst_i ),
+	.B(\soc_top/n_1483 ),
+	.Y(\soc_top/n_1776 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124153  (
+	.A(\soc_top/FE_OFN3_wb_rst_i ),
+	.B(\soc_top/n_1482 ),
+	.Y(\soc_top/n_1775 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124154  (
+	.A(\soc_top/FE_OFN3_wb_rst_i ),
+	.B(\soc_top/n_1481 ),
+	.Y(\soc_top/n_1774 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124155  (
+	.A(\soc_top/FE_OFN3_wb_rst_i ),
+	.B(\soc_top/n_1480 ),
+	.Y(\soc_top/n_1773 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124156  (
+	.A(\soc_top/FE_OFN3_wb_rst_i ),
+	.B(\soc_top/n_1479 ),
+	.Y(\soc_top/n_1772 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124157  (
+	.A(\soc_top/FE_OFN3_wb_rst_i ),
+	.B(\soc_top/n_1478 ),
+	.Y(\soc_top/n_1771 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124158  (
+	.A(\soc_top/FE_OFN3_wb_rst_i ),
+	.B(\soc_top/n_1477 ),
+	.Y(\soc_top/n_1770 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124159  (
+	.A(\soc_top/FE_OFN11_wb_rst_i ),
+	.B(\soc_top/n_1475 ),
+	.Y(\soc_top/n_1769 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124160  (
+	.A(\soc_top/FE_OFN3_wb_rst_i ),
+	.B(\soc_top/n_1474 ),
+	.Y(\soc_top/n_1768 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124161  (
+	.A(\soc_top/FE_OFN17_wb_rst_i ),
+	.B(\soc_top/n_1473 ),
+	.Y(\soc_top/n_1767 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124162  (
+	.A(\soc_top/n_5655 ),
+	.B(\soc_top/n_1558 ),
+	.Y(\soc_top/n_1766 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124163  (
+	.A(\soc_top/n_5654 ),
+	.B(\soc_top/n_1558 ),
+	.Y(\soc_top/n_1765 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124164  (
+	.A(\soc_top/n_5653 ),
+	.B(\soc_top/n_1558 ),
+	.Y(\soc_top/n_1764 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124165  (
+	.A(\soc_top/n_5652 ),
+	.B(\soc_top/n_1558 ),
+	.Y(\soc_top/n_1763 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124166  (
+	.A(\soc_top/n_5651 ),
+	.B(\soc_top/n_1558 ),
+	.Y(\soc_top/n_1762 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124167  (
+	.A(\soc_top/n_5650 ),
+	.B(\soc_top/n_1558 ),
+	.Y(\soc_top/n_1761 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124168  (
+	.A(\soc_top/n_5649 ),
+	.B(\soc_top/n_1558 ),
+	.Y(\soc_top/n_1760 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g124240  (
+	.A1(\soc_top/n_6009 ),
+	.A2(\soc_top/n_1419 ),
+	.B1(io_in[11]),
+	.B2(\soc_top/n_402 ),
+	.C1(\soc_top/n_1391 ),
+	.Y(\soc_top/n_1876 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g124241  (
+	.A1(\soc_top/n_6004 ),
+	.A2(\soc_top/n_1419 ),
+	.B1(io_in[6]),
+	.B2(\soc_top/n_344 ),
+	.C1(\soc_top/n_1379 ),
+	.Y(\soc_top/n_1875 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g124242  (
+	.A1(\soc_top/n_6010 ),
+	.A2(\soc_top/n_1419 ),
+	.B1(io_in[12]),
+	.B2(\soc_top/n_300 ),
+	.C1(\soc_top/n_1380 ),
+	.Y(\soc_top/n_1874 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g124243  (
+	.A1(\soc_top/n_6008 ),
+	.A2(\soc_top/n_1419 ),
+	.B1(io_in[10]),
+	.B2(\soc_top/n_377 ),
+	.C1(\soc_top/n_1381 ),
+	.Y(\soc_top/n_1873 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g124246  (
+	.A1(\soc_top/n_6011 ),
+	.A2(\soc_top/n_1419 ),
+	.B1(io_in[13]),
+	.B2(\soc_top/n_324 ),
+	.C1(\soc_top/n_1382 ),
+	.Y(\soc_top/n_1872 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g124248  (
+	.A1(\soc_top/n_6005 ),
+	.A2(\soc_top/n_1419 ),
+	.B1(io_in[7]),
+	.B2(\soc_top/n_301 ),
+	.C1(\soc_top/n_1383 ),
+	.Y(\soc_top/n_1871 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g124250  (
+	.A1(\soc_top/n_6024 ),
+	.A2(\soc_top/n_1419 ),
+	.B1(io_in[26]),
+	.B2(\soc_top/n_298 ),
+	.C1(\soc_top/n_1384 ),
+	.Y(\soc_top/n_1870 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g124251  (
+	.A1(\soc_top/n_6025 ),
+	.A2(\soc_top/n_1419 ),
+	.B1(io_in[27]),
+	.B2(\soc_top/n_346 ),
+	.C1(\soc_top/n_1375 ),
+	.Y(\soc_top/n_1869 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g124252  (
+	.A1(\soc_top/n_6029 ),
+	.A2(\soc_top/n_1419 ),
+	.B1(io_in[31]),
+	.B2(\soc_top/n_353 ),
+	.C1(\soc_top/n_1404 ),
+	.Y(\soc_top/n_1868 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g124253  (
+	.A1(\soc_top/n_6033 ),
+	.A2(\soc_top/n_1419 ),
+	.B1(io_in[35]),
+	.B2(\soc_top/n_352 ),
+	.C1(\soc_top/n_1403 ),
+	.Y(\soc_top/n_1867 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g124254  (
+	.A1(\soc_top/n_6031 ),
+	.A2(\soc_top/n_1419 ),
+	.B1(io_in[33]),
+	.B2(\soc_top/n_369 ),
+	.C1(\soc_top/n_1402 ),
+	.Y(\soc_top/n_1866 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g124255  (
+	.A1(\soc_top/n_6035 ),
+	.A2(\soc_top/n_1419 ),
+	.B1(io_in[37]),
+	.B2(\soc_top/n_350 ),
+	.C1(\soc_top/n_1401 ),
+	.Y(\soc_top/n_1865 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g124256  (
+	.A1(\soc_top/n_6034 ),
+	.A2(\soc_top/n_1419 ),
+	.B1(io_in[36]),
+	.B2(\soc_top/n_335 ),
+	.C1(\soc_top/n_1400 ),
+	.Y(\soc_top/n_1864 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g124257  (
+	.A1(\soc_top/n_6030 ),
+	.A2(\soc_top/n_1419 ),
+	.B1(io_in[32]),
+	.B2(\soc_top/n_342 ),
+	.C1(\soc_top/n_1399 ),
+	.Y(\soc_top/n_1863 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g124258  (
+	.A1(\soc_top/n_6028 ),
+	.A2(\soc_top/n_1419 ),
+	.B1(io_in[30]),
+	.B2(\soc_top/n_326 ),
+	.C1(\soc_top/n_1398 ),
+	.Y(\soc_top/n_1862 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g124259  (
+	.A1(\soc_top/n_6021 ),
+	.A2(\soc_top/n_1419 ),
+	.B1(io_in[23]),
+	.B2(\soc_top/n_311 ),
+	.C1(\soc_top/n_1397 ),
+	.Y(\soc_top/n_1861 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g124260  (
+	.A1(\soc_top/n_6023 ),
+	.A2(\soc_top/n_1419 ),
+	.B1(io_in[25]),
+	.B2(\soc_top/n_349 ),
+	.C1(\soc_top/n_1409 ),
+	.Y(\soc_top/n_1860 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g124261  (
+	.A1(\soc_top/n_6027 ),
+	.A2(\soc_top/n_1419 ),
+	.B1(io_in[29]),
+	.B2(\soc_top/n_393 ),
+	.C1(\soc_top/n_1395 ),
+	.Y(\soc_top/n_1859 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g124262  (
+	.A1(\soc_top/n_6022 ),
+	.A2(\soc_top/n_1419 ),
+	.B1(io_in[24]),
+	.B2(\soc_top/n_348 ),
+	.C1(\soc_top/n_1394 ),
+	.Y(\soc_top/n_1858 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g124263  (
+	.A1(\soc_top/n_6032 ),
+	.A2(\soc_top/n_1419 ),
+	.B1(io_in[34]),
+	.B2(\soc_top/n_317 ),
+	.C1(\soc_top/n_1393 ),
+	.Y(\soc_top/n_1857 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g124264  (
+	.A1(\soc_top/n_6026 ),
+	.A2(\soc_top/n_1419 ),
+	.B1(io_in[28]),
+	.B2(\soc_top/n_330 ),
+	.C1(\soc_top/n_1396 ),
+	.Y(\soc_top/n_1856 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g124265  (
+	.A1(\soc_top/n_6020 ),
+	.A2(\soc_top/n_1419 ),
+	.B1(io_in[22]),
+	.B2(\soc_top/n_405 ),
+	.C1(\soc_top/n_1392 ),
+	.Y(\soc_top/n_1855 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g124266  (
+	.A1(\soc_top/n_6012 ),
+	.A2(\soc_top/n_1419 ),
+	.B1(io_in[14]),
+	.B2(\soc_top/n_395 ),
+	.C1(\soc_top/n_1390 ),
+	.Y(\soc_top/n_1854 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g124267  (
+	.A1(\soc_top/n_6007 ),
+	.A2(\soc_top/n_1419 ),
+	.B1(io_in[9]),
+	.B2(\soc_top/n_404 ),
+	.C1(\soc_top/n_1389 ),
+	.Y(\soc_top/n_1853 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g124268  (
+	.A1(\soc_top/n_6014 ),
+	.A2(\soc_top/n_1419 ),
+	.B1(io_in[16]),
+	.B2(\soc_top/n_399 ),
+	.C1(\soc_top/n_1388 ),
+	.Y(\soc_top/n_1852 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g124269  (
+	.A1(\soc_top/n_6013 ),
+	.A2(\soc_top/n_1419 ),
+	.B1(io_in[15]),
+	.B2(\soc_top/n_327 ),
+	.C1(\soc_top/n_1387 ),
+	.Y(\soc_top/n_1851 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g124270  (
+	.A1(\soc_top/n_6015 ),
+	.A2(\soc_top/n_1419 ),
+	.B1(io_in[17]),
+	.B2(\soc_top/n_347 ),
+	.C1(\soc_top/n_1386 ),
+	.Y(\soc_top/n_1850 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/g124271  (
+	.A1(\soc_top/n_6006 ),
+	.A2(\soc_top/n_1419 ),
+	.B1(io_in[8]),
+	.B2(\soc_top/n_304 ),
+	.C1(\soc_top/n_1385 ),
+	.Y(\soc_top/n_1849 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \soc_top/g124272  (
+	.A(\soc_top/n_195 ),
+	.B(\soc_top/n_1413 ),
+	.C(\soc_top/n_200 ),
+	.X(\soc_top/n_1848 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g124273  (
+	.A(\soc_top/n_5645 ),
+	.B_N(\soc_top/n_1561 ),
+	.Y(\soc_top/n_1847 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124274  (
+	.A(\soc_top/n_65 ),
+	.B(\soc_top/n_1567 ),
+	.Y(\soc_top/n_1846 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 \soc_top/g124275  (
+	.A1(\soc_top/core_ex_reg_ins[12] ),
+	.A2(\soc_top/FE_OFN82_core_io_dmemReq_bits_addrRequest_1 ),
+	.A3(\soc_top/n_384 ),
+	.B1(\soc_top/n_1426 ),
+	.Y(\soc_top/n_1845 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g124278  (
+	.A1(\soc_top/FE_OFN83_core_io_dmemReq_bits_addrRequest_0 ),
+	.A2(\soc_top/n_65 ),
+	.B1(\soc_top/n_1562 ),
+	.Y(\soc_top/n_1842 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 \soc_top/g124279  (
+	.A(\soc_top/core_ex_reg_ins[12] ),
+	.B(\soc_top/n_1562 ),
+	.Y(\soc_top/n_1841 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g124280  (
+	.A(\soc_top/n_1430 ),
+	.B(\soc_top/n_48 ),
+	.C(\soc_top/FE_OFN83_core_io_dmemReq_bits_addrRequest_0 ),
+	.Y(\soc_top/n_1839 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124281  (
+	.A(\soc_top/FE_OFN296_n_1566 ),
+	.B(\soc_top/n_1558 ),
+	.Y(\soc_top/n_1838 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g124282  (
+	.A(\soc_top/n_413 ),
+	.B(\soc_top/FE_OFN296_n_1566 ),
+	.Y(\soc_top/n_1835 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/g124283  (
+	.A(\soc_top/n_1564 ),
+	.B(\soc_top/n_0 ),
+	.Y(\soc_top/n_1834 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g124284  (
+	.A(\soc_top/n_65 ),
+	.B(\soc_top/n_1562 ),
+	.Y(\soc_top/n_1833 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/g124285  (
+	.A(\soc_top/n_1569 ),
+	.B(\soc_top/gen_dmem_host_adrReg[2] ),
+	.Y(\soc_top/n_1832 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/g124286  (
+	.A(\soc_top/n_1563 ),
+	.B(\soc_top/gen_dmem_host_adrReg[2] ),
+	.Y(\soc_top/n_1831 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/g124287  (
+	.A(\soc_top/n_1569 ),
+	.B(\soc_top/n_0 ),
+	.Y(\soc_top/n_1830 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 \soc_top/g124288  (
+	.A(\soc_top/n_1558 ),
+	.B(\soc_top/FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_1829 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211a_2 \soc_top/g124290  (
+	.A1(\soc_top/n_187 ),
+	.A2(\soc_top/n_383 ),
+	.B1(\soc_top/FE_DBTN13_wb_rst_i ),
+	.C1(\soc_top/n_1431 ),
+	.X(\soc_top/n_1827 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g124291  (
+	.A(\soc_top/n_1561 ),
+	.B(\soc_top/n_5645 ),
+	.X(\soc_top/n_1826 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_2 \soc_top/g124292  (
+	.A_N(\soc_top/n_200 ),
+	.B(\soc_top/n_195 ),
+	.C(\soc_top/n_1413 ),
+	.X(\soc_top/n_1825 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g124293  (
+	.A(\soc_top/n_1563 ),
+	.B(\soc_top/n_0 ),
+	.X(\soc_top/n_1824 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124294  (
+	.A1(\soc_top/n_1420 ),
+	.A2(\soc_top/FE_OFN140_core_InstructionDecode_io_writeData_10 ),
+	.B1(\soc_top/n_1424 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[10] ),
+	.X(\soc_top/n_1759 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g124295  (
+	.A1(\soc_top/n_1413 ),
+	.A2(\soc_top/core_pc_io_out[12] ),
+	.B1(\soc_top/n_1414 ),
+	.B2(\soc_top/core_if_reg_pc[12] ),
+	.Y(\soc_top/n_1758 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g124296  (
+	.A1(\soc_top/n_1413 ),
+	.A2(\soc_top/core_pc_io_out[13] ),
+	.B1(\soc_top/n_1414 ),
+	.B2(\soc_top/core_if_reg_pc[13] ),
+	.Y(\soc_top/n_1757 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g124297  (
+	.A1(\soc_top/n_1413 ),
+	.A2(\soc_top/core_pc_io_out[14] ),
+	.B1(\soc_top/n_1414 ),
+	.B2(\soc_top/core_if_reg_pc[14] ),
+	.Y(\soc_top/n_1756 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g124298  (
+	.A1(\soc_top/n_1413 ),
+	.A2(\soc_top/core_pc_io_out[15] ),
+	.B1(\soc_top/n_1414 ),
+	.B2(\soc_top/core_if_reg_pc[15] ),
+	.Y(\soc_top/n_1755 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g124299  (
+	.A1(\soc_top/n_1413 ),
+	.A2(\soc_top/core_pc_io_out[16] ),
+	.B1(\soc_top/n_1414 ),
+	.B2(\soc_top/core_if_reg_pc[16] ),
+	.Y(\soc_top/n_1754 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g124300  (
+	.A1(\soc_top/n_1413 ),
+	.A2(\soc_top/core_pc_io_out[17] ),
+	.B1(\soc_top/n_1414 ),
+	.B2(\soc_top/core_if_reg_pc[17] ),
+	.Y(\soc_top/n_1753 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g124301  (
+	.A1(\soc_top/n_1413 ),
+	.A2(\soc_top/core_pc_io_out[18] ),
+	.B1(\soc_top/n_1414 ),
+	.B2(\soc_top/core_if_reg_pc[18] ),
+	.Y(\soc_top/n_1752 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g124302  (
+	.A1(\soc_top/n_1413 ),
+	.A2(\soc_top/core_pc_io_out[19] ),
+	.B1(\soc_top/n_1414 ),
+	.B2(\soc_top/core_if_reg_pc[19] ),
+	.Y(\soc_top/n_1751 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g124303  (
+	.A1(\soc_top/n_1413 ),
+	.A2(\soc_top/core_pc_io_out[20] ),
+	.B1(\soc_top/n_1414 ),
+	.B2(\soc_top/core_if_reg_pc[20] ),
+	.Y(\soc_top/n_1750 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g124304  (
+	.A1(\soc_top/n_1413 ),
+	.A2(\soc_top/core_pc_io_out[21] ),
+	.B1(\soc_top/n_1414 ),
+	.B2(\soc_top/core_if_reg_pc[21] ),
+	.Y(\soc_top/n_1749 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g124305  (
+	.A1(\soc_top/n_1413 ),
+	.A2(\soc_top/core_pc_io_out[22] ),
+	.B1(\soc_top/n_1414 ),
+	.B2(\soc_top/core_if_reg_pc[22] ),
+	.Y(\soc_top/n_1748 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g124306  (
+	.A1(\soc_top/n_1413 ),
+	.A2(\soc_top/core_pc_io_out[23] ),
+	.B1(\soc_top/n_1414 ),
+	.B2(\soc_top/core_if_reg_pc[23] ),
+	.Y(\soc_top/n_1747 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g124307  (
+	.A1(\soc_top/n_1413 ),
+	.A2(\soc_top/core_pc_io_out[24] ),
+	.B1(\soc_top/n_1414 ),
+	.B2(\soc_top/core_if_reg_pc[24] ),
+	.Y(\soc_top/n_1746 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g124308  (
+	.A1(\soc_top/n_1413 ),
+	.A2(\soc_top/core_pc_io_out[25] ),
+	.B1(\soc_top/n_1414 ),
+	.B2(\soc_top/core_if_reg_pc[25] ),
+	.Y(\soc_top/n_1745 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g124309  (
+	.A1(\soc_top/n_1413 ),
+	.A2(\soc_top/core_pc_io_out[26] ),
+	.B1(\soc_top/n_1414 ),
+	.B2(\soc_top/core_if_reg_pc[26] ),
+	.Y(\soc_top/n_1744 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g124310  (
+	.A1(\soc_top/n_1413 ),
+	.A2(\soc_top/core_pc_io_out[27] ),
+	.B1(\soc_top/n_1414 ),
+	.B2(\soc_top/core_if_reg_pc[27] ),
+	.Y(\soc_top/n_1743 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g124311  (
+	.A1(\soc_top/n_1413 ),
+	.A2(\soc_top/core_pc_io_out[28] ),
+	.B1(\soc_top/n_1414 ),
+	.B2(\soc_top/core_if_reg_pc[28] ),
+	.Y(\soc_top/n_1742 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g124312  (
+	.A1(\soc_top/n_1413 ),
+	.A2(\soc_top/core_pc_io_out[29] ),
+	.B1(\soc_top/n_1414 ),
+	.B2(\soc_top/core_if_reg_pc[29] ),
+	.Y(\soc_top/n_1741 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g124313  (
+	.A1(\soc_top/n_1413 ),
+	.A2(\soc_top/core_pc_io_out[30] ),
+	.B1(\soc_top/n_1414 ),
+	.B2(\soc_top/core_if_reg_pc[30] ),
+	.Y(\soc_top/n_1740 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g124314  (
+	.A1(\soc_top/n_1413 ),
+	.A2(\soc_top/core_pc_io_out[31] ),
+	.B1(\soc_top/n_1414 ),
+	.B2(\soc_top/core_if_reg_pc[31] ),
+	.Y(\soc_top/n_1739 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124315  (
+	.A1(\soc_top/n_1416 ),
+	.A2(\soc_top/gen_imem_host_datReg[0] ),
+	.B1(\soc_top/n_379 ),
+	.B2(\soc_top/rx_data_reg[0] ),
+	.X(\soc_top/n_1738 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124316  (
+	.A1(\soc_top/n_1416 ),
+	.A2(\soc_top/gen_imem_host_datReg[3] ),
+	.B1(\soc_top/n_379 ),
+	.B2(\soc_top/rx_data_reg[3] ),
+	.X(\soc_top/n_1737 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124317  (
+	.A1(\soc_top/n_1416 ),
+	.A2(\soc_top/gen_imem_host_datReg[2] ),
+	.B1(\soc_top/n_379 ),
+	.B2(\soc_top/rx_data_reg[2] ),
+	.X(\soc_top/n_1736 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124318  (
+	.A1(\soc_top/n_1416 ),
+	.A2(\soc_top/gen_imem_host_datReg[4] ),
+	.B1(\soc_top/n_379 ),
+	.B2(\soc_top/rx_data_reg[4] ),
+	.X(\soc_top/n_1735 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124319  (
+	.A1(\soc_top/n_1416 ),
+	.A2(\soc_top/gen_imem_host_datReg[1] ),
+	.B1(\soc_top/n_379 ),
+	.B2(\soc_top/rx_data_reg[1] ),
+	.X(\soc_top/n_1734 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124320  (
+	.A1(\soc_top/n_1416 ),
+	.A2(\soc_top/gen_imem_host_datReg[5] ),
+	.B1(\soc_top/n_379 ),
+	.B2(\soc_top/rx_data_reg[5] ),
+	.X(\soc_top/n_1733 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124321  (
+	.A1(\soc_top/n_1416 ),
+	.A2(\soc_top/gen_imem_host_datReg[6] ),
+	.B1(\soc_top/n_379 ),
+	.B2(\soc_top/rx_data_reg[6] ),
+	.X(\soc_top/n_1732 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124322  (
+	.A1(\soc_top/n_1416 ),
+	.A2(\soc_top/gen_imem_host_datReg[7] ),
+	.B1(\soc_top/n_379 ),
+	.B2(\soc_top/rx_data_reg[7] ),
+	.X(\soc_top/n_1731 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124323  (
+	.A1(\soc_top/n_1416 ),
+	.A2(\soc_top/gen_imem_host_datReg[8] ),
+	.B1(\soc_top/n_379 ),
+	.B2(\soc_top/rx_data_reg[8] ),
+	.X(\soc_top/n_1730 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124324  (
+	.A1(\soc_top/n_1416 ),
+	.A2(\soc_top/gen_imem_host_datReg[9] ),
+	.B1(\soc_top/n_379 ),
+	.B2(\soc_top/rx_data_reg[9] ),
+	.X(\soc_top/n_1729 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124325  (
+	.A1(\soc_top/n_1416 ),
+	.A2(\soc_top/gen_imem_host_datReg[10] ),
+	.B1(\soc_top/n_379 ),
+	.B2(\soc_top/rx_data_reg[10] ),
+	.X(\soc_top/n_1728 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124326  (
+	.A1(\soc_top/n_1416 ),
+	.A2(\soc_top/gen_imem_host_datReg[11] ),
+	.B1(\soc_top/n_379 ),
+	.B2(\soc_top/rx_data_reg[11] ),
+	.X(\soc_top/n_1727 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124327  (
+	.A1(\soc_top/n_1416 ),
+	.A2(\soc_top/gen_imem_host_datReg[12] ),
+	.B1(\soc_top/n_379 ),
+	.B2(\soc_top/rx_data_reg[12] ),
+	.X(\soc_top/n_1726 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124328  (
+	.A1(\soc_top/n_1416 ),
+	.A2(\soc_top/gen_imem_host_datReg[13] ),
+	.B1(\soc_top/n_379 ),
+	.B2(\soc_top/rx_data_reg[13] ),
+	.X(\soc_top/n_1725 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124329  (
+	.A1(\soc_top/n_1416 ),
+	.A2(\soc_top/gen_imem_host_datReg[15] ),
+	.B1(\soc_top/n_379 ),
+	.B2(\soc_top/rx_data_reg[15] ),
+	.X(\soc_top/n_1724 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124330  (
+	.A1(\soc_top/n_1416 ),
+	.A2(\soc_top/gen_imem_host_datReg[14] ),
+	.B1(\soc_top/n_379 ),
+	.B2(\soc_top/rx_data_reg[14] ),
+	.X(\soc_top/n_1723 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124331  (
+	.A1(\soc_top/n_1416 ),
+	.A2(\soc_top/gen_imem_host_datReg[16] ),
+	.B1(\soc_top/n_379 ),
+	.B2(\soc_top/rx_data_reg[16] ),
+	.X(\soc_top/n_1722 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124332  (
+	.A1(\soc_top/n_1416 ),
+	.A2(\soc_top/gen_imem_host_datReg[17] ),
+	.B1(\soc_top/n_379 ),
+	.B2(\soc_top/rx_data_reg[17] ),
+	.X(\soc_top/n_1721 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124333  (
+	.A1(\soc_top/n_1416 ),
+	.A2(\soc_top/gen_imem_host_datReg[18] ),
+	.B1(\soc_top/n_379 ),
+	.B2(\soc_top/rx_data_reg[18] ),
+	.X(\soc_top/n_1720 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124334  (
+	.A1(\soc_top/n_1416 ),
+	.A2(\soc_top/gen_imem_host_datReg[19] ),
+	.B1(\soc_top/n_379 ),
+	.B2(\soc_top/rx_data_reg[19] ),
+	.X(\soc_top/n_1719 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124335  (
+	.A1(\soc_top/n_1416 ),
+	.A2(\soc_top/gen_imem_host_datReg[20] ),
+	.B1(\soc_top/n_379 ),
+	.B2(\soc_top/rx_data_reg[20] ),
+	.X(\soc_top/n_1718 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124336  (
+	.A1(\soc_top/n_1416 ),
+	.A2(\soc_top/gen_imem_host_datReg[21] ),
+	.B1(\soc_top/n_379 ),
+	.B2(\soc_top/rx_data_reg[21] ),
+	.X(\soc_top/n_1717 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124337  (
+	.A1(\soc_top/n_1416 ),
+	.A2(\soc_top/gen_imem_host_datReg[22] ),
+	.B1(\soc_top/n_379 ),
+	.B2(\soc_top/rx_data_reg[22] ),
+	.X(\soc_top/n_1716 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124338  (
+	.A1(\soc_top/n_1416 ),
+	.A2(\soc_top/gen_imem_host_datReg[23] ),
+	.B1(\soc_top/n_379 ),
+	.B2(\soc_top/rx_data_reg[23] ),
+	.X(\soc_top/n_1715 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124339  (
+	.A1(\soc_top/n_1416 ),
+	.A2(\soc_top/gen_imem_host_datReg[24] ),
+	.B1(\soc_top/n_379 ),
+	.B2(\soc_top/rx_data_reg[24] ),
+	.X(\soc_top/n_1714 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124340  (
+	.A1(\soc_top/n_1416 ),
+	.A2(\soc_top/gen_imem_host_datReg[25] ),
+	.B1(\soc_top/n_379 ),
+	.B2(\soc_top/rx_data_reg[25] ),
+	.X(\soc_top/n_1713 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124341  (
+	.A1(\soc_top/n_1416 ),
+	.A2(\soc_top/gen_imem_host_datReg[26] ),
+	.B1(\soc_top/n_379 ),
+	.B2(\soc_top/rx_data_reg[26] ),
+	.X(\soc_top/n_1712 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124342  (
+	.A1(\soc_top/n_1416 ),
+	.A2(\soc_top/gen_imem_host_datReg[28] ),
+	.B1(\soc_top/n_379 ),
+	.B2(\soc_top/rx_data_reg[28] ),
+	.X(\soc_top/n_1711 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124343  (
+	.A1(\soc_top/n_1416 ),
+	.A2(\soc_top/gen_imem_host_datReg[27] ),
+	.B1(\soc_top/n_379 ),
+	.B2(\soc_top/rx_data_reg[27] ),
+	.X(\soc_top/n_1710 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124344  (
+	.A1(\soc_top/n_1416 ),
+	.A2(\soc_top/gen_imem_host_datReg[29] ),
+	.B1(\soc_top/n_379 ),
+	.B2(\soc_top/rx_data_reg[29] ),
+	.X(\soc_top/n_1709 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124345  (
+	.A1(\soc_top/n_1416 ),
+	.A2(\soc_top/gen_imem_host_datReg[30] ),
+	.B1(\soc_top/n_379 ),
+	.B2(\soc_top/rx_data_reg[30] ),
+	.X(\soc_top/n_1708 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124346  (
+	.A1(\soc_top/n_1416 ),
+	.A2(\soc_top/gen_imem_host_datReg[31] ),
+	.B1(\soc_top/n_379 ),
+	.B2(\soc_top/rx_data_reg[31] ),
+	.X(\soc_top/n_1707 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124347  (
+	.A1(\soc_top/n_1423 ),
+	.A2(\soc_top/n_2728 ),
+	.B1(\soc_top/n_1425 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[1] ),
+	.X(\soc_top/n_1706 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124348  (
+	.A1(\soc_top/n_1423 ),
+	.A2(\soc_top/FE_OFN5603_n_6544 ),
+	.B1(\soc_top/FE_OFN190_n_1425 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[2] ),
+	.X(\soc_top/n_1705 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124349  (
+	.A1(\soc_top/n_1423 ),
+	.A2(\soc_top/FE_OFN6633_n_2711 ),
+	.B1(\soc_top/n_1425 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[3] ),
+	.X(\soc_top/n_1704 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124350  (
+	.A1(\soc_top/n_1423 ),
+	.A2(\soc_top/FE_OFN5620_core_InstructionDecode_io_writeData_0 ),
+	.B1(\soc_top/n_1425 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[0] ),
+	.X(\soc_top/n_1703 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124351  (
+	.A1(\soc_top/n_1423 ),
+	.A2(\soc_top/FE_OFN5619_n_6545 ),
+	.B1(\soc_top/n_1425 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[4] ),
+	.X(\soc_top/n_1702 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124352  (
+	.A1(\soc_top/n_1423 ),
+	.A2(\soc_top/FE_OFN73_core_InstructionDecode_io_writeData_6 ),
+	.B1(\soc_top/n_1425 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[6] ),
+	.X(\soc_top/n_1701 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124353  (
+	.A1(\soc_top/n_1423 ),
+	.A2(\soc_top/FE_OFN5608_n_6546 ),
+	.B1(\soc_top/FE_OFN190_n_1425 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[5] ),
+	.X(\soc_top/n_1700 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124354  (
+	.A1(\soc_top/n_1423 ),
+	.A2(\soc_top/FE_OFN72_core_InstructionDecode_io_writeData_7 ),
+	.B1(\soc_top/n_1425 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[7] ),
+	.X(\soc_top/n_1699 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124355  (
+	.A1(\soc_top/n_1423 ),
+	.A2(\soc_top/FE_OFN142_core_InstructionDecode_io_writeData_8 ),
+	.B1(\soc_top/n_1425 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[8] ),
+	.X(\soc_top/n_1698 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124356  (
+	.A1(\soc_top/n_1423 ),
+	.A2(\soc_top/FE_OFN141_core_InstructionDecode_io_writeData_9 ),
+	.B1(\soc_top/n_1425 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[9] ),
+	.X(\soc_top/n_1697 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124357  (
+	.A1(\soc_top/n_1423 ),
+	.A2(\soc_top/FE_OFN140_core_InstructionDecode_io_writeData_10 ),
+	.B1(\soc_top/FE_OFN190_n_1425 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[10] ),
+	.X(\soc_top/n_1696 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124358  (
+	.A1(\soc_top/n_1423 ),
+	.A2(\soc_top/FE_OFN139_core_InstructionDecode_io_writeData_11 ),
+	.B1(\soc_top/FE_OFN190_n_1425 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[11] ),
+	.X(\soc_top/n_1695 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124359  (
+	.A1(\soc_top/n_1423 ),
+	.A2(\soc_top/FE_OFN138_core_InstructionDecode_io_writeData_12 ),
+	.B1(\soc_top/FE_OFN190_n_1425 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[12] ),
+	.X(\soc_top/n_1694 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124360  (
+	.A1(\soc_top/n_1423 ),
+	.A2(\soc_top/FE_OFN137_core_InstructionDecode_io_writeData_13 ),
+	.B1(\soc_top/FE_OFN190_n_1425 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[13] ),
+	.X(\soc_top/n_1693 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124361  (
+	.A1(\soc_top/n_1423 ),
+	.A2(\soc_top/FE_OFN136_core_InstructionDecode_io_writeData_14 ),
+	.B1(\soc_top/n_1425 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[14] ),
+	.X(\soc_top/n_1692 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124362  (
+	.A1(\soc_top/n_1423 ),
+	.A2(\soc_top/FE_OFN135_core_InstructionDecode_io_writeData_15 ),
+	.B1(\soc_top/n_1425 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[15] ),
+	.X(\soc_top/n_1691 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124363  (
+	.A1(\soc_top/n_1423 ),
+	.A2(\soc_top/FE_OFN6623_n ),
+	.B1(\soc_top/n_1425 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[16] ),
+	.X(\soc_top/n_1690 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124364  (
+	.A1(\soc_top/n_1423 ),
+	.A2(\soc_top/FE_OFN5623_core_InstructionDecode_io_writeData_17 ),
+	.B1(\soc_top/FE_OFN190_n_1425 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[17] ),
+	.X(\soc_top/n_1689 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124365  (
+	.A1(\soc_top/n_1423 ),
+	.A2(\soc_top/FE_OFN132_core_InstructionDecode_io_writeData_18 ),
+	.B1(\soc_top/n_1425 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[18] ),
+	.X(\soc_top/n_1688 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124366  (
+	.A1(\soc_top/n_1423 ),
+	.A2(\soc_top/FE_OFN6620_n ),
+	.B1(\soc_top/FE_OFN190_n_1425 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[19] ),
+	.X(\soc_top/n_1687 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124367  (
+	.A1(\soc_top/n_1423 ),
+	.A2(\soc_top/n_4049 ),
+	.B1(\soc_top/FE_OFN190_n_1425 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[21] ),
+	.X(\soc_top/n_1686 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124368  (
+	.A1(\soc_top/n_1423 ),
+	.A2(\soc_top/FE_OFN128_core_InstructionDecode_io_writeData_22 ),
+	.B1(\soc_top/n_1425 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[22] ),
+	.X(\soc_top/n_1685 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124369  (
+	.A1(\soc_top/n_1423 ),
+	.A2(\soc_top/FE_OFN130_core_InstructionDecode_io_writeData_20 ),
+	.B1(\soc_top/FE_OFN190_n_1425 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[20] ),
+	.X(\soc_top/n_1684 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124370  (
+	.A1(\soc_top/n_1423 ),
+	.A2(\soc_top/FE_OFN6621_n_4099 ),
+	.B1(\soc_top/FE_OFN190_n_1425 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[23] ),
+	.X(\soc_top/n_1683 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124371  (
+	.A1(\soc_top/n_1423 ),
+	.A2(\soc_top/FE_OFN126_core_InstructionDecode_io_writeData_24 ),
+	.B1(\soc_top/n_1425 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[24] ),
+	.X(\soc_top/n_1682 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124372  (
+	.A1(\soc_top/n_1423 ),
+	.A2(\soc_top/FE_OFN125_core_InstructionDecode_io_writeData_25 ),
+	.B1(\soc_top/n_1425 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[25] ),
+	.X(\soc_top/n_1681 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124373  (
+	.A1(\soc_top/n_1423 ),
+	.A2(\soc_top/FE_OFN124_core_InstructionDecode_io_writeData_26 ),
+	.B1(\soc_top/n_1425 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[26] ),
+	.X(\soc_top/n_1680 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124374  (
+	.A1(\soc_top/n_1423 ),
+	.A2(\soc_top/FE_OFN123_core_InstructionDecode_io_writeData_27 ),
+	.B1(\soc_top/n_1425 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[27] ),
+	.X(\soc_top/n_1679 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124375  (
+	.A1(\soc_top/n_1423 ),
+	.A2(\soc_top/FE_OFN122_core_InstructionDecode_io_writeData_28 ),
+	.B1(\soc_top/FE_OFN190_n_1425 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[28] ),
+	.X(\soc_top/n_1678 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124376  (
+	.A1(\soc_top/n_1423 ),
+	.A2(\soc_top/FE_OFN121_core_InstructionDecode_io_writeData_29 ),
+	.B1(\soc_top/FE_OFN190_n_1425 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[29] ),
+	.X(\soc_top/n_1677 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124377  (
+	.A1(\soc_top/n_1423 ),
+	.A2(\soc_top/FE_OFN120_core_InstructionDecode_io_writeData_30 ),
+	.B1(\soc_top/FE_OFN190_n_1425 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[30] ),
+	.X(\soc_top/n_1676 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124378  (
+	.A1(\soc_top/n_1423 ),
+	.A2(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.B1(\soc_top/n_1425 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[31] ),
+	.X(\soc_top/n_1675 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124379  (
+	.A1(\soc_top/n_1420 ),
+	.A2(\soc_top/n_2728 ),
+	.B1(\soc_top/n_1424 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[1] ),
+	.X(\soc_top/n_1674 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124380  (
+	.A1(\soc_top/n_1420 ),
+	.A2(\soc_top/FE_OFN5620_core_InstructionDecode_io_writeData_0 ),
+	.B1(\soc_top/n_1424 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[0] ),
+	.X(\soc_top/n_1673 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124381  (
+	.A1(\soc_top/n_1420 ),
+	.A2(\soc_top/FE_OFN5603_n_6544 ),
+	.B1(\soc_top/n_1424 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[2] ),
+	.X(\soc_top/n_1672 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124382  (
+	.A1(\soc_top/n_1420 ),
+	.A2(\soc_top/FE_OFN5619_n_6545 ),
+	.B1(\soc_top/n_1424 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[4] ),
+	.X(\soc_top/n_1671 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124383  (
+	.A1(\soc_top/n_1420 ),
+	.A2(\soc_top/FE_OFN6633_n_2711 ),
+	.B1(\soc_top/n_1424 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[3] ),
+	.X(\soc_top/n_1670 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124384  (
+	.A1(\soc_top/n_1420 ),
+	.A2(\soc_top/FE_OFN5608_n_6546 ),
+	.B1(\soc_top/n_1424 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[5] ),
+	.X(\soc_top/n_1669 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124385  (
+	.A1(\soc_top/n_1420 ),
+	.A2(\soc_top/FE_OFN73_core_InstructionDecode_io_writeData_6 ),
+	.B1(\soc_top/n_1424 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[6] ),
+	.X(\soc_top/n_1668 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124386  (
+	.A1(\soc_top/n_1420 ),
+	.A2(\soc_top/FE_OFN72_core_InstructionDecode_io_writeData_7 ),
+	.B1(\soc_top/n_1424 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[7] ),
+	.X(\soc_top/n_1667 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124387  (
+	.A1(\soc_top/n_1420 ),
+	.A2(\soc_top/FE_OFN142_core_InstructionDecode_io_writeData_8 ),
+	.B1(\soc_top/n_1424 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[8] ),
+	.X(\soc_top/n_1666 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124388  (
+	.A1(\soc_top/n_1420 ),
+	.A2(\soc_top/FE_OFN141_core_InstructionDecode_io_writeData_9 ),
+	.B1(\soc_top/n_1424 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[9] ),
+	.X(\soc_top/n_1665 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g124389  (
+	.A1(\soc_top/n_1413 ),
+	.A2(\soc_top/core_pc_io_out[11] ),
+	.B1(\soc_top/n_1414 ),
+	.B2(\soc_top/core_if_reg_pc[11] ),
+	.Y(\soc_top/n_1664 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124390  (
+	.A1(\soc_top/n_1420 ),
+	.A2(\soc_top/FE_OFN139_core_InstructionDecode_io_writeData_11 ),
+	.B1(\soc_top/n_1424 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[11] ),
+	.X(\soc_top/n_1663 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124391  (
+	.A1(\soc_top/n_1420 ),
+	.A2(\soc_top/FE_OFN138_core_InstructionDecode_io_writeData_12 ),
+	.B1(\soc_top/n_1424 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[12] ),
+	.X(\soc_top/n_1662 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124392  (
+	.A1(\soc_top/n_1420 ),
+	.A2(\soc_top/FE_OFN137_core_InstructionDecode_io_writeData_13 ),
+	.B1(\soc_top/n_1424 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[13] ),
+	.X(\soc_top/n_1661 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124393  (
+	.A1(\soc_top/n_1420 ),
+	.A2(\soc_top/FE_OFN136_core_InstructionDecode_io_writeData_14 ),
+	.B1(\soc_top/n_1424 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[14] ),
+	.X(\soc_top/n_1660 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124394  (
+	.A1(\soc_top/n_1420 ),
+	.A2(\soc_top/FE_OFN135_core_InstructionDecode_io_writeData_15 ),
+	.B1(\soc_top/n_1424 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[15] ),
+	.X(\soc_top/n_1659 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124395  (
+	.A1(\soc_top/n_1420 ),
+	.A2(\soc_top/FE_OFN6623_n ),
+	.B1(\soc_top/n_1424 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[16] ),
+	.X(\soc_top/n_1658 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124396  (
+	.A1(\soc_top/n_1420 ),
+	.A2(\soc_top/FE_OFN5623_core_InstructionDecode_io_writeData_17 ),
+	.B1(\soc_top/n_1424 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[17] ),
+	.X(\soc_top/n_1657 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124397  (
+	.A1(\soc_top/n_1420 ),
+	.A2(\soc_top/FE_OFN6620_n ),
+	.B1(\soc_top/n_1424 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[19] ),
+	.X(\soc_top/n_1656 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124398  (
+	.A1(\soc_top/n_1420 ),
+	.A2(\soc_top/FE_OFN130_core_InstructionDecode_io_writeData_20 ),
+	.B1(\soc_top/n_1424 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[20] ),
+	.X(\soc_top/n_1655 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124399  (
+	.A1(\soc_top/FE_OFN132_core_InstructionDecode_io_writeData_18 ),
+	.A2(\soc_top/n_1420 ),
+	.B1(\soc_top/n_1424 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[18] ),
+	.X(\soc_top/n_1654 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124400  (
+	.A1(\soc_top/n_1420 ),
+	.A2(\soc_top/n_4049 ),
+	.B1(\soc_top/n_1424 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[21] ),
+	.X(\soc_top/n_1653 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124401  (
+	.A1(\soc_top/n_1420 ),
+	.A2(\soc_top/FE_OFN128_core_InstructionDecode_io_writeData_22 ),
+	.B1(\soc_top/n_1424 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[22] ),
+	.X(\soc_top/n_1652 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124402  (
+	.A1(\soc_top/n_1420 ),
+	.A2(\soc_top/FE_OFN6621_n_4099 ),
+	.B1(\soc_top/n_1424 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[23] ),
+	.X(\soc_top/n_1651 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124403  (
+	.A1(\soc_top/FE_OFN126_core_InstructionDecode_io_writeData_24 ),
+	.A2(\soc_top/n_1420 ),
+	.B1(\soc_top/n_1424 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[24] ),
+	.X(\soc_top/n_1650 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124404  (
+	.A1(\soc_top/FE_OFN125_core_InstructionDecode_io_writeData_25 ),
+	.A2(\soc_top/n_1420 ),
+	.B1(\soc_top/n_1424 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[25] ),
+	.X(\soc_top/n_1649 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124405  (
+	.A1(\soc_top/FE_OFN124_core_InstructionDecode_io_writeData_26 ),
+	.A2(\soc_top/n_1420 ),
+	.B1(\soc_top/n_1424 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[26] ),
+	.X(\soc_top/n_1648 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124406  (
+	.A1(\soc_top/n_1420 ),
+	.A2(\soc_top/FE_OFN123_core_InstructionDecode_io_writeData_27 ),
+	.B1(\soc_top/n_1424 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[27] ),
+	.X(\soc_top/n_1647 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124407  (
+	.A1(\soc_top/FE_OFN122_core_InstructionDecode_io_writeData_28 ),
+	.A2(\soc_top/n_1420 ),
+	.B1(\soc_top/n_1424 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[28] ),
+	.X(\soc_top/n_1646 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124408  (
+	.A1(\soc_top/n_1420 ),
+	.A2(\soc_top/FE_OFN121_core_InstructionDecode_io_writeData_29 ),
+	.B1(\soc_top/n_1424 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[29] ),
+	.X(\soc_top/n_1645 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124409  (
+	.A1(\soc_top/FE_OFN120_core_InstructionDecode_io_writeData_30 ),
+	.A2(\soc_top/n_1420 ),
+	.B1(\soc_top/n_1424 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[30] ),
+	.X(\soc_top/n_1644 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124410  (
+	.A1(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.A2(\soc_top/n_1420 ),
+	.B1(\soc_top/n_1424 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[31] ),
+	.X(\soc_top/n_1643 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124411  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_ex_reg_wra[0] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/core_id_reg_ins[7] ),
+	.X(\soc_top/n_1642 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124412  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_ex_reg_wra[2] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/core_id_reg_ins[9] ),
+	.X(\soc_top/n_1641 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124413  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_ex_reg_wra[1] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/core_id_reg_ins[8] ),
+	.X(\soc_top/n_1640 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124414  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_ex_reg_wra[3] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/core_id_reg_ins[10] ),
+	.X(\soc_top/n_1639 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124415  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_ex_reg_wra[4] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/core_id_reg_ins[11] ),
+	.X(\soc_top/n_1638 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124416  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_mem_reg_result[0] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/FE_OFN83_core_io_dmemReq_bits_addrRequest_0 ),
+	.X(\soc_top/n_1637 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124417  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_mem_reg_result[1] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/FE_OFN82_core_io_dmemReq_bits_addrRequest_1 ),
+	.X(\soc_top/n_1636 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124418  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_mem_reg_result[2] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/FE_OFN81_core_io_dmemReq_bits_addrRequest_2 ),
+	.X(\soc_top/n_1635 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124419  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_mem_reg_result[3] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[3] ),
+	.X(\soc_top/n_1634 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124420  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_mem_reg_result[4] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[4] ),
+	.X(\soc_top/n_1633 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124421  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_mem_reg_result[5] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/FE_OFN80_core_io_dmemReq_bits_addrRequest_5 ),
+	.X(\soc_top/n_1632 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124422  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_mem_reg_result[6] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/FE_OFN6761_core_io_dmemReq_bits_addrRequest_6 ),
+	.X(\soc_top/n_1631 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124423  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_mem_reg_result[7] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[7] ),
+	.X(\soc_top/n_1630 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124424  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_mem_reg_result[8] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[8] ),
+	.X(\soc_top/n_1629 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124425  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_mem_reg_result[9] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[9] ),
+	.X(\soc_top/n_1628 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124426  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_mem_reg_result[10] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[10] ),
+	.X(\soc_top/n_1627 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124427  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_mem_reg_result[11] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[11] ),
+	.X(\soc_top/n_1626 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124428  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_mem_reg_result[12] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[12] ),
+	.X(\soc_top/n_1625 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124429  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_mem_reg_result[13] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[13] ),
+	.X(\soc_top/n_1624 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124430  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_mem_reg_result[14] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[14] ),
+	.X(\soc_top/n_1623 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124431  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_mem_reg_result[15] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[15] ),
+	.X(\soc_top/n_1622 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124432  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_mem_reg_result[16] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[16] ),
+	.X(\soc_top/n_1621 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124433  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_mem_reg_result[17] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[17] ),
+	.X(\soc_top/n_1620 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124434  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_mem_reg_result[18] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[18] ),
+	.X(\soc_top/n_1619 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124435  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_mem_reg_result[19] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[19] ),
+	.X(\soc_top/n_1618 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124436  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_mem_reg_result[20] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[20] ),
+	.X(\soc_top/n_1617 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124437  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_mem_reg_result[22] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[22] ),
+	.X(\soc_top/n_1616 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124438  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_mem_reg_result[23] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[23] ),
+	.X(\soc_top/n_1615 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124439  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_mem_reg_result[21] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[21] ),
+	.X(\soc_top/n_1614 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124440  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_mem_reg_result[24] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[24] ),
+	.X(\soc_top/n_1613 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124441  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_mem_reg_result[25] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[25] ),
+	.X(\soc_top/n_1612 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124442  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_mem_reg_result[26] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[26] ),
+	.X(\soc_top/n_1611 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124443  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_mem_reg_result[27] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[27] ),
+	.X(\soc_top/n_1610 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124444  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_mem_reg_result[28] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[28] ),
+	.X(\soc_top/n_1609 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124445  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_mem_reg_result[29] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[29] ),
+	.X(\soc_top/n_1608 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124446  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_mem_reg_result[30] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[30] ),
+	.X(\soc_top/n_1607 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124447  (
+	.A1(\soc_top/n_1417 ),
+	.A2(\soc_top/core_mem_reg_result[31] ),
+	.B1(\soc_top/n_1418 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[31] ),
+	.X(\soc_top/n_1606 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124448  (
+	.A1(\soc_top/n_1422 ),
+	.A2(\soc_top/FE_OFN83_core_io_dmemReq_bits_addrRequest_0 ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_adrReg[0] ),
+	.X(\soc_top/n_1605 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124449  (
+	.A1(\soc_top/n_1422 ),
+	.A2(\soc_top/FE_OFN82_core_io_dmemReq_bits_addrRequest_1 ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_adrReg[1] ),
+	.X(\soc_top/n_1604 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124450  (
+	.A1(\soc_top/n_1422 ),
+	.A2(\soc_top/FE_OFN81_core_io_dmemReq_bits_addrRequest_2 ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_adrReg[2] ),
+	.X(\soc_top/n_1603 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124451  (
+	.A1(\soc_top/n_1422 ),
+	.A2(\soc_top/core_io_dmemReq_bits_addrRequest[3] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_adrReg[3] ),
+	.X(\soc_top/n_1602 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124452  (
+	.A1(\soc_top/n_1422 ),
+	.A2(\soc_top/core_io_dmemReq_bits_addrRequest[4] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_adrReg[4] ),
+	.X(\soc_top/n_1601 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124453  (
+	.A1(\soc_top/n_1422 ),
+	.A2(\soc_top/FE_OFN80_core_io_dmemReq_bits_addrRequest_5 ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_adrReg[5] ),
+	.X(\soc_top/n_1600 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124454  (
+	.A1(\soc_top/n_1422 ),
+	.A2(\soc_top/FE_OFN6761_core_io_dmemReq_bits_addrRequest_6 ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_adrReg[6] ),
+	.X(\soc_top/n_1599 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124455  (
+	.A1(\soc_top/n_1422 ),
+	.A2(\soc_top/core_io_dmemReq_bits_addrRequest[7] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_adrReg[7] ),
+	.X(\soc_top/n_1598 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124456  (
+	.A1(\soc_top/n_1422 ),
+	.A2(\soc_top/core_io_dmemReq_bits_addrRequest[12] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_adrReg[12] ),
+	.X(\soc_top/n_1597 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124457  (
+	.A1(\soc_top/n_1422 ),
+	.A2(\soc_top/core_io_dmemReq_bits_addrRequest[13] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_adrReg[13] ),
+	.X(\soc_top/n_1596 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124458  (
+	.A1(\soc_top/n_1422 ),
+	.A2(\soc_top/core_io_dmemReq_bits_addrRequest[14] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_adrReg[14] ),
+	.X(\soc_top/n_1595 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124459  (
+	.A1(\soc_top/n_1422 ),
+	.A2(\soc_top/core_io_dmemReq_bits_addrRequest[16] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_adrReg[16] ),
+	.X(\soc_top/n_1594 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124460  (
+	.A1(\soc_top/n_1422 ),
+	.A2(\soc_top/core_io_dmemReq_bits_addrRequest[15] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_adrReg[15] ),
+	.X(\soc_top/n_1593 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124461  (
+	.A1(\soc_top/n_1422 ),
+	.A2(\soc_top/core_io_dmemReq_bits_addrRequest[17] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_adrReg[17] ),
+	.X(\soc_top/n_1592 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124462  (
+	.A1(\soc_top/n_1422 ),
+	.A2(\soc_top/core_io_dmemReq_bits_addrRequest[18] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_adrReg[18] ),
+	.X(\soc_top/n_1591 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124463  (
+	.A1(\soc_top/n_1422 ),
+	.A2(\soc_top/core_io_dmemReq_bits_addrRequest[19] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_adrReg[19] ),
+	.X(\soc_top/n_1590 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124464  (
+	.A1(\soc_top/n_1422 ),
+	.A2(\soc_top/core_io_dmemReq_bits_addrRequest[20] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_adrReg[20] ),
+	.X(\soc_top/n_1589 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124465  (
+	.A1(\soc_top/n_1422 ),
+	.A2(\soc_top/core_io_dmemReq_bits_addrRequest[21] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_adrReg[21] ),
+	.X(\soc_top/n_1588 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124466  (
+	.A1(\soc_top/n_1422 ),
+	.A2(\soc_top/core_io_dmemReq_bits_addrRequest[22] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_adrReg[22] ),
+	.X(\soc_top/n_1587 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124467  (
+	.A1(\soc_top/n_1422 ),
+	.A2(\soc_top/core_io_dmemReq_bits_addrRequest[23] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_adrReg[23] ),
+	.X(\soc_top/n_1586 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124468  (
+	.A1(\soc_top/n_1422 ),
+	.A2(\soc_top/core_io_dmemReq_bits_addrRequest[24] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_adrReg[24] ),
+	.X(\soc_top/n_1585 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124469  (
+	.A1(\soc_top/n_1422 ),
+	.A2(\soc_top/core_io_dmemReq_bits_addrRequest[25] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_adrReg[25] ),
+	.X(\soc_top/n_1584 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124470  (
+	.A1(\soc_top/n_1422 ),
+	.A2(\soc_top/core_io_dmemReq_bits_addrRequest[26] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_adrReg[26] ),
+	.X(\soc_top/n_1583 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124471  (
+	.A1(\soc_top/n_1422 ),
+	.A2(\soc_top/core_io_dmemReq_bits_addrRequest[27] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_adrReg[27] ),
+	.X(\soc_top/n_1582 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124472  (
+	.A1(\soc_top/n_1422 ),
+	.A2(\soc_top/core_io_dmemReq_bits_addrRequest[28] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_adrReg[28] ),
+	.X(\soc_top/n_1581 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124473  (
+	.A1(\soc_top/n_1422 ),
+	.A2(\soc_top/core_io_dmemReq_bits_addrRequest[29] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_adrReg[29] ),
+	.X(\soc_top/n_1580 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124474  (
+	.A1(\soc_top/n_1422 ),
+	.A2(\soc_top/core_io_dmemReq_bits_addrRequest[31] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_adrReg[31] ),
+	.X(\soc_top/n_1579 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124475  (
+	.A1(\soc_top/n_1422 ),
+	.A2(\soc_top/core_io_dmemReq_bits_addrRequest[30] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_adrReg[30] ),
+	.X(\soc_top/n_1578 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124476  (
+	.A1(\soc_top/FE_OFN191_n_1427 ),
+	.A2(\soc_top/core_ex_reg_wd[0] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_datReg[0] ),
+	.X(\soc_top/n_1577 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124477  (
+	.A1(\soc_top/FE_OFN191_n_1427 ),
+	.A2(\soc_top/core_ex_reg_wd[1] ),
+	.B1(\soc_top/FE_OFN189_n_1415 ),
+	.B2(\soc_top/gen_dmem_host_datReg[1] ),
+	.X(\soc_top/n_1576 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124478  (
+	.A1(\soc_top/FE_OFN191_n_1427 ),
+	.A2(\soc_top/core_ex_reg_wd[2] ),
+	.B1(\soc_top/FE_OFN189_n_1415 ),
+	.B2(\soc_top/gen_dmem_host_datReg[2] ),
+	.X(\soc_top/n_1575 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124479  (
+	.A1(\soc_top/FE_OFN191_n_1427 ),
+	.A2(\soc_top/core_ex_reg_wd[3] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_datReg[3] ),
+	.X(\soc_top/n_1574 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124480  (
+	.A1(\soc_top/n_1427 ),
+	.A2(\soc_top/core_ex_reg_wd[4] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_datReg[4] ),
+	.X(\soc_top/n_1573 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124481  (
+	.A1(\soc_top/FE_OFN191_n_1427 ),
+	.A2(\soc_top/core_ex_reg_wd[5] ),
+	.B1(\soc_top/n_1415 ),
+	.B2(\soc_top/gen_dmem_host_datReg[5] ),
+	.X(\soc_top/n_1572 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124482  (
+	.A1(\soc_top/FE_OFN191_n_1427 ),
+	.A2(\soc_top/core_ex_reg_wd[6] ),
+	.B1(\soc_top/FE_OFN189_n_1415 ),
+	.B2(\soc_top/gen_dmem_host_datReg[6] ),
+	.X(\soc_top/n_1571 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g124483  (
+	.A1(\soc_top/FE_OFN191_n_1427 ),
+	.A2(\soc_top/core_ex_reg_wd[7] ),
+	.B1(\soc_top/FE_OFN189_n_1415 ),
+	.B2(\soc_top/gen_dmem_host_datReg[7] ),
+	.X(\soc_top/n_1570 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top/g125020  (
+	.A1_N(\soc_top/n_5999 ),
+	.A2_N(\soc_top/n_381 ),
+	.B1(\soc_top/gen_imem_host_weReg ),
+	.B2(\soc_top/n_381 ),
+	.Y(\soc_top/n_1553 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125021  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_rx_data_o[31] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_data_reg[31] ),
+	.X(\soc_top/n_1552 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125022  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_rx_data_o[30] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_data_reg[30] ),
+	.X(\soc_top/n_1551 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125023  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_rx_data_o[29] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_data_reg[29] ),
+	.X(\soc_top/n_1550 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125024  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_rx_data_o[28] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_data_reg[28] ),
+	.X(\soc_top/n_1549 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125025  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_rx_data_o[27] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_data_reg[27] ),
+	.X(\soc_top/n_1548 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125026  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_rx_data_o[26] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_data_reg[26] ),
+	.X(\soc_top/n_1547 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125027  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_rx_data_o[25] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_data_reg[25] ),
+	.X(\soc_top/n_1546 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125028  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_rx_data_o[24] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_data_reg[24] ),
+	.X(\soc_top/n_1545 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125029  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_rx_data_o[23] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_data_reg[23] ),
+	.X(\soc_top/n_1544 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125030  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_rx_data_o[22] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_data_reg[22] ),
+	.X(\soc_top/n_1543 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125031  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_rx_data_o[21] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_data_reg[21] ),
+	.X(\soc_top/n_1542 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125032  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_rx_data_o[20] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_data_reg[20] ),
+	.X(\soc_top/n_1541 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125033  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_rx_data_o[19] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_data_reg[19] ),
+	.X(\soc_top/n_1540 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125034  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_rx_data_o[18] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_data_reg[18] ),
+	.X(\soc_top/n_1539 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125035  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_rx_data_o[17] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_data_reg[17] ),
+	.X(\soc_top/n_1538 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125036  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_rx_data_o[16] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_data_reg[16] ),
+	.X(\soc_top/n_1537 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125037  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_rx_data_o[15] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_data_reg[15] ),
+	.X(\soc_top/n_1536 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125038  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_rx_data_o[14] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_data_reg[14] ),
+	.X(\soc_top/n_1535 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125039  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_rx_data_o[13] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_data_reg[13] ),
+	.X(\soc_top/n_1534 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125040  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_rx_data_o[12] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_data_reg[12] ),
+	.X(\soc_top/n_1533 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125041  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_rx_data_o[11] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_data_reg[11] ),
+	.X(\soc_top/n_1532 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125042  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_rx_data_o[10] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_data_reg[10] ),
+	.X(\soc_top/n_1531 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125043  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_rx_data_o[9] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_data_reg[9] ),
+	.X(\soc_top/n_1530 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125044  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_rx_data_o[8] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_data_reg[8] ),
+	.X(\soc_top/n_1529 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125045  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_rx_data_o[7] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_data_reg[7] ),
+	.X(\soc_top/n_1528 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125046  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_rx_data_o[6] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_data_reg[6] ),
+	.X(\soc_top/n_1527 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125047  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_rx_data_o[5] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_data_reg[5] ),
+	.X(\soc_top/n_1526 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125048  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_rx_data_o[4] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_data_reg[4] ),
+	.X(\soc_top/n_1525 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125049  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_rx_data_o[3] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_data_reg[3] ),
+	.X(\soc_top/n_1524 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125050  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_rx_data_o[2] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_data_reg[2] ),
+	.X(\soc_top/n_1523 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125051  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_rx_data_o[1] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_data_reg[1] ),
+	.X(\soc_top/n_1522 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125052  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_rx_data_o[0] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_data_reg[0] ),
+	.X(\soc_top/n_1521 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125053  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_addr_o[5] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_addr_reg[7] ),
+	.X(\soc_top/n_1520 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125054  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_addr_o[4] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_addr_reg[6] ),
+	.X(\soc_top/n_1519 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125055  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_addr_o[3] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_addr_reg[5] ),
+	.X(\soc_top/n_1518 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125056  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_addr_o[2] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_addr_reg[4] ),
+	.X(\soc_top/n_1517 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125057  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_addr_o[1] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_addr_reg[3] ),
+	.X(\soc_top/n_1516 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125058  (
+	.A1(\soc_top/n_380 ),
+	.A2(\soc_top/puart_io_addr_o[0] ),
+	.B1(\soc_top/n_235 ),
+	.B2(\soc_top/rx_addr_reg[2] ),
+	.X(\soc_top/n_1515 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125059  (
+	.A1(\soc_top/FE_OFN248_n_6445 ),
+	.A2(\soc_top/core_mem_reg_pc[31] ),
+	.B1(\soc_top/FE_OFN262_n_407 ),
+	.B2(\soc_top/core_ex_reg_pc[31] ),
+	.Y(\soc_top/n_1514 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125060  (
+	.A1(\soc_top/FE_OFN249_n_6445 ),
+	.A2(\soc_top/core_mem_reg_pc[30] ),
+	.B1(\soc_top/FE_OFN262_n_407 ),
+	.B2(\soc_top/core_ex_reg_pc[30] ),
+	.Y(\soc_top/n_1513 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125061  (
+	.A1(\soc_top/FE_OFN249_n_6445 ),
+	.A2(\soc_top/core_mem_reg_pc[29] ),
+	.B1(\soc_top/FE_OFN262_n_407 ),
+	.B2(\soc_top/core_ex_reg_pc[29] ),
+	.Y(\soc_top/n_1512 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125062  (
+	.A1(\soc_top/FE_OFN249_n_6445 ),
+	.A2(\soc_top/core_mem_reg_pc[28] ),
+	.B1(\soc_top/FE_OFN262_n_407 ),
+	.B2(\soc_top/core_ex_reg_pc[28] ),
+	.Y(\soc_top/n_1511 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125063  (
+	.A1(\soc_top/FE_OFN249_n_6445 ),
+	.A2(\soc_top/core_mem_reg_pc[27] ),
+	.B1(\soc_top/FE_OFN262_n_407 ),
+	.B2(\soc_top/core_ex_reg_pc[27] ),
+	.Y(\soc_top/n_1510 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125064  (
+	.A1(\soc_top/FE_OFN249_n_6445 ),
+	.A2(\soc_top/core_mem_reg_pc[26] ),
+	.B1(\soc_top/FE_OFN262_n_407 ),
+	.B2(\soc_top/core_ex_reg_pc[26] ),
+	.Y(\soc_top/n_1509 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125065  (
+	.A1(\soc_top/FE_OFN249_n_6445 ),
+	.A2(\soc_top/core_mem_reg_pc[25] ),
+	.B1(\soc_top/FE_OFN262_n_407 ),
+	.B2(\soc_top/core_ex_reg_pc[25] ),
+	.Y(\soc_top/n_1508 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125066  (
+	.A1(\soc_top/FE_OFN248_n_6445 ),
+	.A2(\soc_top/core_mem_reg_pc[24] ),
+	.B1(\soc_top/FE_OFN262_n_407 ),
+	.B2(\soc_top/core_ex_reg_pc[24] ),
+	.Y(\soc_top/n_1507 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125067  (
+	.A1(\soc_top/FE_OFN248_n_6445 ),
+	.A2(\soc_top/core_mem_reg_pc[23] ),
+	.B1(\soc_top/FE_OFN262_n_407 ),
+	.B2(\soc_top/core_ex_reg_pc[23] ),
+	.Y(\soc_top/n_1506 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125068  (
+	.A1(\soc_top/FE_OFN248_n_6445 ),
+	.A2(\soc_top/core_mem_reg_pc[22] ),
+	.B1(\soc_top/n_407 ),
+	.B2(\soc_top/core_ex_reg_pc[22] ),
+	.Y(\soc_top/n_1505 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125069  (
+	.A1(\soc_top/FE_OFN248_n_6445 ),
+	.A2(\soc_top/core_mem_reg_pc[21] ),
+	.B1(\soc_top/FE_OFN262_n_407 ),
+	.B2(\soc_top/core_ex_reg_pc[21] ),
+	.Y(\soc_top/n_1504 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125070  (
+	.A1(\soc_top/FE_OFN248_n_6445 ),
+	.A2(\soc_top/core_mem_reg_pc[20] ),
+	.B1(\soc_top/FE_OFN262_n_407 ),
+	.B2(\soc_top/core_ex_reg_pc[20] ),
+	.Y(\soc_top/n_1503 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125071  (
+	.A1(\soc_top/FE_OFN248_n_6445 ),
+	.A2(\soc_top/core_mem_reg_pc[19] ),
+	.B1(\soc_top/n_407 ),
+	.B2(\soc_top/core_ex_reg_pc[19] ),
+	.Y(\soc_top/n_1502 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125072  (
+	.A1(\soc_top/FE_OFN248_n_6445 ),
+	.A2(\soc_top/core_mem_reg_pc[18] ),
+	.B1(\soc_top/n_407 ),
+	.B2(\soc_top/core_ex_reg_pc[18] ),
+	.Y(\soc_top/n_1501 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125073  (
+	.A1(\soc_top/FE_OFN248_n_6445 ),
+	.A2(\soc_top/core_mem_reg_pc[17] ),
+	.B1(\soc_top/n_407 ),
+	.B2(\soc_top/core_ex_reg_pc[17] ),
+	.Y(\soc_top/n_1500 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125074  (
+	.A1(\soc_top/FE_OFN248_n_6445 ),
+	.A2(\soc_top/core_mem_reg_pc[16] ),
+	.B1(\soc_top/FE_OFN262_n_407 ),
+	.B2(\soc_top/core_ex_reg_pc[16] ),
+	.Y(\soc_top/n_1499 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125075  (
+	.A1(\soc_top/FE_OFN248_n_6445 ),
+	.A2(\soc_top/core_mem_reg_pc[15] ),
+	.B1(\soc_top/FE_OFN262_n_407 ),
+	.B2(\soc_top/core_ex_reg_pc[15] ),
+	.Y(\soc_top/n_1498 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125076  (
+	.A1(\soc_top/FE_OFN248_n_6445 ),
+	.A2(\soc_top/core_mem_reg_pc[14] ),
+	.B1(\soc_top/n_407 ),
+	.B2(\soc_top/core_ex_reg_pc[14] ),
+	.Y(\soc_top/n_1497 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125077  (
+	.A1(\soc_top/FE_OFN248_n_6445 ),
+	.A2(\soc_top/core_mem_reg_pc[13] ),
+	.B1(\soc_top/FE_OFN262_n_407 ),
+	.B2(\soc_top/core_ex_reg_pc[13] ),
+	.Y(\soc_top/n_1496 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125078  (
+	.A1(\soc_top/FE_OFN248_n_6445 ),
+	.A2(\soc_top/core_mem_reg_pc[12] ),
+	.B1(\soc_top/FE_OFN262_n_407 ),
+	.B2(\soc_top/core_ex_reg_pc[12] ),
+	.Y(\soc_top/n_1495 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125079  (
+	.A1(\soc_top/FE_OFN248_n_6445 ),
+	.A2(\soc_top/core_mem_reg_pc[11] ),
+	.B1(\soc_top/FE_OFN262_n_407 ),
+	.B2(\soc_top/core_ex_reg_pc[11] ),
+	.Y(\soc_top/n_1494 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125080  (
+	.A1(\soc_top/FE_OFN248_n_6445 ),
+	.A2(\soc_top/core_mem_reg_pc[10] ),
+	.B1(\soc_top/FE_OFN262_n_407 ),
+	.B2(\soc_top/core_ex_reg_pc[10] ),
+	.Y(\soc_top/n_1493 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125081  (
+	.A1(\soc_top/FE_OFN248_n_6445 ),
+	.A2(\soc_top/core_mem_reg_pc[9] ),
+	.B1(\soc_top/n_407 ),
+	.B2(\soc_top/core_ex_reg_pc[9] ),
+	.Y(\soc_top/n_1492 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125082  (
+	.A1(\soc_top/FE_OFN248_n_6445 ),
+	.A2(\soc_top/core_mem_reg_pc[8] ),
+	.B1(\soc_top/FE_OFN262_n_407 ),
+	.B2(\soc_top/core_ex_reg_pc[8] ),
+	.Y(\soc_top/n_1491 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125083  (
+	.A1(\soc_top/FE_OFN248_n_6445 ),
+	.A2(\soc_top/core_mem_reg_pc[7] ),
+	.B1(\soc_top/FE_OFN262_n_407 ),
+	.B2(\soc_top/core_ex_reg_pc[7] ),
+	.Y(\soc_top/n_1490 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125084  (
+	.A1(\soc_top/FE_OFN248_n_6445 ),
+	.A2(\soc_top/core_mem_reg_pc[6] ),
+	.B1(\soc_top/n_407 ),
+	.B2(\soc_top/core_ex_reg_pc[6] ),
+	.Y(\soc_top/n_1489 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125085  (
+	.A1(\soc_top/FE_OFN248_n_6445 ),
+	.A2(\soc_top/core_mem_reg_pc[5] ),
+	.B1(\soc_top/FE_OFN262_n_407 ),
+	.B2(\soc_top/core_ex_reg_pc[5] ),
+	.Y(\soc_top/n_1488 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125086  (
+	.A1(\soc_top/FE_OFN248_n_6445 ),
+	.A2(\soc_top/core_mem_reg_pc[4] ),
+	.B1(\soc_top/FE_OFN262_n_407 ),
+	.B2(\soc_top/core_ex_reg_pc[4] ),
+	.Y(\soc_top/n_1487 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125087  (
+	.A1(\soc_top/FE_OFN248_n_6445 ),
+	.A2(\soc_top/core_mem_reg_pc[3] ),
+	.B1(\soc_top/n_407 ),
+	.B2(\soc_top/core_ex_reg_pc[3] ),
+	.Y(\soc_top/n_1486 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125088  (
+	.A1(\soc_top/FE_OFN248_n_6445 ),
+	.A2(\soc_top/core_mem_reg_pc[2] ),
+	.B1(\soc_top/n_407 ),
+	.B2(\soc_top/core_ex_reg_pc[2] ),
+	.Y(\soc_top/n_1485 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125089  (
+	.A1(\soc_top/FE_OFN248_n_6445 ),
+	.A2(\soc_top/core_mem_reg_pc[1] ),
+	.B1(\soc_top/FE_OFN262_n_407 ),
+	.B2(\soc_top/core_ex_reg_pc[1] ),
+	.Y(\soc_top/n_1484 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125090  (
+	.A1(\soc_top/FE_OFN248_n_6445 ),
+	.A2(\soc_top/core_mem_reg_pc[0] ),
+	.B1(\soc_top/FE_OFN262_n_407 ),
+	.B2(\soc_top/core_ex_reg_pc[0] ),
+	.Y(\soc_top/n_1483 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125091  (
+	.A1(\soc_top/FE_OFN249_n_6445 ),
+	.A2(\soc_top/core_mem_reg_ins[11] ),
+	.B1(\soc_top/n_407 ),
+	.B2(\soc_top/core_ex_reg_ins[11] ),
+	.Y(\soc_top/n_1482 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125092  (
+	.A1(\soc_top/FE_OFN249_n_6445 ),
+	.A2(\soc_top/core_mem_reg_ins[10] ),
+	.B1(\soc_top/n_407 ),
+	.B2(\soc_top/core_ex_reg_ins[10] ),
+	.Y(\soc_top/n_1481 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125093  (
+	.A1(\soc_top/FE_OFN249_n_6445 ),
+	.A2(\soc_top/core_mem_reg_ins[9] ),
+	.B1(\soc_top/n_407 ),
+	.B2(\soc_top/FE_OFN6740_core_ex_reg_ins_9 ),
+	.Y(\soc_top/n_1480 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125094  (
+	.A1(\soc_top/FE_OFN249_n_6445 ),
+	.A2(\soc_top/core_mem_reg_ins[8] ),
+	.B1(\soc_top/n_407 ),
+	.B2(\soc_top/core_ex_reg_ins[8] ),
+	.Y(\soc_top/n_1479 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125095  (
+	.A1(\soc_top/FE_OFN249_n_6445 ),
+	.A2(\soc_top/core_mem_reg_ins[7] ),
+	.B1(\soc_top/n_407 ),
+	.B2(\soc_top/core_ex_reg_ins[7] ),
+	.Y(\soc_top/n_1478 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125096  (
+	.A1(\soc_top/FE_OFN249_n_6445 ),
+	.A2(\soc_top/core_ex_reg_ctl_regWrite ),
+	.B1(\soc_top/n_407 ),
+	.B2(\soc_top/core_id_reg_ctl_regWrite ),
+	.Y(\soc_top/n_1477 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125097  (
+	.A1(\soc_top/FE_OFN249_n_6445 ),
+	.A2(\soc_top/core_io_dmemReq_bits_isWrite ),
+	.B1(\soc_top/FE_OFN262_n_407 ),
+	.B2(\soc_top/core_id_reg_ctl_memWrite ),
+	.Y(\soc_top/n_1476 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125098  (
+	.A1(\soc_top/FE_OFN249_n_6445 ),
+	.A2(\soc_top/core_ex_reg_ctl_memToReg[1] ),
+	.B1(\soc_top/FE_OFN262_n_407 ),
+	.B2(\soc_top/core_id_reg_ctl_memToReg[1] ),
+	.Y(\soc_top/n_1475 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125099  (
+	.A1(\soc_top/FE_OFN249_n_6445 ),
+	.A2(\soc_top/core_ex_reg_ctl_memRead ),
+	.B1(\soc_top/n_407 ),
+	.B2(\soc_top/core_id_reg_ctl_memRead ),
+	.Y(\soc_top/n_1474 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125100  (
+	.A1(\soc_top/n_409 ),
+	.A2(\soc_top/core_io_dmemReq_bits_isWrite ),
+	.B1(\soc_top/n_410 ),
+	.B2(\soc_top/gen_dmem_host_weReg ),
+	.Y(\soc_top/n_1473 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 \soc_top/g125101  (
+	.A1(\soc_top/gen_dmem_host_cycReg ),
+	.A2(\soc_top/n_409 ),
+	.B1(\soc_top/FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_1472 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 \soc_top/g125102  (
+	.A1(\soc_top/gen_dmem_host_stbReg ),
+	.A2(\soc_top/n_409 ),
+	.B1(\soc_top/FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_1471 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/g125103  (
+	.A1(\soc_top/puart_io_done ),
+	.A2(\soc_top/n_391 ),
+	.B1(\soc_top/puart_io_valid ),
+	.B2(\soc_top/n_5599 ),
+	.Y(\soc_top/n_1470 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 \soc_top/g125104  (
+	.A1(\soc_top/gen_imem_host_stbReg ),
+	.A2(\soc_top/FE_OFN89_n_382 ),
+	.B1(\soc_top/FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_1469 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 \soc_top/g125105  (
+	.A1(\soc_top/gen_imem_host_selReg[0] ),
+	.A2(\soc_top/FE_OFN89_n_382 ),
+	.B1(\soc_top/FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_1468 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 \soc_top/g125106  (
+	.A1(\soc_top/gen_imem_host_cycReg ),
+	.A2(\soc_top/FE_OFN89_n_382 ),
+	.B1(\soc_top/FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_1467 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125107  (
+	.A(\soc_top/FE_OFN9_wb_rst_i ),
+	.B(\soc_top/n_1358 ),
+	.Y(\soc_top/n_1466 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125108  (
+	.A(\soc_top/FE_OFN9_wb_rst_i ),
+	.B(\soc_top/n_1333 ),
+	.Y(\soc_top/n_1465 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125109  (
+	.A(\soc_top/FE_OFN1_wb_rst_i ),
+	.B(\soc_top/n_1332 ),
+	.Y(\soc_top/n_1464 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125110  (
+	.A(\soc_top/FE_OFN1_wb_rst_i ),
+	.B(\soc_top/n_1334 ),
+	.Y(\soc_top/n_1463 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125111  (
+	.A(\soc_top/FE_OFN9_wb_rst_i ),
+	.B(\soc_top/n_1335 ),
+	.Y(\soc_top/n_1462 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125112  (
+	.A(\soc_top/FE_OFN9_wb_rst_i ),
+	.B(\soc_top/n_1336 ),
+	.Y(\soc_top/n_1461 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125113  (
+	.A(\soc_top/FE_OFN9_wb_rst_i ),
+	.B(\soc_top/n_1337 ),
+	.Y(\soc_top/n_1460 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125114  (
+	.A(\soc_top/FE_OFN9_wb_rst_i ),
+	.B(\soc_top/n_1338 ),
+	.Y(\soc_top/n_1459 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125115  (
+	.A(\soc_top/FE_OFN9_wb_rst_i ),
+	.B(\soc_top/n_1339 ),
+	.Y(\soc_top/n_1458 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125116  (
+	.A(\soc_top/FE_OFN9_wb_rst_i ),
+	.B(\soc_top/n_1340 ),
+	.Y(\soc_top/n_1457 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125117  (
+	.A(\soc_top/FE_OFN9_wb_rst_i ),
+	.B(\soc_top/n_1359 ),
+	.Y(\soc_top/n_1456 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125118  (
+	.A(\soc_top/FE_OFN9_wb_rst_i ),
+	.B(\soc_top/n_1341 ),
+	.Y(\soc_top/n_1455 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125119  (
+	.A(\soc_top/FE_OFN9_wb_rst_i ),
+	.B(\soc_top/n_1342 ),
+	.Y(\soc_top/n_1454 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125120  (
+	.A(\soc_top/FE_OFN9_wb_rst_i ),
+	.B(\soc_top/n_1343 ),
+	.Y(\soc_top/n_1453 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125121  (
+	.A(\soc_top/FE_OFN9_wb_rst_i ),
+	.B(\soc_top/n_1331 ),
+	.Y(\soc_top/n_1452 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125122  (
+	.A(\soc_top/FE_OFN9_wb_rst_i ),
+	.B(\soc_top/n_1344 ),
+	.Y(\soc_top/n_1451 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125123  (
+	.A(\soc_top/FE_OFN9_wb_rst_i ),
+	.B(\soc_top/n_1345 ),
+	.Y(\soc_top/n_1450 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125124  (
+	.A(\soc_top/FE_OFN9_wb_rst_i ),
+	.B(\soc_top/n_1346 ),
+	.Y(\soc_top/n_1449 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125125  (
+	.A(\soc_top/FE_OFN9_wb_rst_i ),
+	.B(\soc_top/n_1330 ),
+	.Y(\soc_top/n_1448 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125126  (
+	.A(\soc_top/FE_OFN9_wb_rst_i ),
+	.B(\soc_top/n_1347 ),
+	.Y(\soc_top/n_1447 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125127  (
+	.A(\soc_top/FE_OFN9_wb_rst_i ),
+	.B(\soc_top/n_1348 ),
+	.Y(\soc_top/n_1446 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125128  (
+	.A(\soc_top/FE_OFN9_wb_rst_i ),
+	.B(\soc_top/n_1349 ),
+	.Y(\soc_top/n_1445 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125129  (
+	.A(\soc_top/FE_OFN9_wb_rst_i ),
+	.B(\soc_top/n_1350 ),
+	.Y(\soc_top/n_1444 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125130  (
+	.A(\soc_top/FE_OFN9_wb_rst_i ),
+	.B(\soc_top/n_1351 ),
+	.Y(\soc_top/n_1443 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125131  (
+	.A(\soc_top/FE_OFN9_wb_rst_i ),
+	.B(\soc_top/n_1352 ),
+	.Y(\soc_top/n_1442 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125132  (
+	.A(\soc_top/FE_OFN9_wb_rst_i ),
+	.B(\soc_top/n_1353 ),
+	.Y(\soc_top/n_1441 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125133  (
+	.A(\soc_top/FE_OFN9_wb_rst_i ),
+	.B(\soc_top/n_1329 ),
+	.Y(\soc_top/n_1440 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125134  (
+	.A(\soc_top/FE_OFN9_wb_rst_i ),
+	.B(\soc_top/n_1354 ),
+	.Y(\soc_top/n_1439 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125135  (
+	.A(\soc_top/FE_OFN9_wb_rst_i ),
+	.B(\soc_top/n_1355 ),
+	.Y(\soc_top/n_1438 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125136  (
+	.A(\soc_top/FE_OFN9_wb_rst_i ),
+	.B(\soc_top/n_1356 ),
+	.Y(\soc_top/n_1437 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125137  (
+	.A(\soc_top/FE_OFN9_wb_rst_i ),
+	.B(\soc_top/n_1328 ),
+	.Y(\soc_top/n_1436 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125138  (
+	.A(\soc_top/FE_OFN9_wb_rst_i ),
+	.B(\soc_top/n_1357 ),
+	.Y(\soc_top/n_1435 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g125139  (
+	.A(\soc_top/n_1362 ),
+	.B(\soc_top/n_193 ),
+	.Y(\soc_top/n_1434 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 \soc_top/g125140  (
+	.A(\soc_top/n_1428 ),
+	.B(\soc_top/n_293 ),
+	.X(\soc_top/n_1433 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g125141  (
+	.A(\soc_top/n_1415 ),
+	.B(\soc_top/gen_dmem_host_selReg[0] ),
+	.Y(\soc_top/n_1432 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125456  (
+	.A(\soc_top/FE_OFN7_wb_rst_i ),
+	.B(\soc_top/n_1431 ),
+	.Y(\soc_top/n_1569 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g125457  (
+	.A(\soc_top/n_1430 ),
+	.B(\soc_top/n_194 ),
+	.Y(\soc_top/n_1567 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 \soc_top/g125467  (
+	.A1(\soc_top/n_71 ),
+	.A2(\soc_top/puart_io_done ),
+	.B1(\soc_top/n_320 ),
+	.C1(\soc_top/n_1414 ),
+	.Y(\soc_top/n_1566 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g125470  (
+	.A(\soc_top/FE_OFN7_wb_rst_i ),
+	.B(\soc_top/n_57 ),
+	.C(\soc_top/n_383 ),
+	.Y(\soc_top/n_1564 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g125472  (
+	.A(\soc_top/FE_OFN7_wb_rst_i ),
+	.B(\soc_top/gen_dmem_host_adrReg[3] ),
+	.C(\soc_top/n_383 ),
+	.Y(\soc_top/n_1563 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g125544  (
+	.A(\soc_top/n_1430 ),
+	.B(\soc_top/FE_OFN82_core_io_dmemReq_bits_addrRequest_1 ),
+	.Y(\soc_top/n_1562 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125545  (
+	.A(\soc_top/FE_OFN17_wb_rst_i ),
+	.B(\soc_top/n_1429 ),
+	.Y(\soc_top/n_1561 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g125549  (
+	.A1(\soc_top/n_414 ),
+	.A2(\soc_top/n_277 ),
+	.B1(\soc_top/n_384 ),
+	.Y(\soc_top/n_1559 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g125550  (
+	.A(\soc_top/n_1414 ),
+	.B(\soc_top/n_413 ),
+	.Y(\soc_top/n_1558 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 \soc_top/g125551  (
+	.A(\soc_top/n_195 ),
+	.B(\soc_top/n_1414 ),
+	.X(\soc_top/n_1556 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 \soc_top/g125552  (
+	.A1(\soc_top/n_188 ),
+	.A2(\soc_top/n_383 ),
+	.B1(\soc_top/FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_1555 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g125553  (
+	.A(\soc_top/n_1429 ),
+	.B(\soc_top/FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_1554 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g125554  (
+	.A(\soc_top/n_1429 ),
+	.Y(\soc_top/n_1428 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/g125555  (
+	.A(\soc_top/n_1427 ),
+	.Y(\soc_top/n_1426 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g125557  (
+	.A(\soc_top/n_1414 ),
+	.Y(\soc_top/n_1413 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125559  (
+	.A1(\soc_top/n_175 ),
+	.A2(\soc_top/FE_OFN136_core_InstructionDecode_io_writeData_14 ),
+	.B1(\soc_top/n_239 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_27[14] ),
+	.X(\soc_top/n_1412 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125560  (
+	.A1(\soc_top/n_175 ),
+	.A2(\soc_top/FE_OFN137_core_InstructionDecode_io_writeData_13 ),
+	.B1(\soc_top/n_239 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_27[13] ),
+	.X(\soc_top/n_1411 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125561  (
+	.A1(\soc_top/n_238 ),
+	.A2(\soc_top/FE_OFN5603_n_6544 ),
+	.B1(\soc_top/n_265 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[2] ),
+	.X(\soc_top/n_1410 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125562  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[19] ),
+	.A2(\soc_top/n_218 ),
+	.B1(io_in[25]),
+	.Y(\soc_top/n_1409 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125563  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[12] ),
+	.A2(\soc_top/n_166 ),
+	.B1(io_in[18]),
+	.Y(\soc_top/n_1408 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125564  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[14] ),
+	.A2(\soc_top/n_150 ),
+	.B1(io_in[20]),
+	.Y(\soc_top/n_1407 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125565  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[13] ),
+	.A2(\soc_top/n_216 ),
+	.B1(io_in[19]),
+	.Y(\soc_top/n_1406 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125566  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[15] ),
+	.A2(\soc_top/n_201 ),
+	.B1(io_in[21]),
+	.Y(\soc_top/n_1405 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125567  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[25] ),
+	.A2(\soc_top/n_211 ),
+	.B1(io_in[31]),
+	.Y(\soc_top/n_1404 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125568  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[29] ),
+	.A2(\soc_top/n_206 ),
+	.B1(io_in[35]),
+	.Y(\soc_top/n_1403 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125569  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[27] ),
+	.A2(\soc_top/n_217 ),
+	.B1(io_in[33]),
+	.Y(\soc_top/n_1402 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125570  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[31] ),
+	.A2(\soc_top/n_155 ),
+	.B1(io_in[37]),
+	.Y(\soc_top/n_1401 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125571  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[30] ),
+	.A2(\soc_top/n_209 ),
+	.B1(io_in[36]),
+	.Y(\soc_top/n_1400 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125572  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[26] ),
+	.A2(\soc_top/n_142 ),
+	.B1(io_in[32]),
+	.Y(\soc_top/n_1399 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125573  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[24] ),
+	.A2(\soc_top/n_231 ),
+	.B1(io_in[30]),
+	.Y(\soc_top/n_1398 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125574  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[17] ),
+	.A2(\soc_top/n_208 ),
+	.B1(io_in[23]),
+	.Y(\soc_top/n_1397 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125575  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[22] ),
+	.A2(\soc_top/n_153 ),
+	.B1(io_in[28]),
+	.Y(\soc_top/n_1396 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125576  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[23] ),
+	.A2(\soc_top/n_202 ),
+	.B1(io_in[29]),
+	.Y(\soc_top/n_1395 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125577  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[18] ),
+	.A2(\soc_top/n_152 ),
+	.B1(io_in[24]),
+	.Y(\soc_top/n_1394 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125578  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[28] ),
+	.A2(\soc_top/n_210 ),
+	.B1(io_in[34]),
+	.Y(\soc_top/n_1393 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125579  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[16] ),
+	.A2(\soc_top/n_203 ),
+	.B1(io_in[22]),
+	.Y(\soc_top/n_1392 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125580  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[5] ),
+	.A2(\soc_top/n_213 ),
+	.B1(io_in[11]),
+	.Y(\soc_top/n_1391 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125581  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[8] ),
+	.A2(\soc_top/n_204 ),
+	.B1(io_in[14]),
+	.Y(\soc_top/n_1390 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125582  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[3] ),
+	.A2(\soc_top/n_123 ),
+	.B1(io_in[9]),
+	.Y(\soc_top/n_1389 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125583  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[10] ),
+	.A2(\soc_top/n_212 ),
+	.B1(io_in[16]),
+	.Y(\soc_top/n_1388 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125584  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[9] ),
+	.A2(\soc_top/n_219 ),
+	.B1(io_in[15]),
+	.Y(\soc_top/n_1387 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125585  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[11] ),
+	.A2(\soc_top/n_151 ),
+	.B1(io_in[17]),
+	.Y(\soc_top/n_1386 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125586  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[2] ),
+	.A2(\soc_top/n_214 ),
+	.B1(io_in[8]),
+	.Y(\soc_top/n_1385 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125587  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[20] ),
+	.A2(\soc_top/n_147 ),
+	.B1(io_in[26]),
+	.Y(\soc_top/n_1384 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125588  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[1] ),
+	.A2(\soc_top/n_230 ),
+	.B1(io_in[7]),
+	.Y(\soc_top/n_1383 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125589  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[7] ),
+	.A2(\soc_top/n_143 ),
+	.B1(io_in[13]),
+	.Y(\soc_top/n_1382 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125590  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[4] ),
+	.A2(\soc_top/n_205 ),
+	.B1(io_in[10]),
+	.Y(\soc_top/n_1381 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125591  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[6] ),
+	.A2(\soc_top/n_107 ),
+	.B1(io_in[12]),
+	.Y(\soc_top/n_1380 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125592  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[0] ),
+	.A2(\soc_top/n_233 ),
+	.B1(io_in[6]),
+	.Y(\soc_top/n_1379 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125593  (
+	.A1(\soc_top/n_175 ),
+	.A2(\soc_top/FE_OFN138_core_InstructionDecode_io_writeData_12 ),
+	.B1(\soc_top/n_239 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_27[12] ),
+	.X(\soc_top/n_1378 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125594  (
+	.A1(\soc_top/n_175 ),
+	.A2(\soc_top/FE_OFN139_core_InstructionDecode_io_writeData_11 ),
+	.B1(\soc_top/n_239 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_27[11] ),
+	.X(\soc_top/n_1377 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125595  (
+	.A1(\soc_top/n_238 ),
+	.A2(\soc_top/n_2728 ),
+	.B1(\soc_top/n_265 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[1] ),
+	.X(\soc_top/n_1376 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125596  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlHigh_q[21] ),
+	.A2(\soc_top/n_124 ),
+	.B1(io_in[27]),
+	.Y(\soc_top/n_1375 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125597  (
+	.A1(\soc_top/n_175 ),
+	.A2(\soc_top/FE_OFN140_core_InstructionDecode_io_writeData_10 ),
+	.B1(\soc_top/n_239 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_27[10] ),
+	.X(\soc_top/n_1374 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125598  (
+	.A1(\soc_top/n_175 ),
+	.A2(\soc_top/FE_OFN141_core_InstructionDecode_io_writeData_9 ),
+	.B1(\soc_top/n_239 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_27[9] ),
+	.X(\soc_top/n_1373 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125599  (
+	.A1(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.A2(\soc_top/FE_OFN187_n_273 ),
+	.B1(\soc_top/n_264 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[31] ),
+	.X(\soc_top/n_1372 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125600  (
+	.A1(\soc_top/n_175 ),
+	.A2(\soc_top/FE_OFN142_core_InstructionDecode_io_writeData_8 ),
+	.B1(\soc_top/n_239 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_27[8] ),
+	.X(\soc_top/n_1371 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125601  (
+	.A1(\soc_top/FE_OFN168_n_175 ),
+	.A2(\soc_top/FE_OFN72_core_InstructionDecode_io_writeData_7 ),
+	.B1(\soc_top/n_239 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_27[7] ),
+	.X(\soc_top/n_1370 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g125602  (
+	.A(\soc_top/n_388 ),
+	.B(\soc_top/n_293 ),
+	.Y(\soc_top/n_1369 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125603  (
+	.A1(\soc_top/puart_io_done ),
+	.A2(\soc_top/n_141 ),
+	.B1(\soc_top/n_292 ),
+	.Y(\soc_top/n_1368 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 \soc_top/g125604  (
+	.A1(\soc_top/gen_imem_host_io_rspOut_valid ),
+	.A2(\soc_top/n_167 ),
+	.B1(\soc_top/n_292 ),
+	.X(\soc_top/n_1367 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g125605  (
+	.A1(\soc_top/gen_imem_host_startWBTransaction ),
+	.A2(\soc_top/n_295 ),
+	.B1(\soc_top/FE_DBTN13_wb_rst_i ),
+	.Y(\soc_top/n_1366 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125606  (
+	.A1(\soc_top/FE_OFN168_n_175 ),
+	.A2(\soc_top/FE_OFN73_core_InstructionDecode_io_writeData_6 ),
+	.B1(\soc_top/n_239 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_27[6] ),
+	.X(\soc_top/n_1365 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125607  (
+	.A1(\soc_top/n_175 ),
+	.A2(\soc_top/FE_OFN5608_n_6546 ),
+	.B1(\soc_top/n_239 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_27[5] ),
+	.X(\soc_top/n_1364 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/g125608  (
+	.A1(\soc_top/n_6446 ),
+	.A2(\soc_top/state[1] ),
+	.B1(\soc_top/n_380 ),
+	.X(\soc_top/n_1363 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \soc_top/g125609  (
+	.A(\soc_top/core_io_dmemReq_bits_addrRequest[9] ),
+	.B(\soc_top/core_io_dmemReq_bits_addrRequest[8] ),
+	.C(\soc_top/core_io_dmemReq_bits_addrRequest[11] ),
+	.D(\soc_top/core_io_dmemReq_bits_addrRequest[10] ),
+	.Y(\soc_top/n_1362 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125610  (
+	.A1(\soc_top/FE_OFN168_n_175 ),
+	.A2(\soc_top/FE_OFN5619_n_6545 ),
+	.B1(\soc_top/n_239 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_27[4] ),
+	.X(\soc_top/n_1361 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125611  (
+	.A1(\soc_top/n_238 ),
+	.A2(\soc_top/FE_OFN5620_core_InstructionDecode_io_writeData_0 ),
+	.B1(\soc_top/n_265 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[0] ),
+	.X(\soc_top/n_1360 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125612  (
+	.A1(\soc_top/n_167 ),
+	.A2(\soc_top/imem_io_rsp_bits_dataResponse[22] ),
+	.B1(\soc_top/FE_OFN261_n_168 ),
+	.B2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[22] ),
+	.Y(\soc_top/n_1359 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125613  (
+	.A1(\soc_top/n_167 ),
+	.A2(\soc_top/FE_OFN71_imem_io_rsp_bits_dataResponse_0 ),
+	.B1(\soc_top/FE_OFN261_n_168 ),
+	.B2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[0] ),
+	.Y(\soc_top/n_1358 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125614  (
+	.A1(\soc_top/n_167 ),
+	.A2(\soc_top/FE_OFN70_imem_io_rsp_bits_dataResponse_1 ),
+	.B1(\soc_top/FE_OFN261_n_168 ),
+	.B2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[1] ),
+	.Y(\soc_top/n_1357 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125615  (
+	.A1(\soc_top/n_167 ),
+	.A2(\soc_top/FE_OFN68_imem_io_rsp_bits_dataResponse_3 ),
+	.B1(\soc_top/n_168 ),
+	.B2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[3] ),
+	.Y(\soc_top/n_1356 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125616  (
+	.A1(\soc_top/n_167 ),
+	.A2(\soc_top/FE_OFN67_imem_io_rsp_bits_dataResponse_4 ),
+	.B1(\soc_top/FE_OFN261_n_168 ),
+	.B2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[4] ),
+	.Y(\soc_top/n_1355 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125617  (
+	.A1(\soc_top/n_167 ),
+	.A2(\soc_top/FE_OFN66_imem_io_rsp_bits_dataResponse_5 ),
+	.B1(\soc_top/FE_OFN261_n_168 ),
+	.B2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[5] ),
+	.Y(\soc_top/n_1354 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125618  (
+	.A1(\soc_top/n_167 ),
+	.A2(\soc_top/FE_OFN64_imem_io_rsp_bits_dataResponse_7 ),
+	.B1(\soc_top/FE_OFN261_n_168 ),
+	.B2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[7] ),
+	.Y(\soc_top/n_1353 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125619  (
+	.A1(\soc_top/n_167 ),
+	.A2(\soc_top/imem_io_rsp_bits_dataResponse[8] ),
+	.B1(\soc_top/FE_OFN261_n_168 ),
+	.B2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[8] ),
+	.Y(\soc_top/n_1352 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125620  (
+	.A1(\soc_top/n_167 ),
+	.A2(\soc_top/FE_OFN6695_imem_io_rsp_bits_dataResponse_9 ),
+	.B1(\soc_top/FE_OFN261_n_168 ),
+	.B2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[9] ),
+	.Y(\soc_top/n_1351 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125621  (
+	.A1(\soc_top/n_167 ),
+	.A2(\soc_top/imem_io_rsp_bits_dataResponse[10] ),
+	.B1(\soc_top/FE_OFN261_n_168 ),
+	.B2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[10] ),
+	.Y(\soc_top/n_1350 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125622  (
+	.A1(\soc_top/n_167 ),
+	.A2(\soc_top/imem_io_rsp_bits_dataResponse[11] ),
+	.B1(\soc_top/FE_OFN261_n_168 ),
+	.B2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[11] ),
+	.Y(\soc_top/n_1349 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125623  (
+	.A1(\soc_top/n_167 ),
+	.A2(\soc_top/FE_OFN63_imem_io_rsp_bits_dataResponse_12 ),
+	.B1(\soc_top/FE_OFN261_n_168 ),
+	.B2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[12] ),
+	.Y(\soc_top/n_1348 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125624  (
+	.A1(\soc_top/n_167 ),
+	.A2(\soc_top/imem_io_rsp_bits_dataResponse[13] ),
+	.B1(\soc_top/FE_OFN261_n_168 ),
+	.B2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[13] ),
+	.Y(\soc_top/n_1347 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125625  (
+	.A1(\soc_top/n_167 ),
+	.A2(\soc_top/imem_io_rsp_bits_dataResponse[15] ),
+	.B1(\soc_top/FE_OFN261_n_168 ),
+	.B2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[15] ),
+	.Y(\soc_top/n_1346 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125626  (
+	.A1(\soc_top/n_167 ),
+	.A2(\soc_top/imem_io_rsp_bits_dataResponse[16] ),
+	.B1(\soc_top/FE_OFN261_n_168 ),
+	.B2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[16] ),
+	.Y(\soc_top/n_1345 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125627  (
+	.A1(\soc_top/n_167 ),
+	.A2(\soc_top/imem_io_rsp_bits_dataResponse[17] ),
+	.B1(\soc_top/FE_OFN261_n_168 ),
+	.B2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[17] ),
+	.Y(\soc_top/n_1344 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125628  (
+	.A1(\soc_top/n_167 ),
+	.A2(\soc_top/imem_io_rsp_bits_dataResponse[19] ),
+	.B1(\soc_top/FE_OFN261_n_168 ),
+	.B2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[19] ),
+	.Y(\soc_top/n_1343 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125629  (
+	.A1(\soc_top/n_167 ),
+	.A2(\soc_top/imem_io_rsp_bits_dataResponse[20] ),
+	.B1(\soc_top/FE_OFN261_n_168 ),
+	.B2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[20] ),
+	.Y(\soc_top/n_1342 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125630  (
+	.A1(\soc_top/n_167 ),
+	.A2(\soc_top/imem_io_rsp_bits_dataResponse[21] ),
+	.B1(\soc_top/FE_OFN261_n_168 ),
+	.B2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[21] ),
+	.Y(\soc_top/n_1341 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125631  (
+	.A1(\soc_top/n_167 ),
+	.A2(\soc_top/imem_io_rsp_bits_dataResponse[23] ),
+	.B1(\soc_top/FE_OFN261_n_168 ),
+	.B2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[23] ),
+	.Y(\soc_top/n_1340 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125632  (
+	.A1(\soc_top/n_167 ),
+	.A2(\soc_top/imem_io_rsp_bits_dataResponse[24] ),
+	.B1(\soc_top/FE_OFN261_n_168 ),
+	.B2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[24] ),
+	.Y(\soc_top/n_1339 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125633  (
+	.A1(\soc_top/n_167 ),
+	.A2(\soc_top/imem_io_rsp_bits_dataResponse[25] ),
+	.B1(\soc_top/FE_OFN261_n_168 ),
+	.B2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[25] ),
+	.Y(\soc_top/n_1338 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125634  (
+	.A1(\soc_top/n_167 ),
+	.A2(\soc_top/imem_io_rsp_bits_dataResponse[26] ),
+	.B1(\soc_top/FE_OFN261_n_168 ),
+	.B2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[26] ),
+	.Y(\soc_top/n_1337 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125635  (
+	.A1(\soc_top/n_167 ),
+	.A2(\soc_top/imem_io_rsp_bits_dataResponse[27] ),
+	.B1(\soc_top/FE_OFN261_n_168 ),
+	.B2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[27] ),
+	.Y(\soc_top/n_1336 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125636  (
+	.A1(\soc_top/n_167 ),
+	.A2(\soc_top/imem_io_rsp_bits_dataResponse[28] ),
+	.B1(\soc_top/FE_OFN261_n_168 ),
+	.B2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[28] ),
+	.Y(\soc_top/n_1335 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125637  (
+	.A1(\soc_top/n_167 ),
+	.A2(\soc_top/imem_io_rsp_bits_dataResponse[29] ),
+	.B1(\soc_top/FE_OFN261_n_168 ),
+	.B2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[29] ),
+	.Y(\soc_top/n_1334 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125638  (
+	.A1(\soc_top/n_167 ),
+	.A2(\soc_top/imem_io_rsp_bits_dataResponse[31] ),
+	.B1(\soc_top/FE_OFN261_n_168 ),
+	.B2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[31] ),
+	.Y(\soc_top/n_1333 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125639  (
+	.A1(\soc_top/n_167 ),
+	.A2(\soc_top/imem_io_rsp_bits_dataResponse[30] ),
+	.B1(\soc_top/FE_OFN261_n_168 ),
+	.B2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[30] ),
+	.Y(\soc_top/n_1332 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125640  (
+	.A1(\soc_top/n_167 ),
+	.A2(\soc_top/imem_io_rsp_bits_dataResponse[18] ),
+	.B1(\soc_top/FE_OFN261_n_168 ),
+	.B2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[18] ),
+	.Y(\soc_top/n_1331 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125641  (
+	.A1(\soc_top/n_167 ),
+	.A2(\soc_top/FE_OFN6762_imem_io_rsp_bits_dataResponse_14 ),
+	.B1(\soc_top/FE_OFN261_n_168 ),
+	.B2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[14] ),
+	.Y(\soc_top/n_1330 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125642  (
+	.A1(\soc_top/n_167 ),
+	.A2(\soc_top/FE_OFN65_imem_io_rsp_bits_dataResponse_6 ),
+	.B1(\soc_top/FE_OFN261_n_168 ),
+	.B2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[6] ),
+	.Y(\soc_top/n_1329 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/g125643  (
+	.A1(\soc_top/n_167 ),
+	.A2(\soc_top/FE_OFN69_imem_io_rsp_bits_dataResponse_2 ),
+	.B1(\soc_top/n_168 ),
+	.B2(\soc_top/gen_imem_host_io_rspOut_bits_dataResponse[2] ),
+	.Y(\soc_top/n_1328 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125644  (
+	.A1(\soc_top/FE_OFN139_core_InstructionDecode_io_writeData_11 ),
+	.A2(\soc_top/FE_OFN176_n_251 ),
+	.B1(\soc_top/n_250 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[11] ),
+	.X(\soc_top/n_1327 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125645  (
+	.A1(\soc_top/FE_OFN141_core_InstructionDecode_io_writeData_9 ),
+	.A2(\soc_top/FE_OFN176_n_251 ),
+	.B1(\soc_top/n_250 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[9] ),
+	.X(\soc_top/n_1326 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125646  (
+	.A1(\soc_top/FE_OFN140_core_InstructionDecode_io_writeData_10 ),
+	.A2(\soc_top/FE_OFN176_n_251 ),
+	.B1(\soc_top/n_250 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[10] ),
+	.X(\soc_top/n_1325 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125647  (
+	.A1(\soc_top/FE_OFN138_core_InstructionDecode_io_writeData_12 ),
+	.A2(\soc_top/FE_OFN176_n_251 ),
+	.B1(\soc_top/n_250 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[12] ),
+	.X(\soc_top/n_1324 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125648  (
+	.A1(\soc_top/FE_OFN137_core_InstructionDecode_io_writeData_13 ),
+	.A2(\soc_top/n_251 ),
+	.B1(\soc_top/n_250 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[13] ),
+	.X(\soc_top/n_1323 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125649  (
+	.A1(\soc_top/FE_OFN136_core_InstructionDecode_io_writeData_14 ),
+	.A2(\soc_top/n_251 ),
+	.B1(\soc_top/n_250 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[14] ),
+	.X(\soc_top/n_1322 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125650  (
+	.A1(\soc_top/FE_OFN176_n_251 ),
+	.A2(\soc_top/FE_OFN135_core_InstructionDecode_io_writeData_15 ),
+	.B1(\soc_top/n_250 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[15] ),
+	.X(\soc_top/n_1321 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125651  (
+	.A1(\soc_top/FE_OFN183_n_262 ),
+	.A2(\soc_top/FE_OFN5608_n_6546 ),
+	.B1(\soc_top/n_272 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[5] ),
+	.X(\soc_top/n_1320 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125652  (
+	.A1(\soc_top/n_270 ),
+	.A2(\soc_top/FE_OFN5619_n_6545 ),
+	.B1(\soc_top/n_236 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[4] ),
+	.X(\soc_top/n_1319 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125653  (
+	.A1(\soc_top/FE_OFN134_core_InstructionDecode_io_writeData_16 ),
+	.A2(\soc_top/FE_OFN176_n_251 ),
+	.B1(\soc_top/n_250 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[16] ),
+	.X(\soc_top/n_1318 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125654  (
+	.A1(\soc_top/FE_OFN5623_core_InstructionDecode_io_writeData_17 ),
+	.A2(\soc_top/FE_OFN176_n_251 ),
+	.B1(\soc_top/n_250 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[17] ),
+	.X(\soc_top/n_1317 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125655  (
+	.A1(\soc_top/FE_OFN120_core_InstructionDecode_io_writeData_30 ),
+	.A2(\soc_top/FE_OFN174_n_244 ),
+	.B1(\soc_top/n_245 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[30] ),
+	.X(\soc_top/n_1316 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125656  (
+	.A1(\soc_top/FE_OFN5657_core_InstructionDecode_io_writeData_18 ),
+	.A2(\soc_top/n_251 ),
+	.B1(\soc_top/n_250 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[18] ),
+	.X(\soc_top/n_1315 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125657  (
+	.A1(\soc_top/FE_OFN131_core_InstructionDecode_io_writeData_19 ),
+	.A2(\soc_top/FE_OFN176_n_251 ),
+	.B1(\soc_top/n_250 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[19] ),
+	.X(\soc_top/n_1314 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125658  (
+	.A1(\soc_top/FE_OFN6615_core_InstructionDecode_io_writeData_20 ),
+	.A2(\soc_top/FE_OFN176_n_251 ),
+	.B1(\soc_top/n_250 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[20] ),
+	.X(\soc_top/n_1313 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125659  (
+	.A1(\soc_top/n_4049 ),
+	.A2(\soc_top/FE_OFN176_n_251 ),
+	.B1(\soc_top/n_250 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[21] ),
+	.X(\soc_top/n_1312 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125660  (
+	.A1(\soc_top/FE_OFN128_core_InstructionDecode_io_writeData_22 ),
+	.A2(\soc_top/n_251 ),
+	.B1(\soc_top/n_250 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[22] ),
+	.X(\soc_top/n_1311 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125661  (
+	.A1(\soc_top/FE_OFN6621_n_4099 ),
+	.A2(\soc_top/FE_OFN176_n_251 ),
+	.B1(\soc_top/n_250 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[23] ),
+	.X(\soc_top/n_1310 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125662  (
+	.A1(\soc_top/n_256 ),
+	.A2(\soc_top/FE_OFN137_core_InstructionDecode_io_writeData_13 ),
+	.B1(\soc_top/n_186 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[13] ),
+	.X(\soc_top/n_1309 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125663  (
+	.A1(\soc_top/n_4102 ),
+	.A2(\soc_top/FE_OFN176_n_251 ),
+	.B1(\soc_top/n_250 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[24] ),
+	.X(\soc_top/n_1308 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125664  (
+	.A1(\soc_top/FE_OFN124_core_InstructionDecode_io_writeData_26 ),
+	.A2(\soc_top/n_251 ),
+	.B1(\soc_top/n_250 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[26] ),
+	.X(\soc_top/n_1307 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125665  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[27] ),
+	.A2(\soc_top/n_251 ),
+	.B1(\soc_top/n_250 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[27] ),
+	.X(\soc_top/n_1306 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125666  (
+	.A1(\soc_top/FE_OFN125_core_InstructionDecode_io_writeData_25 ),
+	.A2(\soc_top/n_251 ),
+	.B1(\soc_top/n_250 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[25] ),
+	.X(\soc_top/n_1305 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125667  (
+	.A1(\soc_top/FE_OFN122_core_InstructionDecode_io_writeData_28 ),
+	.A2(\soc_top/FE_OFN176_n_251 ),
+	.B1(\soc_top/n_250 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[28] ),
+	.X(\soc_top/n_1304 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125668  (
+	.A1(\soc_top/n_262 ),
+	.A2(\soc_top/FE_OFN6633_n_2711 ),
+	.B1(\soc_top/n_272 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[3] ),
+	.X(\soc_top/n_1303 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125669  (
+	.A1(\soc_top/FE_OFN121_core_InstructionDecode_io_writeData_29 ),
+	.A2(\soc_top/n_251 ),
+	.B1(\soc_top/n_250 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[29] ),
+	.X(\soc_top/n_1302 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125670  (
+	.A1(\soc_top/FE_OFN120_core_InstructionDecode_io_writeData_30 ),
+	.A2(\soc_top/FE_OFN176_n_251 ),
+	.B1(\soc_top/n_250 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[30] ),
+	.X(\soc_top/n_1301 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125671  (
+	.A1(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.A2(\soc_top/FE_OFN176_n_251 ),
+	.B1(\soc_top/n_250 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[31] ),
+	.X(\soc_top/n_1300 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125672  (
+	.A1(\soc_top/n_256 ),
+	.A2(\soc_top/FE_OFN138_core_InstructionDecode_io_writeData_12 ),
+	.B1(\soc_top/n_186 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[12] ),
+	.X(\soc_top/n_1299 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125673  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[27] ),
+	.A2(\soc_top/n_244 ),
+	.B1(\soc_top/n_245 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[27] ),
+	.X(\soc_top/n_1298 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125674  (
+	.A1(\soc_top/n_240 ),
+	.A2(\soc_top/FE_OFN5620_core_InstructionDecode_io_writeData_0 ),
+	.B1(\soc_top/n_276 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[0] ),
+	.X(\soc_top/n_1297 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125675  (
+	.A1(\soc_top/n_270 ),
+	.A2(\soc_top/FE_OFN5603_n_6544 ),
+	.B1(\soc_top/n_236 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[2] ),
+	.X(\soc_top/n_1296 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125676  (
+	.A1(\soc_top/n_240 ),
+	.A2(\soc_top/n_2728 ),
+	.B1(\soc_top/n_276 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[1] ),
+	.X(\soc_top/n_1295 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125677  (
+	.A1(\soc_top/n_240 ),
+	.A2(\soc_top/FE_OFN5603_n_6544 ),
+	.B1(\soc_top/n_276 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[2] ),
+	.X(\soc_top/n_1294 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125678  (
+	.A1(\soc_top/n_240 ),
+	.A2(\soc_top/FE_OFN6633_n_2711 ),
+	.B1(\soc_top/n_276 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[3] ),
+	.X(\soc_top/n_1293 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125679  (
+	.A1(\soc_top/n_240 ),
+	.A2(\soc_top/FE_OFN5619_n_6545 ),
+	.B1(\soc_top/n_276 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[4] ),
+	.X(\soc_top/n_1292 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125680  (
+	.A1(\soc_top/n_240 ),
+	.A2(\soc_top/FE_OFN5608_n_6546 ),
+	.B1(\soc_top/n_276 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[5] ),
+	.X(\soc_top/n_1291 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125681  (
+	.A1(\soc_top/n_240 ),
+	.A2(\soc_top/FE_OFN73_core_InstructionDecode_io_writeData_6 ),
+	.B1(\soc_top/n_276 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[6] ),
+	.X(\soc_top/n_1290 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125682  (
+	.A1(\soc_top/n_240 ),
+	.A2(\soc_top/FE_OFN72_core_InstructionDecode_io_writeData_7 ),
+	.B1(\soc_top/n_276 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[7] ),
+	.X(\soc_top/n_1289 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125683  (
+	.A1(\soc_top/n_270 ),
+	.A2(\soc_top/FE_OFN137_core_InstructionDecode_io_writeData_13 ),
+	.B1(\soc_top/n_236 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[13] ),
+	.X(\soc_top/n_1288 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125684  (
+	.A1(\soc_top/FE_OFN142_core_InstructionDecode_io_writeData_8 ),
+	.A2(\soc_top/n_240 ),
+	.B1(\soc_top/n_276 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[8] ),
+	.X(\soc_top/n_1287 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125685  (
+	.A1(\soc_top/n_259 ),
+	.A2(\soc_top/FE_OFN6633_n_2711 ),
+	.B1(\soc_top/n_243 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[3] ),
+	.X(\soc_top/n_1286 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125686  (
+	.A1(\soc_top/FE_OFN141_core_InstructionDecode_io_writeData_9 ),
+	.A2(\soc_top/n_240 ),
+	.B1(\soc_top/n_276 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[9] ),
+	.X(\soc_top/n_1285 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125687  (
+	.A1(\soc_top/FE_OFN140_core_InstructionDecode_io_writeData_10 ),
+	.A2(\soc_top/n_240 ),
+	.B1(\soc_top/n_276 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[10] ),
+	.X(\soc_top/n_1284 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125688  (
+	.A1(\soc_top/FE_OFN139_core_InstructionDecode_io_writeData_11 ),
+	.A2(\soc_top/n_240 ),
+	.B1(\soc_top/n_276 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[11] ),
+	.X(\soc_top/n_1283 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125689  (
+	.A1(\soc_top/FE_OFN138_core_InstructionDecode_io_writeData_12 ),
+	.A2(\soc_top/n_240 ),
+	.B1(\soc_top/n_276 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[12] ),
+	.X(\soc_top/n_1282 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125690  (
+	.A1(\soc_top/FE_OFN137_core_InstructionDecode_io_writeData_13 ),
+	.A2(\soc_top/n_240 ),
+	.B1(\soc_top/n_276 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[13] ),
+	.X(\soc_top/n_1281 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125691  (
+	.A1(\soc_top/n_4102 ),
+	.A2(\soc_top/FE_OFN174_n_244 ),
+	.B1(\soc_top/n_245 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[24] ),
+	.X(\soc_top/n_1280 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125692  (
+	.A1(\soc_top/n_259 ),
+	.A2(\soc_top/FE_OFN5619_n_6545 ),
+	.B1(\soc_top/n_243 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[4] ),
+	.X(\soc_top/n_1279 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125693  (
+	.A1(\soc_top/FE_OFN136_core_InstructionDecode_io_writeData_14 ),
+	.A2(\soc_top/n_240 ),
+	.B1(\soc_top/n_276 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[14] ),
+	.X(\soc_top/n_1278 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125694  (
+	.A1(\soc_top/n_5002 ),
+	.A2(\soc_top/FE_OFN184_n_263 ),
+	.B1(\soc_top/n_254 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[13] ),
+	.X(\soc_top/n_1277 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125695  (
+	.A1(\soc_top/n_240 ),
+	.A2(\soc_top/FE_OFN135_core_InstructionDecode_io_writeData_15 ),
+	.B1(\soc_top/n_276 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[15] ),
+	.X(\soc_top/n_1276 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125696  (
+	.A1(\soc_top/n_256 ),
+	.A2(\soc_top/FE_OFN139_core_InstructionDecode_io_writeData_11 ),
+	.B1(\soc_top/n_186 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[11] ),
+	.X(\soc_top/n_1275 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125697  (
+	.A1(\soc_top/FE_OFN6623_n ),
+	.A2(\soc_top/n_240 ),
+	.B1(\soc_top/n_276 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[16] ),
+	.X(\soc_top/n_1274 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125698  (
+	.A1(\soc_top/FE_OFN5623_core_InstructionDecode_io_writeData_17 ),
+	.A2(\soc_top/n_240 ),
+	.B1(\soc_top/n_276 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[17] ),
+	.X(\soc_top/n_1273 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125699  (
+	.A1(\soc_top/n_259 ),
+	.A2(\soc_top/FE_OFN5603_n_6544 ),
+	.B1(\soc_top/n_243 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[2] ),
+	.X(\soc_top/n_1272 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125700  (
+	.A1(\soc_top/FE_OFN132_core_InstructionDecode_io_writeData_18 ),
+	.A2(\soc_top/n_240 ),
+	.B1(\soc_top/n_276 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[18] ),
+	.X(\soc_top/n_1271 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125701  (
+	.A1(\soc_top/n_259 ),
+	.A2(\soc_top/FE_OFN5608_n_6546 ),
+	.B1(\soc_top/n_243 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[5] ),
+	.X(\soc_top/n_1270 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125702  (
+	.A1(\soc_top/FE_OFN6620_n ),
+	.A2(\soc_top/n_240 ),
+	.B1(\soc_top/n_276 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[19] ),
+	.X(\soc_top/n_1269 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125703  (
+	.A1(\soc_top/n_259 ),
+	.A2(\soc_top/FE_OFN73_core_InstructionDecode_io_writeData_6 ),
+	.B1(\soc_top/n_243 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[6] ),
+	.X(\soc_top/n_1268 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125704  (
+	.A1(\soc_top/FE_OFN130_core_InstructionDecode_io_writeData_20 ),
+	.A2(\soc_top/n_240 ),
+	.B1(\soc_top/n_276 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[20] ),
+	.X(\soc_top/n_1267 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125705  (
+	.A1(\soc_top/n_259 ),
+	.A2(\soc_top/FE_OFN72_core_InstructionDecode_io_writeData_7 ),
+	.B1(\soc_top/n_243 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[7] ),
+	.X(\soc_top/n_1266 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125706  (
+	.A1(\soc_top/n_4049 ),
+	.A2(\soc_top/n_240 ),
+	.B1(\soc_top/n_276 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[21] ),
+	.X(\soc_top/n_1265 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125707  (
+	.A1(\soc_top/n_259 ),
+	.A2(\soc_top/FE_OFN5620_core_InstructionDecode_io_writeData_0 ),
+	.B1(\soc_top/n_243 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[0] ),
+	.X(\soc_top/n_1264 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125708  (
+	.A1(\soc_top/FE_OFN128_core_InstructionDecode_io_writeData_22 ),
+	.A2(\soc_top/n_240 ),
+	.B1(\soc_top/n_276 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[22] ),
+	.X(\soc_top/n_1263 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125709  (
+	.A1(\soc_top/n_259 ),
+	.A2(\soc_top/n_2728 ),
+	.B1(\soc_top/n_243 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[1] ),
+	.X(\soc_top/n_1262 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125710  (
+	.A1(\soc_top/FE_OFN126_core_InstructionDecode_io_writeData_24 ),
+	.A2(\soc_top/n_240 ),
+	.B1(\soc_top/n_276 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[24] ),
+	.X(\soc_top/n_1261 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125711  (
+	.A1(\soc_top/FE_OFN141_core_InstructionDecode_io_writeData_9 ),
+	.A2(\soc_top/n_259 ),
+	.B1(\soc_top/n_243 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[9] ),
+	.X(\soc_top/n_1260 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125712  (
+	.A1(\soc_top/FE_OFN124_core_InstructionDecode_io_writeData_26 ),
+	.A2(\soc_top/n_240 ),
+	.B1(\soc_top/n_276 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[26] ),
+	.X(\soc_top/n_1259 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125713  (
+	.A1(\soc_top/FE_OFN140_core_InstructionDecode_io_writeData_10 ),
+	.A2(\soc_top/n_259 ),
+	.B1(\soc_top/n_243 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[10] ),
+	.X(\soc_top/n_1258 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125714  (
+	.A1(\soc_top/FE_OFN139_core_InstructionDecode_io_writeData_11 ),
+	.A2(\soc_top/n_259 ),
+	.B1(\soc_top/n_243 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[11] ),
+	.X(\soc_top/n_1257 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125715  (
+	.A1(\soc_top/FE_OFN121_core_InstructionDecode_io_writeData_29 ),
+	.A2(\soc_top/n_240 ),
+	.B1(\soc_top/n_276 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[29] ),
+	.X(\soc_top/n_1256 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125716  (
+	.A1(\soc_top/FE_OFN120_core_InstructionDecode_io_writeData_30 ),
+	.A2(\soc_top/n_240 ),
+	.B1(\soc_top/n_276 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[30] ),
+	.X(\soc_top/n_1255 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125717  (
+	.A1(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.A2(\soc_top/n_240 ),
+	.B1(\soc_top/n_276 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[31] ),
+	.X(\soc_top/n_1254 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125718  (
+	.A1(\soc_top/FE_OFN138_core_InstructionDecode_io_writeData_12 ),
+	.A2(\soc_top/n_259 ),
+	.B1(\soc_top/n_243 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[12] ),
+	.X(\soc_top/n_1253 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125719  (
+	.A1(\soc_top/FE_OFN185_n_267 ),
+	.A2(\soc_top/FE_OFN76_core_InstructionDecode_io_writeData_0 ),
+	.B1(\soc_top/n_249 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[0] ),
+	.X(\soc_top/n_1252 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125720  (
+	.A1(\soc_top/FE_OFN185_n_267 ),
+	.A2(\soc_top/n_2728 ),
+	.B1(\soc_top/n_249 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[1] ),
+	.X(\soc_top/n_1251 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125721  (
+	.A1(\soc_top/FE_OFN140_core_InstructionDecode_io_writeData_10 ),
+	.A2(\soc_top/FE_OFN174_n_244 ),
+	.B1(\soc_top/n_245 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[10] ),
+	.X(\soc_top/n_1250 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125722  (
+	.A1(\soc_top/FE_OFN137_core_InstructionDecode_io_writeData_13 ),
+	.A2(\soc_top/n_259 ),
+	.B1(\soc_top/n_243 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[13] ),
+	.X(\soc_top/n_1249 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125723  (
+	.A1(\soc_top/n_267 ),
+	.A2(\soc_top/FE_OFN5603_n_6544 ),
+	.B1(\soc_top/n_249 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[2] ),
+	.X(\soc_top/n_1248 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125724  (
+	.A1(\soc_top/n_267 ),
+	.A2(\soc_top/FE_OFN6633_n_2711 ),
+	.B1(\soc_top/n_249 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[3] ),
+	.X(\soc_top/n_1247 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125725  (
+	.A1(\soc_top/FE_OFN124_core_InstructionDecode_io_writeData_26 ),
+	.A2(\soc_top/n_181 ),
+	.B1(\soc_top/n_176 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[26] ),
+	.X(\soc_top/n_1246 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125726  (
+	.A1(\soc_top/FE_OFN136_core_InstructionDecode_io_writeData_14 ),
+	.A2(\soc_top/n_259 ),
+	.B1(\soc_top/n_243 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[14] ),
+	.X(\soc_top/n_1245 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125727  (
+	.A1(\soc_top/n_259 ),
+	.A2(\soc_top/FE_OFN135_core_InstructionDecode_io_writeData_15 ),
+	.B1(\soc_top/n_243 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[15] ),
+	.X(\soc_top/n_1244 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125728  (
+	.A1(\soc_top/FE_OFN185_n_267 ),
+	.A2(\soc_top/FE_OFN5619_n_6545 ),
+	.B1(\soc_top/n_249 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[4] ),
+	.X(\soc_top/n_1243 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125729  (
+	.A1(\soc_top/n_242 ),
+	.A2(\soc_top/FE_OFN6625_core_InstructionDecode_io_writeData_12 ),
+	.B1(\soc_top/n_268 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[12] ),
+	.X(\soc_top/n_1242 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125730  (
+	.A1(\soc_top/FE_OFN185_n_267 ),
+	.A2(\soc_top/FE_OFN5608_n_6546 ),
+	.B1(\soc_top/n_249 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[5] ),
+	.X(\soc_top/n_1241 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125731  (
+	.A1(\soc_top/FE_OFN185_n_267 ),
+	.A2(\soc_top/FE_OFN5653_core_InstructionDecode_io_writeData_6 ),
+	.B1(\soc_top/n_249 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[6] ),
+	.X(\soc_top/n_1240 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125732  (
+	.A1(\soc_top/FE_OFN185_n_267 ),
+	.A2(\soc_top/core_InstructionDecode_io_writeData[7] ),
+	.B1(\soc_top/n_249 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[7] ),
+	.X(\soc_top/n_1239 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125733  (
+	.A1(\soc_top/FE_OFN185_n_267 ),
+	.A2(\soc_top/core_InstructionDecode_io_writeData[8] ),
+	.B1(\soc_top/n_249 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[8] ),
+	.X(\soc_top/n_1238 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125734  (
+	.A1(\soc_top/FE_OFN6620_n ),
+	.A2(\soc_top/n_259 ),
+	.B1(\soc_top/n_243 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[19] ),
+	.X(\soc_top/n_1237 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125735  (
+	.A1(\soc_top/n_267 ),
+	.A2(\soc_top/FE_OFN6625_core_InstructionDecode_io_writeData_12 ),
+	.B1(\soc_top/n_249 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[12] ),
+	.X(\soc_top/n_1236 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125736  (
+	.A1(\soc_top/FE_OFN132_core_InstructionDecode_io_writeData_18 ),
+	.A2(\soc_top/n_259 ),
+	.B1(\soc_top/n_243 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[18] ),
+	.X(\soc_top/n_1235 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125737  (
+	.A1(\soc_top/FE_OFN185_n_267 ),
+	.A2(\soc_top/FE_OFN5644_core_InstructionDecode_io_writeData_15 ),
+	.B1(\soc_top/n_249 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[15] ),
+	.X(\soc_top/n_1234 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125738  (
+	.A1(\soc_top/FE_OFN130_core_InstructionDecode_io_writeData_20 ),
+	.A2(\soc_top/n_259 ),
+	.B1(\soc_top/n_243 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[20] ),
+	.X(\soc_top/n_1233 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125739  (
+	.A1(\soc_top/n_267 ),
+	.A2(\soc_top/FE_OFN134_core_InstructionDecode_io_writeData_16 ),
+	.B1(\soc_top/n_249 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[16] ),
+	.X(\soc_top/n_1232 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125740  (
+	.A1(\soc_top/n_267 ),
+	.A2(\soc_top/FE_OFN133_core_InstructionDecode_io_writeData_17 ),
+	.B1(\soc_top/n_249 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[17] ),
+	.X(\soc_top/n_1231 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125741  (
+	.A1(\soc_top/FE_OFN6615_core_InstructionDecode_io_writeData_20 ),
+	.A2(\soc_top/n_267 ),
+	.B1(\soc_top/n_249 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[20] ),
+	.X(\soc_top/n_1230 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125742  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[22] ),
+	.A2(\soc_top/FE_OFN185_n_267 ),
+	.B1(\soc_top/n_249 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[22] ),
+	.X(\soc_top/n_1229 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125743  (
+	.A1(\soc_top/FE_OFN6621_n_4099 ),
+	.A2(\soc_top/n_267 ),
+	.B1(\soc_top/n_249 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[23] ),
+	.X(\soc_top/n_1228 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125744  (
+	.A1(\soc_top/n_4102 ),
+	.A2(\soc_top/FE_OFN185_n_267 ),
+	.B1(\soc_top/n_249 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[24] ),
+	.X(\soc_top/n_1227 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125745  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[25] ),
+	.A2(\soc_top/FE_OFN185_n_267 ),
+	.B1(\soc_top/n_249 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[25] ),
+	.X(\soc_top/n_1226 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125746  (
+	.A1(\soc_top/FE_OFN124_core_InstructionDecode_io_writeData_26 ),
+	.A2(\soc_top/n_259 ),
+	.B1(\soc_top/n_243 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[26] ),
+	.X(\soc_top/n_1225 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125747  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[27] ),
+	.A2(\soc_top/FE_OFN185_n_267 ),
+	.B1(\soc_top/n_249 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[27] ),
+	.X(\soc_top/n_1224 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125748  (
+	.A1(\soc_top/FE_OFN123_core_InstructionDecode_io_writeData_27 ),
+	.A2(\soc_top/n_259 ),
+	.B1(\soc_top/n_243 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[27] ),
+	.X(\soc_top/n_1223 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125749  (
+	.A1(\soc_top/FE_OFN122_core_InstructionDecode_io_writeData_28 ),
+	.A2(\soc_top/n_259 ),
+	.B1(\soc_top/n_243 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[28] ),
+	.X(\soc_top/n_1222 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125750  (
+	.A1(\soc_top/n_256 ),
+	.A2(\soc_top/FE_OFN5620_core_InstructionDecode_io_writeData_0 ),
+	.B1(\soc_top/n_186 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[0] ),
+	.X(\soc_top/n_1221 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125751  (
+	.A1(\soc_top/FE_OFN120_core_InstructionDecode_io_writeData_30 ),
+	.A2(\soc_top/n_259 ),
+	.B1(\soc_top/n_243 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[30] ),
+	.X(\soc_top/n_1220 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125752  (
+	.A1(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.A2(\soc_top/n_259 ),
+	.B1(\soc_top/n_243 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[31] ),
+	.X(\soc_top/n_1219 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125753  (
+	.A1(\soc_top/n_256 ),
+	.A2(\soc_top/FE_OFN5619_n_6545 ),
+	.B1(\soc_top/n_186 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[4] ),
+	.X(\soc_top/n_1218 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125754  (
+	.A1(\soc_top/n_256 ),
+	.A2(\soc_top/FE_OFN5608_n_6546 ),
+	.B1(\soc_top/n_186 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[5] ),
+	.X(\soc_top/n_1217 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125755  (
+	.A1(\soc_top/n_270 ),
+	.A2(\soc_top/FE_OFN5620_core_InstructionDecode_io_writeData_0 ),
+	.B1(\soc_top/n_236 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[0] ),
+	.X(\soc_top/n_1216 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125756  (
+	.A1(\soc_top/n_256 ),
+	.A2(\soc_top/FE_OFN142_core_InstructionDecode_io_writeData_8 ),
+	.B1(\soc_top/n_186 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[8] ),
+	.X(\soc_top/n_1215 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125757  (
+	.A1(\soc_top/n_256 ),
+	.A2(\soc_top/FE_OFN141_core_InstructionDecode_io_writeData_9 ),
+	.B1(\soc_top/n_186 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[9] ),
+	.X(\soc_top/n_1214 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125758  (
+	.A1(\soc_top/n_256 ),
+	.A2(\soc_top/FE_OFN140_core_InstructionDecode_io_writeData_10 ),
+	.B1(\soc_top/n_186 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[10] ),
+	.X(\soc_top/n_1213 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125759  (
+	.A1(\soc_top/n_270 ),
+	.A2(\soc_top/n_2728 ),
+	.B1(\soc_top/n_236 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[1] ),
+	.X(\soc_top/n_1212 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125760  (
+	.A1(\soc_top/n_256 ),
+	.A2(\soc_top/FE_OFN136_core_InstructionDecode_io_writeData_14 ),
+	.B1(\soc_top/n_186 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[14] ),
+	.X(\soc_top/n_1211 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125761  (
+	.A1(\soc_top/n_256 ),
+	.A2(\soc_top/FE_OFN135_core_InstructionDecode_io_writeData_15 ),
+	.B1(\soc_top/n_186 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[15] ),
+	.X(\soc_top/n_1210 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125762  (
+	.A1(\soc_top/n_256 ),
+	.A2(\soc_top/FE_OFN6623_n ),
+	.B1(\soc_top/n_186 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[16] ),
+	.X(\soc_top/n_1209 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125763  (
+	.A1(\soc_top/n_270 ),
+	.A2(\soc_top/FE_OFN73_core_InstructionDecode_io_writeData_6 ),
+	.B1(\soc_top/n_236 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[6] ),
+	.X(\soc_top/n_1208 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125764  (
+	.A1(\soc_top/FE_OFN130_core_InstructionDecode_io_writeData_20 ),
+	.A2(\soc_top/n_256 ),
+	.B1(\soc_top/n_186 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[20] ),
+	.X(\soc_top/n_1207 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125765  (
+	.A1(\soc_top/n_270 ),
+	.A2(\soc_top/FE_OFN72_core_InstructionDecode_io_writeData_7 ),
+	.B1(\soc_top/n_236 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[7] ),
+	.X(\soc_top/n_1206 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125766  (
+	.A1(\soc_top/FE_OFN6621_n_4099 ),
+	.A2(\soc_top/n_256 ),
+	.B1(\soc_top/n_186 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[23] ),
+	.X(\soc_top/n_1205 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125767  (
+	.A1(\soc_top/FE_OFN126_core_InstructionDecode_io_writeData_24 ),
+	.A2(\soc_top/n_256 ),
+	.B1(\soc_top/n_186 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[24] ),
+	.X(\soc_top/n_1204 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125768  (
+	.A1(\soc_top/n_270 ),
+	.A2(\soc_top/FE_OFN142_core_InstructionDecode_io_writeData_8 ),
+	.B1(\soc_top/n_236 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[8] ),
+	.X(\soc_top/n_1203 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125769  (
+	.A1(\soc_top/FE_OFN125_core_InstructionDecode_io_writeData_25 ),
+	.A2(\soc_top/n_256 ),
+	.B1(\soc_top/n_186 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[25] ),
+	.X(\soc_top/n_1202 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125770  (
+	.A1(\soc_top/n_270 ),
+	.A2(\soc_top/FE_OFN141_core_InstructionDecode_io_writeData_9 ),
+	.B1(\soc_top/n_236 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[9] ),
+	.X(\soc_top/n_1201 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125771  (
+	.A1(\soc_top/FE_OFN124_core_InstructionDecode_io_writeData_26 ),
+	.A2(\soc_top/n_256 ),
+	.B1(\soc_top/n_186 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[26] ),
+	.X(\soc_top/n_1200 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125772  (
+	.A1(\soc_top/FE_OFN173_n_242 ),
+	.A2(\soc_top/FE_OFN5608_n_6546 ),
+	.B1(\soc_top/n_268 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[5] ),
+	.X(\soc_top/n_1199 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125773  (
+	.A1(\soc_top/FE_OFN123_core_InstructionDecode_io_writeData_27 ),
+	.A2(\soc_top/n_256 ),
+	.B1(\soc_top/n_186 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[27] ),
+	.X(\soc_top/n_1198 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125774  (
+	.A1(\soc_top/n_270 ),
+	.A2(\soc_top/FE_OFN140_core_InstructionDecode_io_writeData_10 ),
+	.B1(\soc_top/n_236 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[10] ),
+	.X(\soc_top/n_1197 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125775  (
+	.A1(\soc_top/FE_OFN122_core_InstructionDecode_io_writeData_28 ),
+	.A2(\soc_top/n_256 ),
+	.B1(\soc_top/n_186 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[28] ),
+	.X(\soc_top/n_1196 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125776  (
+	.A1(\soc_top/FE_OFN121_core_InstructionDecode_io_writeData_29 ),
+	.A2(\soc_top/n_256 ),
+	.B1(\soc_top/n_186 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[29] ),
+	.X(\soc_top/n_1195 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125777  (
+	.A1(\soc_top/FE_OFN126_core_InstructionDecode_io_writeData_24 ),
+	.A2(\soc_top/n_259 ),
+	.B1(\soc_top/n_243 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[24] ),
+	.X(\soc_top/n_1194 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125778  (
+	.A1(\soc_top/FE_OFN120_core_InstructionDecode_io_writeData_30 ),
+	.A2(\soc_top/n_256 ),
+	.B1(\soc_top/n_186 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[30] ),
+	.X(\soc_top/n_1193 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125779  (
+	.A1(\soc_top/n_270 ),
+	.A2(\soc_top/FE_OFN138_core_InstructionDecode_io_writeData_12 ),
+	.B1(\soc_top/n_236 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[12] ),
+	.X(\soc_top/n_1192 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125780  (
+	.A1(\soc_top/FE_OFN174_n_244 ),
+	.A2(\soc_top/FE_OFN5603_n_6544 ),
+	.B1(\soc_top/n_245 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[2] ),
+	.X(\soc_top/n_1191 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125781  (
+	.A1(\soc_top/n_270 ),
+	.A2(\soc_top/FE_OFN136_core_InstructionDecode_io_writeData_14 ),
+	.B1(\soc_top/n_236 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[14] ),
+	.X(\soc_top/n_1190 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125782  (
+	.A1(\soc_top/FE_OFN174_n_244 ),
+	.A2(\soc_top/FE_OFN6633_n_2711 ),
+	.B1(\soc_top/n_245 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[3] ),
+	.X(\soc_top/n_1189 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125783  (
+	.A1(\soc_top/n_244 ),
+	.A2(\soc_top/FE_OFN5619_n_6545 ),
+	.B1(\soc_top/n_245 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[4] ),
+	.X(\soc_top/n_1188 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125784  (
+	.A1(\soc_top/FE_OFN174_n_244 ),
+	.A2(\soc_top/FE_OFN5608_n_6546 ),
+	.B1(\soc_top/n_245 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[5] ),
+	.X(\soc_top/n_1187 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125785  (
+	.A1(\soc_top/n_270 ),
+	.A2(\soc_top/FE_OFN135_core_InstructionDecode_io_writeData_15 ),
+	.B1(\soc_top/n_236 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[15] ),
+	.X(\soc_top/n_1186 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125786  (
+	.A1(\soc_top/n_4049 ),
+	.A2(\soc_top/n_259 ),
+	.B1(\soc_top/n_243 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[21] ),
+	.X(\soc_top/n_1185 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125787  (
+	.A1(\soc_top/n_244 ),
+	.A2(\soc_top/FE_OFN72_core_InstructionDecode_io_writeData_7 ),
+	.B1(\soc_top/n_245 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[7] ),
+	.X(\soc_top/n_1184 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125788  (
+	.A1(\soc_top/FE_OFN6621_n_4099 ),
+	.A2(\soc_top/n_259 ),
+	.B1(\soc_top/n_243 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[23] ),
+	.X(\soc_top/n_1183 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125789  (
+	.A1(\soc_top/FE_OFN141_core_InstructionDecode_io_writeData_9 ),
+	.A2(\soc_top/FE_OFN174_n_244 ),
+	.B1(\soc_top/n_245 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[9] ),
+	.X(\soc_top/n_1182 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125790  (
+	.A1(\soc_top/FE_OFN139_core_InstructionDecode_io_writeData_11 ),
+	.A2(\soc_top/FE_OFN174_n_244 ),
+	.B1(\soc_top/n_245 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[11] ),
+	.X(\soc_top/n_1181 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125791  (
+	.A1(\soc_top/n_267 ),
+	.A2(\soc_top/n_4049 ),
+	.B1(\soc_top/n_249 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[21] ),
+	.X(\soc_top/n_1180 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125792  (
+	.A1(\soc_top/n_270 ),
+	.A2(\soc_top/FE_OFN5623_core_InstructionDecode_io_writeData_17 ),
+	.B1(\soc_top/n_236 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[17] ),
+	.X(\soc_top/n_1179 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125793  (
+	.A1(\soc_top/FE_OFN132_core_InstructionDecode_io_writeData_18 ),
+	.A2(\soc_top/n_270 ),
+	.B1(\soc_top/n_236 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[18] ),
+	.X(\soc_top/n_1178 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125794  (
+	.A1(\soc_top/n_244 ),
+	.A2(\soc_top/FE_OFN5620_core_InstructionDecode_io_writeData_0 ),
+	.B1(\soc_top/n_245 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[0] ),
+	.X(\soc_top/n_1177 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125795  (
+	.A1(\soc_top/FE_OFN174_n_244 ),
+	.A2(\soc_top/FE_OFN5644_core_InstructionDecode_io_writeData_15 ),
+	.B1(\soc_top/n_245 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[15] ),
+	.X(\soc_top/n_1176 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125796  (
+	.A1(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.A2(\soc_top/n_256 ),
+	.B1(\soc_top/n_186 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[31] ),
+	.X(\soc_top/n_1175 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125797  (
+	.A1(\soc_top/FE_OFN130_core_InstructionDecode_io_writeData_20 ),
+	.A2(\soc_top/n_270 ),
+	.B1(\soc_top/n_236 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[20] ),
+	.X(\soc_top/n_1174 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125798  (
+	.A1(\soc_top/FE_OFN128_core_InstructionDecode_io_writeData_22 ),
+	.A2(\soc_top/n_270 ),
+	.B1(\soc_top/n_236 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[22] ),
+	.X(\soc_top/n_1173 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125799  (
+	.A1(\soc_top/n_270 ),
+	.A2(\soc_top/FE_OFN139_core_InstructionDecode_io_writeData_11 ),
+	.B1(\soc_top/n_236 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[11] ),
+	.X(\soc_top/n_1172 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125800  (
+	.A1(\soc_top/FE_OFN6621_n_4099 ),
+	.A2(\soc_top/FE_OFN174_n_244 ),
+	.B1(\soc_top/n_245 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[23] ),
+	.X(\soc_top/n_1171 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125801  (
+	.A1(\soc_top/FE_OFN126_core_InstructionDecode_io_writeData_24 ),
+	.A2(\soc_top/n_270 ),
+	.B1(\soc_top/n_236 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[24] ),
+	.X(\soc_top/n_1170 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125802  (
+	.A1(\soc_top/FE_OFN128_core_InstructionDecode_io_writeData_22 ),
+	.A2(\soc_top/n_259 ),
+	.B1(\soc_top/n_243 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[22] ),
+	.X(\soc_top/n_1169 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125803  (
+	.A1(\soc_top/FE_OFN125_core_InstructionDecode_io_writeData_25 ),
+	.A2(\soc_top/n_270 ),
+	.B1(\soc_top/n_236 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[25] ),
+	.X(\soc_top/n_1168 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125804  (
+	.A1(\soc_top/FE_OFN125_core_InstructionDecode_io_writeData_25 ),
+	.A2(\soc_top/n_244 ),
+	.B1(\soc_top/n_245 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[25] ),
+	.X(\soc_top/n_1167 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125805  (
+	.A1(\soc_top/FE_OFN5629_core_InstructionDecode_io_writeData_26 ),
+	.A2(\soc_top/n_244 ),
+	.B1(\soc_top/n_245 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[26] ),
+	.X(\soc_top/n_1166 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125806  (
+	.A1(\soc_top/FE_OFN124_core_InstructionDecode_io_writeData_26 ),
+	.A2(\soc_top/n_270 ),
+	.B1(\soc_top/n_236 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[26] ),
+	.X(\soc_top/n_1165 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125807  (
+	.A1(\soc_top/FE_OFN122_core_InstructionDecode_io_writeData_28 ),
+	.A2(\soc_top/FE_OFN174_n_244 ),
+	.B1(\soc_top/n_245 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[28] ),
+	.X(\soc_top/n_1164 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125808  (
+	.A1(\soc_top/FE_OFN121_core_InstructionDecode_io_writeData_29 ),
+	.A2(\soc_top/n_244 ),
+	.B1(\soc_top/n_245 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[29] ),
+	.X(\soc_top/n_1163 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125809  (
+	.A1(\soc_top/n_242 ),
+	.A2(\soc_top/FE_OFN5603_n_6544 ),
+	.B1(\soc_top/n_268 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[2] ),
+	.X(\soc_top/n_1162 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125810  (
+	.A1(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.A2(\soc_top/FE_OFN174_n_244 ),
+	.B1(\soc_top/n_245 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[31] ),
+	.X(\soc_top/n_1161 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125811  (
+	.A1(\soc_top/FE_OFN5657_core_InstructionDecode_io_writeData_18 ),
+	.A2(\soc_top/FE_OFN185_n_267 ),
+	.B1(\soc_top/n_249 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[18] ),
+	.X(\soc_top/n_1160 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125812  (
+	.A1(\soc_top/n_181 ),
+	.A2(\soc_top/FE_OFN5620_core_InstructionDecode_io_writeData_0 ),
+	.B1(\soc_top/n_176 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[0] ),
+	.X(\soc_top/n_1159 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125813  (
+	.A1(\soc_top/FE_OFN122_core_InstructionDecode_io_writeData_28 ),
+	.A2(\soc_top/n_270 ),
+	.B1(\soc_top/n_236 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[28] ),
+	.X(\soc_top/n_1158 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125814  (
+	.A1(\soc_top/n_181 ),
+	.A2(\soc_top/n_2728 ),
+	.B1(\soc_top/n_176 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[1] ),
+	.X(\soc_top/n_1157 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125815  (
+	.A1(\soc_top/n_181 ),
+	.A2(\soc_top/FE_OFN5603_n_6544 ),
+	.B1(\soc_top/n_176 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[2] ),
+	.X(\soc_top/n_1156 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125816  (
+	.A1(\soc_top/FE_OFN120_core_InstructionDecode_io_writeData_30 ),
+	.A2(\soc_top/n_270 ),
+	.B1(\soc_top/n_236 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[30] ),
+	.X(\soc_top/n_1155 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125817  (
+	.A1(\soc_top/n_267 ),
+	.A2(\soc_top/FE_OFN131_core_InstructionDecode_io_writeData_19 ),
+	.B1(\soc_top/n_249 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[19] ),
+	.X(\soc_top/n_1154 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125818  (
+	.A1(\soc_top/n_181 ),
+	.A2(\soc_top/FE_OFN5619_n_6545 ),
+	.B1(\soc_top/n_176 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[4] ),
+	.X(\soc_top/n_1153 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125819  (
+	.A1(\soc_top/n_181 ),
+	.A2(\soc_top/FE_OFN5608_n_6546 ),
+	.B1(\soc_top/n_176 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[5] ),
+	.X(\soc_top/n_1152 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125820  (
+	.A1(\soc_top/FE_OFN183_n_262 ),
+	.A2(\soc_top/FE_OFN137_core_InstructionDecode_io_writeData_13 ),
+	.B1(\soc_top/n_272 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[13] ),
+	.X(\soc_top/n_1151 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125821  (
+	.A1(\soc_top/n_181 ),
+	.A2(\soc_top/FE_OFN72_core_InstructionDecode_io_writeData_7 ),
+	.B1(\soc_top/n_176 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[7] ),
+	.X(\soc_top/n_1150 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125822  (
+	.A1(\soc_top/FE_OFN142_core_InstructionDecode_io_writeData_8 ),
+	.A2(\soc_top/n_181 ),
+	.B1(\soc_top/n_176 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[8] ),
+	.X(\soc_top/n_1149 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125823  (
+	.A1(\soc_top/FE_OFN141_core_InstructionDecode_io_writeData_9 ),
+	.A2(\soc_top/n_181 ),
+	.B1(\soc_top/n_176 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[9] ),
+	.X(\soc_top/n_1148 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125824  (
+	.A1(\soc_top/n_256 ),
+	.A2(\soc_top/FE_OFN72_core_InstructionDecode_io_writeData_7 ),
+	.B1(\soc_top/n_186 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[7] ),
+	.X(\soc_top/n_1147 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125825  (
+	.A1(\soc_top/FE_OFN140_core_InstructionDecode_io_writeData_10 ),
+	.A2(\soc_top/n_181 ),
+	.B1(\soc_top/n_176 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[10] ),
+	.X(\soc_top/n_1146 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125826  (
+	.A1(\soc_top/FE_OFN183_n_262 ),
+	.A2(\soc_top/FE_OFN5603_n_6544 ),
+	.B1(\soc_top/n_272 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[2] ),
+	.X(\soc_top/n_1145 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125827  (
+	.A1(\soc_top/FE_OFN139_core_InstructionDecode_io_writeData_11 ),
+	.A2(\soc_top/n_181 ),
+	.B1(\soc_top/n_176 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[11] ),
+	.X(\soc_top/n_1144 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125828  (
+	.A1(\soc_top/n_262 ),
+	.A2(\soc_top/FE_OFN5620_core_InstructionDecode_io_writeData_0 ),
+	.B1(\soc_top/n_272 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[0] ),
+	.X(\soc_top/n_1143 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125829  (
+	.A1(\soc_top/FE_OFN138_core_InstructionDecode_io_writeData_12 ),
+	.A2(\soc_top/n_181 ),
+	.B1(\soc_top/n_176 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[12] ),
+	.X(\soc_top/n_1142 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125830  (
+	.A1(\soc_top/FE_OFN183_n_262 ),
+	.A2(\soc_top/n_2728 ),
+	.B1(\soc_top/n_272 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[1] ),
+	.X(\soc_top/n_1141 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125831  (
+	.A1(\soc_top/FE_OFN137_core_InstructionDecode_io_writeData_13 ),
+	.A2(\soc_top/n_181 ),
+	.B1(\soc_top/n_176 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[13] ),
+	.X(\soc_top/n_1140 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125832  (
+	.A1(\soc_top/FE_OFN136_core_InstructionDecode_io_writeData_14 ),
+	.A2(\soc_top/n_181 ),
+	.B1(\soc_top/n_176 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[14] ),
+	.X(\soc_top/n_1139 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125833  (
+	.A1(\soc_top/n_181 ),
+	.A2(\soc_top/FE_OFN135_core_InstructionDecode_io_writeData_15 ),
+	.B1(\soc_top/n_176 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[15] ),
+	.X(\soc_top/n_1138 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125834  (
+	.A1(\soc_top/FE_OFN6623_n ),
+	.A2(\soc_top/n_181 ),
+	.B1(\soc_top/n_176 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[16] ),
+	.X(\soc_top/n_1137 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125835  (
+	.A1(\soc_top/FE_OFN173_n_242 ),
+	.A2(\soc_top/core_InstructionDecode_io_writeData[7] ),
+	.B1(\soc_top/n_268 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[7] ),
+	.X(\soc_top/n_1136 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125836  (
+	.A1(\soc_top/n_262 ),
+	.A2(\soc_top/FE_OFN5619_n_6545 ),
+	.B1(\soc_top/n_272 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[4] ),
+	.X(\soc_top/n_1135 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125837  (
+	.A1(\soc_top/FE_OFN5623_core_InstructionDecode_io_writeData_17 ),
+	.A2(\soc_top/n_181 ),
+	.B1(\soc_top/n_176 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[17] ),
+	.X(\soc_top/n_1134 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125838  (
+	.A1(\soc_top/FE_OFN132_core_InstructionDecode_io_writeData_18 ),
+	.A2(\soc_top/n_181 ),
+	.B1(\soc_top/n_176 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[18] ),
+	.X(\soc_top/n_1133 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125839  (
+	.A1(\soc_top/n_262 ),
+	.A2(\soc_top/FE_OFN73_core_InstructionDecode_io_writeData_6 ),
+	.B1(\soc_top/n_272 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[6] ),
+	.X(\soc_top/n_1132 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125840  (
+	.A1(\soc_top/FE_OFN6620_n ),
+	.A2(\soc_top/n_181 ),
+	.B1(\soc_top/n_176 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[19] ),
+	.X(\soc_top/n_1131 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125841  (
+	.A1(\soc_top/FE_OFN130_core_InstructionDecode_io_writeData_20 ),
+	.A2(\soc_top/n_181 ),
+	.B1(\soc_top/n_176 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[20] ),
+	.X(\soc_top/n_1130 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125842  (
+	.A1(\soc_top/n_4049 ),
+	.A2(\soc_top/n_181 ),
+	.B1(\soc_top/n_176 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[21] ),
+	.X(\soc_top/n_1129 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125843  (
+	.A1(\soc_top/FE_OFN128_core_InstructionDecode_io_writeData_22 ),
+	.A2(\soc_top/n_181 ),
+	.B1(\soc_top/n_176 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[22] ),
+	.X(\soc_top/n_1128 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125844  (
+	.A1(\soc_top/FE_OFN6621_n_4099 ),
+	.A2(\soc_top/n_181 ),
+	.B1(\soc_top/n_176 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[23] ),
+	.X(\soc_top/n_1127 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125845  (
+	.A1(\soc_top/n_262 ),
+	.A2(\soc_top/FE_OFN142_core_InstructionDecode_io_writeData_8 ),
+	.B1(\soc_top/n_272 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[8] ),
+	.X(\soc_top/n_1126 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125846  (
+	.A1(\soc_top/FE_OFN126_core_InstructionDecode_io_writeData_24 ),
+	.A2(\soc_top/n_181 ),
+	.B1(\soc_top/n_176 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[24] ),
+	.X(\soc_top/n_1125 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125847  (
+	.A1(\soc_top/n_262 ),
+	.A2(\soc_top/FE_OFN141_core_InstructionDecode_io_writeData_9 ),
+	.B1(\soc_top/n_272 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[9] ),
+	.X(\soc_top/n_1124 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125848  (
+	.A1(\soc_top/FE_OFN125_core_InstructionDecode_io_writeData_25 ),
+	.A2(\soc_top/n_181 ),
+	.B1(\soc_top/n_176 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[25] ),
+	.X(\soc_top/n_1123 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125849  (
+	.A1(\soc_top/FE_OFN183_n_262 ),
+	.A2(\soc_top/FE_OFN140_core_InstructionDecode_io_writeData_10 ),
+	.B1(\soc_top/n_272 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[10] ),
+	.X(\soc_top/n_1122 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125850  (
+	.A1(\soc_top/FE_OFN123_core_InstructionDecode_io_writeData_27 ),
+	.A2(\soc_top/n_181 ),
+	.B1(\soc_top/n_176 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[27] ),
+	.X(\soc_top/n_1121 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125851  (
+	.A1(\soc_top/FE_OFN122_core_InstructionDecode_io_writeData_28 ),
+	.A2(\soc_top/n_181 ),
+	.B1(\soc_top/n_176 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[28] ),
+	.X(\soc_top/n_1120 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125852  (
+	.A1(\soc_top/FE_OFN121_core_InstructionDecode_io_writeData_29 ),
+	.A2(\soc_top/n_181 ),
+	.B1(\soc_top/n_176 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[29] ),
+	.X(\soc_top/n_1119 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125853  (
+	.A1(\soc_top/FE_OFN183_n_262 ),
+	.A2(\soc_top/FE_OFN139_core_InstructionDecode_io_writeData_11 ),
+	.B1(\soc_top/n_272 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[11] ),
+	.X(\soc_top/n_1118 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125854  (
+	.A1(\soc_top/FE_OFN120_core_InstructionDecode_io_writeData_30 ),
+	.A2(\soc_top/n_181 ),
+	.B1(\soc_top/n_176 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[30] ),
+	.X(\soc_top/n_1117 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125855  (
+	.A1(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.A2(\soc_top/n_181 ),
+	.B1(\soc_top/n_176 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[31] ),
+	.X(\soc_top/n_1116 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125856  (
+	.A1(\soc_top/FE_OFN173_n_242 ),
+	.A2(\soc_top/FE_OFN76_core_InstructionDecode_io_writeData_0 ),
+	.B1(\soc_top/n_268 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[0] ),
+	.X(\soc_top/n_1115 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125857  (
+	.A1(\soc_top/FE_OFN183_n_262 ),
+	.A2(\soc_top/FE_OFN138_core_InstructionDecode_io_writeData_12 ),
+	.B1(\soc_top/n_272 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[12] ),
+	.X(\soc_top/n_1114 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125858  (
+	.A1(\soc_top/FE_OFN173_n_242 ),
+	.A2(\soc_top/n_2728 ),
+	.B1(\soc_top/n_268 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[1] ),
+	.X(\soc_top/n_1113 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125859  (
+	.A1(\soc_top/n_262 ),
+	.A2(\soc_top/FE_OFN136_core_InstructionDecode_io_writeData_14 ),
+	.B1(\soc_top/n_272 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[14] ),
+	.X(\soc_top/n_1112 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125860  (
+	.A1(\soc_top/FE_OFN173_n_242 ),
+	.A2(\soc_top/FE_OFN5619_n_6545 ),
+	.B1(\soc_top/n_268 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[4] ),
+	.X(\soc_top/n_1111 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125861  (
+	.A1(\soc_top/FE_OFN183_n_262 ),
+	.A2(\soc_top/FE_OFN135_core_InstructionDecode_io_writeData_15 ),
+	.B1(\soc_top/n_272 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[15] ),
+	.X(\soc_top/n_1110 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125862  (
+	.A1(\soc_top/FE_OFN173_n_242 ),
+	.A2(\soc_top/core_InstructionDecode_io_writeData[6] ),
+	.B1(\soc_top/n_268 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[6] ),
+	.X(\soc_top/n_1109 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125863  (
+	.A1(\soc_top/FE_OFN173_n_242 ),
+	.A2(\soc_top/core_InstructionDecode_io_writeData[8] ),
+	.B1(\soc_top/n_268 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[8] ),
+	.X(\soc_top/n_1108 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125864  (
+	.A1(\soc_top/n_242 ),
+	.A2(\soc_top/core_InstructionDecode_io_writeData[9] ),
+	.B1(\soc_top/n_268 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[9] ),
+	.X(\soc_top/n_1107 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125865  (
+	.A1(\soc_top/FE_OFN132_core_InstructionDecode_io_writeData_18 ),
+	.A2(\soc_top/n_262 ),
+	.B1(\soc_top/n_272 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[18] ),
+	.X(\soc_top/n_1106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125866  (
+	.A1(\soc_top/n_242 ),
+	.A2(\soc_top/core_InstructionDecode_io_writeData[10] ),
+	.B1(\soc_top/n_268 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[10] ),
+	.X(\soc_top/n_1105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125867  (
+	.A1(\soc_top/FE_OFN128_core_InstructionDecode_io_writeData_22 ),
+	.A2(\soc_top/n_266 ),
+	.B1(\soc_top/n_172 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_12[22] ),
+	.X(\soc_top/n_1104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125868  (
+	.A1(\soc_top/FE_OFN183_n_262 ),
+	.A2(\soc_top/FE_OFN5623_core_InstructionDecode_io_writeData_17 ),
+	.B1(\soc_top/n_272 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[17] ),
+	.X(\soc_top/n_1103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125869  (
+	.A1(\soc_top/FE_OFN173_n_242 ),
+	.A2(\soc_top/n_5002 ),
+	.B1(\soc_top/n_268 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[13] ),
+	.X(\soc_top/n_1102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125870  (
+	.A1(\soc_top/FE_OFN173_n_242 ),
+	.A2(\soc_top/FE_OFN5668_core_InstructionDecode_io_writeData_14 ),
+	.B1(\soc_top/n_268 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[14] ),
+	.X(\soc_top/n_1101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125871  (
+	.A1(\soc_top/FE_OFN173_n_242 ),
+	.A2(\soc_top/FE_OFN5644_core_InstructionDecode_io_writeData_15 ),
+	.B1(\soc_top/n_268 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[15] ),
+	.X(\soc_top/n_1100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125872  (
+	.A1(\soc_top/FE_OFN130_core_InstructionDecode_io_writeData_20 ),
+	.A2(\soc_top/FE_OFN183_n_262 ),
+	.B1(\soc_top/n_272 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[20] ),
+	.X(\soc_top/n_1099 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125873  (
+	.A1(\soc_top/n_242 ),
+	.A2(\soc_top/FE_OFN133_core_InstructionDecode_io_writeData_17 ),
+	.B1(\soc_top/n_268 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[17] ),
+	.X(\soc_top/n_1098 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125874  (
+	.A1(\soc_top/FE_OFN128_core_InstructionDecode_io_writeData_22 ),
+	.A2(\soc_top/n_262 ),
+	.B1(\soc_top/n_272 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[22] ),
+	.X(\soc_top/n_1097 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125875  (
+	.A1(\soc_top/n_242 ),
+	.A2(\soc_top/FE_OFN131_core_InstructionDecode_io_writeData_19 ),
+	.B1(\soc_top/n_268 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[19] ),
+	.X(\soc_top/n_1096 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125876  (
+	.A1(\soc_top/FE_OFN6615_core_InstructionDecode_io_writeData_20 ),
+	.A2(\soc_top/n_242 ),
+	.B1(\soc_top/n_268 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[20] ),
+	.X(\soc_top/n_1095 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125877  (
+	.A1(\soc_top/n_242 ),
+	.A2(\soc_top/n_4049 ),
+	.B1(\soc_top/n_268 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[21] ),
+	.X(\soc_top/n_1094 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125878  (
+	.A1(\soc_top/FE_OFN6621_n_4099 ),
+	.A2(\soc_top/FE_OFN183_n_262 ),
+	.B1(\soc_top/n_272 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[23] ),
+	.X(\soc_top/n_1093 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125879  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[22] ),
+	.A2(\soc_top/FE_OFN173_n_242 ),
+	.B1(\soc_top/n_268 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[22] ),
+	.X(\soc_top/n_1092 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125880  (
+	.A1(\soc_top/FE_OFN126_core_InstructionDecode_io_writeData_24 ),
+	.A2(\soc_top/FE_OFN183_n_262 ),
+	.B1(\soc_top/n_272 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[24] ),
+	.X(\soc_top/n_1091 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125881  (
+	.A1(\soc_top/FE_OFN6621_n_4099 ),
+	.A2(\soc_top/n_242 ),
+	.B1(\soc_top/n_268 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[23] ),
+	.X(\soc_top/n_1090 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125882  (
+	.A1(\soc_top/FE_OFN125_core_InstructionDecode_io_writeData_25 ),
+	.A2(\soc_top/n_262 ),
+	.B1(\soc_top/n_272 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[25] ),
+	.X(\soc_top/n_1089 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125883  (
+	.A1(\soc_top/n_4102 ),
+	.A2(\soc_top/FE_OFN173_n_242 ),
+	.B1(\soc_top/n_268 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[24] ),
+	.X(\soc_top/n_1088 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125884  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[25] ),
+	.A2(\soc_top/FE_OFN173_n_242 ),
+	.B1(\soc_top/n_268 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[25] ),
+	.X(\soc_top/n_1087 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125885  (
+	.A1(\soc_top/FE_OFN124_core_InstructionDecode_io_writeData_26 ),
+	.A2(\soc_top/n_262 ),
+	.B1(\soc_top/n_272 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[26] ),
+	.X(\soc_top/n_1086 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125886  (
+	.A1(\soc_top/FE_OFN5680_core_InstructionDecode_io_writeData_29 ),
+	.A2(\soc_top/FE_OFN173_n_242 ),
+	.B1(\soc_top/n_268 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[29] ),
+	.X(\soc_top/n_1085 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125887  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[30] ),
+	.A2(\soc_top/n_242 ),
+	.B1(\soc_top/n_268 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[30] ),
+	.X(\soc_top/n_1084 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125888  (
+	.A1(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.A2(\soc_top/FE_OFN173_n_242 ),
+	.B1(\soc_top/n_268 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[31] ),
+	.X(\soc_top/n_1083 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125889  (
+	.A1(\soc_top/n_253 ),
+	.A2(\soc_top/FE_OFN5620_core_InstructionDecode_io_writeData_0 ),
+	.B1(\soc_top/n_241 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[0] ),
+	.X(\soc_top/n_1082 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125890  (
+	.A1(\soc_top/FE_OFN186_n_269 ),
+	.A2(\soc_top/FE_OFN5608_n_6546 ),
+	.B1(\soc_top/n_170 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[5] ),
+	.X(\soc_top/n_1081 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125891  (
+	.A1(\soc_top/FE_OFN121_core_InstructionDecode_io_writeData_29 ),
+	.A2(\soc_top/FE_OFN183_n_262 ),
+	.B1(\soc_top/n_272 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[29] ),
+	.X(\soc_top/n_1080 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125892  (
+	.A1(\soc_top/FE_OFN122_core_InstructionDecode_io_writeData_28 ),
+	.A2(\soc_top/FE_OFN183_n_262 ),
+	.B1(\soc_top/n_272 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[28] ),
+	.X(\soc_top/n_1079 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125893  (
+	.A1(\soc_top/FE_OFN178_n_253 ),
+	.A2(\soc_top/n_2728 ),
+	.B1(\soc_top/n_241 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[1] ),
+	.X(\soc_top/n_1078 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125894  (
+	.A1(\soc_top/FE_OFN178_n_253 ),
+	.A2(\soc_top/FE_OFN5603_n_6544 ),
+	.B1(\soc_top/n_241 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[2] ),
+	.X(\soc_top/n_1077 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125895  (
+	.A1(\soc_top/FE_OFN133_core_InstructionDecode_io_writeData_17 ),
+	.A2(\soc_top/n_263 ),
+	.B1(\soc_top/n_254 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[17] ),
+	.X(\soc_top/n_1076 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125896  (
+	.A1(\soc_top/n_253 ),
+	.A2(\soc_top/FE_OFN6633_n_2711 ),
+	.B1(\soc_top/n_241 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[3] ),
+	.X(\soc_top/n_1075 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125897  (
+	.A1(\soc_top/n_253 ),
+	.A2(\soc_top/FE_OFN5619_n_6545 ),
+	.B1(\soc_top/n_241 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[4] ),
+	.X(\soc_top/n_1074 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125898  (
+	.A1(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.A2(\soc_top/FE_OFN183_n_262 ),
+	.B1(\soc_top/n_272 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[31] ),
+	.X(\soc_top/n_1073 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125899  (
+	.A1(\soc_top/FE_OFN178_n_253 ),
+	.A2(\soc_top/FE_OFN5608_n_6546 ),
+	.B1(\soc_top/n_241 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[5] ),
+	.X(\soc_top/n_1072 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125900  (
+	.A1(\soc_top/FE_OFN141_core_InstructionDecode_io_writeData_9 ),
+	.A2(\soc_top/n_263 ),
+	.B1(\soc_top/n_254 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[9] ),
+	.X(\soc_top/n_1071 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125901  (
+	.A1(\soc_top/n_253 ),
+	.A2(\soc_top/FE_OFN73_core_InstructionDecode_io_writeData_6 ),
+	.B1(\soc_top/n_241 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[6] ),
+	.X(\soc_top/n_1070 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125902  (
+	.A1(\soc_top/FE_OFN120_core_InstructionDecode_io_writeData_30 ),
+	.A2(\soc_top/FE_OFN178_n_253 ),
+	.B1(\soc_top/n_241 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[30] ),
+	.X(\soc_top/n_1069 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125903  (
+	.A1(\soc_top/n_253 ),
+	.A2(\soc_top/FE_OFN72_core_InstructionDecode_io_writeData_7 ),
+	.B1(\soc_top/n_241 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[7] ),
+	.X(\soc_top/n_1068 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125904  (
+	.A1(\soc_top/n_253 ),
+	.A2(\soc_top/FE_OFN142_core_InstructionDecode_io_writeData_8 ),
+	.B1(\soc_top/n_241 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[8] ),
+	.X(\soc_top/n_1067 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g125905  (
+	.A1(\soc_top/FE_OFN178_n_253 ),
+	.A2(\soc_top/FE_OFN141_core_InstructionDecode_io_writeData_9 ),
+	.B1(\soc_top/n_241 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[9] ),
+	.X(\soc_top/n_1066 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128159  (
+	.A1(\soc_top/FE_OFN178_n_253 ),
+	.A2(\soc_top/FE_OFN140_core_InstructionDecode_io_writeData_10 ),
+	.B1(\soc_top/n_241 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[10] ),
+	.X(\soc_top/n_1065 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128160  (
+	.A1(\soc_top/FE_OFN178_n_253 ),
+	.A2(\soc_top/FE_OFN139_core_InstructionDecode_io_writeData_11 ),
+	.B1(\soc_top/n_241 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[11] ),
+	.X(\soc_top/n_1064 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128161  (
+	.A1(\soc_top/FE_OFN184_n_263 ),
+	.A2(\soc_top/FE_OFN5620_core_InstructionDecode_io_writeData_0 ),
+	.B1(\soc_top/n_254 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[0] ),
+	.X(\soc_top/n_1063 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128162  (
+	.A1(\soc_top/FE_OFN178_n_253 ),
+	.A2(\soc_top/FE_OFN138_core_InstructionDecode_io_writeData_12 ),
+	.B1(\soc_top/n_241 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[12] ),
+	.X(\soc_top/n_1062 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128163  (
+	.A1(\soc_top/FE_OFN124_core_InstructionDecode_io_writeData_26 ),
+	.A2(\soc_top/n_253 ),
+	.B1(\soc_top/n_241 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[26] ),
+	.X(\soc_top/n_1061 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128164  (
+	.A1(\soc_top/n_263 ),
+	.A2(\soc_top/FE_OFN5603_n_6544 ),
+	.B1(\soc_top/n_254 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[2] ),
+	.X(\soc_top/n_1060 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128165  (
+	.A1(\soc_top/FE_OFN128_core_InstructionDecode_io_writeData_22 ),
+	.A2(\soc_top/n_253 ),
+	.B1(\soc_top/n_241 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[22] ),
+	.X(\soc_top/n_1059 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128166  (
+	.A1(\soc_top/FE_OFN186_n_269 ),
+	.A2(\soc_top/n_5002 ),
+	.B1(\soc_top/n_170 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[13] ),
+	.X(\soc_top/n_1058 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128167  (
+	.A1(\soc_top/FE_OFN178_n_253 ),
+	.A2(\soc_top/FE_OFN137_core_InstructionDecode_io_writeData_13 ),
+	.B1(\soc_top/n_241 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[13] ),
+	.X(\soc_top/n_1057 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128168  (
+	.A1(\soc_top/n_253 ),
+	.A2(\soc_top/FE_OFN136_core_InstructionDecode_io_writeData_14 ),
+	.B1(\soc_top/n_241 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[14] ),
+	.X(\soc_top/n_1056 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128169  (
+	.A1(\soc_top/FE_OFN178_n_253 ),
+	.A2(\soc_top/FE_OFN135_core_InstructionDecode_io_writeData_15 ),
+	.B1(\soc_top/n_241 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[15] ),
+	.X(\soc_top/n_1055 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128170  (
+	.A1(\soc_top/n_263 ),
+	.A2(\soc_top/FE_OFN6633_n_2711 ),
+	.B1(\soc_top/n_254 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[3] ),
+	.X(\soc_top/n_1054 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128171  (
+	.A1(\soc_top/n_253 ),
+	.A2(\soc_top/FE_OFN6623_n ),
+	.B1(\soc_top/n_241 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[16] ),
+	.X(\soc_top/n_1053 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128172  (
+	.A1(\soc_top/FE_OFN184_n_263 ),
+	.A2(\soc_top/FE_OFN5619_n_6545 ),
+	.B1(\soc_top/n_254 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[4] ),
+	.X(\soc_top/n_1052 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128173  (
+	.A1(\soc_top/FE_OFN178_n_253 ),
+	.A2(\soc_top/FE_OFN5623_core_InstructionDecode_io_writeData_17 ),
+	.B1(\soc_top/n_241 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[17] ),
+	.X(\soc_top/n_1051 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128174  (
+	.A1(\soc_top/FE_OFN132_core_InstructionDecode_io_writeData_18 ),
+	.A2(\soc_top/n_253 ),
+	.B1(\soc_top/n_241 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[18] ),
+	.X(\soc_top/n_1050 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128175  (
+	.A1(\soc_top/FE_OFN178_n_253 ),
+	.A2(\soc_top/FE_OFN6620_n ),
+	.B1(\soc_top/n_241 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[19] ),
+	.X(\soc_top/n_1049 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128176  (
+	.A1(\soc_top/FE_OFN121_core_InstructionDecode_io_writeData_29 ),
+	.A2(\soc_top/FE_OFN178_n_253 ),
+	.B1(\soc_top/n_241 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[29] ),
+	.X(\soc_top/n_1048 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128177  (
+	.A1(\soc_top/FE_OFN184_n_263 ),
+	.A2(\soc_top/FE_OFN5653_core_InstructionDecode_io_writeData_6 ),
+	.B1(\soc_top/n_254 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[6] ),
+	.X(\soc_top/n_1047 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128178  (
+	.A1(\soc_top/FE_OFN185_n_267 ),
+	.A2(\soc_top/FE_OFN5668_core_InstructionDecode_io_writeData_14 ),
+	.B1(\soc_top/n_249 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[14] ),
+	.X(\soc_top/n_1046 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128179  (
+	.A1(\soc_top/FE_OFN178_n_253 ),
+	.A2(\soc_top/n_4049 ),
+	.B1(\soc_top/n_241 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[21] ),
+	.X(\soc_top/n_1045 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128180  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[8] ),
+	.A2(\soc_top/FE_OFN184_n_263 ),
+	.B1(\soc_top/n_254 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[8] ),
+	.X(\soc_top/n_1044 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128181  (
+	.A1(\soc_top/FE_OFN184_n_263 ),
+	.A2(\soc_top/core_InstructionDecode_io_writeData[7] ),
+	.B1(\soc_top/n_254 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[7] ),
+	.X(\soc_top/n_1043 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128182  (
+	.A1(\soc_top/FE_OFN6621_n_4099 ),
+	.A2(\soc_top/FE_OFN178_n_253 ),
+	.B1(\soc_top/n_241 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[23] ),
+	.X(\soc_top/n_1042 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128183  (
+	.A1(\soc_top/FE_OFN126_core_InstructionDecode_io_writeData_24 ),
+	.A2(\soc_top/FE_OFN178_n_253 ),
+	.B1(\soc_top/n_241 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[24] ),
+	.X(\soc_top/n_1041 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128184  (
+	.A1(\soc_top/FE_OFN125_core_InstructionDecode_io_writeData_25 ),
+	.A2(\soc_top/n_253 ),
+	.B1(\soc_top/n_241 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[25] ),
+	.X(\soc_top/n_1040 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128185  (
+	.A1(\soc_top/FE_OFN5623_core_InstructionDecode_io_writeData_17 ),
+	.A2(\soc_top/n_259 ),
+	.B1(\soc_top/n_243 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[17] ),
+	.X(\soc_top/n_1039 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128186  (
+	.A1(\soc_top/FE_OFN123_core_InstructionDecode_io_writeData_27 ),
+	.A2(\soc_top/n_253 ),
+	.B1(\soc_top/n_241 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[27] ),
+	.X(\soc_top/n_1038 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128187  (
+	.A1(\soc_top/FE_OFN130_core_InstructionDecode_io_writeData_20 ),
+	.A2(\soc_top/FE_OFN178_n_253 ),
+	.B1(\soc_top/n_241 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[20] ),
+	.X(\soc_top/n_1037 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128188  (
+	.A1(\soc_top/FE_OFN122_core_InstructionDecode_io_writeData_28 ),
+	.A2(\soc_top/FE_OFN178_n_253 ),
+	.B1(\soc_top/n_241 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[28] ),
+	.X(\soc_top/n_1036 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128189  (
+	.A1(\soc_top/FE_OFN185_n_267 ),
+	.A2(\soc_top/n_5002 ),
+	.B1(\soc_top/n_249 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[13] ),
+	.X(\soc_top/n_1035 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128190  (
+	.A1(\soc_top/FE_OFN140_core_InstructionDecode_io_writeData_10 ),
+	.A2(\soc_top/n_263 ),
+	.B1(\soc_top/n_254 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[10] ),
+	.X(\soc_top/n_1034 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128191  (
+	.A1(\soc_top/FE_OFN5657_core_InstructionDecode_io_writeData_18 ),
+	.A2(\soc_top/FE_OFN184_n_263 ),
+	.B1(\soc_top/n_254 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[18] ),
+	.X(\soc_top/n_1033 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128192  (
+	.A1(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.A2(\soc_top/FE_OFN178_n_253 ),
+	.B1(\soc_top/n_241 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_23[31] ),
+	.X(\soc_top/n_1032 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128193  (
+	.A1(\soc_top/FE_OFN186_n_269 ),
+	.A2(\soc_top/FE_OFN5620_core_InstructionDecode_io_writeData_0 ),
+	.B1(\soc_top/n_170 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[0] ),
+	.X(\soc_top/n_1031 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128194  (
+	.A1(\soc_top/FE_OFN138_core_InstructionDecode_io_writeData_12 ),
+	.A2(\soc_top/n_263 ),
+	.B1(\soc_top/n_254 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[12] ),
+	.X(\soc_top/n_1030 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128195  (
+	.A1(\soc_top/FE_OFN186_n_269 ),
+	.A2(\soc_top/n_2728 ),
+	.B1(\soc_top/n_170 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[1] ),
+	.X(\soc_top/n_1029 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128196  (
+	.A1(\soc_top/n_269 ),
+	.A2(\soc_top/FE_OFN5603_n_6544 ),
+	.B1(\soc_top/n_170 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[2] ),
+	.X(\soc_top/n_1028 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128197  (
+	.A1(\soc_top/n_269 ),
+	.A2(\soc_top/FE_OFN6633_n_2711 ),
+	.B1(\soc_top/n_170 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[3] ),
+	.X(\soc_top/n_1027 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128198  (
+	.A1(\soc_top/FE_OFN186_n_269 ),
+	.A2(\soc_top/FE_OFN5619_n_6545 ),
+	.B1(\soc_top/n_170 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[4] ),
+	.X(\soc_top/n_1026 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128199  (
+	.A1(\soc_top/FE_OFN5668_core_InstructionDecode_io_writeData_14 ),
+	.A2(\soc_top/FE_OFN184_n_263 ),
+	.B1(\soc_top/n_254 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[14] ),
+	.X(\soc_top/n_1025 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128200  (
+	.A1(\soc_top/FE_OFN134_core_InstructionDecode_io_writeData_16 ),
+	.A2(\soc_top/n_263 ),
+	.B1(\soc_top/n_254 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[16] ),
+	.X(\soc_top/n_1024 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128201  (
+	.A1(\soc_top/FE_OFN186_n_269 ),
+	.A2(\soc_top/FE_OFN5653_core_InstructionDecode_io_writeData_6 ),
+	.B1(\soc_top/n_170 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[6] ),
+	.X(\soc_top/n_1023 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128202  (
+	.A1(\soc_top/FE_OFN186_n_269 ),
+	.A2(\soc_top/FE_OFN142_core_InstructionDecode_io_writeData_8 ),
+	.B1(\soc_top/n_170 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[8] ),
+	.X(\soc_top/n_1022 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128203  (
+	.A1(\soc_top/n_269 ),
+	.A2(\soc_top/FE_OFN140_core_InstructionDecode_io_writeData_10 ),
+	.B1(\soc_top/n_170 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[10] ),
+	.X(\soc_top/n_1021 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128204  (
+	.A1(\soc_top/FE_OFN139_core_InstructionDecode_io_writeData_11 ),
+	.A2(\soc_top/n_269 ),
+	.B1(\soc_top/n_170 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[11] ),
+	.X(\soc_top/n_1020 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128205  (
+	.A1(\soc_top/FE_OFN138_core_InstructionDecode_io_writeData_12 ),
+	.A2(\soc_top/n_269 ),
+	.B1(\soc_top/n_170 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[12] ),
+	.X(\soc_top/n_1019 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128206  (
+	.A1(\soc_top/FE_OFN186_n_269 ),
+	.A2(\soc_top/FE_OFN5668_core_InstructionDecode_io_writeData_14 ),
+	.B1(\soc_top/n_170 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[14] ),
+	.X(\soc_top/n_1018 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128207  (
+	.A1(\soc_top/FE_OFN186_n_269 ),
+	.A2(\soc_top/FE_OFN5644_core_InstructionDecode_io_writeData_15 ),
+	.B1(\soc_top/n_170 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[15] ),
+	.X(\soc_top/n_1017 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128208  (
+	.A1(\soc_top/FE_OFN134_core_InstructionDecode_io_writeData_16 ),
+	.A2(\soc_top/n_269 ),
+	.B1(\soc_top/n_170 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[16] ),
+	.X(\soc_top/n_1016 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128209  (
+	.A1(\soc_top/FE_OFN6615_core_InstructionDecode_io_writeData_20 ),
+	.A2(\soc_top/n_263 ),
+	.B1(\soc_top/n_254 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[20] ),
+	.X(\soc_top/n_1015 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128210  (
+	.A1(\soc_top/n_4049 ),
+	.A2(\soc_top/n_263 ),
+	.B1(\soc_top/n_254 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[21] ),
+	.X(\soc_top/n_1014 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128211  (
+	.A1(\soc_top/FE_OFN133_core_InstructionDecode_io_writeData_17 ),
+	.A2(\soc_top/n_269 ),
+	.B1(\soc_top/n_170 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[17] ),
+	.X(\soc_top/n_1013 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128212  (
+	.A1(\soc_top/FE_OFN5657_core_InstructionDecode_io_writeData_18 ),
+	.A2(\soc_top/FE_OFN186_n_269 ),
+	.B1(\soc_top/n_170 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[18] ),
+	.X(\soc_top/n_1012 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128213  (
+	.A1(\soc_top/FE_OFN131_core_InstructionDecode_io_writeData_19 ),
+	.A2(\soc_top/n_269 ),
+	.B1(\soc_top/n_170 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[19] ),
+	.X(\soc_top/n_1011 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128214  (
+	.A1(\soc_top/FE_OFN6615_core_InstructionDecode_io_writeData_20 ),
+	.A2(\soc_top/n_269 ),
+	.B1(\soc_top/n_170 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[20] ),
+	.X(\soc_top/n_1010 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128215  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[22] ),
+	.A2(\soc_top/FE_OFN184_n_263 ),
+	.B1(\soc_top/n_254 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[22] ),
+	.X(\soc_top/n_1009 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128216  (
+	.A1(\soc_top/n_4049 ),
+	.A2(\soc_top/n_269 ),
+	.B1(\soc_top/n_170 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[21] ),
+	.X(\soc_top/n_1008 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128217  (
+	.A1(\soc_top/FE_OFN6621_n_4099 ),
+	.A2(\soc_top/n_263 ),
+	.B1(\soc_top/n_254 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[23] ),
+	.X(\soc_top/n_1007 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128218  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[22] ),
+	.A2(\soc_top/FE_OFN186_n_269 ),
+	.B1(\soc_top/n_170 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[22] ),
+	.X(\soc_top/n_1006 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128219  (
+	.A1(\soc_top/n_4102 ),
+	.A2(\soc_top/FE_OFN184_n_263 ),
+	.B1(\soc_top/n_254 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[24] ),
+	.X(\soc_top/n_1005 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128220  (
+	.A1(\soc_top/FE_OFN6621_n_4099 ),
+	.A2(\soc_top/n_269 ),
+	.B1(\soc_top/n_170 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[23] ),
+	.X(\soc_top/n_1004 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128221  (
+	.A1(\soc_top/n_4102 ),
+	.A2(\soc_top/FE_OFN186_n_269 ),
+	.B1(\soc_top/n_170 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[24] ),
+	.X(\soc_top/n_1003 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128222  (
+	.A1(\soc_top/FE_OFN125_core_InstructionDecode_io_writeData_25 ),
+	.A2(\soc_top/FE_OFN184_n_263 ),
+	.B1(\soc_top/n_254 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[25] ),
+	.X(\soc_top/n_1002 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128223  (
+	.A1(\soc_top/FE_OFN125_core_InstructionDecode_io_writeData_25 ),
+	.A2(\soc_top/FE_OFN186_n_269 ),
+	.B1(\soc_top/n_170 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[25] ),
+	.X(\soc_top/n_1001 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128224  (
+	.A1(\soc_top/FE_OFN5629_core_InstructionDecode_io_writeData_26 ),
+	.A2(\soc_top/FE_OFN186_n_269 ),
+	.B1(\soc_top/n_170 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[26] ),
+	.X(\soc_top/n_1000 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128225  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[27] ),
+	.A2(\soc_top/FE_OFN186_n_269 ),
+	.B1(\soc_top/n_170 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[27] ),
+	.X(\soc_top/n_999 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128226  (
+	.A1(\soc_top/FE_OFN122_core_InstructionDecode_io_writeData_28 ),
+	.A2(\soc_top/n_269 ),
+	.B1(\soc_top/n_170 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[28] ),
+	.X(\soc_top/n_998 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128227  (
+	.A1(\soc_top/FE_OFN5629_core_InstructionDecode_io_writeData_26 ),
+	.A2(\soc_top/FE_OFN184_n_263 ),
+	.B1(\soc_top/n_254 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[26] ),
+	.X(\soc_top/n_997 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128228  (
+	.A1(\soc_top/FE_OFN121_core_InstructionDecode_io_writeData_29 ),
+	.A2(\soc_top/FE_OFN186_n_269 ),
+	.B1(\soc_top/n_170 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[29] ),
+	.X(\soc_top/n_996 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128229  (
+	.A1(\soc_top/FE_OFN120_core_InstructionDecode_io_writeData_30 ),
+	.A2(\soc_top/n_269 ),
+	.B1(\soc_top/n_170 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[30] ),
+	.X(\soc_top/n_995 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128230  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[27] ),
+	.A2(\soc_top/FE_OFN184_n_263 ),
+	.B1(\soc_top/n_254 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[27] ),
+	.X(\soc_top/n_994 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128231  (
+	.A1(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.A2(\soc_top/FE_OFN186_n_269 ),
+	.B1(\soc_top/n_170 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[31] ),
+	.X(\soc_top/n_993 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128232  (
+	.A1(\soc_top/FE_OFN122_core_InstructionDecode_io_writeData_28 ),
+	.A2(\soc_top/n_263 ),
+	.B1(\soc_top/n_254 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[28] ),
+	.X(\soc_top/n_992 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128233  (
+	.A1(\soc_top/FE_OFN121_core_InstructionDecode_io_writeData_29 ),
+	.A2(\soc_top/FE_OFN184_n_263 ),
+	.B1(\soc_top/n_254 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[29] ),
+	.X(\soc_top/n_991 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128234  (
+	.A1(\soc_top/FE_OFN120_core_InstructionDecode_io_writeData_30 ),
+	.A2(\soc_top/n_263 ),
+	.B1(\soc_top/n_254 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[30] ),
+	.X(\soc_top/n_990 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128235  (
+	.A1(\soc_top/FE_OFN187_n_273 ),
+	.A2(\soc_top/n_2728 ),
+	.B1(\soc_top/n_264 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[1] ),
+	.X(\soc_top/n_989 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128236  (
+	.A1(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.A2(\soc_top/FE_OFN184_n_263 ),
+	.B1(\soc_top/n_254 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[31] ),
+	.X(\soc_top/n_988 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128237  (
+	.A1(\soc_top/FE_OFN187_n_273 ),
+	.A2(\soc_top/FE_OFN5620_core_InstructionDecode_io_writeData_0 ),
+	.B1(\soc_top/n_264 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[0] ),
+	.X(\soc_top/n_987 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128238  (
+	.A1(\soc_top/n_273 ),
+	.A2(\soc_top/FE_OFN5603_n_6544 ),
+	.B1(\soc_top/n_264 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[2] ),
+	.X(\soc_top/n_986 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128239  (
+	.A1(\soc_top/FE_OFN187_n_273 ),
+	.A2(\soc_top/FE_OFN6633_n_2711 ),
+	.B1(\soc_top/n_264 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[3] ),
+	.X(\soc_top/n_985 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128240  (
+	.A1(\soc_top/FE_OFN187_n_273 ),
+	.A2(\soc_top/FE_OFN5619_n_6545 ),
+	.B1(\soc_top/n_264 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[4] ),
+	.X(\soc_top/n_984 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128241  (
+	.A1(\soc_top/n_273 ),
+	.A2(\soc_top/FE_OFN5608_n_6546 ),
+	.B1(\soc_top/n_264 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[5] ),
+	.X(\soc_top/n_983 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128242  (
+	.A1(\soc_top/FE_OFN187_n_273 ),
+	.A2(\soc_top/FE_OFN73_core_InstructionDecode_io_writeData_6 ),
+	.B1(\soc_top/n_264 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[6] ),
+	.X(\soc_top/n_982 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128243  (
+	.A1(\soc_top/FE_OFN187_n_273 ),
+	.A2(\soc_top/FE_OFN72_core_InstructionDecode_io_writeData_7 ),
+	.B1(\soc_top/n_264 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[7] ),
+	.X(\soc_top/n_981 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128244  (
+	.A1(\soc_top/FE_OFN142_core_InstructionDecode_io_writeData_8 ),
+	.A2(\soc_top/n_273 ),
+	.B1(\soc_top/n_264 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[8] ),
+	.X(\soc_top/n_980 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128245  (
+	.A1(\soc_top/FE_OFN141_core_InstructionDecode_io_writeData_9 ),
+	.A2(\soc_top/n_273 ),
+	.B1(\soc_top/n_264 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[9] ),
+	.X(\soc_top/n_979 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128246  (
+	.A1(\soc_top/FE_OFN140_core_InstructionDecode_io_writeData_10 ),
+	.A2(\soc_top/n_273 ),
+	.B1(\soc_top/n_264 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[10] ),
+	.X(\soc_top/n_978 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128247  (
+	.A1(\soc_top/FE_OFN139_core_InstructionDecode_io_writeData_11 ),
+	.A2(\soc_top/n_273 ),
+	.B1(\soc_top/n_264 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[11] ),
+	.X(\soc_top/n_977 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128248  (
+	.A1(\soc_top/FE_OFN138_core_InstructionDecode_io_writeData_12 ),
+	.A2(\soc_top/n_273 ),
+	.B1(\soc_top/n_264 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[12] ),
+	.X(\soc_top/n_976 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128249  (
+	.A1(\soc_top/FE_OFN181_n_260 ),
+	.A2(\soc_top/FE_OFN5620_core_InstructionDecode_io_writeData_0 ),
+	.B1(\soc_top/n_275 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[0] ),
+	.X(\soc_top/n_975 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128250  (
+	.A1(\soc_top/FE_OFN137_core_InstructionDecode_io_writeData_13 ),
+	.A2(\soc_top/n_273 ),
+	.B1(\soc_top/n_264 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[13] ),
+	.X(\soc_top/n_974 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128251  (
+	.A1(\soc_top/FE_OFN181_n_260 ),
+	.A2(\soc_top/n_2728 ),
+	.B1(\soc_top/n_275 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[1] ),
+	.X(\soc_top/n_973 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128252  (
+	.A1(\soc_top/n_260 ),
+	.A2(\soc_top/FE_OFN5603_n_6544 ),
+	.B1(\soc_top/n_275 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[2] ),
+	.X(\soc_top/n_972 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128253  (
+	.A1(\soc_top/FE_OFN136_core_InstructionDecode_io_writeData_14 ),
+	.A2(\soc_top/FE_OFN187_n_273 ),
+	.B1(\soc_top/n_264 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[14] ),
+	.X(\soc_top/n_971 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128254  (
+	.A1(\soc_top/n_260 ),
+	.A2(\soc_top/FE_OFN6633_n_2711 ),
+	.B1(\soc_top/n_275 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[3] ),
+	.X(\soc_top/n_970 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128255  (
+	.A1(\soc_top/n_260 ),
+	.A2(\soc_top/FE_OFN5619_n_6545 ),
+	.B1(\soc_top/n_275 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[4] ),
+	.X(\soc_top/n_969 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128256  (
+	.A1(\soc_top/FE_OFN181_n_260 ),
+	.A2(\soc_top/FE_OFN5608_n_6546 ),
+	.B1(\soc_top/n_275 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[5] ),
+	.X(\soc_top/n_968 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128257  (
+	.A1(\soc_top/FE_OFN181_n_260 ),
+	.A2(\soc_top/FE_OFN5653_core_InstructionDecode_io_writeData_6 ),
+	.B1(\soc_top/n_275 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[6] ),
+	.X(\soc_top/n_967 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128258  (
+	.A1(\soc_top/n_260 ),
+	.A2(\soc_top/core_InstructionDecode_io_writeData[7] ),
+	.B1(\soc_top/n_275 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[7] ),
+	.X(\soc_top/n_966 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128259  (
+	.A1(\soc_top/FE_OFN5623_core_InstructionDecode_io_writeData_17 ),
+	.A2(\soc_top/n_273 ),
+	.B1(\soc_top/n_264 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[17] ),
+	.X(\soc_top/n_965 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128260  (
+	.A1(\soc_top/n_260 ),
+	.A2(\soc_top/core_InstructionDecode_io_writeData[8] ),
+	.B1(\soc_top/n_275 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[8] ),
+	.X(\soc_top/n_964 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128261  (
+	.A1(\soc_top/FE_OFN187_n_273 ),
+	.A2(\soc_top/FE_OFN135_core_InstructionDecode_io_writeData_15 ),
+	.B1(\soc_top/n_264 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[15] ),
+	.X(\soc_top/n_963 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128262  (
+	.A1(\soc_top/n_260 ),
+	.A2(\soc_top/core_InstructionDecode_io_writeData[9] ),
+	.B1(\soc_top/n_275 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[9] ),
+	.X(\soc_top/n_962 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128263  (
+	.A1(\soc_top/FE_OFN6623_n ),
+	.A2(\soc_top/FE_OFN187_n_273 ),
+	.B1(\soc_top/n_264 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[16] ),
+	.X(\soc_top/n_961 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128264  (
+	.A1(\soc_top/n_260 ),
+	.A2(\soc_top/FE_OFN140_core_InstructionDecode_io_writeData_10 ),
+	.B1(\soc_top/n_275 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[10] ),
+	.X(\soc_top/n_960 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128265  (
+	.A1(\soc_top/FE_OFN132_core_InstructionDecode_io_writeData_18 ),
+	.A2(\soc_top/FE_OFN187_n_273 ),
+	.B1(\soc_top/n_264 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[18] ),
+	.X(\soc_top/n_959 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128266  (
+	.A1(\soc_top/n_260 ),
+	.A2(\soc_top/FE_OFN139_core_InstructionDecode_io_writeData_11 ),
+	.B1(\soc_top/n_275 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[11] ),
+	.X(\soc_top/n_958 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128267  (
+	.A1(\soc_top/n_260 ),
+	.A2(\soc_top/FE_OFN6625_core_InstructionDecode_io_writeData_12 ),
+	.B1(\soc_top/n_275 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[12] ),
+	.X(\soc_top/n_957 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128268  (
+	.A1(\soc_top/FE_OFN181_n_260 ),
+	.A2(\soc_top/n_5002 ),
+	.B1(\soc_top/n_275 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[13] ),
+	.X(\soc_top/n_956 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128269  (
+	.A1(\soc_top/FE_OFN6620_n ),
+	.A2(\soc_top/n_273 ),
+	.B1(\soc_top/n_264 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[19] ),
+	.X(\soc_top/n_955 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128270  (
+	.A1(\soc_top/FE_OFN181_n_260 ),
+	.A2(\soc_top/FE_OFN136_core_InstructionDecode_io_writeData_14 ),
+	.B1(\soc_top/n_275 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[14] ),
+	.X(\soc_top/n_954 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128271  (
+	.A1(\soc_top/FE_OFN130_core_InstructionDecode_io_writeData_20 ),
+	.A2(\soc_top/n_273 ),
+	.B1(\soc_top/n_264 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[20] ),
+	.X(\soc_top/n_953 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128272  (
+	.A1(\soc_top/FE_OFN181_n_260 ),
+	.A2(\soc_top/FE_OFN5644_core_InstructionDecode_io_writeData_15 ),
+	.B1(\soc_top/n_275 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[15] ),
+	.X(\soc_top/n_952 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128273  (
+	.A1(\soc_top/n_4049 ),
+	.A2(\soc_top/n_273 ),
+	.B1(\soc_top/n_264 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[21] ),
+	.X(\soc_top/n_951 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128274  (
+	.A1(\soc_top/n_260 ),
+	.A2(\soc_top/FE_OFN134_core_InstructionDecode_io_writeData_16 ),
+	.B1(\soc_top/n_275 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[16] ),
+	.X(\soc_top/n_950 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128275  (
+	.A1(\soc_top/n_260 ),
+	.A2(\soc_top/FE_OFN133_core_InstructionDecode_io_writeData_17 ),
+	.B1(\soc_top/n_275 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[17] ),
+	.X(\soc_top/n_949 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128276  (
+	.A1(\soc_top/FE_OFN5657_core_InstructionDecode_io_writeData_18 ),
+	.A2(\soc_top/FE_OFN181_n_260 ),
+	.B1(\soc_top/n_275 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[18] ),
+	.X(\soc_top/n_948 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128277  (
+	.A1(\soc_top/FE_OFN128_core_InstructionDecode_io_writeData_22 ),
+	.A2(\soc_top/FE_OFN187_n_273 ),
+	.B1(\soc_top/n_264 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[22] ),
+	.X(\soc_top/n_947 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128278  (
+	.A1(\soc_top/n_260 ),
+	.A2(\soc_top/FE_OFN131_core_InstructionDecode_io_writeData_19 ),
+	.B1(\soc_top/n_275 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[19] ),
+	.X(\soc_top/n_946 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128279  (
+	.A1(\soc_top/FE_OFN6615_core_InstructionDecode_io_writeData_20 ),
+	.A2(\soc_top/n_260 ),
+	.B1(\soc_top/n_275 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[20] ),
+	.X(\soc_top/n_945 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128280  (
+	.A1(\soc_top/FE_OFN6621_n_4099 ),
+	.A2(\soc_top/n_273 ),
+	.B1(\soc_top/n_264 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[23] ),
+	.X(\soc_top/n_944 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128281  (
+	.A1(\soc_top/n_260 ),
+	.A2(\soc_top/n_4049 ),
+	.B1(\soc_top/n_275 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[21] ),
+	.X(\soc_top/n_943 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128282  (
+	.A1(\soc_top/FE_OFN126_core_InstructionDecode_io_writeData_24 ),
+	.A2(\soc_top/FE_OFN187_n_273 ),
+	.B1(\soc_top/n_264 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[24] ),
+	.X(\soc_top/n_942 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128283  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[22] ),
+	.A2(\soc_top/FE_OFN181_n_260 ),
+	.B1(\soc_top/n_275 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[22] ),
+	.X(\soc_top/n_941 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128284  (
+	.A1(\soc_top/FE_OFN6621_n_4099 ),
+	.A2(\soc_top/n_260 ),
+	.B1(\soc_top/n_275 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[23] ),
+	.X(\soc_top/n_940 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128285  (
+	.A1(\soc_top/FE_OFN125_core_InstructionDecode_io_writeData_25 ),
+	.A2(\soc_top/FE_OFN187_n_273 ),
+	.B1(\soc_top/n_264 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[25] ),
+	.X(\soc_top/n_939 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128286  (
+	.A1(\soc_top/n_4102 ),
+	.A2(\soc_top/FE_OFN181_n_260 ),
+	.B1(\soc_top/n_275 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[24] ),
+	.X(\soc_top/n_938 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128287  (
+	.A1(\soc_top/FE_OFN125_core_InstructionDecode_io_writeData_25 ),
+	.A2(\soc_top/FE_OFN181_n_260 ),
+	.B1(\soc_top/n_275 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[25] ),
+	.X(\soc_top/n_937 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128288  (
+	.A1(\soc_top/FE_OFN5629_core_InstructionDecode_io_writeData_26 ),
+	.A2(\soc_top/n_260 ),
+	.B1(\soc_top/n_275 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[26] ),
+	.X(\soc_top/n_936 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128289  (
+	.A1(\soc_top/FE_OFN124_core_InstructionDecode_io_writeData_26 ),
+	.A2(\soc_top/FE_OFN187_n_273 ),
+	.B1(\soc_top/n_264 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[26] ),
+	.X(\soc_top/n_935 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128290  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[27] ),
+	.A2(\soc_top/FE_OFN181_n_260 ),
+	.B1(\soc_top/n_275 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[27] ),
+	.X(\soc_top/n_934 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128291  (
+	.A1(\soc_top/FE_OFN122_core_InstructionDecode_io_writeData_28 ),
+	.A2(\soc_top/n_260 ),
+	.B1(\soc_top/n_275 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[28] ),
+	.X(\soc_top/n_933 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128292  (
+	.A1(\soc_top/FE_OFN121_core_InstructionDecode_io_writeData_29 ),
+	.A2(\soc_top/FE_OFN181_n_260 ),
+	.B1(\soc_top/n_275 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[29] ),
+	.X(\soc_top/n_932 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128293  (
+	.A1(\soc_top/FE_OFN123_core_InstructionDecode_io_writeData_27 ),
+	.A2(\soc_top/FE_OFN187_n_273 ),
+	.B1(\soc_top/n_264 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[27] ),
+	.X(\soc_top/n_931 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128294  (
+	.A1(\soc_top/FE_OFN120_core_InstructionDecode_io_writeData_30 ),
+	.A2(\soc_top/n_260 ),
+	.B1(\soc_top/n_275 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[30] ),
+	.X(\soc_top/n_930 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128295  (
+	.A1(\soc_top/FE_OFN122_core_InstructionDecode_io_writeData_28 ),
+	.A2(\soc_top/n_273 ),
+	.B1(\soc_top/n_264 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[28] ),
+	.X(\soc_top/n_929 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128296  (
+	.A1(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.A2(\soc_top/FE_OFN181_n_260 ),
+	.B1(\soc_top/n_275 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_26[31] ),
+	.X(\soc_top/n_928 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128297  (
+	.A1(\soc_top/FE_OFN121_core_InstructionDecode_io_writeData_29 ),
+	.A2(\soc_top/n_273 ),
+	.B1(\soc_top/n_264 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[29] ),
+	.X(\soc_top/n_927 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128298  (
+	.A1(\soc_top/FE_OFN168_n_175 ),
+	.A2(\soc_top/FE_OFN5620_core_InstructionDecode_io_writeData_0 ),
+	.B1(\soc_top/n_239 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_27[0] ),
+	.X(\soc_top/n_926 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128299  (
+	.A1(\soc_top/FE_OFN168_n_175 ),
+	.A2(\soc_top/n_2728 ),
+	.B1(\soc_top/n_239 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_27[1] ),
+	.X(\soc_top/n_925 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128300  (
+	.A1(\soc_top/n_175 ),
+	.A2(\soc_top/FE_OFN5603_n_6544 ),
+	.B1(\soc_top/n_239 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_27[2] ),
+	.X(\soc_top/n_924 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128301  (
+	.A1(\soc_top/FE_OFN120_core_InstructionDecode_io_writeData_30 ),
+	.A2(\soc_top/n_273 ),
+	.B1(\soc_top/n_264 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[30] ),
+	.X(\soc_top/n_923 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128302  (
+	.A1(\soc_top/FE_OFN168_n_175 ),
+	.A2(\soc_top/FE_OFN6633_n_2711 ),
+	.B1(\soc_top/n_239 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_27[3] ),
+	.X(\soc_top/n_922 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 \soc_top/g128303  (
+	.A(\soc_top/gen_dmem_host_adrReg[3] ),
+	.B(\soc_top/gen_dmem_host_adrReg[4] ),
+	.C(\soc_top/n_9 ),
+	.D(\soc_top/n_6435 ),
+	.X(\soc_top/n_1431 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128304  (
+	.A(\soc_top/n_384 ),
+	.B_N(\soc_top/n_277 ),
+	.Y(\soc_top/n_1430 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g128305  (
+	.A1(\soc_top/gpio_io_rsp_valid ),
+	.A2(\soc_top/n_3061 ),
+	.B1(\soc_top/dmem_io_rsp_valid ),
+	.B2(\soc_top/n_6610 ),
+	.C1(\soc_top/switch_io_devSel[1] ),
+	.C2(\soc_top/switch_io_devIn_2_bits_dat[31] ),
+	.Y(\soc_top/n_1429 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128306  (
+	.A1(\soc_top/n_194 ),
+	.A2(\soc_top/n_277 ),
+	.B1(\soc_top/n_384 ),
+	.Y(\soc_top/n_1427 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 \soc_top/g128307  (
+	.A_N(\soc_top/n_385 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_1425 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 \soc_top/g128308  (
+	.A_N(\soc_top/n_386 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_1424 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g128309  (
+	.A(\soc_top/n_385 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_1423 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 \soc_top/g128310  (
+	.A(\soc_top/n_409 ),
+	.B(\soc_top/FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_1422 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g128311  (
+	.A(\soc_top/n_386 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_1420 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4bb_4 \soc_top/g128312  (
+	.A(\soc_top/n_6885 ),
+	.B(\soc_top/n_5598 ),
+	.C_N(\soc_top/n_6003 ),
+	.D_N(\soc_top/n_6002 ),
+	.X(\soc_top/n_1419 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g128313  (
+	.A(\soc_top/FE_OFN262_n_407 ),
+	.B(\soc_top/FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_1418 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g128314  (
+	.A(\soc_top/FE_OFN248_n_6445 ),
+	.B(\soc_top/FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_1417 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g128315  (
+	.A(\soc_top/n_381 ),
+	.B(\soc_top/FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_1416 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g128316  (
+	.A(\soc_top/n_410 ),
+	.B(\soc_top/FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_1415 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2b_4 \soc_top/g128317  (
+	.A(\soc_top/FE_OFN249_n_6445 ),
+	.B_N(\soc_top/FE_OFN162_core_InstructionDecode_io_hdu_pcWrite ),
+	.X(\soc_top/n_1414 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128318  (
+	.A1(\soc_top/n_238 ),
+	.A2(\soc_top/FE_OFN6633_n_2711 ),
+	.B1(\soc_top/n_265 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[3] ),
+	.X(\soc_top/n_921 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128319  (
+	.A1(\soc_top/n_238 ),
+	.A2(\soc_top/FE_OFN5619_n_6545 ),
+	.B1(\soc_top/n_265 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[4] ),
+	.X(\soc_top/n_920 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128320  (
+	.A1(\soc_top/FE_OFN168_n_175 ),
+	.A2(\soc_top/FE_OFN135_core_InstructionDecode_io_writeData_15 ),
+	.B1(\soc_top/n_239 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_27[15] ),
+	.X(\soc_top/n_919 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128321  (
+	.A1(\soc_top/FE_OFN168_n_175 ),
+	.A2(\soc_top/FE_OFN6623_n ),
+	.B1(\soc_top/n_239 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_27[16] ),
+	.X(\soc_top/n_918 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128322  (
+	.A1(\soc_top/n_175 ),
+	.A2(\soc_top/FE_OFN5623_core_InstructionDecode_io_writeData_17 ),
+	.B1(\soc_top/n_239 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_27[17] ),
+	.X(\soc_top/n_917 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128323  (
+	.A1(\soc_top/FE_OFN132_core_InstructionDecode_io_writeData_18 ),
+	.A2(\soc_top/FE_OFN168_n_175 ),
+	.B1(\soc_top/n_239 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_27[18] ),
+	.X(\soc_top/n_916 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128324  (
+	.A1(\soc_top/n_238 ),
+	.A2(\soc_top/FE_OFN5608_n_6546 ),
+	.B1(\soc_top/n_265 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[5] ),
+	.X(\soc_top/n_915 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128325  (
+	.A1(\soc_top/n_175 ),
+	.A2(\soc_top/FE_OFN6620_n ),
+	.B1(\soc_top/n_239 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_27[19] ),
+	.X(\soc_top/n_914 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128326  (
+	.A1(\soc_top/n_238 ),
+	.A2(\soc_top/FE_OFN73_core_InstructionDecode_io_writeData_6 ),
+	.B1(\soc_top/n_265 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[6] ),
+	.X(\soc_top/n_913 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128327  (
+	.A1(\soc_top/FE_OFN130_core_InstructionDecode_io_writeData_20 ),
+	.A2(\soc_top/n_175 ),
+	.B1(\soc_top/n_239 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_27[20] ),
+	.X(\soc_top/n_912 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128328  (
+	.A1(\soc_top/n_238 ),
+	.A2(\soc_top/FE_OFN72_core_InstructionDecode_io_writeData_7 ),
+	.B1(\soc_top/n_265 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[7] ),
+	.X(\soc_top/n_911 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128329  (
+	.A1(\soc_top/n_175 ),
+	.A2(\soc_top/n_4049 ),
+	.B1(\soc_top/n_239 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_27[21] ),
+	.X(\soc_top/n_910 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128330  (
+	.A1(\soc_top/FE_OFN128_core_InstructionDecode_io_writeData_22 ),
+	.A2(\soc_top/FE_OFN168_n_175 ),
+	.B1(\soc_top/n_239 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_27[22] ),
+	.X(\soc_top/n_909 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128331  (
+	.A1(\soc_top/n_238 ),
+	.A2(\soc_top/FE_OFN142_core_InstructionDecode_io_writeData_8 ),
+	.B1(\soc_top/n_265 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[8] ),
+	.X(\soc_top/n_908 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128332  (
+	.A1(\soc_top/FE_OFN6621_n_4099 ),
+	.A2(\soc_top/n_175 ),
+	.B1(\soc_top/n_239 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_27[23] ),
+	.X(\soc_top/n_907 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128333  (
+	.A1(\soc_top/FE_OFN126_core_InstructionDecode_io_writeData_24 ),
+	.A2(\soc_top/FE_OFN168_n_175 ),
+	.B1(\soc_top/n_239 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_27[24] ),
+	.X(\soc_top/n_906 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128334  (
+	.A1(\soc_top/FE_OFN125_core_InstructionDecode_io_writeData_25 ),
+	.A2(\soc_top/FE_OFN168_n_175 ),
+	.B1(\soc_top/n_239 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_27[25] ),
+	.X(\soc_top/n_905 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128335  (
+	.A1(\soc_top/FE_OFN124_core_InstructionDecode_io_writeData_26 ),
+	.A2(\soc_top/FE_OFN168_n_175 ),
+	.B1(\soc_top/n_239 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_27[26] ),
+	.X(\soc_top/n_904 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128336  (
+	.A1(\soc_top/n_238 ),
+	.A2(\soc_top/FE_OFN141_core_InstructionDecode_io_writeData_9 ),
+	.B1(\soc_top/n_265 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[9] ),
+	.X(\soc_top/n_903 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128337  (
+	.A1(\soc_top/FE_OFN123_core_InstructionDecode_io_writeData_27 ),
+	.A2(\soc_top/FE_OFN168_n_175 ),
+	.B1(\soc_top/n_239 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_27[27] ),
+	.X(\soc_top/n_902 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128338  (
+	.A1(\soc_top/FE_OFN122_core_InstructionDecode_io_writeData_28 ),
+	.A2(\soc_top/n_175 ),
+	.B1(\soc_top/n_239 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_27[28] ),
+	.X(\soc_top/n_901 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128339  (
+	.A1(\soc_top/n_238 ),
+	.A2(\soc_top/FE_OFN140_core_InstructionDecode_io_writeData_10 ),
+	.B1(\soc_top/n_265 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[10] ),
+	.X(\soc_top/n_900 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128340  (
+	.A1(\soc_top/FE_OFN121_core_InstructionDecode_io_writeData_29 ),
+	.A2(\soc_top/n_175 ),
+	.B1(\soc_top/n_239 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_27[29] ),
+	.X(\soc_top/n_899 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128341  (
+	.A1(\soc_top/FE_OFN120_core_InstructionDecode_io_writeData_30 ),
+	.A2(\soc_top/n_175 ),
+	.B1(\soc_top/n_239 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_27[30] ),
+	.X(\soc_top/n_898 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128342  (
+	.A1(\soc_top/n_238 ),
+	.A2(\soc_top/FE_OFN139_core_InstructionDecode_io_writeData_11 ),
+	.B1(\soc_top/n_265 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[11] ),
+	.X(\soc_top/n_897 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128343  (
+	.A1(\soc_top/n_238 ),
+	.A2(\soc_top/FE_OFN138_core_InstructionDecode_io_writeData_12 ),
+	.B1(\soc_top/n_265 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[12] ),
+	.X(\soc_top/n_896 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128344  (
+	.A1(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.A2(\soc_top/FE_OFN168_n_175 ),
+	.B1(\soc_top/n_239 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_27[31] ),
+	.X(\soc_top/n_895 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128345  (
+	.A1(\soc_top/FE_OFN182_n_261 ),
+	.A2(\soc_top/FE_OFN76_core_InstructionDecode_io_writeData_0 ),
+	.B1(\soc_top/n_247 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[0] ),
+	.X(\soc_top/n_894 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128346  (
+	.A1(\soc_top/FE_OFN182_n_261 ),
+	.A2(\soc_top/n_2728 ),
+	.B1(\soc_top/n_247 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[1] ),
+	.X(\soc_top/n_893 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128347  (
+	.A1(\soc_top/n_238 ),
+	.A2(\soc_top/FE_OFN137_core_InstructionDecode_io_writeData_13 ),
+	.B1(\soc_top/n_265 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[13] ),
+	.X(\soc_top/n_892 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128348  (
+	.A1(\soc_top/n_261 ),
+	.A2(\soc_top/FE_OFN5603_n_6544 ),
+	.B1(\soc_top/n_247 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[2] ),
+	.X(\soc_top/n_891 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128349  (
+	.A1(\soc_top/n_238 ),
+	.A2(\soc_top/FE_OFN136_core_InstructionDecode_io_writeData_14 ),
+	.B1(\soc_top/n_265 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[14] ),
+	.X(\soc_top/n_890 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128350  (
+	.A1(\soc_top/n_261 ),
+	.A2(\soc_top/n_2711 ),
+	.B1(\soc_top/n_247 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[3] ),
+	.X(\soc_top/n_889 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128351  (
+	.A1(\soc_top/FE_OFN182_n_261 ),
+	.A2(\soc_top/FE_OFN5619_n_6545 ),
+	.B1(\soc_top/n_247 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[4] ),
+	.X(\soc_top/n_888 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128352  (
+	.A1(\soc_top/FE_OFN182_n_261 ),
+	.A2(\soc_top/FE_OFN5608_n_6546 ),
+	.B1(\soc_top/n_247 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[5] ),
+	.X(\soc_top/n_887 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128353  (
+	.A1(\soc_top/FE_OFN182_n_261 ),
+	.A2(\soc_top/core_InstructionDecode_io_writeData[6] ),
+	.B1(\soc_top/n_247 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[6] ),
+	.X(\soc_top/n_886 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128354  (
+	.A1(\soc_top/FE_OFN182_n_261 ),
+	.A2(\soc_top/core_InstructionDecode_io_writeData[7] ),
+	.B1(\soc_top/n_247 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[7] ),
+	.X(\soc_top/n_885 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128355  (
+	.A1(\soc_top/n_238 ),
+	.A2(\soc_top/FE_OFN5644_core_InstructionDecode_io_writeData_15 ),
+	.B1(\soc_top/n_265 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[15] ),
+	.X(\soc_top/n_884 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128356  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[8] ),
+	.A2(\soc_top/FE_OFN182_n_261 ),
+	.B1(\soc_top/n_247 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[8] ),
+	.X(\soc_top/n_883 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128357  (
+	.A1(\soc_top/n_238 ),
+	.A2(\soc_top/FE_OFN134_core_InstructionDecode_io_writeData_16 ),
+	.B1(\soc_top/n_265 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[16] ),
+	.X(\soc_top/n_882 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128358  (
+	.A1(\soc_top/FE_OFN141_core_InstructionDecode_io_writeData_9 ),
+	.A2(\soc_top/n_261 ),
+	.B1(\soc_top/n_247 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[9] ),
+	.X(\soc_top/n_881 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128359  (
+	.A1(\soc_top/n_238 ),
+	.A2(\soc_top/FE_OFN133_core_InstructionDecode_io_writeData_17 ),
+	.B1(\soc_top/n_265 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[17] ),
+	.X(\soc_top/n_880 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128360  (
+	.A1(\soc_top/FE_OFN140_core_InstructionDecode_io_writeData_10 ),
+	.A2(\soc_top/n_261 ),
+	.B1(\soc_top/n_247 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[10] ),
+	.X(\soc_top/n_879 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128361  (
+	.A1(\soc_top/FE_OFN139_core_InstructionDecode_io_writeData_11 ),
+	.A2(\soc_top/n_261 ),
+	.B1(\soc_top/n_247 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[11] ),
+	.X(\soc_top/n_878 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128362  (
+	.A1(\soc_top/FE_OFN138_core_InstructionDecode_io_writeData_12 ),
+	.A2(\soc_top/n_261 ),
+	.B1(\soc_top/n_247 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[12] ),
+	.X(\soc_top/n_877 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128363  (
+	.A1(\soc_top/FE_OFN132_core_InstructionDecode_io_writeData_18 ),
+	.A2(\soc_top/n_238 ),
+	.B1(\soc_top/n_265 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[18] ),
+	.X(\soc_top/n_876 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128364  (
+	.A1(\soc_top/n_5002 ),
+	.A2(\soc_top/FE_OFN182_n_261 ),
+	.B1(\soc_top/n_247 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[13] ),
+	.X(\soc_top/n_875 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128365  (
+	.A1(\soc_top/n_238 ),
+	.A2(\soc_top/FE_OFN6620_n ),
+	.B1(\soc_top/n_265 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[19] ),
+	.X(\soc_top/n_874 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128366  (
+	.A1(\soc_top/FE_OFN5668_core_InstructionDecode_io_writeData_14 ),
+	.A2(\soc_top/FE_OFN182_n_261 ),
+	.B1(\soc_top/n_247 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[14] ),
+	.X(\soc_top/n_873 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128367  (
+	.A1(\soc_top/FE_OFN130_core_InstructionDecode_io_writeData_20 ),
+	.A2(\soc_top/n_238 ),
+	.B1(\soc_top/n_265 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[20] ),
+	.X(\soc_top/n_872 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128368  (
+	.A1(\soc_top/FE_OFN182_n_261 ),
+	.A2(\soc_top/FE_OFN5644_core_InstructionDecode_io_writeData_15 ),
+	.B1(\soc_top/n_247 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[15] ),
+	.X(\soc_top/n_871 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128369  (
+	.A1(\soc_top/FE_OFN134_core_InstructionDecode_io_writeData_16 ),
+	.A2(\soc_top/n_261 ),
+	.B1(\soc_top/n_247 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[16] ),
+	.X(\soc_top/n_870 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128370  (
+	.A1(\soc_top/FE_OFN133_core_InstructionDecode_io_writeData_17 ),
+	.A2(\soc_top/n_261 ),
+	.B1(\soc_top/n_247 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[17] ),
+	.X(\soc_top/n_869 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128371  (
+	.A1(\soc_top/n_238 ),
+	.A2(\soc_top/n_4049 ),
+	.B1(\soc_top/n_265 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[21] ),
+	.X(\soc_top/n_868 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128372  (
+	.A1(\soc_top/FE_OFN5657_core_InstructionDecode_io_writeData_18 ),
+	.A2(\soc_top/FE_OFN182_n_261 ),
+	.B1(\soc_top/n_247 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[18] ),
+	.X(\soc_top/n_867 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128373  (
+	.A1(\soc_top/FE_OFN131_core_InstructionDecode_io_writeData_19 ),
+	.A2(\soc_top/n_261 ),
+	.B1(\soc_top/n_247 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[19] ),
+	.X(\soc_top/n_866 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128374  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[22] ),
+	.A2(\soc_top/n_238 ),
+	.B1(\soc_top/n_265 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[22] ),
+	.X(\soc_top/n_865 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128375  (
+	.A1(\soc_top/FE_OFN6615_core_InstructionDecode_io_writeData_20 ),
+	.A2(\soc_top/n_261 ),
+	.B1(\soc_top/n_247 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[20] ),
+	.X(\soc_top/n_864 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128376  (
+	.A1(\soc_top/FE_OFN6621_n_4099 ),
+	.A2(\soc_top/n_238 ),
+	.B1(\soc_top/n_265 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[23] ),
+	.X(\soc_top/n_863 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128377  (
+	.A1(\soc_top/n_4049 ),
+	.A2(\soc_top/n_261 ),
+	.B1(\soc_top/n_247 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[21] ),
+	.X(\soc_top/n_862 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128378  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[22] ),
+	.A2(\soc_top/FE_OFN182_n_261 ),
+	.B1(\soc_top/n_247 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[22] ),
+	.X(\soc_top/n_861 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128379  (
+	.A1(\soc_top/FE_OFN126_core_InstructionDecode_io_writeData_24 ),
+	.A2(\soc_top/n_238 ),
+	.B1(\soc_top/n_265 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[24] ),
+	.X(\soc_top/n_860 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128380  (
+	.A1(\soc_top/FE_OFN6621_n_4099 ),
+	.A2(\soc_top/n_261 ),
+	.B1(\soc_top/n_247 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[23] ),
+	.X(\soc_top/n_859 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128381  (
+	.A1(\soc_top/n_4102 ),
+	.A2(\soc_top/FE_OFN182_n_261 ),
+	.B1(\soc_top/n_247 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[24] ),
+	.X(\soc_top/n_858 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128382  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[25] ),
+	.A2(\soc_top/FE_OFN182_n_261 ),
+	.B1(\soc_top/n_247 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[25] ),
+	.X(\soc_top/n_857 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128383  (
+	.A1(\soc_top/FE_OFN125_core_InstructionDecode_io_writeData_25 ),
+	.A2(\soc_top/n_238 ),
+	.B1(\soc_top/n_265 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[25] ),
+	.X(\soc_top/n_856 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128384  (
+	.A1(\soc_top/FE_OFN5629_core_InstructionDecode_io_writeData_26 ),
+	.A2(\soc_top/FE_OFN182_n_261 ),
+	.B1(\soc_top/n_247 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[26] ),
+	.X(\soc_top/n_855 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128385  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[27] ),
+	.A2(\soc_top/FE_OFN182_n_261 ),
+	.B1(\soc_top/n_247 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[27] ),
+	.X(\soc_top/n_854 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128386  (
+	.A1(\soc_top/FE_OFN122_core_InstructionDecode_io_writeData_28 ),
+	.A2(\soc_top/n_261 ),
+	.B1(\soc_top/n_247 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[28] ),
+	.X(\soc_top/n_853 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128387  (
+	.A1(\soc_top/FE_OFN124_core_InstructionDecode_io_writeData_26 ),
+	.A2(\soc_top/n_238 ),
+	.B1(\soc_top/n_265 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[26] ),
+	.X(\soc_top/n_852 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128388  (
+	.A1(\soc_top/FE_OFN121_core_InstructionDecode_io_writeData_29 ),
+	.A2(\soc_top/FE_OFN182_n_261 ),
+	.B1(\soc_top/n_247 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[29] ),
+	.X(\soc_top/n_851 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128389  (
+	.A1(\soc_top/FE_OFN123_core_InstructionDecode_io_writeData_27 ),
+	.A2(\soc_top/n_238 ),
+	.B1(\soc_top/n_265 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[27] ),
+	.X(\soc_top/n_850 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128390  (
+	.A1(\soc_top/FE_OFN120_core_InstructionDecode_io_writeData_30 ),
+	.A2(\soc_top/n_261 ),
+	.B1(\soc_top/n_247 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[30] ),
+	.X(\soc_top/n_849 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128391  (
+	.A1(\soc_top/FE_OFN122_core_InstructionDecode_io_writeData_28 ),
+	.A2(\soc_top/n_238 ),
+	.B1(\soc_top/n_265 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[28] ),
+	.X(\soc_top/n_848 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128392  (
+	.A1(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.A2(\soc_top/FE_OFN182_n_261 ),
+	.B1(\soc_top/n_247 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[31] ),
+	.X(\soc_top/n_847 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128393  (
+	.A1(\soc_top/FE_OFN5680_core_InstructionDecode_io_writeData_29 ),
+	.A2(\soc_top/n_238 ),
+	.B1(\soc_top/n_265 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[29] ),
+	.X(\soc_top/n_846 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128394  (
+	.A1(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.A2(\soc_top/n_238 ),
+	.B1(\soc_top/n_265 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[31] ),
+	.X(\soc_top/n_845 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128395  (
+	.A1(\soc_top/FE_OFN120_core_InstructionDecode_io_writeData_30 ),
+	.A2(\soc_top/n_238 ),
+	.B1(\soc_top/n_265 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[30] ),
+	.X(\soc_top/n_844 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128396  (
+	.A1(\soc_top/n_257 ),
+	.A2(\soc_top/FE_OFN5620_core_InstructionDecode_io_writeData_0 ),
+	.B1(\soc_top/n_258 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[0] ),
+	.X(\soc_top/n_843 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128397  (
+	.A1(\soc_top/FE_OFN122_core_InstructionDecode_io_writeData_28 ),
+	.A2(\soc_top/n_240 ),
+	.B1(\soc_top/n_276 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[28] ),
+	.X(\soc_top/n_842 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128398  (
+	.A1(\soc_top/FE_OFN180_n_257 ),
+	.A2(\soc_top/n_2728 ),
+	.B1(\soc_top/n_258 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[1] ),
+	.X(\soc_top/n_841 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128399  (
+	.A1(\soc_top/FE_OFN180_n_257 ),
+	.A2(\soc_top/FE_OFN5603_n_6544 ),
+	.B1(\soc_top/n_258 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[2] ),
+	.X(\soc_top/n_840 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128400  (
+	.A1(\soc_top/n_257 ),
+	.A2(\soc_top/FE_OFN6633_n_2711 ),
+	.B1(\soc_top/n_258 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[3] ),
+	.X(\soc_top/n_839 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128401  (
+	.A1(\soc_top/n_257 ),
+	.A2(\soc_top/FE_OFN5619_n_6545 ),
+	.B1(\soc_top/n_258 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[4] ),
+	.X(\soc_top/n_838 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128402  (
+	.A1(\soc_top/FE_OFN123_core_InstructionDecode_io_writeData_27 ),
+	.A2(\soc_top/n_240 ),
+	.B1(\soc_top/n_276 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[27] ),
+	.X(\soc_top/n_837 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128403  (
+	.A1(\soc_top/FE_OFN180_n_257 ),
+	.A2(\soc_top/FE_OFN5608_n_6546 ),
+	.B1(\soc_top/n_258 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[5] ),
+	.X(\soc_top/n_836 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128404  (
+	.A1(\soc_top/n_257 ),
+	.A2(\soc_top/FE_OFN73_core_InstructionDecode_io_writeData_6 ),
+	.B1(\soc_top/n_258 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[6] ),
+	.X(\soc_top/n_835 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128405  (
+	.A1(\soc_top/n_257 ),
+	.A2(\soc_top/FE_OFN72_core_InstructionDecode_io_writeData_7 ),
+	.B1(\soc_top/n_258 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[7] ),
+	.X(\soc_top/n_834 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128406  (
+	.A1(\soc_top/FE_OFN125_core_InstructionDecode_io_writeData_25 ),
+	.A2(\soc_top/n_240 ),
+	.B1(\soc_top/n_276 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[25] ),
+	.X(\soc_top/n_833 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128407  (
+	.A1(\soc_top/n_257 ),
+	.A2(\soc_top/FE_OFN142_core_InstructionDecode_io_writeData_8 ),
+	.B1(\soc_top/n_258 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[8] ),
+	.X(\soc_top/n_832 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128408  (
+	.A1(\soc_top/n_257 ),
+	.A2(\soc_top/FE_OFN141_core_InstructionDecode_io_writeData_9 ),
+	.B1(\soc_top/n_258 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[9] ),
+	.X(\soc_top/n_831 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128409  (
+	.A1(\soc_top/FE_OFN180_n_257 ),
+	.A2(\soc_top/FE_OFN140_core_InstructionDecode_io_writeData_10 ),
+	.B1(\soc_top/n_258 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[10] ),
+	.X(\soc_top/n_830 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128410  (
+	.A1(\soc_top/FE_OFN180_n_257 ),
+	.A2(\soc_top/FE_OFN139_core_InstructionDecode_io_writeData_11 ),
+	.B1(\soc_top/n_258 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[11] ),
+	.X(\soc_top/n_829 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128411  (
+	.A1(\soc_top/FE_OFN180_n_257 ),
+	.A2(\soc_top/FE_OFN138_core_InstructionDecode_io_writeData_12 ),
+	.B1(\soc_top/n_258 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[12] ),
+	.X(\soc_top/n_828 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128412  (
+	.A1(\soc_top/FE_OFN188_n_274 ),
+	.A2(\soc_top/FE_OFN5620_core_InstructionDecode_io_writeData_0 ),
+	.B1(\soc_top/n_246 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_30[0] ),
+	.X(\soc_top/n_827 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128413  (
+	.A1(\soc_top/FE_OFN180_n_257 ),
+	.A2(\soc_top/FE_OFN137_core_InstructionDecode_io_writeData_13 ),
+	.B1(\soc_top/n_258 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[13] ),
+	.X(\soc_top/n_826 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128414  (
+	.A1(\soc_top/FE_OFN188_n_274 ),
+	.A2(\soc_top/n_2728 ),
+	.B1(\soc_top/n_246 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_30[1] ),
+	.X(\soc_top/n_825 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128415  (
+	.A1(\soc_top/n_274 ),
+	.A2(\soc_top/FE_OFN5603_n_6544 ),
+	.B1(\soc_top/n_246 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_30[2] ),
+	.X(\soc_top/n_824 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128416  (
+	.A1(\soc_top/n_274 ),
+	.A2(\soc_top/FE_OFN6633_n_2711 ),
+	.B1(\soc_top/n_246 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_30[3] ),
+	.X(\soc_top/n_823 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128417  (
+	.A1(\soc_top/FE_OFN188_n_274 ),
+	.A2(\soc_top/FE_OFN5619_n_6545 ),
+	.B1(\soc_top/n_246 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_30[4] ),
+	.X(\soc_top/n_822 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128418  (
+	.A1(\soc_top/n_257 ),
+	.A2(\soc_top/FE_OFN6623_n ),
+	.B1(\soc_top/n_258 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[16] ),
+	.X(\soc_top/n_821 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128419  (
+	.A1(\soc_top/FE_OFN188_n_274 ),
+	.A2(\soc_top/FE_OFN5608_n_6546 ),
+	.B1(\soc_top/n_246 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_30[5] ),
+	.X(\soc_top/n_820 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128420  (
+	.A1(\soc_top/n_257 ),
+	.A2(\soc_top/FE_OFN136_core_InstructionDecode_io_writeData_14 ),
+	.B1(\soc_top/n_258 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[14] ),
+	.X(\soc_top/n_819 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128421  (
+	.A1(\soc_top/FE_OFN188_n_274 ),
+	.A2(\soc_top/FE_OFN5653_core_InstructionDecode_io_writeData_6 ),
+	.B1(\soc_top/n_246 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_30[6] ),
+	.X(\soc_top/n_818 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128422  (
+	.A1(\soc_top/FE_OFN180_n_257 ),
+	.A2(\soc_top/FE_OFN135_core_InstructionDecode_io_writeData_15 ),
+	.B1(\soc_top/n_258 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[15] ),
+	.X(\soc_top/n_817 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128423  (
+	.A1(\soc_top/FE_OFN188_n_274 ),
+	.A2(\soc_top/core_InstructionDecode_io_writeData[7] ),
+	.B1(\soc_top/n_246 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_30[7] ),
+	.X(\soc_top/n_816 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128424  (
+	.A1(\soc_top/FE_OFN188_n_274 ),
+	.A2(\soc_top/core_InstructionDecode_io_writeData[8] ),
+	.B1(\soc_top/n_246 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_30[8] ),
+	.X(\soc_top/n_815 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128425  (
+	.A1(\soc_top/FE_OFN180_n_257 ),
+	.A2(\soc_top/FE_OFN5623_core_InstructionDecode_io_writeData_17 ),
+	.B1(\soc_top/n_258 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[17] ),
+	.X(\soc_top/n_814 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128426  (
+	.A1(\soc_top/n_274 ),
+	.A2(\soc_top/FE_OFN141_core_InstructionDecode_io_writeData_9 ),
+	.B1(\soc_top/n_246 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_30[9] ),
+	.X(\soc_top/n_813 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128427  (
+	.A1(\soc_top/n_274 ),
+	.A2(\soc_top/FE_OFN140_core_InstructionDecode_io_writeData_10 ),
+	.B1(\soc_top/n_246 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_30[10] ),
+	.X(\soc_top/n_812 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128428  (
+	.A1(\soc_top/FE_OFN132_core_InstructionDecode_io_writeData_18 ),
+	.A2(\soc_top/n_257 ),
+	.B1(\soc_top/n_258 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[18] ),
+	.X(\soc_top/n_811 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128429  (
+	.A1(\soc_top/n_274 ),
+	.A2(\soc_top/FE_OFN139_core_InstructionDecode_io_writeData_11 ),
+	.B1(\soc_top/n_246 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_30[11] ),
+	.X(\soc_top/n_810 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128430  (
+	.A1(\soc_top/n_274 ),
+	.A2(\soc_top/FE_OFN6625_core_InstructionDecode_io_writeData_12 ),
+	.B1(\soc_top/n_246 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_30[12] ),
+	.X(\soc_top/n_809 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128431  (
+	.A1(\soc_top/FE_OFN180_n_257 ),
+	.A2(\soc_top/FE_OFN6620_n ),
+	.B1(\soc_top/n_258 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[19] ),
+	.X(\soc_top/n_808 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128432  (
+	.A1(\soc_top/FE_OFN130_core_InstructionDecode_io_writeData_20 ),
+	.A2(\soc_top/FE_OFN180_n_257 ),
+	.B1(\soc_top/n_258 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[20] ),
+	.X(\soc_top/n_807 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128433  (
+	.A1(\soc_top/FE_OFN188_n_274 ),
+	.A2(\soc_top/n_5002 ),
+	.B1(\soc_top/n_246 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_30[13] ),
+	.X(\soc_top/n_806 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128434  (
+	.A1(\soc_top/FE_OFN188_n_274 ),
+	.A2(\soc_top/FE_OFN5668_core_InstructionDecode_io_writeData_14 ),
+	.B1(\soc_top/n_246 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_30[14] ),
+	.X(\soc_top/n_805 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128435  (
+	.A1(\soc_top/FE_OFN188_n_274 ),
+	.A2(\soc_top/FE_OFN5644_core_InstructionDecode_io_writeData_15 ),
+	.B1(\soc_top/n_246 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_30[15] ),
+	.X(\soc_top/n_804 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128436  (
+	.A1(\soc_top/n_274 ),
+	.A2(\soc_top/FE_OFN134_core_InstructionDecode_io_writeData_16 ),
+	.B1(\soc_top/n_246 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_30[16] ),
+	.X(\soc_top/n_803 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128437  (
+	.A1(\soc_top/FE_OFN180_n_257 ),
+	.A2(\soc_top/n_4049 ),
+	.B1(\soc_top/n_258 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[21] ),
+	.X(\soc_top/n_802 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128438  (
+	.A1(\soc_top/n_274 ),
+	.A2(\soc_top/FE_OFN133_core_InstructionDecode_io_writeData_17 ),
+	.B1(\soc_top/n_246 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_30[17] ),
+	.X(\soc_top/n_801 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128439  (
+	.A1(\soc_top/FE_OFN128_core_InstructionDecode_io_writeData_22 ),
+	.A2(\soc_top/n_257 ),
+	.B1(\soc_top/n_258 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[22] ),
+	.X(\soc_top/n_800 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128440  (
+	.A1(\soc_top/FE_OFN5657_core_InstructionDecode_io_writeData_18 ),
+	.A2(\soc_top/FE_OFN188_n_274 ),
+	.B1(\soc_top/n_246 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_30[18] ),
+	.X(\soc_top/n_799 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128441  (
+	.A1(\soc_top/FE_OFN6621_n_4099 ),
+	.A2(\soc_top/FE_OFN180_n_257 ),
+	.B1(\soc_top/n_258 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[23] ),
+	.X(\soc_top/n_798 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128442  (
+	.A1(\soc_top/n_274 ),
+	.A2(\soc_top/FE_OFN131_core_InstructionDecode_io_writeData_19 ),
+	.B1(\soc_top/n_246 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_30[19] ),
+	.X(\soc_top/n_797 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128443  (
+	.A1(\soc_top/FE_OFN6615_core_InstructionDecode_io_writeData_20 ),
+	.A2(\soc_top/n_274 ),
+	.B1(\soc_top/n_246 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_30[20] ),
+	.X(\soc_top/n_796 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128444  (
+	.A1(\soc_top/FE_OFN126_core_InstructionDecode_io_writeData_24 ),
+	.A2(\soc_top/FE_OFN180_n_257 ),
+	.B1(\soc_top/n_258 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[24] ),
+	.X(\soc_top/n_795 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128445  (
+	.A1(\soc_top/n_274 ),
+	.A2(\soc_top/n_4049 ),
+	.B1(\soc_top/n_246 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_30[21] ),
+	.X(\soc_top/n_794 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128446  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[22] ),
+	.A2(\soc_top/FE_OFN188_n_274 ),
+	.B1(\soc_top/n_246 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_30[22] ),
+	.X(\soc_top/n_793 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128447  (
+	.A1(\soc_top/FE_OFN6621_n_4099 ),
+	.A2(\soc_top/n_274 ),
+	.B1(\soc_top/n_246 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_30[23] ),
+	.X(\soc_top/n_792 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128448  (
+	.A1(\soc_top/n_4102 ),
+	.A2(\soc_top/n_274 ),
+	.B1(\soc_top/n_246 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_30[24] ),
+	.X(\soc_top/n_791 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128449  (
+	.A1(\soc_top/FE_OFN125_core_InstructionDecode_io_writeData_25 ),
+	.A2(\soc_top/n_257 ),
+	.B1(\soc_top/n_258 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[25] ),
+	.X(\soc_top/n_790 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128450  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[25] ),
+	.A2(\soc_top/FE_OFN188_n_274 ),
+	.B1(\soc_top/n_246 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_30[25] ),
+	.X(\soc_top/n_789 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128451  (
+	.A1(\soc_top/FE_OFN5629_core_InstructionDecode_io_writeData_26 ),
+	.A2(\soc_top/FE_OFN188_n_274 ),
+	.B1(\soc_top/n_246 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_30[26] ),
+	.X(\soc_top/n_788 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128452  (
+	.A1(\soc_top/FE_OFN124_core_InstructionDecode_io_writeData_26 ),
+	.A2(\soc_top/n_257 ),
+	.B1(\soc_top/n_258 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[26] ),
+	.X(\soc_top/n_787 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128453  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[27] ),
+	.A2(\soc_top/FE_OFN188_n_274 ),
+	.B1(\soc_top/n_246 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_30[27] ),
+	.X(\soc_top/n_786 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128454  (
+	.A1(\soc_top/FE_OFN122_core_InstructionDecode_io_writeData_28 ),
+	.A2(\soc_top/n_274 ),
+	.B1(\soc_top/n_246 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_30[28] ),
+	.X(\soc_top/n_785 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128455  (
+	.A1(\soc_top/FE_OFN123_core_InstructionDecode_io_writeData_27 ),
+	.A2(\soc_top/n_257 ),
+	.B1(\soc_top/n_258 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[27] ),
+	.X(\soc_top/n_784 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128456  (
+	.A1(\soc_top/FE_OFN122_core_InstructionDecode_io_writeData_28 ),
+	.A2(\soc_top/FE_OFN180_n_257 ),
+	.B1(\soc_top/n_258 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[28] ),
+	.X(\soc_top/n_783 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128457  (
+	.A1(\soc_top/FE_OFN5680_core_InstructionDecode_io_writeData_29 ),
+	.A2(\soc_top/FE_OFN188_n_274 ),
+	.B1(\soc_top/n_246 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_30[29] ),
+	.X(\soc_top/n_782 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128458  (
+	.A1(\soc_top/FE_OFN120_core_InstructionDecode_io_writeData_30 ),
+	.A2(\soc_top/n_274 ),
+	.B1(\soc_top/n_246 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_30[30] ),
+	.X(\soc_top/n_781 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128459  (
+	.A1(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.A2(\soc_top/n_274 ),
+	.B1(\soc_top/n_246 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_30[31] ),
+	.X(\soc_top/n_780 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128460  (
+	.A1(\soc_top/FE_OFN121_core_InstructionDecode_io_writeData_29 ),
+	.A2(\soc_top/FE_OFN180_n_257 ),
+	.B1(\soc_top/n_258 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[29] ),
+	.X(\soc_top/n_779 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128461  (
+	.A1(\soc_top/n_252 ),
+	.A2(\soc_top/FE_OFN5620_core_InstructionDecode_io_writeData_0 ),
+	.B1(\soc_top/n_271 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[0] ),
+	.X(\soc_top/n_778 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128462  (
+	.A1(\soc_top/FE_OFN177_n_252 ),
+	.A2(\soc_top/n_2728 ),
+	.B1(\soc_top/n_271 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[1] ),
+	.X(\soc_top/n_777 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128463  (
+	.A1(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.A2(\soc_top/FE_OFN180_n_257 ),
+	.B1(\soc_top/n_258 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[31] ),
+	.X(\soc_top/n_776 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128464  (
+	.A1(\soc_top/FE_OFN177_n_252 ),
+	.A2(\soc_top/FE_OFN5603_n_6544 ),
+	.B1(\soc_top/n_271 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[2] ),
+	.X(\soc_top/n_775 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128465  (
+	.A1(\soc_top/n_252 ),
+	.A2(\soc_top/FE_OFN6633_n_2711 ),
+	.B1(\soc_top/n_271 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[3] ),
+	.X(\soc_top/n_774 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128466  (
+	.A1(\soc_top/n_252 ),
+	.A2(\soc_top/FE_OFN5619_n_6545 ),
+	.B1(\soc_top/n_271 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[4] ),
+	.X(\soc_top/n_773 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128467  (
+	.A1(\soc_top/n_184 ),
+	.A2(\soc_top/FE_OFN5620_core_InstructionDecode_io_writeData_0 ),
+	.B1(\soc_top/n_185 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[0] ),
+	.X(\soc_top/n_772 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128468  (
+	.A1(\soc_top/FE_OFN177_n_252 ),
+	.A2(\soc_top/FE_OFN5608_n_6546 ),
+	.B1(\soc_top/n_271 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[5] ),
+	.X(\soc_top/n_771 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128469  (
+	.A1(\soc_top/n_252 ),
+	.A2(\soc_top/FE_OFN73_core_InstructionDecode_io_writeData_6 ),
+	.B1(\soc_top/n_271 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[6] ),
+	.X(\soc_top/n_770 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128470  (
+	.A1(\soc_top/FE_OFN120_core_InstructionDecode_io_writeData_30 ),
+	.A2(\soc_top/FE_OFN180_n_257 ),
+	.B1(\soc_top/n_258 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[30] ),
+	.X(\soc_top/n_769 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128471  (
+	.A1(\soc_top/n_252 ),
+	.A2(\soc_top/FE_OFN72_core_InstructionDecode_io_writeData_7 ),
+	.B1(\soc_top/n_271 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[7] ),
+	.X(\soc_top/n_768 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128472  (
+	.A1(\soc_top/n_252 ),
+	.A2(\soc_top/FE_OFN142_core_InstructionDecode_io_writeData_8 ),
+	.B1(\soc_top/n_271 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[8] ),
+	.X(\soc_top/n_767 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128473  (
+	.A1(\soc_top/n_252 ),
+	.A2(\soc_top/FE_OFN141_core_InstructionDecode_io_writeData_9 ),
+	.B1(\soc_top/n_271 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[9] ),
+	.X(\soc_top/n_766 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128474  (
+	.A1(\soc_top/FE_OFN177_n_252 ),
+	.A2(\soc_top/FE_OFN140_core_InstructionDecode_io_writeData_10 ),
+	.B1(\soc_top/n_271 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[10] ),
+	.X(\soc_top/n_765 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128475  (
+	.A1(\soc_top/n_184 ),
+	.A2(\soc_top/n_2728 ),
+	.B1(\soc_top/n_185 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[1] ),
+	.X(\soc_top/n_764 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128476  (
+	.A1(\soc_top/FE_OFN177_n_252 ),
+	.A2(\soc_top/FE_OFN139_core_InstructionDecode_io_writeData_11 ),
+	.B1(\soc_top/n_271 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[11] ),
+	.X(\soc_top/n_763 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128477  (
+	.A1(\soc_top/n_184 ),
+	.A2(\soc_top/FE_OFN5603_n_6544 ),
+	.B1(\soc_top/n_185 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[2] ),
+	.X(\soc_top/n_762 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128478  (
+	.A1(\soc_top/FE_OFN177_n_252 ),
+	.A2(\soc_top/FE_OFN138_core_InstructionDecode_io_writeData_12 ),
+	.B1(\soc_top/n_271 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[12] ),
+	.X(\soc_top/n_761 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128479  (
+	.A1(\soc_top/FE_OFN167_n_173 ),
+	.A2(\soc_top/FE_OFN141_core_InstructionDecode_io_writeData_9 ),
+	.B1(\soc_top/n_182 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[9] ),
+	.X(\soc_top/n_760 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128480  (
+	.A1(\soc_top/n_184 ),
+	.A2(\soc_top/FE_OFN6633_n_2711 ),
+	.B1(\soc_top/n_185 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[3] ),
+	.X(\soc_top/n_759 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128481  (
+	.A1(\soc_top/FE_OFN177_n_252 ),
+	.A2(\soc_top/FE_OFN137_core_InstructionDecode_io_writeData_13 ),
+	.B1(\soc_top/n_271 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[13] ),
+	.X(\soc_top/n_758 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128482  (
+	.A1(\soc_top/n_252 ),
+	.A2(\soc_top/FE_OFN136_core_InstructionDecode_io_writeData_14 ),
+	.B1(\soc_top/n_271 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[14] ),
+	.X(\soc_top/n_757 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128483  (
+	.A1(\soc_top/FE_OFN177_n_252 ),
+	.A2(\soc_top/FE_OFN135_core_InstructionDecode_io_writeData_15 ),
+	.B1(\soc_top/n_271 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[15] ),
+	.X(\soc_top/n_756 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128484  (
+	.A1(\soc_top/n_184 ),
+	.A2(\soc_top/FE_OFN5619_n_6545 ),
+	.B1(\soc_top/n_185 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[4] ),
+	.X(\soc_top/n_755 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128485  (
+	.A1(\soc_top/n_252 ),
+	.A2(\soc_top/FE_OFN6623_n ),
+	.B1(\soc_top/n_271 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[16] ),
+	.X(\soc_top/n_754 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128486  (
+	.A1(\soc_top/FE_OFN177_n_252 ),
+	.A2(\soc_top/FE_OFN5623_core_InstructionDecode_io_writeData_17 ),
+	.B1(\soc_top/n_271 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[17] ),
+	.X(\soc_top/n_753 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128487  (
+	.A1(\soc_top/n_184 ),
+	.A2(\soc_top/FE_OFN5608_n_6546 ),
+	.B1(\soc_top/n_185 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[5] ),
+	.X(\soc_top/n_752 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128488  (
+	.A1(\soc_top/FE_OFN132_core_InstructionDecode_io_writeData_18 ),
+	.A2(\soc_top/n_252 ),
+	.B1(\soc_top/n_271 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[18] ),
+	.X(\soc_top/n_751 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128489  (
+	.A1(\soc_top/n_184 ),
+	.A2(\soc_top/FE_OFN73_core_InstructionDecode_io_writeData_6 ),
+	.B1(\soc_top/n_185 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[6] ),
+	.X(\soc_top/n_750 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128490  (
+	.A1(\soc_top/FE_OFN177_n_252 ),
+	.A2(\soc_top/FE_OFN6620_n ),
+	.B1(\soc_top/n_271 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[19] ),
+	.X(\soc_top/n_749 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128491  (
+	.A1(\soc_top/FE_OFN130_core_InstructionDecode_io_writeData_20 ),
+	.A2(\soc_top/FE_OFN177_n_252 ),
+	.B1(\soc_top/n_271 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[20] ),
+	.X(\soc_top/n_748 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128492  (
+	.A1(\soc_top/n_173 ),
+	.A2(\soc_top/FE_OFN72_core_InstructionDecode_io_writeData_7 ),
+	.B1(\soc_top/n_182 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[7] ),
+	.X(\soc_top/n_747 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128493  (
+	.A1(\soc_top/n_184 ),
+	.A2(\soc_top/FE_OFN72_core_InstructionDecode_io_writeData_7 ),
+	.B1(\soc_top/n_185 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[7] ),
+	.X(\soc_top/n_746 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128494  (
+	.A1(\soc_top/FE_OFN177_n_252 ),
+	.A2(\soc_top/n_4049 ),
+	.B1(\soc_top/n_271 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[21] ),
+	.X(\soc_top/n_745 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128495  (
+	.A1(\soc_top/FE_OFN128_core_InstructionDecode_io_writeData_22 ),
+	.A2(\soc_top/n_252 ),
+	.B1(\soc_top/n_271 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[22] ),
+	.X(\soc_top/n_744 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128496  (
+	.A1(\soc_top/FE_OFN6621_n_4099 ),
+	.A2(\soc_top/FE_OFN177_n_252 ),
+	.B1(\soc_top/n_271 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[23] ),
+	.X(\soc_top/n_743 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128497  (
+	.A1(\soc_top/FE_OFN142_core_InstructionDecode_io_writeData_8 ),
+	.A2(\soc_top/n_184 ),
+	.B1(\soc_top/n_185 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[8] ),
+	.X(\soc_top/n_742 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128498  (
+	.A1(\soc_top/FE_OFN126_core_InstructionDecode_io_writeData_24 ),
+	.A2(\soc_top/FE_OFN177_n_252 ),
+	.B1(\soc_top/n_271 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[24] ),
+	.X(\soc_top/n_741 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128499  (
+	.A1(\soc_top/FE_OFN125_core_InstructionDecode_io_writeData_25 ),
+	.A2(\soc_top/n_252 ),
+	.B1(\soc_top/n_271 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[25] ),
+	.X(\soc_top/n_740 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128500  (
+	.A1(\soc_top/FE_OFN124_core_InstructionDecode_io_writeData_26 ),
+	.A2(\soc_top/n_252 ),
+	.B1(\soc_top/n_271 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[26] ),
+	.X(\soc_top/n_739 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128501  (
+	.A1(\soc_top/FE_OFN141_core_InstructionDecode_io_writeData_9 ),
+	.A2(\soc_top/n_184 ),
+	.B1(\soc_top/n_185 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[9] ),
+	.X(\soc_top/n_738 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128502  (
+	.A1(\soc_top/FE_OFN123_core_InstructionDecode_io_writeData_27 ),
+	.A2(\soc_top/n_252 ),
+	.B1(\soc_top/n_271 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[27] ),
+	.X(\soc_top/n_737 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128503  (
+	.A1(\soc_top/FE_OFN140_core_InstructionDecode_io_writeData_10 ),
+	.A2(\soc_top/n_184 ),
+	.B1(\soc_top/n_185 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[10] ),
+	.X(\soc_top/n_736 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128504  (
+	.A1(\soc_top/FE_OFN122_core_InstructionDecode_io_writeData_28 ),
+	.A2(\soc_top/n_252 ),
+	.B1(\soc_top/n_271 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[28] ),
+	.X(\soc_top/n_735 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128505  (
+	.A1(\soc_top/FE_OFN139_core_InstructionDecode_io_writeData_11 ),
+	.A2(\soc_top/n_184 ),
+	.B1(\soc_top/n_185 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[11] ),
+	.X(\soc_top/n_734 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128506  (
+	.A1(\soc_top/FE_OFN121_core_InstructionDecode_io_writeData_29 ),
+	.A2(\soc_top/n_252 ),
+	.B1(\soc_top/n_271 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[29] ),
+	.X(\soc_top/n_733 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128507  (
+	.A1(\soc_top/FE_OFN120_core_InstructionDecode_io_writeData_30 ),
+	.A2(\soc_top/FE_OFN177_n_252 ),
+	.B1(\soc_top/n_271 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[30] ),
+	.X(\soc_top/n_732 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128508  (
+	.A1(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.A2(\soc_top/FE_OFN177_n_252 ),
+	.B1(\soc_top/n_271 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[31] ),
+	.X(\soc_top/n_731 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128509  (
+	.A1(\soc_top/FE_OFN138_core_InstructionDecode_io_writeData_12 ),
+	.A2(\soc_top/n_184 ),
+	.B1(\soc_top/n_185 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[12] ),
+	.X(\soc_top/n_730 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128510  (
+	.A1(\soc_top/n_184 ),
+	.A2(\soc_top/FE_OFN135_core_InstructionDecode_io_writeData_15 ),
+	.B1(\soc_top/n_185 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[15] ),
+	.X(\soc_top/n_729 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128511  (
+	.A1(\soc_top/FE_OFN131_core_InstructionDecode_io_writeData_19 ),
+	.A2(\soc_top/n_263 ),
+	.B1(\soc_top/n_254 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[19] ),
+	.X(\soc_top/n_728 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128512  (
+	.A1(\soc_top/FE_OFN134_core_InstructionDecode_io_writeData_16 ),
+	.A2(\soc_top/n_184 ),
+	.B1(\soc_top/n_185 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[16] ),
+	.X(\soc_top/n_727 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128513  (
+	.A1(\soc_top/FE_OFN184_n_263 ),
+	.A2(\soc_top/FE_OFN5644_core_InstructionDecode_io_writeData_15 ),
+	.B1(\soc_top/n_254 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[15] ),
+	.X(\soc_top/n_726 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128514  (
+	.A1(\soc_top/FE_OFN136_core_InstructionDecode_io_writeData_14 ),
+	.A2(\soc_top/n_184 ),
+	.B1(\soc_top/n_185 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[14] ),
+	.X(\soc_top/n_725 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128515  (
+	.A1(\soc_top/FE_OFN5623_core_InstructionDecode_io_writeData_17 ),
+	.A2(\soc_top/n_184 ),
+	.B1(\soc_top/n_185 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[17] ),
+	.X(\soc_top/n_724 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128516  (
+	.A1(\soc_top/FE_OFN132_core_InstructionDecode_io_writeData_18 ),
+	.A2(\soc_top/n_184 ),
+	.B1(\soc_top/n_185 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[18] ),
+	.X(\soc_top/n_723 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128517  (
+	.A1(\soc_top/FE_OFN6620_n ),
+	.A2(\soc_top/n_184 ),
+	.B1(\soc_top/n_185 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[19] ),
+	.X(\soc_top/n_722 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128518  (
+	.A1(\soc_top/FE_OFN137_core_InstructionDecode_io_writeData_13 ),
+	.A2(\soc_top/n_184 ),
+	.B1(\soc_top/n_185 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[13] ),
+	.X(\soc_top/n_721 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128519  (
+	.A1(\soc_top/FE_OFN6615_core_InstructionDecode_io_writeData_20 ),
+	.A2(\soc_top/n_184 ),
+	.B1(\soc_top/n_185 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[20] ),
+	.X(\soc_top/n_720 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128520  (
+	.A1(\soc_top/n_4049 ),
+	.A2(\soc_top/n_184 ),
+	.B1(\soc_top/n_185 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[21] ),
+	.X(\soc_top/n_719 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128521  (
+	.A1(\soc_top/n_173 ),
+	.A2(\soc_top/FE_OFN6633_n_2711 ),
+	.B1(\soc_top/n_182 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[3] ),
+	.X(\soc_top/n_718 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128522  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[22] ),
+	.A2(\soc_top/n_184 ),
+	.B1(\soc_top/n_185 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[22] ),
+	.X(\soc_top/n_717 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128523  (
+	.A1(\soc_top/FE_OFN142_core_InstructionDecode_io_writeData_8 ),
+	.A2(\soc_top/n_259 ),
+	.B1(\soc_top/n_243 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[8] ),
+	.X(\soc_top/n_716 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128524  (
+	.A1(\soc_top/n_173 ),
+	.A2(\soc_top/FE_OFN5619_n_6545 ),
+	.B1(\soc_top/n_182 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[4] ),
+	.X(\soc_top/n_715 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128525  (
+	.A1(\soc_top/FE_OFN6621_n_4099 ),
+	.A2(\soc_top/n_184 ),
+	.B1(\soc_top/n_185 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[23] ),
+	.X(\soc_top/n_714 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128526  (
+	.A1(\soc_top/FE_OFN126_core_InstructionDecode_io_writeData_24 ),
+	.A2(\soc_top/n_184 ),
+	.B1(\soc_top/n_185 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[24] ),
+	.X(\soc_top/n_713 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128527  (
+	.A1(\soc_top/FE_OFN125_core_InstructionDecode_io_writeData_25 ),
+	.A2(\soc_top/n_184 ),
+	.B1(\soc_top/n_185 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[25] ),
+	.X(\soc_top/n_712 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128528  (
+	.A1(\soc_top/FE_OFN124_core_InstructionDecode_io_writeData_26 ),
+	.A2(\soc_top/n_184 ),
+	.B1(\soc_top/n_185 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[26] ),
+	.X(\soc_top/n_711 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128529  (
+	.A1(\soc_top/FE_OFN123_core_InstructionDecode_io_writeData_27 ),
+	.A2(\soc_top/n_184 ),
+	.B1(\soc_top/n_185 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[27] ),
+	.X(\soc_top/n_710 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128530  (
+	.A1(\soc_top/FE_OFN122_core_InstructionDecode_io_writeData_28 ),
+	.A2(\soc_top/n_184 ),
+	.B1(\soc_top/n_185 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[28] ),
+	.X(\soc_top/n_709 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128531  (
+	.A1(\soc_top/FE_OFN120_core_InstructionDecode_io_writeData_30 ),
+	.A2(\soc_top/n_184 ),
+	.B1(\soc_top/n_185 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[30] ),
+	.X(\soc_top/n_708 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128532  (
+	.A1(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.A2(\soc_top/n_184 ),
+	.B1(\soc_top/n_185 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[31] ),
+	.X(\soc_top/n_707 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128533  (
+	.A1(\soc_top/FE_OFN121_core_InstructionDecode_io_writeData_29 ),
+	.A2(\soc_top/n_184 ),
+	.B1(\soc_top/n_185 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[29] ),
+	.X(\soc_top/n_706 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128534  (
+	.A1(\soc_top/FE_OFN172_n_183 ),
+	.A2(\soc_top/FE_OFN5620_core_InstructionDecode_io_writeData_0 ),
+	.B1(\soc_top/n_180 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[0] ),
+	.X(\soc_top/n_705 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128535  (
+	.A1(\soc_top/FE_OFN172_n_183 ),
+	.A2(\soc_top/n_2728 ),
+	.B1(\soc_top/n_180 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[1] ),
+	.X(\soc_top/n_704 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128536  (
+	.A1(\soc_top/FE_OFN166_n_171 ),
+	.A2(\soc_top/FE_OFN6633_n_2711 ),
+	.B1(\soc_top/n_177 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[3] ),
+	.X(\soc_top/n_703 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128537  (
+	.A1(\soc_top/n_183 ),
+	.A2(\soc_top/FE_OFN5603_n_6544 ),
+	.B1(\soc_top/n_180 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[2] ),
+	.X(\soc_top/n_702 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128538  (
+	.A1(\soc_top/FE_OFN172_n_183 ),
+	.A2(\soc_top/FE_OFN6633_n_2711 ),
+	.B1(\soc_top/n_180 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[3] ),
+	.X(\soc_top/n_701 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128539  (
+	.A1(\soc_top/FE_OFN172_n_183 ),
+	.A2(\soc_top/FE_OFN5619_n_6545 ),
+	.B1(\soc_top/n_180 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[4] ),
+	.X(\soc_top/n_700 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128540  (
+	.A1(\soc_top/n_183 ),
+	.A2(\soc_top/FE_OFN5608_n_6546 ),
+	.B1(\soc_top/n_180 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[5] ),
+	.X(\soc_top/n_699 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128541  (
+	.A1(\soc_top/FE_OFN172_n_183 ),
+	.A2(\soc_top/FE_OFN73_core_InstructionDecode_io_writeData_6 ),
+	.B1(\soc_top/n_180 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[6] ),
+	.X(\soc_top/n_698 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128542  (
+	.A1(\soc_top/FE_OFN172_n_183 ),
+	.A2(\soc_top/FE_OFN72_core_InstructionDecode_io_writeData_7 ),
+	.B1(\soc_top/n_180 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[7] ),
+	.X(\soc_top/n_697 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128543  (
+	.A1(\soc_top/FE_OFN142_core_InstructionDecode_io_writeData_8 ),
+	.A2(\soc_top/n_183 ),
+	.B1(\soc_top/n_180 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[8] ),
+	.X(\soc_top/n_696 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128544  (
+	.A1(\soc_top/FE_OFN141_core_InstructionDecode_io_writeData_9 ),
+	.A2(\soc_top/n_183 ),
+	.B1(\soc_top/n_180 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[9] ),
+	.X(\soc_top/n_695 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128545  (
+	.A1(\soc_top/FE_OFN140_core_InstructionDecode_io_writeData_10 ),
+	.A2(\soc_top/n_183 ),
+	.B1(\soc_top/n_180 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[10] ),
+	.X(\soc_top/n_694 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128546  (
+	.A1(\soc_top/FE_OFN139_core_InstructionDecode_io_writeData_11 ),
+	.A2(\soc_top/n_183 ),
+	.B1(\soc_top/n_180 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[11] ),
+	.X(\soc_top/n_693 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128547  (
+	.A1(\soc_top/FE_OFN138_core_InstructionDecode_io_writeData_12 ),
+	.A2(\soc_top/n_183 ),
+	.B1(\soc_top/n_180 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[12] ),
+	.X(\soc_top/n_692 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128548  (
+	.A1(\soc_top/FE_OFN121_core_InstructionDecode_io_writeData_29 ),
+	.A2(\soc_top/n_270 ),
+	.B1(\soc_top/n_236 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[29] ),
+	.X(\soc_top/n_691 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128549  (
+	.A1(\soc_top/FE_OFN172_n_183 ),
+	.A2(\soc_top/FE_OFN135_core_InstructionDecode_io_writeData_15 ),
+	.B1(\soc_top/n_180 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[15] ),
+	.X(\soc_top/n_690 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128550  (
+	.A1(\soc_top/FE_OFN137_core_InstructionDecode_io_writeData_13 ),
+	.A2(\soc_top/n_183 ),
+	.B1(\soc_top/n_180 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[13] ),
+	.X(\soc_top/n_689 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128551  (
+	.A1(\soc_top/FE_OFN136_core_InstructionDecode_io_writeData_14 ),
+	.A2(\soc_top/FE_OFN172_n_183 ),
+	.B1(\soc_top/n_180 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[14] ),
+	.X(\soc_top/n_688 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128552  (
+	.A1(\soc_top/FE_OFN6623_n ),
+	.A2(\soc_top/FE_OFN172_n_183 ),
+	.B1(\soc_top/n_180 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[16] ),
+	.X(\soc_top/n_687 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128553  (
+	.A1(\soc_top/n_267 ),
+	.A2(\soc_top/core_InstructionDecode_io_writeData[11] ),
+	.B1(\soc_top/n_249 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[11] ),
+	.X(\soc_top/n_686 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128554  (
+	.A1(\soc_top/FE_OFN5623_core_InstructionDecode_io_writeData_17 ),
+	.A2(\soc_top/n_183 ),
+	.B1(\soc_top/n_180 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[17] ),
+	.X(\soc_top/n_685 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128555  (
+	.A1(\soc_top/FE_OFN132_core_InstructionDecode_io_writeData_18 ),
+	.A2(\soc_top/FE_OFN172_n_183 ),
+	.B1(\soc_top/n_180 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[18] ),
+	.X(\soc_top/n_684 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128556  (
+	.A1(\soc_top/FE_OFN6620_n ),
+	.A2(\soc_top/n_183 ),
+	.B1(\soc_top/n_180 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[19] ),
+	.X(\soc_top/n_683 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128557  (
+	.A1(\soc_top/FE_OFN130_core_InstructionDecode_io_writeData_20 ),
+	.A2(\soc_top/n_183 ),
+	.B1(\soc_top/n_180 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[20] ),
+	.X(\soc_top/n_682 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128558  (
+	.A1(\soc_top/n_4049 ),
+	.A2(\soc_top/n_183 ),
+	.B1(\soc_top/n_180 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[21] ),
+	.X(\soc_top/n_681 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128559  (
+	.A1(\soc_top/FE_OFN128_core_InstructionDecode_io_writeData_22 ),
+	.A2(\soc_top/FE_OFN172_n_183 ),
+	.B1(\soc_top/n_180 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[22] ),
+	.X(\soc_top/n_680 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128560  (
+	.A1(\soc_top/n_267 ),
+	.A2(\soc_top/FE_OFN140_core_InstructionDecode_io_writeData_10 ),
+	.B1(\soc_top/n_249 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[10] ),
+	.X(\soc_top/n_679 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128561  (
+	.A1(\soc_top/FE_OFN6621_n_4099 ),
+	.A2(\soc_top/n_183 ),
+	.B1(\soc_top/n_180 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[23] ),
+	.X(\soc_top/n_678 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128562  (
+	.A1(\soc_top/FE_OFN126_core_InstructionDecode_io_writeData_24 ),
+	.A2(\soc_top/FE_OFN172_n_183 ),
+	.B1(\soc_top/n_180 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[24] ),
+	.X(\soc_top/n_677 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128563  (
+	.A1(\soc_top/FE_OFN125_core_InstructionDecode_io_writeData_25 ),
+	.A2(\soc_top/FE_OFN172_n_183 ),
+	.B1(\soc_top/n_180 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[25] ),
+	.X(\soc_top/n_676 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128564  (
+	.A1(\soc_top/FE_OFN124_core_InstructionDecode_io_writeData_26 ),
+	.A2(\soc_top/FE_OFN172_n_183 ),
+	.B1(\soc_top/n_180 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[26] ),
+	.X(\soc_top/n_675 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128565  (
+	.A1(\soc_top/FE_OFN123_core_InstructionDecode_io_writeData_27 ),
+	.A2(\soc_top/FE_OFN172_n_183 ),
+	.B1(\soc_top/n_180 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[27] ),
+	.X(\soc_top/n_674 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128566  (
+	.A1(\soc_top/n_267 ),
+	.A2(\soc_top/core_InstructionDecode_io_writeData[9] ),
+	.B1(\soc_top/n_249 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[9] ),
+	.X(\soc_top/n_673 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128567  (
+	.A1(\soc_top/FE_OFN122_core_InstructionDecode_io_writeData_28 ),
+	.A2(\soc_top/n_183 ),
+	.B1(\soc_top/n_180 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[28] ),
+	.X(\soc_top/n_672 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128568  (
+	.A1(\soc_top/FE_OFN120_core_InstructionDecode_io_writeData_30 ),
+	.A2(\soc_top/n_183 ),
+	.B1(\soc_top/n_180 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[30] ),
+	.X(\soc_top/n_671 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128569  (
+	.A1(\soc_top/n_256 ),
+	.A2(\soc_top/FE_OFN5623_core_InstructionDecode_io_writeData_17 ),
+	.B1(\soc_top/n_186 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[17] ),
+	.X(\soc_top/n_670 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128570  (
+	.A1(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.A2(\soc_top/FE_OFN172_n_183 ),
+	.B1(\soc_top/n_180 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[31] ),
+	.X(\soc_top/n_669 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128571  (
+	.A1(\soc_top/FE_OFN121_core_InstructionDecode_io_writeData_29 ),
+	.A2(\soc_top/n_183 ),
+	.B1(\soc_top/n_180 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_9[29] ),
+	.X(\soc_top/n_668 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128572  (
+	.A1(\soc_top/n_171 ),
+	.A2(\soc_top/FE_OFN5620_core_InstructionDecode_io_writeData_0 ),
+	.B1(\soc_top/n_177 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[0] ),
+	.X(\soc_top/n_667 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128573  (
+	.A1(\soc_top/FE_OFN166_n_171 ),
+	.A2(\soc_top/n_2728 ),
+	.B1(\soc_top/n_177 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[1] ),
+	.X(\soc_top/n_666 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128574  (
+	.A1(\soc_top/FE_OFN123_core_InstructionDecode_io_writeData_27 ),
+	.A2(\soc_top/n_270 ),
+	.B1(\soc_top/n_236 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[27] ),
+	.X(\soc_top/n_665 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128575  (
+	.A1(\soc_top/FE_OFN166_n_171 ),
+	.A2(\soc_top/FE_OFN5603_n_6544 ),
+	.B1(\soc_top/n_177 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[2] ),
+	.X(\soc_top/n_664 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128576  (
+	.A1(\soc_top/FE_OFN132_core_InstructionDecode_io_writeData_18 ),
+	.A2(\soc_top/n_256 ),
+	.B1(\soc_top/n_186 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[18] ),
+	.X(\soc_top/n_663 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128577  (
+	.A1(\soc_top/n_171 ),
+	.A2(\soc_top/FE_OFN5619_n_6545 ),
+	.B1(\soc_top/n_177 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[4] ),
+	.X(\soc_top/n_662 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128578  (
+	.A1(\soc_top/FE_OFN166_n_171 ),
+	.A2(\soc_top/FE_OFN5608_n_6546 ),
+	.B1(\soc_top/n_177 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[5] ),
+	.X(\soc_top/n_661 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128579  (
+	.A1(\soc_top/n_171 ),
+	.A2(\soc_top/FE_OFN5653_core_InstructionDecode_io_writeData_6 ),
+	.B1(\soc_top/n_177 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[6] ),
+	.X(\soc_top/n_660 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128580  (
+	.A1(\soc_top/n_171 ),
+	.A2(\soc_top/FE_OFN72_core_InstructionDecode_io_writeData_7 ),
+	.B1(\soc_top/n_177 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[7] ),
+	.X(\soc_top/n_659 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128581  (
+	.A1(\soc_top/n_171 ),
+	.A2(\soc_top/FE_OFN142_core_InstructionDecode_io_writeData_8 ),
+	.B1(\soc_top/n_177 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[8] ),
+	.X(\soc_top/n_658 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128582  (
+	.A1(\soc_top/FE_OFN166_n_171 ),
+	.A2(\soc_top/FE_OFN141_core_InstructionDecode_io_writeData_9 ),
+	.B1(\soc_top/n_177 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[9] ),
+	.X(\soc_top/n_657 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128583  (
+	.A1(\soc_top/FE_OFN166_n_171 ),
+	.A2(\soc_top/FE_OFN140_core_InstructionDecode_io_writeData_10 ),
+	.B1(\soc_top/n_177 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[10] ),
+	.X(\soc_top/n_656 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128584  (
+	.A1(\soc_top/FE_OFN166_n_171 ),
+	.A2(\soc_top/FE_OFN139_core_InstructionDecode_io_writeData_11 ),
+	.B1(\soc_top/n_177 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[11] ),
+	.X(\soc_top/n_655 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128585  (
+	.A1(\soc_top/FE_OFN166_n_171 ),
+	.A2(\soc_top/FE_OFN138_core_InstructionDecode_io_writeData_12 ),
+	.B1(\soc_top/n_177 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[12] ),
+	.X(\soc_top/n_654 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128586  (
+	.A1(\soc_top/n_270 ),
+	.A2(\soc_top/FE_OFN6633_n_2711 ),
+	.B1(\soc_top/n_236 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[3] ),
+	.X(\soc_top/n_653 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128587  (
+	.A1(\soc_top/n_171 ),
+	.A2(\soc_top/FE_OFN137_core_InstructionDecode_io_writeData_13 ),
+	.B1(\soc_top/n_177 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[13] ),
+	.X(\soc_top/n_652 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128588  (
+	.A1(\soc_top/n_171 ),
+	.A2(\soc_top/FE_OFN136_core_InstructionDecode_io_writeData_14 ),
+	.B1(\soc_top/n_177 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[14] ),
+	.X(\soc_top/n_651 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128589  (
+	.A1(\soc_top/FE_OFN166_n_171 ),
+	.A2(\soc_top/FE_OFN135_core_InstructionDecode_io_writeData_15 ),
+	.B1(\soc_top/n_177 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[15] ),
+	.X(\soc_top/n_650 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128590  (
+	.A1(\soc_top/FE_OFN166_n_171 ),
+	.A2(\soc_top/FE_OFN134_core_InstructionDecode_io_writeData_16 ),
+	.B1(\soc_top/n_177 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[16] ),
+	.X(\soc_top/n_649 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128591  (
+	.A1(\soc_top/FE_OFN166_n_171 ),
+	.A2(\soc_top/FE_OFN5623_core_InstructionDecode_io_writeData_17 ),
+	.B1(\soc_top/n_177 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[17] ),
+	.X(\soc_top/n_648 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128592  (
+	.A1(\soc_top/FE_OFN132_core_InstructionDecode_io_writeData_18 ),
+	.A2(\soc_top/n_171 ),
+	.B1(\soc_top/n_177 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[18] ),
+	.X(\soc_top/n_647 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128593  (
+	.A1(\soc_top/FE_OFN6615_core_InstructionDecode_io_writeData_20 ),
+	.A2(\soc_top/FE_OFN166_n_171 ),
+	.B1(\soc_top/n_177 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[20] ),
+	.X(\soc_top/n_646 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128594  (
+	.A1(\soc_top/FE_OFN166_n_171 ),
+	.A2(\soc_top/n_4049 ),
+	.B1(\soc_top/n_177 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[21] ),
+	.X(\soc_top/n_645 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128595  (
+	.A1(\soc_top/FE_OFN128_core_InstructionDecode_io_writeData_22 ),
+	.A2(\soc_top/n_171 ),
+	.B1(\soc_top/n_177 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[22] ),
+	.X(\soc_top/n_644 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128596  (
+	.A1(\soc_top/FE_OFN121_core_InstructionDecode_io_writeData_29 ),
+	.A2(\soc_top/n_171 ),
+	.B1(\soc_top/n_177 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[29] ),
+	.X(\soc_top/n_643 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128597  (
+	.A1(\soc_top/FE_OFN6621_n_4099 ),
+	.A2(\soc_top/FE_OFN166_n_171 ),
+	.B1(\soc_top/n_177 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[23] ),
+	.X(\soc_top/n_642 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128598  (
+	.A1(\soc_top/n_4102 ),
+	.A2(\soc_top/FE_OFN166_n_171 ),
+	.B1(\soc_top/n_177 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[24] ),
+	.X(\soc_top/n_641 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128599  (
+	.A1(\soc_top/FE_OFN125_core_InstructionDecode_io_writeData_25 ),
+	.A2(\soc_top/n_171 ),
+	.B1(\soc_top/n_177 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[25] ),
+	.X(\soc_top/n_640 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128600  (
+	.A1(\soc_top/FE_OFN124_core_InstructionDecode_io_writeData_26 ),
+	.A2(\soc_top/n_171 ),
+	.B1(\soc_top/n_177 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[26] ),
+	.X(\soc_top/n_639 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128601  (
+	.A1(\soc_top/FE_OFN123_core_InstructionDecode_io_writeData_27 ),
+	.A2(\soc_top/n_171 ),
+	.B1(\soc_top/n_177 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[27] ),
+	.X(\soc_top/n_638 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128602  (
+	.A1(\soc_top/FE_OFN120_core_InstructionDecode_io_writeData_30 ),
+	.A2(\soc_top/FE_OFN166_n_171 ),
+	.B1(\soc_top/n_177 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[30] ),
+	.X(\soc_top/n_637 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128603  (
+	.A1(\soc_top/FE_OFN122_core_InstructionDecode_io_writeData_28 ),
+	.A2(\soc_top/FE_OFN166_n_171 ),
+	.B1(\soc_top/n_177 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[28] ),
+	.X(\soc_top/n_636 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128604  (
+	.A1(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.A2(\soc_top/FE_OFN166_n_171 ),
+	.B1(\soc_top/n_177 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[31] ),
+	.X(\soc_top/n_635 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128605  (
+	.A1(\soc_top/n_173 ),
+	.A2(\soc_top/FE_OFN5620_core_InstructionDecode_io_writeData_0 ),
+	.B1(\soc_top/n_182 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[0] ),
+	.X(\soc_top/n_634 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128606  (
+	.A1(\soc_top/FE_OFN167_n_173 ),
+	.A2(\soc_top/n_2728 ),
+	.B1(\soc_top/FE_OFN171_n_182 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[1] ),
+	.X(\soc_top/n_633 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128607  (
+	.A1(\soc_top/FE_OFN167_n_173 ),
+	.A2(\soc_top/FE_OFN5603_n_6544 ),
+	.B1(\soc_top/FE_OFN171_n_182 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[2] ),
+	.X(\soc_top/n_632 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128608  (
+	.A1(\soc_top/FE_OFN167_n_173 ),
+	.A2(\soc_top/FE_OFN5608_n_6546 ),
+	.B1(\soc_top/FE_OFN171_n_182 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[5] ),
+	.X(\soc_top/n_631 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128609  (
+	.A1(\soc_top/n_173 ),
+	.A2(\soc_top/FE_OFN73_core_InstructionDecode_io_writeData_6 ),
+	.B1(\soc_top/n_182 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[6] ),
+	.X(\soc_top/n_630 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128610  (
+	.A1(\soc_top/n_173 ),
+	.A2(\soc_top/FE_OFN142_core_InstructionDecode_io_writeData_8 ),
+	.B1(\soc_top/n_182 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[8] ),
+	.X(\soc_top/n_629 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128611  (
+	.A1(\soc_top/FE_OFN167_n_173 ),
+	.A2(\soc_top/FE_OFN140_core_InstructionDecode_io_writeData_10 ),
+	.B1(\soc_top/n_182 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[10] ),
+	.X(\soc_top/n_628 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128612  (
+	.A1(\soc_top/FE_OFN167_n_173 ),
+	.A2(\soc_top/FE_OFN139_core_InstructionDecode_io_writeData_11 ),
+	.B1(\soc_top/n_182 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[11] ),
+	.X(\soc_top/n_627 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128613  (
+	.A1(\soc_top/n_173 ),
+	.A2(\soc_top/FE_OFN136_core_InstructionDecode_io_writeData_14 ),
+	.B1(\soc_top/n_182 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[14] ),
+	.X(\soc_top/n_626 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128614  (
+	.A1(\soc_top/FE_OFN167_n_173 ),
+	.A2(\soc_top/FE_OFN138_core_InstructionDecode_io_writeData_12 ),
+	.B1(\soc_top/FE_OFN171_n_182 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[12] ),
+	.X(\soc_top/n_625 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128615  (
+	.A1(\soc_top/FE_OFN167_n_173 ),
+	.A2(\soc_top/FE_OFN137_core_InstructionDecode_io_writeData_13 ),
+	.B1(\soc_top/FE_OFN171_n_182 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[13] ),
+	.X(\soc_top/n_624 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128616  (
+	.A1(\soc_top/FE_OFN6621_n_4099 ),
+	.A2(\soc_top/n_240 ),
+	.B1(\soc_top/n_276 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_17[23] ),
+	.X(\soc_top/n_623 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128617  (
+	.A1(\soc_top/FE_OFN167_n_173 ),
+	.A2(\soc_top/FE_OFN135_core_InstructionDecode_io_writeData_15 ),
+	.B1(\soc_top/FE_OFN171_n_182 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[15] ),
+	.X(\soc_top/n_622 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128618  (
+	.A1(\soc_top/n_173 ),
+	.A2(\soc_top/FE_OFN6623_n ),
+	.B1(\soc_top/n_182 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[16] ),
+	.X(\soc_top/n_621 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128619  (
+	.A1(\soc_top/FE_OFN167_n_173 ),
+	.A2(\soc_top/FE_OFN5623_core_InstructionDecode_io_writeData_17 ),
+	.B1(\soc_top/n_182 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[17] ),
+	.X(\soc_top/n_620 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128620  (
+	.A1(\soc_top/FE_OFN132_core_InstructionDecode_io_writeData_18 ),
+	.A2(\soc_top/n_173 ),
+	.B1(\soc_top/n_182 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[18] ),
+	.X(\soc_top/n_619 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128621  (
+	.A1(\soc_top/FE_OFN167_n_173 ),
+	.A2(\soc_top/FE_OFN6620_n ),
+	.B1(\soc_top/n_182 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[19] ),
+	.X(\soc_top/n_618 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128622  (
+	.A1(\soc_top/FE_OFN130_core_InstructionDecode_io_writeData_20 ),
+	.A2(\soc_top/FE_OFN167_n_173 ),
+	.B1(\soc_top/n_182 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[20] ),
+	.X(\soc_top/n_617 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128623  (
+	.A1(\soc_top/FE_OFN167_n_173 ),
+	.A2(\soc_top/n_4049 ),
+	.B1(\soc_top/FE_OFN171_n_182 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[21] ),
+	.X(\soc_top/n_616 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128624  (
+	.A1(\soc_top/FE_OFN128_core_InstructionDecode_io_writeData_22 ),
+	.A2(\soc_top/n_173 ),
+	.B1(\soc_top/n_182 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[22] ),
+	.X(\soc_top/n_615 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128625  (
+	.A1(\soc_top/FE_OFN6621_n_4099 ),
+	.A2(\soc_top/FE_OFN167_n_173 ),
+	.B1(\soc_top/FE_OFN171_n_182 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[23] ),
+	.X(\soc_top/n_614 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128626  (
+	.A1(\soc_top/FE_OFN126_core_InstructionDecode_io_writeData_24 ),
+	.A2(\soc_top/n_173 ),
+	.B1(\soc_top/n_182 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[24] ),
+	.X(\soc_top/n_613 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128627  (
+	.A1(\soc_top/FE_OFN125_core_InstructionDecode_io_writeData_25 ),
+	.A2(\soc_top/n_173 ),
+	.B1(\soc_top/n_182 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[25] ),
+	.X(\soc_top/n_612 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128628  (
+	.A1(\soc_top/FE_OFN124_core_InstructionDecode_io_writeData_26 ),
+	.A2(\soc_top/n_173 ),
+	.B1(\soc_top/n_182 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[26] ),
+	.X(\soc_top/n_611 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128629  (
+	.A1(\soc_top/FE_OFN123_core_InstructionDecode_io_writeData_27 ),
+	.A2(\soc_top/n_173 ),
+	.B1(\soc_top/n_182 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[27] ),
+	.X(\soc_top/n_610 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128630  (
+	.A1(\soc_top/FE_OFN121_core_InstructionDecode_io_writeData_29 ),
+	.A2(\soc_top/FE_OFN167_n_173 ),
+	.B1(\soc_top/n_182 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[29] ),
+	.X(\soc_top/n_609 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128631  (
+	.A1(\soc_top/FE_OFN125_core_InstructionDecode_io_writeData_25 ),
+	.A2(\soc_top/n_259 ),
+	.B1(\soc_top/n_243 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[25] ),
+	.X(\soc_top/n_608 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128632  (
+	.A1(\soc_top/n_262 ),
+	.A2(\soc_top/FE_OFN72_core_InstructionDecode_io_writeData_7 ),
+	.B1(\soc_top/n_272 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[7] ),
+	.X(\soc_top/n_607 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128633  (
+	.A1(\soc_top/FE_OFN120_core_InstructionDecode_io_writeData_30 ),
+	.A2(\soc_top/FE_OFN167_n_173 ),
+	.B1(\soc_top/n_182 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[30] ),
+	.X(\soc_top/n_606 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128634  (
+	.A1(\soc_top/FE_OFN122_core_InstructionDecode_io_writeData_28 ),
+	.A2(\soc_top/FE_OFN167_n_173 ),
+	.B1(\soc_top/n_182 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[28] ),
+	.X(\soc_top/n_605 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128635  (
+	.A1(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.A2(\soc_top/n_173 ),
+	.B1(\soc_top/n_182 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[31] ),
+	.X(\soc_top/n_604 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128636  (
+	.A1(\soc_top/n_244 ),
+	.A2(\soc_top/FE_OFN5653_core_InstructionDecode_io_writeData_6 ),
+	.B1(\soc_top/n_245 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[6] ),
+	.X(\soc_top/n_603 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128637  (
+	.A1(\soc_top/n_266 ),
+	.A2(\soc_top/FE_OFN5620_core_InstructionDecode_io_writeData_0 ),
+	.B1(\soc_top/n_172 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_12[0] ),
+	.X(\soc_top/n_602 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128638  (
+	.A1(\soc_top/n_266 ),
+	.A2(\soc_top/n_2728 ),
+	.B1(\soc_top/n_172 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_12[1] ),
+	.X(\soc_top/n_601 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128639  (
+	.A1(\soc_top/n_266 ),
+	.A2(\soc_top/FE_OFN5603_n_6544 ),
+	.B1(\soc_top/n_172 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_12[2] ),
+	.X(\soc_top/n_600 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128640  (
+	.A1(\soc_top/n_266 ),
+	.A2(\soc_top/FE_OFN6633_n_2711 ),
+	.B1(\soc_top/n_172 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_12[3] ),
+	.X(\soc_top/n_599 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128641  (
+	.A1(\soc_top/n_266 ),
+	.A2(\soc_top/FE_OFN5619_n_6545 ),
+	.B1(\soc_top/n_172 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_12[4] ),
+	.X(\soc_top/n_598 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128642  (
+	.A1(\soc_top/FE_OFN5676_core_InstructionDecode_io_writeData_28 ),
+	.A2(\soc_top/n_242 ),
+	.B1(\soc_top/n_268 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[28] ),
+	.X(\soc_top/n_597 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128643  (
+	.A1(\soc_top/n_266 ),
+	.A2(\soc_top/FE_OFN5608_n_6546 ),
+	.B1(\soc_top/n_172 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_12[5] ),
+	.X(\soc_top/n_596 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128644  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[27] ),
+	.A2(\soc_top/FE_OFN173_n_242 ),
+	.B1(\soc_top/n_268 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[27] ),
+	.X(\soc_top/n_595 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128645  (
+	.A1(\soc_top/n_266 ),
+	.A2(\soc_top/FE_OFN73_core_InstructionDecode_io_writeData_6 ),
+	.B1(\soc_top/n_172 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_12[6] ),
+	.X(\soc_top/n_594 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128646  (
+	.A1(\soc_top/n_266 ),
+	.A2(\soc_top/FE_OFN72_core_InstructionDecode_io_writeData_7 ),
+	.B1(\soc_top/n_172 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_12[7] ),
+	.X(\soc_top/n_593 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128647  (
+	.A1(\soc_top/FE_OFN142_core_InstructionDecode_io_writeData_8 ),
+	.A2(\soc_top/n_266 ),
+	.B1(\soc_top/n_172 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_12[8] ),
+	.X(\soc_top/n_592 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128648  (
+	.A1(\soc_top/FE_OFN141_core_InstructionDecode_io_writeData_9 ),
+	.A2(\soc_top/n_266 ),
+	.B1(\soc_top/n_172 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_12[9] ),
+	.X(\soc_top/n_591 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128649  (
+	.A1(\soc_top/n_256 ),
+	.A2(\soc_top/FE_OFN73_core_InstructionDecode_io_writeData_6 ),
+	.B1(\soc_top/n_186 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[6] ),
+	.X(\soc_top/n_590 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128650  (
+	.A1(\soc_top/FE_OFN184_n_263 ),
+	.A2(\soc_top/n_2728 ),
+	.B1(\soc_top/n_254 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[1] ),
+	.X(\soc_top/n_589 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128651  (
+	.A1(\soc_top/FE_OFN140_core_InstructionDecode_io_writeData_10 ),
+	.A2(\soc_top/n_266 ),
+	.B1(\soc_top/n_172 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_12[10] ),
+	.X(\soc_top/n_588 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128652  (
+	.A1(\soc_top/FE_OFN5657_core_InstructionDecode_io_writeData_18 ),
+	.A2(\soc_top/FE_OFN173_n_242 ),
+	.B1(\soc_top/n_268 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[18] ),
+	.X(\soc_top/n_587 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128653  (
+	.A1(\soc_top/FE_OFN138_core_InstructionDecode_io_writeData_12 ),
+	.A2(\soc_top/n_266 ),
+	.B1(\soc_top/n_172 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_12[12] ),
+	.X(\soc_top/n_586 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128654  (
+	.A1(\soc_top/FE_OFN137_core_InstructionDecode_io_writeData_13 ),
+	.A2(\soc_top/n_266 ),
+	.B1(\soc_top/n_172 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_12[13] ),
+	.X(\soc_top/n_585 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128655  (
+	.A1(\soc_top/FE_OFN136_core_InstructionDecode_io_writeData_14 ),
+	.A2(\soc_top/n_266 ),
+	.B1(\soc_top/n_172 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_12[14] ),
+	.X(\soc_top/n_584 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128656  (
+	.A1(\soc_top/FE_OFN139_core_InstructionDecode_io_writeData_11 ),
+	.A2(\soc_top/n_266 ),
+	.B1(\soc_top/n_172 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_12[11] ),
+	.X(\soc_top/n_583 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128657  (
+	.A1(\soc_top/n_256 ),
+	.A2(\soc_top/FE_OFN6633_n_2711 ),
+	.B1(\soc_top/n_186 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[3] ),
+	.X(\soc_top/n_582 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128658  (
+	.A1(\soc_top/n_266 ),
+	.A2(\soc_top/FE_OFN135_core_InstructionDecode_io_writeData_15 ),
+	.B1(\soc_top/n_172 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_12[15] ),
+	.X(\soc_top/n_581 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128659  (
+	.A1(\soc_top/FE_OFN134_core_InstructionDecode_io_writeData_16 ),
+	.A2(\soc_top/n_266 ),
+	.B1(\soc_top/n_172 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_12[16] ),
+	.X(\soc_top/n_580 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128660  (
+	.A1(\soc_top/FE_OFN5623_core_InstructionDecode_io_writeData_17 ),
+	.A2(\soc_top/n_266 ),
+	.B1(\soc_top/n_172 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_12[17] ),
+	.X(\soc_top/n_579 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128661  (
+	.A1(\soc_top/FE_OFN183_n_262 ),
+	.A2(\soc_top/n_4049 ),
+	.B1(\soc_top/n_272 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[21] ),
+	.X(\soc_top/n_578 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128662  (
+	.A1(\soc_top/FE_OFN132_core_InstructionDecode_io_writeData_18 ),
+	.A2(\soc_top/n_266 ),
+	.B1(\soc_top/n_172 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_12[18] ),
+	.X(\soc_top/n_577 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128663  (
+	.A1(\soc_top/FE_OFN6620_n ),
+	.A2(\soc_top/n_266 ),
+	.B1(\soc_top/n_172 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_12[19] ),
+	.X(\soc_top/n_576 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128664  (
+	.A1(\soc_top/FE_OFN130_core_InstructionDecode_io_writeData_20 ),
+	.A2(\soc_top/n_266 ),
+	.B1(\soc_top/n_172 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_12[20] ),
+	.X(\soc_top/n_575 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128665  (
+	.A1(\soc_top/n_4049 ),
+	.A2(\soc_top/n_266 ),
+	.B1(\soc_top/n_172 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_12[21] ),
+	.X(\soc_top/n_574 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128666  (
+	.A1(\soc_top/n_256 ),
+	.A2(\soc_top/FE_OFN5603_n_6544 ),
+	.B1(\soc_top/n_186 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[2] ),
+	.X(\soc_top/n_573 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128667  (
+	.A1(\soc_top/FE_OFN6621_n_4099 ),
+	.A2(\soc_top/n_266 ),
+	.B1(\soc_top/n_172 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_12[23] ),
+	.X(\soc_top/n_572 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128668  (
+	.A1(\soc_top/FE_OFN126_core_InstructionDecode_io_writeData_24 ),
+	.A2(\soc_top/n_266 ),
+	.B1(\soc_top/n_172 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_12[24] ),
+	.X(\soc_top/n_571 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128669  (
+	.A1(\soc_top/FE_OFN125_core_InstructionDecode_io_writeData_25 ),
+	.A2(\soc_top/n_266 ),
+	.B1(\soc_top/n_172 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_12[25] ),
+	.X(\soc_top/n_570 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128670  (
+	.A1(\soc_top/FE_OFN166_n_171 ),
+	.A2(\soc_top/FE_OFN6620_n ),
+	.B1(\soc_top/n_177 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_10[19] ),
+	.X(\soc_top/n_569 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128671  (
+	.A1(\soc_top/FE_OFN124_core_InstructionDecode_io_writeData_26 ),
+	.A2(\soc_top/n_266 ),
+	.B1(\soc_top/n_172 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_12[26] ),
+	.X(\soc_top/n_568 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128672  (
+	.A1(\soc_top/FE_OFN122_core_InstructionDecode_io_writeData_28 ),
+	.A2(\soc_top/n_266 ),
+	.B1(\soc_top/n_172 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_12[28] ),
+	.X(\soc_top/n_567 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128673  (
+	.A1(\soc_top/FE_OFN121_core_InstructionDecode_io_writeData_29 ),
+	.A2(\soc_top/n_266 ),
+	.B1(\soc_top/n_172 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_12[29] ),
+	.X(\soc_top/n_566 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128674  (
+	.A1(\soc_top/FE_OFN123_core_InstructionDecode_io_writeData_27 ),
+	.A2(\soc_top/n_266 ),
+	.B1(\soc_top/n_172 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_12[27] ),
+	.X(\soc_top/n_565 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128675  (
+	.A1(\soc_top/FE_OFN120_core_InstructionDecode_io_writeData_30 ),
+	.A2(\soc_top/n_266 ),
+	.B1(\soc_top/n_172 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_12[30] ),
+	.X(\soc_top/n_564 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128676  (
+	.A1(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.A2(\soc_top/n_266 ),
+	.B1(\soc_top/n_172 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_12[31] ),
+	.X(\soc_top/n_563 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128677  (
+	.A1(\soc_top/n_248 ),
+	.A2(\soc_top/FE_OFN5620_core_InstructionDecode_io_writeData_0 ),
+	.B1(\soc_top/n_237 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[0] ),
+	.X(\soc_top/n_562 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128678  (
+	.A1(\soc_top/FE_OFN175_n_248 ),
+	.A2(\soc_top/n_2728 ),
+	.B1(\soc_top/n_237 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[1] ),
+	.X(\soc_top/n_561 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128679  (
+	.A1(\soc_top/FE_OFN175_n_248 ),
+	.A2(\soc_top/FE_OFN5603_n_6544 ),
+	.B1(\soc_top/n_237 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[2] ),
+	.X(\soc_top/n_560 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128680  (
+	.A1(\soc_top/n_248 ),
+	.A2(\soc_top/FE_OFN6633_n_2711 ),
+	.B1(\soc_top/n_237 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[3] ),
+	.X(\soc_top/n_559 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128681  (
+	.A1(\soc_top/n_248 ),
+	.A2(\soc_top/FE_OFN5619_n_6545 ),
+	.B1(\soc_top/n_237 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[4] ),
+	.X(\soc_top/n_558 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128682  (
+	.A1(\soc_top/FE_OFN175_n_248 ),
+	.A2(\soc_top/FE_OFN5608_n_6546 ),
+	.B1(\soc_top/n_237 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[5] ),
+	.X(\soc_top/n_557 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128683  (
+	.A1(\soc_top/n_248 ),
+	.A2(\soc_top/FE_OFN73_core_InstructionDecode_io_writeData_6 ),
+	.B1(\soc_top/n_237 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[6] ),
+	.X(\soc_top/n_556 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128684  (
+	.A1(\soc_top/n_248 ),
+	.A2(\soc_top/FE_OFN72_core_InstructionDecode_io_writeData_7 ),
+	.B1(\soc_top/n_237 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[7] ),
+	.X(\soc_top/n_555 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128685  (
+	.A1(\soc_top/FE_OFN142_core_InstructionDecode_io_writeData_8 ),
+	.A2(\soc_top/n_248 ),
+	.B1(\soc_top/n_237 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[8] ),
+	.X(\soc_top/n_554 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128686  (
+	.A1(\soc_top/FE_OFN141_core_InstructionDecode_io_writeData_9 ),
+	.A2(\soc_top/FE_OFN175_n_248 ),
+	.B1(\soc_top/n_237 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[9] ),
+	.X(\soc_top/n_553 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128687  (
+	.A1(\soc_top/n_242 ),
+	.A2(\soc_top/FE_OFN134_core_InstructionDecode_io_writeData_16 ),
+	.B1(\soc_top/n_268 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[16] ),
+	.X(\soc_top/n_552 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128688  (
+	.A1(\soc_top/FE_OFN140_core_InstructionDecode_io_writeData_10 ),
+	.A2(\soc_top/FE_OFN175_n_248 ),
+	.B1(\soc_top/n_237 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[10] ),
+	.X(\soc_top/n_551 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128689  (
+	.A1(\soc_top/FE_OFN137_core_InstructionDecode_io_writeData_13 ),
+	.A2(\soc_top/FE_OFN175_n_248 ),
+	.B1(\soc_top/n_237 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[13] ),
+	.X(\soc_top/n_550 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128690  (
+	.A1(\soc_top/FE_OFN139_core_InstructionDecode_io_writeData_11 ),
+	.A2(\soc_top/FE_OFN175_n_248 ),
+	.B1(\soc_top/n_237 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[11] ),
+	.X(\soc_top/n_549 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128691  (
+	.A1(\soc_top/FE_OFN121_core_InstructionDecode_io_writeData_29 ),
+	.A2(\soc_top/n_259 ),
+	.B1(\soc_top/n_243 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[29] ),
+	.X(\soc_top/n_548 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128692  (
+	.A1(\soc_top/FE_OFN138_core_InstructionDecode_io_writeData_12 ),
+	.A2(\soc_top/FE_OFN175_n_248 ),
+	.B1(\soc_top/n_237 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[12] ),
+	.X(\soc_top/n_547 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128693  (
+	.A1(\soc_top/FE_OFN136_core_InstructionDecode_io_writeData_14 ),
+	.A2(\soc_top/n_248 ),
+	.B1(\soc_top/n_237 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[14] ),
+	.X(\soc_top/n_546 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128694  (
+	.A1(\soc_top/FE_OFN175_n_248 ),
+	.A2(\soc_top/FE_OFN135_core_InstructionDecode_io_writeData_15 ),
+	.B1(\soc_top/n_237 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[15] ),
+	.X(\soc_top/n_545 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128695  (
+	.A1(\soc_top/FE_OFN6623_n ),
+	.A2(\soc_top/n_248 ),
+	.B1(\soc_top/n_237 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[16] ),
+	.X(\soc_top/n_544 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128696  (
+	.A1(\soc_top/FE_OFN5623_core_InstructionDecode_io_writeData_17 ),
+	.A2(\soc_top/FE_OFN175_n_248 ),
+	.B1(\soc_top/n_237 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[17] ),
+	.X(\soc_top/n_543 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128697  (
+	.A1(\soc_top/FE_OFN6623_n ),
+	.A2(\soc_top/n_259 ),
+	.B1(\soc_top/n_243 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_1[16] ),
+	.X(\soc_top/n_542 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128698  (
+	.A1(\soc_top/FE_OFN132_core_InstructionDecode_io_writeData_18 ),
+	.A2(\soc_top/n_248 ),
+	.B1(\soc_top/n_237 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[18] ),
+	.X(\soc_top/n_541 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128699  (
+	.A1(\soc_top/FE_OFN6620_n ),
+	.A2(\soc_top/FE_OFN175_n_248 ),
+	.B1(\soc_top/n_237 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[19] ),
+	.X(\soc_top/n_540 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128700  (
+	.A1(\soc_top/FE_OFN130_core_InstructionDecode_io_writeData_20 ),
+	.A2(\soc_top/FE_OFN175_n_248 ),
+	.B1(\soc_top/n_237 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[20] ),
+	.X(\soc_top/n_539 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128701  (
+	.A1(\soc_top/FE_OFN5629_core_InstructionDecode_io_writeData_26 ),
+	.A2(\soc_top/FE_OFN173_n_242 ),
+	.B1(\soc_top/n_268 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[26] ),
+	.X(\soc_top/n_538 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128702  (
+	.A1(\soc_top/n_4049 ),
+	.A2(\soc_top/FE_OFN175_n_248 ),
+	.B1(\soc_top/n_237 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[21] ),
+	.X(\soc_top/n_537 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128703  (
+	.A1(\soc_top/FE_OFN128_core_InstructionDecode_io_writeData_22 ),
+	.A2(\soc_top/n_248 ),
+	.B1(\soc_top/n_237 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[22] ),
+	.X(\soc_top/n_536 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128704  (
+	.A1(\soc_top/n_270 ),
+	.A2(\soc_top/FE_OFN134_core_InstructionDecode_io_writeData_16 ),
+	.B1(\soc_top/n_236 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[16] ),
+	.X(\soc_top/n_535 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128705  (
+	.A1(\soc_top/FE_OFN6621_n_4099 ),
+	.A2(\soc_top/FE_OFN175_n_248 ),
+	.B1(\soc_top/n_237 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[23] ),
+	.X(\soc_top/n_534 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128706  (
+	.A1(\soc_top/FE_OFN126_core_InstructionDecode_io_writeData_24 ),
+	.A2(\soc_top/FE_OFN175_n_248 ),
+	.B1(\soc_top/n_237 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[24] ),
+	.X(\soc_top/n_533 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128707  (
+	.A1(\soc_top/FE_OFN125_core_InstructionDecode_io_writeData_25 ),
+	.A2(\soc_top/n_248 ),
+	.B1(\soc_top/n_237 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[25] ),
+	.X(\soc_top/n_532 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128708  (
+	.A1(\soc_top/FE_OFN124_core_InstructionDecode_io_writeData_26 ),
+	.A2(\soc_top/n_248 ),
+	.B1(\soc_top/n_237 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[26] ),
+	.X(\soc_top/n_531 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128709  (
+	.A1(\soc_top/FE_OFN122_core_InstructionDecode_io_writeData_28 ),
+	.A2(\soc_top/FE_OFN175_n_248 ),
+	.B1(\soc_top/n_237 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[28] ),
+	.X(\soc_top/n_530 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128710  (
+	.A1(\soc_top/FE_OFN121_core_InstructionDecode_io_writeData_29 ),
+	.A2(\soc_top/FE_OFN175_n_248 ),
+	.B1(\soc_top/n_237 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[29] ),
+	.X(\soc_top/n_529 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128711  (
+	.A1(\soc_top/FE_OFN123_core_InstructionDecode_io_writeData_27 ),
+	.A2(\soc_top/n_248 ),
+	.B1(\soc_top/n_237 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[27] ),
+	.X(\soc_top/n_528 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128712  (
+	.A1(\soc_top/FE_OFN120_core_InstructionDecode_io_writeData_30 ),
+	.A2(\soc_top/FE_OFN175_n_248 ),
+	.B1(\soc_top/n_237 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[30] ),
+	.X(\soc_top/n_527 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128713  (
+	.A1(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.A2(\soc_top/FE_OFN175_n_248 ),
+	.B1(\soc_top/n_237 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[31] ),
+	.X(\soc_top/n_526 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128714  (
+	.A1(\soc_top/n_256 ),
+	.A2(\soc_top/n_2728 ),
+	.B1(\soc_top/n_186 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[1] ),
+	.X(\soc_top/n_525 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128715  (
+	.A1(\soc_top/n_255 ),
+	.A2(\soc_top/FE_OFN5620_core_InstructionDecode_io_writeData_0 ),
+	.B1(\soc_top/n_174 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[0] ),
+	.X(\soc_top/n_524 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128716  (
+	.A1(\soc_top/FE_OFN128_core_InstructionDecode_io_writeData_22 ),
+	.A2(\soc_top/n_256 ),
+	.B1(\soc_top/n_186 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[22] ),
+	.X(\soc_top/n_523 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128717  (
+	.A1(\soc_top/FE_OFN179_n_255 ),
+	.A2(\soc_top/n_2728 ),
+	.B1(\soc_top/n_174 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[1] ),
+	.X(\soc_top/n_522 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128718  (
+	.A1(\soc_top/FE_OFN179_n_255 ),
+	.A2(\soc_top/FE_OFN5603_n_6544 ),
+	.B1(\soc_top/n_174 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[2] ),
+	.X(\soc_top/n_521 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128719  (
+	.A1(\soc_top/FE_OFN179_n_255 ),
+	.A2(\soc_top/FE_OFN6633_n_2711 ),
+	.B1(\soc_top/n_174 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[3] ),
+	.X(\soc_top/n_520 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128720  (
+	.A1(\soc_top/n_255 ),
+	.A2(\soc_top/FE_OFN5619_n_6545 ),
+	.B1(\soc_top/n_174 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[4] ),
+	.X(\soc_top/n_519 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128721  (
+	.A1(\soc_top/FE_OFN179_n_255 ),
+	.A2(\soc_top/FE_OFN5608_n_6546 ),
+	.B1(\soc_top/n_174 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[5] ),
+	.X(\soc_top/n_518 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128722  (
+	.A1(\soc_top/n_255 ),
+	.A2(\soc_top/FE_OFN73_core_InstructionDecode_io_writeData_6 ),
+	.B1(\soc_top/n_174 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[6] ),
+	.X(\soc_top/n_517 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128723  (
+	.A1(\soc_top/n_255 ),
+	.A2(\soc_top/FE_OFN72_core_InstructionDecode_io_writeData_7 ),
+	.B1(\soc_top/n_174 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[7] ),
+	.X(\soc_top/n_516 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128724  (
+	.A1(\soc_top/n_255 ),
+	.A2(\soc_top/FE_OFN142_core_InstructionDecode_io_writeData_8 ),
+	.B1(\soc_top/n_174 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[8] ),
+	.X(\soc_top/n_515 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128725  (
+	.A1(\soc_top/FE_OFN179_n_255 ),
+	.A2(\soc_top/FE_OFN141_core_InstructionDecode_io_writeData_9 ),
+	.B1(\soc_top/n_174 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[9] ),
+	.X(\soc_top/n_514 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128726  (
+	.A1(\soc_top/n_256 ),
+	.A2(\soc_top/n_4049 ),
+	.B1(\soc_top/n_186 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[21] ),
+	.X(\soc_top/n_513 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128727  (
+	.A1(\soc_top/FE_OFN179_n_255 ),
+	.A2(\soc_top/FE_OFN140_core_InstructionDecode_io_writeData_10 ),
+	.B1(\soc_top/n_174 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[10] ),
+	.X(\soc_top/n_512 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128728  (
+	.A1(\soc_top/FE_OFN183_n_262 ),
+	.A2(\soc_top/FE_OFN6620_n ),
+	.B1(\soc_top/n_272 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[19] ),
+	.X(\soc_top/n_511 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128729  (
+	.A1(\soc_top/FE_OFN179_n_255 ),
+	.A2(\soc_top/FE_OFN139_core_InstructionDecode_io_writeData_11 ),
+	.B1(\soc_top/n_174 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[11] ),
+	.X(\soc_top/n_510 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128730  (
+	.A1(\soc_top/FE_OFN179_n_255 ),
+	.A2(\soc_top/FE_OFN138_core_InstructionDecode_io_writeData_12 ),
+	.B1(\soc_top/n_174 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[12] ),
+	.X(\soc_top/n_509 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128731  (
+	.A1(\soc_top/n_255 ),
+	.A2(\soc_top/FE_OFN137_core_InstructionDecode_io_writeData_13 ),
+	.B1(\soc_top/n_174 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[13] ),
+	.X(\soc_top/n_508 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128732  (
+	.A1(\soc_top/FE_OFN5629_core_InstructionDecode_io_writeData_26 ),
+	.A2(\soc_top/FE_OFN185_n_267 ),
+	.B1(\soc_top/n_249 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[26] ),
+	.X(\soc_top/n_507 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128733  (
+	.A1(\soc_top/n_255 ),
+	.A2(\soc_top/FE_OFN136_core_InstructionDecode_io_writeData_14 ),
+	.B1(\soc_top/n_174 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[14] ),
+	.X(\soc_top/n_506 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128734  (
+	.A1(\soc_top/FE_OFN179_n_255 ),
+	.A2(\soc_top/FE_OFN135_core_InstructionDecode_io_writeData_15 ),
+	.B1(\soc_top/n_174 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[15] ),
+	.X(\soc_top/n_505 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128735  (
+	.A1(\soc_top/FE_OFN179_n_255 ),
+	.A2(\soc_top/FE_OFN134_core_InstructionDecode_io_writeData_16 ),
+	.B1(\soc_top/n_174 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[16] ),
+	.X(\soc_top/n_504 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128736  (
+	.A1(\soc_top/FE_OFN179_n_255 ),
+	.A2(\soc_top/FE_OFN5623_core_InstructionDecode_io_writeData_17 ),
+	.B1(\soc_top/n_174 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[17] ),
+	.X(\soc_top/n_503 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128737  (
+	.A1(\soc_top/FE_OFN132_core_InstructionDecode_io_writeData_18 ),
+	.A2(\soc_top/n_255 ),
+	.B1(\soc_top/n_174 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[18] ),
+	.X(\soc_top/n_502 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128738  (
+	.A1(\soc_top/n_242 ),
+	.A2(\soc_top/FE_OFN6633_n_2711 ),
+	.B1(\soc_top/n_268 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[3] ),
+	.X(\soc_top/n_501 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128739  (
+	.A1(\soc_top/FE_OFN179_n_255 ),
+	.A2(\soc_top/FE_OFN6620_n ),
+	.B1(\soc_top/n_174 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[19] ),
+	.X(\soc_top/n_500 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128740  (
+	.A1(\soc_top/FE_OFN6615_core_InstructionDecode_io_writeData_20 ),
+	.A2(\soc_top/FE_OFN179_n_255 ),
+	.B1(\soc_top/n_174 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[20] ),
+	.X(\soc_top/n_499 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128741  (
+	.A1(\soc_top/FE_OFN6621_n_4099 ),
+	.A2(\soc_top/n_270 ),
+	.B1(\soc_top/n_236 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[23] ),
+	.X(\soc_top/n_498 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128742  (
+	.A1(\soc_top/FE_OFN179_n_255 ),
+	.A2(\soc_top/n_4049 ),
+	.B1(\soc_top/n_174 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[21] ),
+	.X(\soc_top/n_497 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128743  (
+	.A1(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.A2(\soc_top/FE_OFN185_n_267 ),
+	.B1(\soc_top/n_249 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[31] ),
+	.X(\soc_top/n_496 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128744  (
+	.A1(\soc_top/FE_OFN174_n_244 ),
+	.A2(\soc_top/n_2728 ),
+	.B1(\soc_top/n_245 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[1] ),
+	.X(\soc_top/n_495 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128745  (
+	.A1(\soc_top/FE_OFN128_core_InstructionDecode_io_writeData_22 ),
+	.A2(\soc_top/n_255 ),
+	.B1(\soc_top/n_174 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[22] ),
+	.X(\soc_top/n_494 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128746  (
+	.A1(\soc_top/FE_OFN184_n_263 ),
+	.A2(\soc_top/FE_OFN5608_n_6546 ),
+	.B1(\soc_top/n_254 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[5] ),
+	.X(\soc_top/n_493 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128747  (
+	.A1(\soc_top/FE_OFN6621_n_4099 ),
+	.A2(\soc_top/FE_OFN179_n_255 ),
+	.B1(\soc_top/n_174 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[23] ),
+	.X(\soc_top/n_492 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128748  (
+	.A1(\soc_top/n_4102 ),
+	.A2(\soc_top/FE_OFN179_n_255 ),
+	.B1(\soc_top/n_174 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[24] ),
+	.X(\soc_top/n_491 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128749  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[22] ),
+	.A2(\soc_top/n_244 ),
+	.B1(\soc_top/n_245 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[22] ),
+	.X(\soc_top/n_490 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128750  (
+	.A1(\soc_top/FE_OFN125_core_InstructionDecode_io_writeData_25 ),
+	.A2(\soc_top/n_255 ),
+	.B1(\soc_top/n_174 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[25] ),
+	.X(\soc_top/n_489 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128751  (
+	.A1(\soc_top/n_4049 ),
+	.A2(\soc_top/FE_OFN174_n_244 ),
+	.B1(\soc_top/n_245 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[21] ),
+	.X(\soc_top/n_488 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128752  (
+	.A1(\soc_top/FE_OFN123_core_InstructionDecode_io_writeData_27 ),
+	.A2(\soc_top/n_255 ),
+	.B1(\soc_top/n_174 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[27] ),
+	.X(\soc_top/n_487 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128753  (
+	.A1(\soc_top/n_181 ),
+	.A2(\soc_top/FE_OFN73_core_InstructionDecode_io_writeData_6 ),
+	.B1(\soc_top/n_176 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[6] ),
+	.X(\soc_top/n_486 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128754  (
+	.A1(\soc_top/FE_OFN122_core_InstructionDecode_io_writeData_28 ),
+	.A2(\soc_top/FE_OFN179_n_255 ),
+	.B1(\soc_top/n_174 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[28] ),
+	.X(\soc_top/n_485 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128755  (
+	.A1(\soc_top/FE_OFN124_core_InstructionDecode_io_writeData_26 ),
+	.A2(\soc_top/n_255 ),
+	.B1(\soc_top/n_174 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[26] ),
+	.X(\soc_top/n_484 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128756  (
+	.A1(\soc_top/FE_OFN5680_core_InstructionDecode_io_writeData_29 ),
+	.A2(\soc_top/n_255 ),
+	.B1(\soc_top/n_174 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[29] ),
+	.X(\soc_top/n_483 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128757  (
+	.A1(\soc_top/FE_OFN120_core_InstructionDecode_io_writeData_30 ),
+	.A2(\soc_top/FE_OFN179_n_255 ),
+	.B1(\soc_top/n_174 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[30] ),
+	.X(\soc_top/n_482 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128758  (
+	.A1(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.A2(\soc_top/FE_OFN179_n_255 ),
+	.B1(\soc_top/n_174 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[31] ),
+	.X(\soc_top/n_481 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128759  (
+	.A1(\soc_top/core_InstructionDecode_io_writeData[30] ),
+	.A2(\soc_top/n_267 ),
+	.B1(\soc_top/n_249 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[30] ),
+	.X(\soc_top/n_480 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128760  (
+	.A1(\soc_top/FE_OFN6615_core_InstructionDecode_io_writeData_20 ),
+	.A2(\soc_top/FE_OFN174_n_244 ),
+	.B1(\soc_top/n_245 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[20] ),
+	.X(\soc_top/n_479 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128761  (
+	.A1(\soc_top/n_270 ),
+	.A2(\soc_top/n_4049 ),
+	.B1(\soc_top/n_236 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[21] ),
+	.X(\soc_top/n_478 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128762  (
+	.A1(\soc_top/FE_OFN170_n_179 ),
+	.A2(\soc_top/FE_OFN5620_core_InstructionDecode_io_writeData_0 ),
+	.B1(\soc_top/FE_OFN169_n_178 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[0] ),
+	.X(\soc_top/n_477 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128763  (
+	.A1(\soc_top/n_269 ),
+	.A2(\soc_top/FE_OFN141_core_InstructionDecode_io_writeData_9 ),
+	.B1(\soc_top/n_170 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[9] ),
+	.X(\soc_top/n_476 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128764  (
+	.A1(\soc_top/FE_OFN170_n_179 ),
+	.A2(\soc_top/n_2728 ),
+	.B1(\soc_top/FE_OFN169_n_178 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[1] ),
+	.X(\soc_top/n_475 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128765  (
+	.A1(\soc_top/FE_OFN139_core_InstructionDecode_io_writeData_11 ),
+	.A2(\soc_top/n_263 ),
+	.B1(\soc_top/n_254 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[11] ),
+	.X(\soc_top/n_474 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128766  (
+	.A1(\soc_top/FE_OFN121_core_InstructionDecode_io_writeData_29 ),
+	.A2(\soc_top/FE_OFN185_n_267 ),
+	.B1(\soc_top/n_249 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[29] ),
+	.X(\soc_top/n_473 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128767  (
+	.A1(\soc_top/n_179 ),
+	.A2(\soc_top/FE_OFN5603_n_6544 ),
+	.B1(\soc_top/n_178 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[2] ),
+	.X(\soc_top/n_472 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128768  (
+	.A1(\soc_top/FE_OFN170_n_179 ),
+	.A2(\soc_top/FE_OFN6633_n_2711 ),
+	.B1(\soc_top/FE_OFN169_n_178 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[3] ),
+	.X(\soc_top/n_471 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128769  (
+	.A1(\soc_top/FE_OFN170_n_179 ),
+	.A2(\soc_top/FE_OFN5619_n_6545 ),
+	.B1(\soc_top/FE_OFN169_n_178 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[4] ),
+	.X(\soc_top/n_470 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128770  (
+	.A1(\soc_top/n_179 ),
+	.A2(\soc_top/FE_OFN5608_n_6546 ),
+	.B1(\soc_top/n_178 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[5] ),
+	.X(\soc_top/n_469 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128771  (
+	.A1(\soc_top/FE_OFN131_core_InstructionDecode_io_writeData_19 ),
+	.A2(\soc_top/FE_OFN174_n_244 ),
+	.B1(\soc_top/n_245 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[19] ),
+	.X(\soc_top/n_468 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128772  (
+	.A1(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.A2(\soc_top/n_270 ),
+	.B1(\soc_top/n_236 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[31] ),
+	.X(\soc_top/n_467 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128773  (
+	.A1(\soc_top/FE_OFN170_n_179 ),
+	.A2(\soc_top/FE_OFN73_core_InstructionDecode_io_writeData_6 ),
+	.B1(\soc_top/FE_OFN169_n_178 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[6] ),
+	.X(\soc_top/n_466 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128774  (
+	.A1(\soc_top/FE_OFN170_n_179 ),
+	.A2(\soc_top/FE_OFN72_core_InstructionDecode_io_writeData_7 ),
+	.B1(\soc_top/FE_OFN169_n_178 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[7] ),
+	.X(\soc_top/n_465 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128775  (
+	.A1(\soc_top/FE_OFN170_n_179 ),
+	.A2(\soc_top/FE_OFN142_core_InstructionDecode_io_writeData_8 ),
+	.B1(\soc_top/FE_OFN169_n_178 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[8] ),
+	.X(\soc_top/n_464 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128776  (
+	.A1(\soc_top/n_179 ),
+	.A2(\soc_top/FE_OFN141_core_InstructionDecode_io_writeData_9 ),
+	.B1(\soc_top/n_178 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[9] ),
+	.X(\soc_top/n_463 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128777  (
+	.A1(\soc_top/FE_OFN138_core_InstructionDecode_io_writeData_12 ),
+	.A2(\soc_top/FE_OFN174_n_244 ),
+	.B1(\soc_top/n_245 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[12] ),
+	.X(\soc_top/n_462 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128778  (
+	.A1(\soc_top/n_179 ),
+	.A2(\soc_top/FE_OFN138_core_InstructionDecode_io_writeData_12 ),
+	.B1(\soc_top/n_178 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[12] ),
+	.X(\soc_top/n_461 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128779  (
+	.A1(\soc_top/n_179 ),
+	.A2(\soc_top/FE_OFN140_core_InstructionDecode_io_writeData_10 ),
+	.B1(\soc_top/n_178 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[10] ),
+	.X(\soc_top/n_460 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128780  (
+	.A1(\soc_top/n_179 ),
+	.A2(\soc_top/FE_OFN139_core_InstructionDecode_io_writeData_11 ),
+	.B1(\soc_top/n_178 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[11] ),
+	.X(\soc_top/n_459 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128781  (
+	.A1(\soc_top/FE_OFN5657_core_InstructionDecode_io_writeData_18 ),
+	.A2(\soc_top/n_244 ),
+	.B1(\soc_top/n_245 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[18] ),
+	.X(\soc_top/n_458 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128782  (
+	.A1(\soc_top/n_179 ),
+	.A2(\soc_top/FE_OFN137_core_InstructionDecode_io_writeData_13 ),
+	.B1(\soc_top/n_178 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[13] ),
+	.X(\soc_top/n_457 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128783  (
+	.A1(\soc_top/FE_OFN5676_core_InstructionDecode_io_writeData_28 ),
+	.A2(\soc_top/n_267 ),
+	.B1(\soc_top/n_249 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_18[28] ),
+	.X(\soc_top/n_456 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128784  (
+	.A1(\soc_top/n_262 ),
+	.A2(\soc_top/FE_OFN6623_n ),
+	.B1(\soc_top/n_272 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[16] ),
+	.X(\soc_top/n_455 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128785  (
+	.A1(\soc_top/FE_OFN170_n_179 ),
+	.A2(\soc_top/FE_OFN136_core_InstructionDecode_io_writeData_14 ),
+	.B1(\soc_top/FE_OFN169_n_178 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[14] ),
+	.X(\soc_top/n_454 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128786  (
+	.A1(\soc_top/n_179 ),
+	.A2(\soc_top/FE_OFN135_core_InstructionDecode_io_writeData_15 ),
+	.B1(\soc_top/n_178 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[15] ),
+	.X(\soc_top/n_453 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128787  (
+	.A1(\soc_top/FE_OFN170_n_179 ),
+	.A2(\soc_top/FE_OFN6623_n ),
+	.B1(\soc_top/FE_OFN169_n_178 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[16] ),
+	.X(\soc_top/n_452 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128788  (
+	.A1(\soc_top/n_179 ),
+	.A2(\soc_top/FE_OFN5623_core_InstructionDecode_io_writeData_17 ),
+	.B1(\soc_top/n_178 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[17] ),
+	.X(\soc_top/n_451 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128789  (
+	.A1(\soc_top/FE_OFN133_core_InstructionDecode_io_writeData_17 ),
+	.A2(\soc_top/FE_OFN174_n_244 ),
+	.B1(\soc_top/n_245 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[17] ),
+	.X(\soc_top/n_450 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128790  (
+	.A1(\soc_top/FE_OFN132_core_InstructionDecode_io_writeData_18 ),
+	.A2(\soc_top/FE_OFN170_n_179 ),
+	.B1(\soc_top/FE_OFN169_n_178 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[18] ),
+	.X(\soc_top/n_449 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128791  (
+	.A1(\soc_top/FE_OFN134_core_InstructionDecode_io_writeData_16 ),
+	.A2(\soc_top/FE_OFN174_n_244 ),
+	.B1(\soc_top/n_245 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[16] ),
+	.X(\soc_top/n_448 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128792  (
+	.A1(\soc_top/n_179 ),
+	.A2(\soc_top/FE_OFN6620_n ),
+	.B1(\soc_top/n_178 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[19] ),
+	.X(\soc_top/n_447 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128793  (
+	.A1(\soc_top/FE_OFN130_core_InstructionDecode_io_writeData_20 ),
+	.A2(\soc_top/n_179 ),
+	.B1(\soc_top/n_178 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[20] ),
+	.X(\soc_top/n_446 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128794  (
+	.A1(\soc_top/FE_OFN123_core_InstructionDecode_io_writeData_27 ),
+	.A2(\soc_top/n_262 ),
+	.B1(\soc_top/n_272 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[27] ),
+	.X(\soc_top/n_445 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128795  (
+	.A1(\soc_top/n_179 ),
+	.A2(\soc_top/n_4049 ),
+	.B1(\soc_top/n_178 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[21] ),
+	.X(\soc_top/n_444 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128796  (
+	.A1(\soc_top/n_242 ),
+	.A2(\soc_top/FE_OFN139_core_InstructionDecode_io_writeData_11 ),
+	.B1(\soc_top/n_268 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[11] ),
+	.X(\soc_top/n_443 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128797  (
+	.A1(\soc_top/FE_OFN120_core_InstructionDecode_io_writeData_30 ),
+	.A2(\soc_top/FE_OFN183_n_262 ),
+	.B1(\soc_top/n_272 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_3[30] ),
+	.X(\soc_top/n_442 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128798  (
+	.A1(\soc_top/FE_OFN128_core_InstructionDecode_io_writeData_22 ),
+	.A2(\soc_top/FE_OFN170_n_179 ),
+	.B1(\soc_top/FE_OFN169_n_178 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[22] ),
+	.X(\soc_top/n_441 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128799  (
+	.A1(\soc_top/FE_OFN6621_n_4099 ),
+	.A2(\soc_top/n_179 ),
+	.B1(\soc_top/n_178 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[23] ),
+	.X(\soc_top/n_440 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128800  (
+	.A1(\soc_top/FE_OFN126_core_InstructionDecode_io_writeData_24 ),
+	.A2(\soc_top/FE_OFN170_n_179 ),
+	.B1(\soc_top/FE_OFN169_n_178 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[24] ),
+	.X(\soc_top/n_439 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128801  (
+	.A1(\soc_top/FE_OFN125_core_InstructionDecode_io_writeData_25 ),
+	.A2(\soc_top/FE_OFN170_n_179 ),
+	.B1(\soc_top/FE_OFN169_n_178 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[25] ),
+	.X(\soc_top/n_438 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128802  (
+	.A1(\soc_top/FE_OFN123_core_InstructionDecode_io_writeData_27 ),
+	.A2(\soc_top/FE_OFN170_n_179 ),
+	.B1(\soc_top/FE_OFN169_n_178 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[27] ),
+	.X(\soc_top/n_437 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128803  (
+	.A1(\soc_top/n_181 ),
+	.A2(\soc_top/FE_OFN6633_n_2711 ),
+	.B1(\soc_top/n_176 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[3] ),
+	.X(\soc_top/n_436 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128804  (
+	.A1(\soc_top/n_270 ),
+	.A2(\soc_top/FE_OFN5608_n_6546 ),
+	.B1(\soc_top/n_236 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[5] ),
+	.X(\soc_top/n_435 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128805  (
+	.A1(\soc_top/FE_OFN122_core_InstructionDecode_io_writeData_28 ),
+	.A2(\soc_top/n_179 ),
+	.B1(\soc_top/n_178 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[28] ),
+	.X(\soc_top/n_434 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128806  (
+	.A1(\soc_top/n_270 ),
+	.A2(\soc_top/FE_OFN6620_n ),
+	.B1(\soc_top/n_236 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[19] ),
+	.X(\soc_top/n_433 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128807  (
+	.A1(\soc_top/n_256 ),
+	.A2(\soc_top/FE_OFN6620_n ),
+	.B1(\soc_top/n_186 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[19] ),
+	.X(\soc_top/n_432 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128808  (
+	.A1(\soc_top/FE_OFN124_core_InstructionDecode_io_writeData_26 ),
+	.A2(\soc_top/FE_OFN170_n_179 ),
+	.B1(\soc_top/FE_OFN169_n_178 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[26] ),
+	.X(\soc_top/n_431 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128809  (
+	.A1(\soc_top/FE_OFN121_core_InstructionDecode_io_writeData_29 ),
+	.A2(\soc_top/n_179 ),
+	.B1(\soc_top/n_178 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[29] ),
+	.X(\soc_top/n_430 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128810  (
+	.A1(\soc_top/FE_OFN142_core_InstructionDecode_io_writeData_8 ),
+	.A2(\soc_top/n_244 ),
+	.B1(\soc_top/n_245 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[8] ),
+	.X(\soc_top/n_429 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128811  (
+	.A1(\soc_top/FE_OFN120_core_InstructionDecode_io_writeData_30 ),
+	.A2(\soc_top/n_179 ),
+	.B1(\soc_top/n_178 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[30] ),
+	.X(\soc_top/n_428 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128812  (
+	.A1(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.A2(\soc_top/FE_OFN170_n_179 ),
+	.B1(\soc_top/FE_OFN169_n_178 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[31] ),
+	.X(\soc_top/n_427 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128813  (
+	.A1(\soc_top/n_251 ),
+	.A2(\soc_top/FE_OFN5620_core_InstructionDecode_io_writeData_0 ),
+	.B1(\soc_top/n_250 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[0] ),
+	.X(\soc_top/n_426 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128814  (
+	.A1(\soc_top/FE_OFN186_n_269 ),
+	.A2(\soc_top/FE_OFN72_core_InstructionDecode_io_writeData_7 ),
+	.B1(\soc_top/n_170 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[7] ),
+	.X(\soc_top/n_425 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128815  (
+	.A1(\soc_top/FE_OFN176_n_251 ),
+	.A2(\soc_top/n_2728 ),
+	.B1(\soc_top/n_250 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[1] ),
+	.X(\soc_top/n_424 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128816  (
+	.A1(\soc_top/FE_OFN176_n_251 ),
+	.A2(\soc_top/FE_OFN5603_n_6544 ),
+	.B1(\soc_top/n_250 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[2] ),
+	.X(\soc_top/n_423 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128817  (
+	.A1(\soc_top/FE_OFN176_n_251 ),
+	.A2(\soc_top/FE_OFN6633_n_2711 ),
+	.B1(\soc_top/n_250 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[3] ),
+	.X(\soc_top/n_422 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128818  (
+	.A1(\soc_top/FE_OFN5668_core_InstructionDecode_io_writeData_14 ),
+	.A2(\soc_top/n_244 ),
+	.B1(\soc_top/n_245 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[14] ),
+	.X(\soc_top/n_421 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128819  (
+	.A1(\soc_top/n_251 ),
+	.A2(\soc_top/FE_OFN5619_n_6545 ),
+	.B1(\soc_top/n_250 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[4] ),
+	.X(\soc_top/n_420 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128820  (
+	.A1(\soc_top/n_5002 ),
+	.A2(\soc_top/n_244 ),
+	.B1(\soc_top/n_245 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[13] ),
+	.X(\soc_top/n_419 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128821  (
+	.A1(\soc_top/FE_OFN176_n_251 ),
+	.A2(\soc_top/FE_OFN5608_n_6546 ),
+	.B1(\soc_top/n_250 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[5] ),
+	.X(\soc_top/n_418 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128822  (
+	.A1(\soc_top/n_251 ),
+	.A2(\soc_top/FE_OFN73_core_InstructionDecode_io_writeData_6 ),
+	.B1(\soc_top/n_250 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[6] ),
+	.X(\soc_top/n_417 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128823  (
+	.A1(\soc_top/n_251 ),
+	.A2(\soc_top/FE_OFN72_core_InstructionDecode_io_writeData_7 ),
+	.B1(\soc_top/n_250 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[7] ),
+	.X(\soc_top/n_416 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g128824  (
+	.A1(\soc_top/FE_OFN142_core_InstructionDecode_io_writeData_8 ),
+	.A2(\soc_top/n_251 ),
+	.B1(\soc_top/n_250 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_16[8] ),
+	.X(\soc_top/n_415 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g128825  (
+	.A(\soc_top/n_411 ),
+	.Y(\soc_top/n_412 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/g128826  (
+	.A(\soc_top/n_410 ),
+	.Y(\soc_top/n_409 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g128827  (
+	.A(\soc_top/FE_OFN249_n_6445 ),
+	.Y(\soc_top/n_407 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128828  (
+	.A1(\soc_top/n_6029 ),
+	.A2(FE_OFN31_io_out_31),
+	.B1(\soc_top/n_278 ),
+	.Y(\soc_top/n_406 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128829  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[16] ),
+	.A2(\soc_top/gpio_data_in_q[16] ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[16] ),
+	.Y(\soc_top/n_405 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128830  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[3] ),
+	.A2(\soc_top/gpio_data_in_q[3] ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[3] ),
+	.Y(\soc_top/n_404 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128831  (
+	.A1(\soc_top/n_6024 ),
+	.A2(gpio_oe[20]),
+	.B1(\soc_top/n_282 ),
+	.Y(\soc_top/n_403 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128832  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[5] ),
+	.A2(\soc_top/gpio_data_in_q[5] ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[5] ),
+	.Y(\soc_top/n_402 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128833  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[15] ),
+	.A2(\soc_top/gpio_data_in_q[15] ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[15] ),
+	.Y(\soc_top/n_401 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128834  (
+	.A1(\soc_top/n_6025 ),
+	.A2(gpio_oe[21]),
+	.B1(\soc_top/n_287 ),
+	.Y(\soc_top/n_400 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128835  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[10] ),
+	.A2(\soc_top/gpio_data_in_q[10] ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[10] ),
+	.Y(\soc_top/n_399 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128836  (
+	.A1(\soc_top/n_6024 ),
+	.A2(gpio_oe[4]),
+	.B1(\soc_top/n_282 ),
+	.Y(\soc_top/n_398 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128837  (
+	.A1(\soc_top/n_6025 ),
+	.A2(FE_OFN35_io_out_27),
+	.B1(\soc_top/n_287 ),
+	.Y(\soc_top/n_397 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128838  (
+	.A1(\soc_top/n_6025 ),
+	.A2(io_out[11]),
+	.B1(\soc_top/n_287 ),
+	.Y(\soc_top/n_396 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128839  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[8] ),
+	.A2(\soc_top/gpio_data_in_q[8] ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[8] ),
+	.Y(\soc_top/n_395 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128840  (
+	.A1(\soc_top/n_6028 ),
+	.A2(gpio_oe[8]),
+	.B1(\soc_top/n_286 ),
+	.Y(\soc_top/n_394 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128841  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[23] ),
+	.A2(\soc_top/gpio_data_in_q[23] ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[23] ),
+	.Y(\soc_top/n_393 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128842  (
+	.A1(\soc_top/n_6020 ),
+	.A2(io_out[6]),
+	.B1(\soc_top/n_190 ),
+	.Y(\soc_top/n_392 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 \soc_top/g128843  (
+	.A1(\soc_top/n_103 ),
+	.A2(\soc_top/state[0] ),
+	.B1(\soc_top/n_5605 ),
+	.X(\soc_top/n_391 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128844  (
+	.A(\soc_top/FE_OFN261_n_168 ),
+	.B_N(\soc_top/n_292 ),
+	.Y(\soc_top/n_390 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128845  (
+	.A(\soc_top/FE_OFN82_core_io_dmemReq_bits_addrRequest_1 ),
+	.B(\soc_top/n_199 ),
+	.Y(\soc_top/n_389 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g128846  (
+	.A(\soc_top/n_291 ),
+	.B(\soc_top/gen_dmem_host_readyReg ),
+	.Y(\soc_top/n_388 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128847  (
+	.A1(\soc_top/n_6034 ),
+	.A2(gpio_oe[14]),
+	.B1(\soc_top/n_283 ),
+	.Y(\soc_top/n_387 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128848  (
+	.A(\soc_top/n_48 ),
+	.B(\soc_top/n_199 ),
+	.Y(\soc_top/n_414 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \soc_top/g128849  (
+	.A(\soc_top/core_InstructionDecode_control_io_jump[1] ),
+	.B(\soc_top/core_InstructionDecode_control_io_jump[0] ),
+	.C_N(\soc_top/n_5606 ),
+	.Y(\soc_top/n_413 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g128850  (
+	.A(\soc_top/n_295 ),
+	.B(\soc_top/FE_DBTN13_wb_rst_i ),
+	.Y(\soc_top/n_411 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/g128851  (
+	.A_N(\soc_top/n_291 ),
+	.B(\soc_top/gen_dmem_host_readyReg ),
+	.Y(\soc_top/n_410 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g128853  (
+	.A(\soc_top/FE_OFN89_n_382 ),
+	.Y(\soc_top/n_381 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g128854  (
+	.A(\soc_top/n_379 ),
+	.Y(\soc_top/n_378 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128855  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[4] ),
+	.A2(\soc_top/gpio_data_in_q[4] ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[4] ),
+	.Y(\soc_top/n_377 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128856  (
+	.A1(\soc_top/n_6023 ),
+	.A2(gpio_oe[3]),
+	.B1(\soc_top/n_288 ),
+	.Y(\soc_top/n_376 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128857  (
+	.A1(\soc_top/n_6035 ),
+	.A2(FE_OFN26_io_out_37),
+	.B1(\soc_top/n_281 ),
+	.Y(\soc_top/n_375 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128858  (
+	.A1(\soc_top/n_6031 ),
+	.A2(gpio_oe[11]),
+	.B1(\soc_top/n_284 ),
+	.Y(\soc_top/n_374 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128859  (
+	.A1(\soc_top/n_6033 ),
+	.A2(gpio_oe[13]),
+	.B1(\soc_top/n_189 ),
+	.Y(\soc_top/n_373 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128860  (
+	.A1(\soc_top/n_6035 ),
+	.A2(gpio_oe[15]),
+	.B1(\soc_top/n_281 ),
+	.Y(\soc_top/n_372 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128861  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[13] ),
+	.A2(\soc_top/gpio_data_in_q[13] ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[13] ),
+	.Y(\soc_top/n_371 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128862  (
+	.A1(\soc_top/n_6029 ),
+	.A2(gpio_oe[9]),
+	.B1(\soc_top/n_278 ),
+	.Y(\soc_top/n_370 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128863  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[27] ),
+	.A2(\soc_top/gpio_data_in_q[27] ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[27] ),
+	.Y(\soc_top/n_369 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128864  (
+	.A1(\soc_top/n_6021 ),
+	.A2(io_out[7]),
+	.B1(\soc_top/n_191 ),
+	.Y(\soc_top/n_368 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128865  (
+	.A1(\soc_top/n_6022 ),
+	.A2(io_out[8]),
+	.B1(\soc_top/n_280 ),
+	.Y(\soc_top/n_367 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128866  (
+	.A1(\soc_top/n_6024 ),
+	.A2(io_out[10]),
+	.B1(\soc_top/n_282 ),
+	.Y(\soc_top/n_366 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128867  (
+	.A1(\soc_top/n_6026 ),
+	.A2(io_out[12]),
+	.B1(\soc_top/n_289 ),
+	.Y(\soc_top/n_365 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128868  (
+	.A1(\soc_top/n_6028 ),
+	.A2(io_out[14]),
+	.B1(\soc_top/n_286 ),
+	.Y(\soc_top/n_364 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128869  (
+	.A1(\soc_top/n_6029 ),
+	.A2(io_out[15]),
+	.B1(\soc_top/n_278 ),
+	.Y(\soc_top/n_363 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128870  (
+	.A1(\soc_top/n_6030 ),
+	.A2(io_out[16]),
+	.B1(\soc_top/n_279 ),
+	.Y(\soc_top/n_362 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128871  (
+	.A1(\soc_top/n_6026 ),
+	.A2(FE_OFN6691_io_out_28),
+	.B1(\soc_top/n_289 ),
+	.Y(\soc_top/n_361 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128872  (
+	.A1(\soc_top/n_6032 ),
+	.A2(io_out[18]),
+	.B1(\soc_top/n_192 ),
+	.Y(\soc_top/n_360 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128873  (
+	.A1(\soc_top/n_6027 ),
+	.A2(gpio_oe[7]),
+	.B1(\soc_top/n_285 ),
+	.Y(\soc_top/n_359 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128874  (
+	.A1(\soc_top/n_6034 ),
+	.A2(io_out[20]),
+	.B1(\soc_top/n_283 ),
+	.Y(\soc_top/n_358 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128875  (
+	.A1(\soc_top/n_6020 ),
+	.A2(FE_OFN39_io_out_22),
+	.B1(\soc_top/n_190 ),
+	.Y(\soc_top/n_357 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128876  (
+	.A1(\soc_top/n_6026 ),
+	.A2(gpio_oe[6]),
+	.B1(\soc_top/n_289 ),
+	.Y(\soc_top/n_356 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128877  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[14] ),
+	.A2(\soc_top/gpio_data_in_q[14] ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[14] ),
+	.Y(\soc_top/n_355 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128878  (
+	.A1(\soc_top/n_6025 ),
+	.A2(gpio_oe[5]),
+	.B1(\soc_top/n_287 ),
+	.Y(\soc_top/n_354 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128879  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[25] ),
+	.A2(\soc_top/gpio_data_in_q[25] ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[25] ),
+	.Y(\soc_top/n_353 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128880  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[29] ),
+	.A2(\soc_top/gpio_data_in_q[29] ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[29] ),
+	.Y(\soc_top/n_352 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128881  (
+	.A1(\soc_top/n_6022 ),
+	.A2(gpio_oe[2]),
+	.B1(\soc_top/n_280 ),
+	.Y(\soc_top/n_351 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128882  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[31] ),
+	.A2(\soc_top/gpio_data_in_q[31] ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[31] ),
+	.Y(\soc_top/n_350 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128883  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[19] ),
+	.A2(\soc_top/gpio_data_in_q[19] ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[19] ),
+	.Y(\soc_top/n_349 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128884  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[18] ),
+	.A2(\soc_top/gpio_data_in_q[18] ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[18] ),
+	.Y(\soc_top/n_348 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128885  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[11] ),
+	.A2(\soc_top/gpio_data_in_q[11] ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[11] ),
+	.Y(\soc_top/n_347 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128886  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[21] ),
+	.A2(\soc_top/gpio_data_in_q[21] ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[21] ),
+	.Y(\soc_top/n_346 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128887  (
+	.A1(\soc_top/n_6023 ),
+	.A2(gpio_oe[19]),
+	.B1(\soc_top/n_288 ),
+	.Y(\soc_top/n_345 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128888  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[0] ),
+	.A2(\soc_top/gpio_data_in_q[0] ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[0] ),
+	.Y(\soc_top/n_344 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128889  (
+	.A1(\soc_top/n_6031 ),
+	.A2(gpio_oe[27]),
+	.B1(\soc_top/n_284 ),
+	.Y(\soc_top/n_343 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128890  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[26] ),
+	.A2(\soc_top/gpio_data_in_q[26] ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[26] ),
+	.Y(\soc_top/n_342 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128891  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[12] ),
+	.A2(\soc_top/gpio_data_in_q[12] ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[12] ),
+	.Y(\soc_top/n_341 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128892  (
+	.A1(\soc_top/n_6022 ),
+	.A2(io_out[24]),
+	.B1(\soc_top/n_280 ),
+	.Y(\soc_top/n_340 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128893  (
+	.A1(\soc_top/n_6027 ),
+	.A2(io_out[13]),
+	.B1(\soc_top/n_285 ),
+	.Y(\soc_top/n_339 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128894  (
+	.A1(\soc_top/n_6031 ),
+	.A2(FE_OFN30_io_out_33),
+	.B1(\soc_top/n_284 ),
+	.Y(\soc_top/n_338 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128896  (
+	.A1(\soc_top/n_6024 ),
+	.A2(FE_OFN36_io_out_26),
+	.B1(\soc_top/n_282 ),
+	.Y(\soc_top/n_336 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128897  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[30] ),
+	.A2(\soc_top/gpio_data_in_q[30] ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[30] ),
+	.Y(\soc_top/n_335 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128898  (
+	.A1(\soc_top/n_6034 ),
+	.A2(FE_OFN27_io_out_36),
+	.B1(\soc_top/n_283 ),
+	.Y(\soc_top/n_334 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128899  (
+	.A1(\soc_top/n_6029 ),
+	.A2(gpio_oe[25]),
+	.B1(\soc_top/n_278 ),
+	.Y(\soc_top/n_333 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128900  (
+	.A1(\soc_top/n_6023 ),
+	.A2(io_out[9]),
+	.B1(\soc_top/n_288 ),
+	.Y(\soc_top/n_332 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128901  (
+	.A1(\soc_top/n_6021 ),
+	.A2(gpio_oe[1]),
+	.B1(\soc_top/n_191 ),
+	.Y(\soc_top/n_331 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128902  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[22] ),
+	.A2(\soc_top/gpio_data_in_q[22] ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[22] ),
+	.Y(\soc_top/n_330 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128903  (
+	.A1(\soc_top/n_6030 ),
+	.A2(gpio_oe[10]),
+	.B1(\soc_top/n_279 ),
+	.Y(\soc_top/n_329 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128904  (
+	.A1(\soc_top/n_6033 ),
+	.A2(io_out[19]),
+	.B1(\soc_top/n_189 ),
+	.Y(\soc_top/n_328 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128905  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[9] ),
+	.A2(\soc_top/gpio_data_in_q[9] ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[9] ),
+	.Y(\soc_top/n_327 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128906  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[24] ),
+	.A2(\soc_top/gpio_data_in_q[24] ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[24] ),
+	.Y(\soc_top/n_326 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128907  (
+	.A1(\soc_top/n_6023 ),
+	.A2(FE_OFN37_io_out_25),
+	.B1(\soc_top/n_288 ),
+	.Y(\soc_top/n_325 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128908  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[7] ),
+	.A2(\soc_top/gpio_data_in_q[7] ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[7] ),
+	.Y(\soc_top/n_324 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128909  (
+	.A1(\soc_top/n_6032 ),
+	.A2(gpio_oe[28]),
+	.B1(\soc_top/n_192 ),
+	.Y(\soc_top/n_323 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128910  (
+	.A1(\soc_top/n_6035 ),
+	.A2(gpio_oe[31]),
+	.B1(\soc_top/n_281 ),
+	.Y(\soc_top/n_322 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128911  (
+	.A1(\soc_top/n_6035 ),
+	.A2(io_out[21]),
+	.B1(\soc_top/n_281 ),
+	.Y(\soc_top/n_321 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128912  (
+	.A1(\soc_top/state[0] ),
+	.A2(\soc_top/state[1] ),
+	.B1(\soc_top/puart_io_done ),
+	.Y(\soc_top/n_320 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128913  (
+	.A1(\soc_top/n_6027 ),
+	.A2(FE_OFN33_io_out_29),
+	.B1(\soc_top/n_285 ),
+	.Y(\soc_top/n_319 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128914  (
+	.A1(\soc_top/n_6033 ),
+	.A2(gpio_oe[29]),
+	.B1(\soc_top/n_189 ),
+	.Y(\soc_top/n_318 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128915  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[28] ),
+	.A2(\soc_top/gpio_data_in_q[28] ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[28] ),
+	.Y(\soc_top/n_317 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128916  (
+	.A1(\soc_top/n_6020 ),
+	.A2(gpio_oe[16]),
+	.B1(\soc_top/n_190 ),
+	.Y(\soc_top/n_316 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128917  (
+	.A1(\soc_top/n_6021 ),
+	.A2(gpio_oe[17]),
+	.B1(\soc_top/n_191 ),
+	.Y(\soc_top/n_315 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128918  (
+	.A1(\soc_top/n_6032 ),
+	.A2(gpio_oe[12]),
+	.B1(\soc_top/n_192 ),
+	.Y(\soc_top/n_314 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128919  (
+	.A1(\soc_top/n_6028 ),
+	.A2(FE_OFN32_io_out_30),
+	.B1(\soc_top/n_286 ),
+	.Y(\soc_top/n_313 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128920  (
+	.A1(\soc_top/n_6021 ),
+	.A2(FE_OFN38_io_out_23),
+	.B1(\soc_top/n_191 ),
+	.Y(\soc_top/n_312 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128921  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[17] ),
+	.A2(\soc_top/gpio_data_in_q[17] ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[17] ),
+	.Y(\soc_top/n_311 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128922  (
+	.A1(\soc_top/n_6030 ),
+	.A2(io_out[32]),
+	.B1(\soc_top/n_279 ),
+	.Y(\soc_top/n_310 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128923  (
+	.A1(\soc_top/n_6020 ),
+	.A2(gpio_oe[0]),
+	.B1(\soc_top/n_190 ),
+	.Y(\soc_top/n_309 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128924  (
+	.A1(\soc_top/n_6032 ),
+	.A2(FE_OFN29_io_out_34),
+	.B1(\soc_top/n_192 ),
+	.Y(\soc_top/n_308 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128925  (
+	.A1(\soc_top/n_6022 ),
+	.A2(gpio_oe[18]),
+	.B1(\soc_top/n_280 ),
+	.Y(\soc_top/n_307 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128926  (
+	.A1(\soc_top/n_6033 ),
+	.A2(FE_OFN28_io_out_35),
+	.B1(\soc_top/n_189 ),
+	.Y(\soc_top/n_306 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128927  (
+	.A1(\soc_top/n_6030 ),
+	.A2(gpio_oe[26]),
+	.B1(\soc_top/n_279 ),
+	.Y(\soc_top/n_305 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128928  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[2] ),
+	.A2(\soc_top/gpio_data_in_q[2] ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[2] ),
+	.Y(\soc_top/n_304 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128929  (
+	.A1(\soc_top/n_6034 ),
+	.A2(gpio_oe[30]),
+	.B1(\soc_top/n_283 ),
+	.Y(\soc_top/n_303 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128930  (
+	.A1(\soc_top/n_6026 ),
+	.A2(gpio_oe[22]),
+	.B1(\soc_top/n_289 ),
+	.Y(\soc_top/n_302 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128931  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[1] ),
+	.A2(\soc_top/gpio_data_in_q[1] ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[1] ),
+	.Y(\soc_top/n_301 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128932  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[6] ),
+	.A2(\soc_top/gpio_data_in_q[6] ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[6] ),
+	.Y(\soc_top/n_300 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128933  (
+	.A1(\soc_top/n_6031 ),
+	.A2(io_out[17]),
+	.B1(\soc_top/n_284 ),
+	.Y(\soc_top/n_299 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128934  (
+	.A1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_falling_q[20] ),
+	.A2(\soc_top/gpio_data_in_q[20] ),
+	.B1(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_lvlLow_q[20] ),
+	.Y(\soc_top/n_298 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128935  (
+	.A1(\soc_top/n_6028 ),
+	.A2(gpio_oe[24]),
+	.B1(\soc_top/n_286 ),
+	.Y(\soc_top/n_297 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/g128936  (
+	.A1(\soc_top/n_6027 ),
+	.A2(gpio_oe[23]),
+	.B1(\soc_top/n_285 ),
+	.Y(\soc_top/n_296 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/g128937  (
+	.A(\soc_top/n_6037 ),
+	.B(\soc_top/n_6036 ),
+	.C(\soc_top/n_5640 ),
+	.Y(\soc_top/n_386 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \soc_top/g128938  (
+	.A(\soc_top/n_6037 ),
+	.B(\soc_top/n_5640 ),
+	.C_N(\soc_top/n_6036 ),
+	.Y(\soc_top/n_385 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g128939  (
+	.A(\soc_top/core_io_dmemReq_bits_isWrite ),
+	.B(\soc_top/gen_dmem_host_readyReg ),
+	.C(\soc_top/FE_DBTN13_wb_rst_i ),
+	.Y(\soc_top/n_384 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 \soc_top/g128940  (
+	.A(\soc_top/gen_dmem_host_adrReg[5] ),
+	.B(\soc_top/n_70 ),
+	.C(\soc_top/n_6435 ),
+	.X(\soc_top/n_383 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/g128941  (
+	.A1(\soc_top/n_71 ),
+	.A2(\soc_top/n_5605 ),
+	.B1(\soc_top/n_294 ),
+	.Y(\soc_top/n_382 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_2 \soc_top/g128942  (
+	.A_N(\soc_top/n_5599 ),
+	.B(\soc_top/puart_io_valid ),
+	.C(\soc_top/FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_380 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_4 \soc_top/g128943  (
+	.A_N(\soc_top/n_5999 ),
+	.B(\soc_top/gen_imem_host_io_reqIn_ready ),
+	.C(\soc_top/FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_379 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g128944  (
+	.A(\soc_top/n_294 ),
+	.Y(\soc_top/n_295 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128946  (
+	.A(\soc_top/FE_OFN16_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_ALUresult[27] ),
+	.Y(\soc_top/n_234 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128947  (
+	.A(\soc_top/gpio_data_in_q[0] ),
+	.B_N(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[0] ),
+	.Y(\soc_top/n_233 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128948  (
+	.A(\soc_top/FE_OFN16_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_ALUresult[26] ),
+	.Y(\soc_top/n_232 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128949  (
+	.A(\soc_top/gpio_data_in_q[24] ),
+	.B_N(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[24] ),
+	.Y(\soc_top/n_231 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128950  (
+	.A(\soc_top/gpio_data_in_q[1] ),
+	.B_N(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[1] ),
+	.Y(\soc_top/n_230 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128951  (
+	.A(\soc_top/FE_OFN15_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_ALUresult[24] ),
+	.Y(\soc_top/n_229 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128952  (
+	.A(\soc_top/FE_OFN10_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_ALUresult[19] ),
+	.Y(\soc_top/n_228 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128953  (
+	.A(\soc_top/FE_OFN10_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_ALUresult[18] ),
+	.Y(\soc_top/n_227 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128954  (
+	.A(\soc_top/FE_OFN16_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_ALUresult[16] ),
+	.Y(\soc_top/n_226 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128955  (
+	.A(\soc_top/FE_OFN8_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_ins[30] ),
+	.Y(\soc_top/n_225 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128956  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_ALUresult[10] ),
+	.Y(\soc_top/n_224 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128957  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_ALUresult[8] ),
+	.Y(\soc_top/n_223 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128958  (
+	.A(\soc_top/FE_OFN11_wb_rst_i ),
+	.B_N(\soc_top/FE_OFN53_core_if_reg_ins_14 ),
+	.Y(\soc_top/n_222 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128960  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_ALUresult[3] ),
+	.Y(\soc_top/n_220 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128961  (
+	.A(\soc_top/gpio_data_in_q[9] ),
+	.B_N(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[9] ),
+	.Y(\soc_top/n_219 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128962  (
+	.A(\soc_top/gpio_data_in_q[19] ),
+	.B_N(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[19] ),
+	.Y(\soc_top/n_218 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128963  (
+	.A(\soc_top/gpio_data_in_q[27] ),
+	.B_N(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[27] ),
+	.Y(\soc_top/n_217 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128964  (
+	.A(\soc_top/gpio_data_in_q[13] ),
+	.B_N(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[13] ),
+	.Y(\soc_top/n_216 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128966  (
+	.A(\soc_top/gpio_data_in_q[2] ),
+	.B_N(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[2] ),
+	.Y(\soc_top/n_214 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128967  (
+	.A(\soc_top/gpio_data_in_q[5] ),
+	.B_N(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[5] ),
+	.Y(\soc_top/n_213 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128968  (
+	.A(\soc_top/gpio_data_in_q[10] ),
+	.B_N(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[10] ),
+	.Y(\soc_top/n_212 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128969  (
+	.A(\soc_top/gpio_data_in_q[25] ),
+	.B_N(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[25] ),
+	.Y(\soc_top/n_211 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128970  (
+	.A(\soc_top/gpio_data_in_q[28] ),
+	.B_N(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[28] ),
+	.Y(\soc_top/n_210 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128971  (
+	.A(\soc_top/gpio_data_in_q[30] ),
+	.B_N(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[30] ),
+	.Y(\soc_top/n_209 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128972  (
+	.A(\soc_top/gpio_data_in_q[17] ),
+	.B_N(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[17] ),
+	.Y(\soc_top/n_208 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128973  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_ALUresult[0] ),
+	.Y(\soc_top/n_207 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128974  (
+	.A(\soc_top/gpio_data_in_q[29] ),
+	.B_N(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[29] ),
+	.Y(\soc_top/n_206 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128975  (
+	.A(\soc_top/gpio_data_in_q[4] ),
+	.B_N(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[4] ),
+	.Y(\soc_top/n_205 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128976  (
+	.A(\soc_top/gpio_data_in_q[8] ),
+	.B_N(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[8] ),
+	.Y(\soc_top/n_204 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128977  (
+	.A(\soc_top/gpio_data_in_q[16] ),
+	.B_N(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[16] ),
+	.Y(\soc_top/n_203 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128978  (
+	.A(\soc_top/gpio_data_in_q[23] ),
+	.B_N(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[23] ),
+	.Y(\soc_top/n_202 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g128979  (
+	.A(\soc_top/gpio_data_in_q[15] ),
+	.B_N(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[15] ),
+	.Y(\soc_top/n_201 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g128980  (
+	.A(\soc_top/puart_io_done ),
+	.B(\soc_top/gen_imem_host_io_reqIn_ready ),
+	.Y(\soc_top/n_294 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128981  (
+	.A(\soc_top/FE_OFN17_wb_rst_i ),
+	.B(\soc_top/gen_dmem_host_stateReg ),
+	.Y(\soc_top/n_293 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128982  (
+	.A(\soc_top/FE_OFN9_wb_rst_i ),
+	.B(\soc_top/gen_imem_host_stateReg ),
+	.Y(\soc_top/n_292 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128983  (
+	.A(\soc_top/core_io_dmemReq_bits_isWrite ),
+	.B(\soc_top/FE_OFN85_core_ex_reg_ctl_memRead ),
+	.Y(\soc_top/n_291 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128984  (
+	.A(\soc_top/n_6010 ),
+	.B(\soc_top/n_6026 ),
+	.Y(\soc_top/n_289 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128985  (
+	.A(\soc_top/n_6023 ),
+	.B(\soc_top/n_6007 ),
+	.Y(\soc_top/n_288 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128986  (
+	.A(\soc_top/n_6025 ),
+	.B(\soc_top/n_6009 ),
+	.Y(\soc_top/n_287 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128987  (
+	.A(\soc_top/n_6028 ),
+	.B(\soc_top/n_6012 ),
+	.Y(\soc_top/n_286 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128988  (
+	.A(\soc_top/n_6011 ),
+	.B(\soc_top/n_6027 ),
+	.Y(\soc_top/n_285 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128989  (
+	.A(\soc_top/n_6031 ),
+	.B(\soc_top/n_6015 ),
+	.Y(\soc_top/n_284 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128990  (
+	.A(\soc_top/n_6018 ),
+	.B(\soc_top/n_6034 ),
+	.Y(\soc_top/n_283 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128991  (
+	.A(\soc_top/n_6024 ),
+	.B(\soc_top/n_6008 ),
+	.Y(\soc_top/n_282 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128992  (
+	.A(\soc_top/n_6019 ),
+	.B(\soc_top/n_6035 ),
+	.Y(\soc_top/n_281 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128993  (
+	.A(\soc_top/n_6022 ),
+	.B(\soc_top/n_6006 ),
+	.Y(\soc_top/n_280 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128994  (
+	.A(\soc_top/n_6030 ),
+	.B(\soc_top/n_6014 ),
+	.Y(\soc_top/n_279 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128995  (
+	.A(\soc_top/n_6029 ),
+	.B(\soc_top/n_6013 ),
+	.Y(\soc_top/n_278 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g128996  (
+	.A(\soc_top/core_ex_reg_ins[13] ),
+	.B(\soc_top/core_ex_reg_ins[14] ),
+	.Y(\soc_top/n_277 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g128997  (
+	.A(\soc_top/n_5629 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_276 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g128998  (
+	.A(\soc_top/n_5637 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_275 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 \soc_top/g128999  (
+	.A_N(\soc_top/n_5639 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_274 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 \soc_top/g129000  (
+	.A_N(\soc_top/n_5617 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_273 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g129001  (
+	.A(\soc_top/n_5615 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_272 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g129002  (
+	.A(\soc_top/n_5604 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_271 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 \soc_top/g129003  (
+	.A_N(\soc_top/n_5614 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_270 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 \soc_top/g129004  (
+	.A_N(\soc_top/n_5636 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_269 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g129005  (
+	.A(\soc_top/n_5634 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_268 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 \soc_top/g129006  (
+	.A_N(\soc_top/n_5630 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_267 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 \soc_top/g129007  (
+	.A_N(\soc_top/n_5624 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_266 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g129008  (
+	.A(\soc_top/n_5618 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_265 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g129009  (
+	.A(\soc_top/n_5617 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_264 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 \soc_top/g129010  (
+	.A_N(\soc_top/n_5616 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_263 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 \soc_top/g129011  (
+	.A_N(\soc_top/n_5615 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_262 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 \soc_top/g129012  (
+	.A_N(\soc_top/n_5638 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_261 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 \soc_top/g129013  (
+	.A_N(\soc_top/n_5637 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_260 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 \soc_top/g129014  (
+	.A_N(\soc_top/n_5613 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_259 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g129015  (
+	.A(\soc_top/n_5619 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_258 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 \soc_top/g129016  (
+	.A_N(\soc_top/n_5619 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_257 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 \soc_top/g129017  (
+	.A_N(\soc_top/n_5631 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_256 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 \soc_top/g129018  (
+	.A_N(\soc_top/n_5626 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_255 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g129019  (
+	.A(\soc_top/n_5616 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_254 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 \soc_top/g129020  (
+	.A_N(\soc_top/n_5635 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_253 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 \soc_top/g129021  (
+	.A_N(\soc_top/n_5604 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_252 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 \soc_top/g129022  (
+	.A_N(\soc_top/n_3868 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_251 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g129023  (
+	.A(\soc_top/n_3868 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_250 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g129024  (
+	.A(\soc_top/n_5630 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_249 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 \soc_top/g129025  (
+	.A_N(\soc_top/n_5625 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_248 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g129026  (
+	.A(\soc_top/n_5638 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_247 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g129027  (
+	.A(\soc_top/n_5639 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_246 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g129028  (
+	.A(\soc_top/n_5632 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_245 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 \soc_top/g129029  (
+	.A_N(\soc_top/n_5632 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_244 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g129030  (
+	.A(\soc_top/n_5613 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_243 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 \soc_top/g129031  (
+	.A_N(\soc_top/n_5634 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_242 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g129032  (
+	.A(\soc_top/n_5635 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_241 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 \soc_top/g129033  (
+	.A_N(\soc_top/n_5629 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_240 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g129034  (
+	.A(\soc_top/n_5603 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_239 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 \soc_top/g129035  (
+	.A_N(\soc_top/n_5618 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_238 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g129036  (
+	.A(\soc_top/n_5625 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_237 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g129037  (
+	.A(\soc_top/n_5614 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_236 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 \soc_top/g129038  (
+	.A(\soc_top/n_5599 ),
+	.B(\soc_top/FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_235 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g129039  (
+	.A(\soc_top/n_197 ),
+	.Y(\soc_top/n_198 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/g129040  (
+	.A(\soc_top/n_193 ),
+	.Y(\soc_top/n_194 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g129041  (
+	.A(\soc_top/n_187 ),
+	.Y(\soc_top/n_188 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g129042  (
+	.A(\soc_top/FE_OFN261_n_168 ),
+	.Y(\soc_top/n_167 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129043  (
+	.A(\soc_top/gpio_data_in_q[12] ),
+	.B_N(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[12] ),
+	.Y(\soc_top/n_166 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129044  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_writeData[14] ),
+	.Y(\soc_top/n_165 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129045  (
+	.A(\soc_top/FE_OFN16_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_ALUresult[28] ),
+	.Y(\soc_top/n_164 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129046  (
+	.A(\soc_top/FE_OFN3_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_ins[7] ),
+	.Y(\soc_top/n_163 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129047  (
+	.A(\soc_top/FE_OFN3_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_ins[9] ),
+	.Y(\soc_top/n_162 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g129048  (
+	.A(\soc_top/core_InstructionDecode_io_readData1[2] ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_161 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129049  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData2[6] ),
+	.Y(\soc_top/n_160 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129051  (
+	.A(\soc_top/FE_OFN10_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData2[22] ),
+	.Y(\soc_top/n_158 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129052  (
+	.A(\soc_top/FE_OFN15_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_ALUresult[30] ),
+	.Y(\soc_top/n_157 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129053  (
+	.A(\soc_top/FE_OFN15_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_ALUresult[29] ),
+	.Y(\soc_top/n_156 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129054  (
+	.A(\soc_top/gpio_data_in_q[31] ),
+	.B_N(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[31] ),
+	.Y(\soc_top/n_155 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129055  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_ALUresult[6] ),
+	.Y(\soc_top/n_154 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129056  (
+	.A(\soc_top/gpio_data_in_q[22] ),
+	.B_N(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[22] ),
+	.Y(\soc_top/n_153 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129057  (
+	.A(\soc_top/gpio_data_in_q[18] ),
+	.B_N(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[18] ),
+	.Y(\soc_top/n_152 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129058  (
+	.A(\soc_top/gpio_data_in_q[11] ),
+	.B_N(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[11] ),
+	.Y(\soc_top/n_151 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129059  (
+	.A(\soc_top/gpio_data_in_q[14] ),
+	.B_N(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[14] ),
+	.Y(\soc_top/n_150 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129060  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_ALUresult[4] ),
+	.Y(\soc_top/n_149 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129061  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_ALUresult[7] ),
+	.Y(\soc_top/n_148 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129062  (
+	.A(\soc_top/gpio_data_in_q[20] ),
+	.B_N(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[20] ),
+	.Y(\soc_top/n_147 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129063  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_ALUresult[1] ),
+	.Y(\soc_top/n_146 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g129064  (
+	.A(\soc_top/FE_OFN85_core_ex_reg_ctl_memRead ),
+	.B(\soc_top/gen_dmem_host_readyReg ),
+	.Y(\soc_top/n_145 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129065  (
+	.A(\soc_top/FE_OFN10_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_ALUresult[15] ),
+	.Y(\soc_top/n_144 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129066  (
+	.A(\soc_top/gpio_data_in_q[7] ),
+	.B_N(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[7] ),
+	.Y(\soc_top/n_143 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129067  (
+	.A(\soc_top/gpio_data_in_q[26] ),
+	.B_N(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[26] ),
+	.Y(\soc_top/n_142 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g129068  (
+	.A(\soc_top/n_5605 ),
+	.B(\soc_top/gen_imem_host_io_reqIn_ready ),
+	.Y(\soc_top/n_141 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129071  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData2[21] ),
+	.Y(\soc_top/n_138 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129072  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData2[12] ),
+	.Y(\soc_top/n_137 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129074  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData2[13] ),
+	.Y(\soc_top/n_135 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129075  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData2[14] ),
+	.Y(\soc_top/n_134 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129076  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_ALUresult[9] ),
+	.Y(\soc_top/n_133 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129077  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData1[4] ),
+	.Y(\soc_top/n_132 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129078  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B_N(\soc_top/core_InstructionDecode_io_readData2[5] ),
+	.Y(\soc_top/n_131 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129079  (
+	.A(\soc_top/FE_OFN3_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_ins[8] ),
+	.Y(\soc_top/n_130 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129080  (
+	.A(\soc_top/FE_OFN3_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_ins[11] ),
+	.Y(\soc_top/n_129 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129081  (
+	.A(\soc_top/FE_OFN3_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_ins[10] ),
+	.Y(\soc_top/n_128 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129082  (
+	.A(\soc_top/n_5641 ),
+	.B_N(\soc_top/core_if_reg_ins[28] ),
+	.Y(\soc_top/n_127 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129083  (
+	.A(\soc_top/FE_OFN8_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_ins[13] ),
+	.Y(\soc_top/n_126 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129084  (
+	.A(\soc_top/FE_OFN10_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_ALUresult[17] ),
+	.Y(\soc_top/n_125 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129085  (
+	.A(\soc_top/gpio_data_in_q[21] ),
+	.B_N(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[21] ),
+	.Y(\soc_top/n_124 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129086  (
+	.A(\soc_top/gpio_data_in_q[3] ),
+	.B_N(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[3] ),
+	.Y(\soc_top/n_123 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g129088  (
+	.A(\soc_top/core_InstructionDecode_io_ctl_aluOp[1] ),
+	.B(\soc_top/FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_121 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129089  (
+	.A(\soc_top/FE_OFN8_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_ins[12] ),
+	.Y(\soc_top/n_120 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129090  (
+	.A(\soc_top/FE_OFN4_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_ALUresult[14] ),
+	.Y(\soc_top/n_119 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129091  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_writeData[10] ),
+	.Y(\soc_top/n_118 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129094  (
+	.A(\soc_top/FE_OFN5_wb_rst_i ),
+	.B_N(\soc_top/n_6608 ),
+	.Y(\soc_top/n_115 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129095  (
+	.A(\soc_top/FE_OFN15_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_ALUresult[25] ),
+	.Y(\soc_top/n_114 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129096  (
+	.A(\soc_top/FE_OFN15_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_ALUresult[31] ),
+	.Y(\soc_top/n_113 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129097  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_ALUresult[13] ),
+	.Y(\soc_top/n_112 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129098  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_ALUresult[12] ),
+	.Y(\soc_top/n_111 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129099  (
+	.A(\soc_top/FE_OFN15_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_ALUresult[23] ),
+	.Y(\soc_top/n_110 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129100  (
+	.A(\soc_top/FE_OFN10_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_ALUresult[22] ),
+	.Y(\soc_top/n_109 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129101  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_ALUresult[11] ),
+	.Y(\soc_top/n_108 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129102  (
+	.A(\soc_top/gpio_data_in_q[6] ),
+	.B_N(\soc_top/gpio_gpioRegTop_io_reg2hw_intr_ctrl_en_rising_q[6] ),
+	.Y(\soc_top/n_107 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g129103  (
+	.A(\soc_top/core_Execute_io_ALUresult[21] ),
+	.B(\soc_top/FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129104  (
+	.A(\soc_top/FE_OFN12_wb_rst_i ),
+	.B_N(\soc_top/core_Execute_io_ALUresult[20] ),
+	.Y(\soc_top/n_105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g129106  (
+	.A(\soc_top/puart_io_done ),
+	.B(\soc_top/gen_imem_host_io_rspOut_valid ),
+	.Y(\soc_top/n_200 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g129107  (
+	.A(\soc_top/core_ex_reg_ins[12] ),
+	.B(\soc_top/FE_OFN83_core_io_dmemReq_bits_addrRequest_0 ),
+	.Y(\soc_top/n_199 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g129108  (
+	.A(\soc_top/n_57 ),
+	.B(\soc_top/gen_dmem_host_adrReg[2] ),
+	.Y(\soc_top/n_197 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g129110  (
+	.A(\soc_top/FE_OFN1_wb_rst_i ),
+	.B(\soc_top/core_InstructionDecode_io_ifid_flush ),
+	.Y(\soc_top/n_195 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g129111  (
+	.A(\soc_top/FE_OFN82_core_io_dmemReq_bits_addrRequest_1 ),
+	.B(\soc_top/FE_OFN83_core_io_dmemReq_bits_addrRequest_0 ),
+	.Y(\soc_top/n_193 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g129112  (
+	.A(\soc_top/n_6032 ),
+	.B(\soc_top/n_6016 ),
+	.Y(\soc_top/n_192 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g129113  (
+	.A(\soc_top/n_6021 ),
+	.B(\soc_top/n_6005 ),
+	.Y(\soc_top/n_191 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g129114  (
+	.A(\soc_top/n_6004 ),
+	.B(\soc_top/n_6020 ),
+	.Y(\soc_top/n_190 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g129115  (
+	.A(\soc_top/n_6017 ),
+	.B(\soc_top/n_6033 ),
+	.Y(\soc_top/n_189 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/g129116  (
+	.A(\soc_top/gen_dmem_host_adrReg[2] ),
+	.B(\soc_top/gen_dmem_host_adrReg[3] ),
+	.Y(\soc_top/n_187 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g129117  (
+	.A(\soc_top/n_5631 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_186 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g129118  (
+	.A(\soc_top/n_5620 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_185 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 \soc_top/g129119  (
+	.A_N(\soc_top/n_5620 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_184 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 \soc_top/g129120  (
+	.A_N(\soc_top/n_5621 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_183 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g129121  (
+	.A(\soc_top/n_5623 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_182 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 \soc_top/g129122  (
+	.A_N(\soc_top/n_5633 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_181 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g129123  (
+	.A(\soc_top/n_5621 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_180 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 \soc_top/g129124  (
+	.A_N(\soc_top/n_5627 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_179 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 \soc_top/g129125  (
+	.A(\soc_top/n_5627 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_178 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g129126  (
+	.A(\soc_top/n_5622 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_177 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g129127  (
+	.A(\soc_top/n_5633 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_176 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 \soc_top/g129128  (
+	.A_N(\soc_top/n_5603 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_175 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g129129  (
+	.A(\soc_top/n_5626 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_174 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 \soc_top/g129130  (
+	.A_N(\soc_top/n_5623 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_173 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g129131  (
+	.A(\soc_top/n_5624 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_172 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 \soc_top/g129132  (
+	.A_N(\soc_top/n_5622 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_171 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g129133  (
+	.A(\soc_top/n_5636 ),
+	.B(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.X(\soc_top/n_170 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_2 \soc_top/g129134  (
+	.A_N(\soc_top/n_5601 ),
+	.B(\soc_top/n_6002 ),
+	.Y(\soc_top/n_169 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/g129135  (
+	.A_N(\soc_top/n_6001 ),
+	.B(\soc_top/imem_io_rsp_valid ),
+	.Y(\soc_top/n_168 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g129136  (
+	.A(\soc_top/reset_reg ),
+	.Y(\soc_top/n_103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g129168  (
+	.A(\soc_top/gen_imem_host_io_reqIn_ready ),
+	.Y(\soc_top/n_71 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g129169  (
+	.A(\soc_top/gen_dmem_host_adrReg[4] ),
+	.Y(\soc_top/n_70 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g129174  (
+	.A(\soc_top/core_ex_reg_ins[12] ),
+	.Y(\soc_top/n_65 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/g129177  (
+	.A(\soc_top/gen_dmem_host_adrReg[3] ),
+	.Y(\soc_top/n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g129191  (
+	.A(\soc_top/FE_OFN82_core_io_dmemReq_bits_addrRequest_1 ),
+	.Y(\soc_top/n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g129230  (
+	.A(\soc_top/gen_dmem_host_adrReg[5] ),
+	.Y(\soc_top/n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/g129239  (
+	.A(\soc_top/gen_dmem_host_adrReg[2] ),
+	.Y(\soc_top/n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g129365  (
+	.A(\soc_top/core_MEM_funct3[2] ),
+	.B(\soc_top/core_MEM_funct3[0] ),
+	.Y(\soc_top/n_6388 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g129424  (
+	.A(\soc_top/n_3868 ),
+	.B(\soc_top/n_3681 ),
+	.X(\soc_top/n_6391 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g129426  (
+	.A(\soc_top/n_5632 ),
+	.B(\soc_top/n_3658 ),
+	.X(\soc_top/n_6393 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 \soc_top/g129428  (
+	.A(\soc_top/n_3798 ),
+	.B(\soc_top/n_3744 ),
+	.X(\soc_top/n_6395 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g129429  (
+	.A(\soc_top/n_3798 ),
+	.B(\soc_top/n_3745 ),
+	.X(\soc_top/n_6396 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g129430  (
+	.A(\soc_top/n_3799 ),
+	.B(\soc_top/n_3745 ),
+	.X(\soc_top/n_6397 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/g129431  (
+	.A(\soc_top/n_6037 ),
+	.B(\soc_top/n_6036 ),
+	.X(\soc_top/n_6398 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 \soc_top/g129432  (
+	.A(\soc_top/n_3747 ),
+	.B(\soc_top/n_6036 ),
+	.X(\soc_top/n_6399 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g129434  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B(\soc_top/n_5507 ),
+	.Y(\soc_top/n_6401 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g129435  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B(\soc_top/FE_OFN301_n_5506 ),
+	.Y(\soc_top/n_6402 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g129436  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B(\soc_top/n_5505 ),
+	.Y(\soc_top/n_6403 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g129437  (
+	.A(\soc_top/FE_OFN13_wb_rst_i ),
+	.B(\soc_top/n_5504 ),
+	.Y(\soc_top/n_6404 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g129438  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B(\soc_top/n_5503 ),
+	.Y(\soc_top/n_6405 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g129439  (
+	.A(\soc_top/FE_OFN10_wb_rst_i ),
+	.B(\soc_top/n_5502 ),
+	.Y(\soc_top/n_6406 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g129440  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B(\soc_top/n_5501 ),
+	.Y(\soc_top/n_6407 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g129441  (
+	.A(\soc_top/FE_OFN10_wb_rst_i ),
+	.B(\soc_top/n_5499 ),
+	.Y(\soc_top/n_6408 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129442  (
+	.A(\soc_top/n_5496 ),
+	.B_N(\soc_top/FE_DBTN13_wb_rst_i ),
+	.Y(\soc_top/n_6409 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129443  (
+	.A(\soc_top/n_5495 ),
+	.B_N(\soc_top/FE_DBTN13_wb_rst_i ),
+	.Y(\soc_top/n_6410 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129444  (
+	.A(\soc_top/n_5494 ),
+	.B_N(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.Y(\soc_top/n_6411 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129445  (
+	.A(\soc_top/n_5493 ),
+	.B_N(\soc_top/FE_OFN25_FE_DBTN13_wb_rst_i ),
+	.Y(\soc_top/n_6412 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 \soc_top/g129447  (
+	.A_N(\soc_top/n_6600 ),
+	.B(\soc_top/n_3736 ),
+	.X(\soc_top/n_6414 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g129448  (
+	.A(\soc_top/n_3738 ),
+	.B(\soc_top/n_3817 ),
+	.Y(\soc_top/n_6415 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 \soc_top/g129449  (
+	.A_N(\soc_top/n_3736 ),
+	.B(\soc_top/n_3822 ),
+	.X(\soc_top/n_6416 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 \soc_top/g129450  (
+	.A_N(\soc_top/n_3698 ),
+	.B(\soc_top/n_3699 ),
+	.X(\soc_top/n_6417 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129451  (
+	.A(\soc_top/n_3594 ),
+	.B_N(\soc_top/n_3636 ),
+	.Y(\soc_top/n_6418 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 \soc_top/g129452  (
+	.A0(\soc_top/FE_DBTN9_core_if_reg_ins_22 ),
+	.A1(\soc_top/FE_OFN45_core_if_reg_ins_22 ),
+	.S(\soc_top/core_mem_reg_ins[9] ),
+	.Y(\soc_top/n_6419 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129453  (
+	.A(\soc_top/n_3833 ),
+	.B_N(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[9] ),
+	.Y(\soc_top/n_6420 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \soc_top/g129454  (
+	.A(\soc_top/n_3753 ),
+	.B(\soc_top/n_3703 ),
+	.C_N(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[17] ),
+	.Y(\soc_top/n_6421 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/g129455  (
+	.A_N(\soc_top/core_MEM_funct3[0] ),
+	.B(\soc_top/n_3543 ),
+	.Y(\soc_top/n_6422 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 \soc_top/g129456  (
+	.A_N(\soc_top/core_Execute_ForwardingUnit_io_forwardA[1] ),
+	.B(\soc_top/n_3430 ),
+	.X(\soc_top/n_6423 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g129457  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B(\soc_top/FE_OFN284_n_3403 ),
+	.Y(\soc_top/n_6424 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g129458  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B(\soc_top/FE_OFN283_n_3402 ),
+	.Y(\soc_top/n_6425 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g129459  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B(\soc_top/FE_OFN282_n_3401 ),
+	.Y(\soc_top/n_6426 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g129460  (
+	.A(\soc_top/FE_OFN17_wb_rst_i ),
+	.B(\soc_top/FE_OFN281_n_3400 ),
+	.Y(\soc_top/n_6427 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g129461  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B(\soc_top/FE_OFN280_n_3379 ),
+	.Y(\soc_top/n_6428 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g129462  (
+	.A(\soc_top/FE_OFN18_wb_rst_i ),
+	.B(\soc_top/FE_OFN279_n_3378 ),
+	.Y(\soc_top/n_6429 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 \soc_top/g129463  (
+	.A(\soc_top/core_Execute_ForwardingUnit_io_forwardB[0] ),
+	.B_N(\soc_top/core_Execute_ForwardingUnit_io_forwardB[1] ),
+	.Y(\soc_top/n_6430 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \soc_top/g129464  (
+	.A(\soc_top/n_3358 ),
+	.B(\soc_top/core_if_reg_ins[4] ),
+	.C_N(\soc_top/core_if_reg_ins[5] ),
+	.Y(\soc_top/n_6431 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129465  (
+	.A(\soc_top/FE_OFN16_wb_rst_i ),
+	.B_N(\soc_top/core_if_reg_ins[31] ),
+	.Y(\soc_top/n_6432 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g129466  (
+	.A(\soc_top/FE_OFN4_wb_rst_i ),
+	.B(\soc_top/n_3068 ),
+	.Y(\soc_top/n_6433 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g129467  (
+	.A(\soc_top/FE_OFN4_wb_rst_i ),
+	.B(\soc_top/FE_OFN200_n_3067 ),
+	.Y(\soc_top/n_6434 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4bb_1 \soc_top/g129468  (
+	.A_N(\soc_top/n_5602 ),
+	.B_N(\soc_top/n_2925 ),
+	.C(\soc_top/n_3061 ),
+	.D(\soc_top/gen_dmem_host_selReg[0] ),
+	.Y(\soc_top/n_6435 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_2 \soc_top/g129469  (
+	.A(\soc_top/n_3060 ),
+	.B(\soc_top/FE_OFN199_n_3038 ),
+	.C_N(\soc_top/n_3035 ),
+	.X(\soc_top/n_6436 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 \soc_top/g129470  (
+	.A(\soc_top/n_5740 ),
+	.B_N(\soc_top/FE_OFN53_core_if_reg_ins_14 ),
+	.Y(\soc_top/n_6437 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129471  (
+	.A(\soc_top/n_2047 ),
+	.B_N(\soc_top/n_188 ),
+	.Y(\soc_top/n_6438 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 \soc_top/g129472  (
+	.A(\soc_top/n_2040 ),
+	.B(\soc_top/n_2038 ),
+	.X(\soc_top/n_6439 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129473  (
+	.A(\soc_top/n_411 ),
+	.B_N(\soc_top/n_1838 ),
+	.Y(\soc_top/n_6440 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129474  (
+	.A(\soc_top/n_1567 ),
+	.B_N(\soc_top/n_65 ),
+	.Y(\soc_top/n_6441 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \soc_top/g129475  (
+	.A(\soc_top/n_1564 ),
+	.B(\soc_top/gen_dmem_host_adrReg[2] ),
+	.X(\soc_top/n_6442 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129476  (
+	.A(\soc_top/n_411 ),
+	.B_N(\soc_top/n_1558 ),
+	.Y(\soc_top/n_6443 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 \soc_top/g129477  (
+	.A1(\soc_top/core_io_dmemReq_bits_isWrite ),
+	.A2(\soc_top/n_277 ),
+	.B1_N(\soc_top/n_1422 ),
+	.Y(\soc_top/n_6444 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/g129478  (
+	.A(\soc_top/gen_dmem_host_io_rspOut_valid ),
+	.B(\soc_top/n_291 ),
+	.Y(\soc_top/n_6445 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/g129479  (
+	.A(\soc_top/FE_OFN9_wb_rst_i ),
+	.B_N(\soc_top/puart_io_done ),
+	.Y(\soc_top/n_6446 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 \soc_top/g129480  (
+	.A0(\soc_top/n_48 ),
+	.A1(\soc_top/n_65 ),
+	.S(\soc_top/FE_OFN83_core_io_dmemReq_bits_addrRequest_0 ),
+	.Y(\soc_top/n_6447 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129481  (
+	.A1(\soc_top/core_InstructionDecode_io_readData1[6] ),
+	.A2(\soc_top/n_6414 ),
+	.B1(\soc_top/core_InstructionDecode_io_writeData[6] ),
+	.B2(\soc_top/n_6416 ),
+	.C1(\soc_top/n_6600 ),
+	.C2(\soc_top/FE_OFN6761_core_io_dmemReq_bits_addrRequest_6 ),
+	.Y(\soc_top/n_6448 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g129482  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_4 ),
+	.Y(\soc_top/n_6451 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129483  (
+	.A1(\soc_top/FE_OFN256_core_InstructionDecode_io_readData1_21 ),
+	.A2(\soc_top/n_6414 ),
+	.B1(\soc_top/core_InstructionDecode_io_writeData[21] ),
+	.B2(\soc_top/n_6416 ),
+	.C1(\soc_top/n_6600 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[21] ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129485  (
+	.A1(\soc_top/core_InstructionDecode_io_readData1[30] ),
+	.A2(\soc_top/n_6414 ),
+	.B1(\soc_top/core_InstructionDecode_io_writeData[30] ),
+	.B2(\soc_top/n_6416 ),
+	.C1(\soc_top/n_6600 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[30] ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g129486  (
+	.A(\soc_top/n_2723 ),
+	.Y(\soc_top/n_6455 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129487  (
+	.A1(\soc_top/core_InstructionDecode_io_readData1[29] ),
+	.A2(\soc_top/n_6414 ),
+	.B1(\soc_top/FE_OFN5680_core_InstructionDecode_io_writeData_29 ),
+	.B2(\soc_top/n_6416 ),
+	.C1(\soc_top/n_6600 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[29] ),
+	.Y(\soc_top/n_2723 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g129488  (
+	.A(\soc_top/n_6456 ),
+	.Y(\soc_top/n_6457 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129489  (
+	.A1(\soc_top/core_InstructionDecode_io_readData1[28] ),
+	.A2(\soc_top/n_6414 ),
+	.B1(\soc_top/FE_OFN5676_core_InstructionDecode_io_writeData_28 ),
+	.B2(\soc_top/n_6416 ),
+	.C1(\soc_top/n_6600 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[28] ),
+	.Y(\soc_top/n_6456 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129491  (
+	.A1(\soc_top/core_InstructionDecode_io_readData2[14] ),
+	.A2(\soc_top/FE_OFN290_n_6415 ),
+	.B1(\soc_top/FE_OFN5668_core_InstructionDecode_io_writeData_14 ),
+	.B2(\soc_top/n_3867 ),
+	.C1(\soc_top/n_3817 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[14] ),
+	.Y(\soc_top/n_6458 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g129492  (
+	.A(\soc_top/n_6460 ),
+	.Y(\soc_top/n_6461 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129493  (
+	.A1(\soc_top/core_InstructionDecode_io_readData1[26] ),
+	.A2(\soc_top/n_6414 ),
+	.B1(\soc_top/core_InstructionDecode_io_writeData[26] ),
+	.B2(\soc_top/n_6416 ),
+	.C1(\soc_top/n_6600 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[26] ),
+	.Y(\soc_top/n_6460 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g129494  (
+	.A(\soc_top/n_6462 ),
+	.Y(\soc_top/n_6463 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129495  (
+	.A1(\soc_top/core_InstructionDecode_io_readData2[13] ),
+	.A2(\soc_top/FE_OFN290_n_6415 ),
+	.B1(\soc_top/n_5002 ),
+	.B2(\soc_top/n_3867 ),
+	.C1(\soc_top/FE_OFN205_n_3817 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[13] ),
+	.Y(\soc_top/n_6462 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g129496  (
+	.A(\soc_top/n_6464 ),
+	.Y(\soc_top/n_6465 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129497  (
+	.A1(\soc_top/core_InstructionDecode_io_readData1[25] ),
+	.A2(\soc_top/n_6414 ),
+	.B1(\soc_top/core_InstructionDecode_io_writeData[25] ),
+	.B2(\soc_top/n_6416 ),
+	.C1(\soc_top/n_6600 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[25] ),
+	.Y(\soc_top/n_6464 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g129498  (
+	.A(\soc_top/n_6466 ),
+	.Y(\soc_top/n_6467 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129499  (
+	.A1(\soc_top/core_InstructionDecode_io_readData1[24] ),
+	.A2(\soc_top/n_6414 ),
+	.B1(\soc_top/n_4102 ),
+	.B2(\soc_top/n_6416 ),
+	.C1(\soc_top/n_6600 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[24] ),
+	.Y(\soc_top/n_6466 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129501  (
+	.A1(\soc_top/core_InstructionDecode_io_readData2[12] ),
+	.A2(\soc_top/FE_OFN290_n_6415 ),
+	.B1(\soc_top/FE_OFN6625_core_InstructionDecode_io_writeData_12 ),
+	.B2(\soc_top/n_3867 ),
+	.C1(\soc_top/FE_OFN205_n_3817 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[12] ),
+	.Y(\soc_top/n_2722 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g129502  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_15 ),
+	.Y(\soc_top/n_6471 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129503  (
+	.A1(\soc_top/FE_OFN255_core_InstructionDecode_io_readData1_23 ),
+	.A2(\soc_top/n_6414 ),
+	.B1(\soc_top/core_InstructionDecode_io_writeData[23] ),
+	.B2(\soc_top/n_6416 ),
+	.C1(\soc_top/n_6600 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[23] ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g129504  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_19 ),
+	.Y(\soc_top/n_6473 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129505  (
+	.A1(\soc_top/core_InstructionDecode_io_readData1[22] ),
+	.A2(\soc_top/n_6414 ),
+	.B1(\soc_top/core_InstructionDecode_io_writeData[22] ),
+	.B2(\soc_top/n_6416 ),
+	.C1(\soc_top/n_6600 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[22] ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g129506  (
+	.A(\soc_top/n_6474 ),
+	.Y(\soc_top/n_6475 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129507  (
+	.A1(\soc_top/core_InstructionDecode_io_readData1[19] ),
+	.A2(\soc_top/n_6414 ),
+	.B1(\soc_top/FE_OFN131_core_InstructionDecode_io_writeData_19 ),
+	.B2(\soc_top/n_6416 ),
+	.C1(\soc_top/n_6600 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[19] ),
+	.Y(\soc_top/n_6474 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129509  (
+	.A1(\soc_top/core_InstructionDecode_io_readData1[18] ),
+	.A2(\soc_top/n_6414 ),
+	.B1(\soc_top/FE_OFN5657_core_InstructionDecode_io_writeData_18 ),
+	.B2(\soc_top/n_6416 ),
+	.C1(\soc_top/n_6600 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[18] ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g129510  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_25 ),
+	.Y(\soc_top/n_6479 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129511  (
+	.A1(\soc_top/core_InstructionDecode_io_readData2[10] ),
+	.A2(\soc_top/FE_OFN290_n_6415 ),
+	.B1(\soc_top/core_InstructionDecode_io_writeData[10] ),
+	.B2(\soc_top/n_3867 ),
+	.C1(\soc_top/FE_OFN205_n_3817 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[10] ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g129512  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_20 ),
+	.Y(\soc_top/n_6481 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129513  (
+	.A1(\soc_top/FE_OFN258_core_InstructionDecode_io_readData1_15 ),
+	.A2(\soc_top/n_6414 ),
+	.B1(\soc_top/FE_OFN5644_core_InstructionDecode_io_writeData_15 ),
+	.B2(\soc_top/n_6416 ),
+	.C1(\soc_top/n_6600 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[15] ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g129514  (
+	.A(\soc_top/n_2704 ),
+	.Y(\soc_top/n_6483 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129515  (
+	.A1(\soc_top/FE_OFN259_core_InstructionDecode_io_readData1_12 ),
+	.A2(\soc_top/n_6414 ),
+	.B1(\soc_top/FE_OFN6625_core_InstructionDecode_io_writeData_12 ),
+	.B2(\soc_top/n_6416 ),
+	.C1(\soc_top/n_6600 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[12] ),
+	.Y(\soc_top/n_2704 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g129516  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_5 ),
+	.Y(\soc_top/n_6485 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129517  (
+	.A1(\soc_top/core_InstructionDecode_io_readData2[21] ),
+	.A2(\soc_top/FE_OFN290_n_6415 ),
+	.B1(\soc_top/core_InstructionDecode_io_writeData[21] ),
+	.B2(\soc_top/n_3867 ),
+	.C1(\soc_top/FE_OFN205_n_3817 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[21] ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129519  (
+	.A1(\soc_top/core_InstructionDecode_io_readData1[10] ),
+	.A2(\soc_top/n_6414 ),
+	.B1(\soc_top/core_InstructionDecode_io_writeData[10] ),
+	.B2(\soc_top/n_6416 ),
+	.C1(\soc_top/n_6600 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[10] ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g129520  (
+	.A(\soc_top/FE_OFN303_n_6488 ),
+	.Y(\soc_top/n_6489 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129521  (
+	.A1(\soc_top/core_InstructionDecode_io_readData1[9] ),
+	.A2(\soc_top/n_6414 ),
+	.B1(\soc_top/core_InstructionDecode_io_writeData[9] ),
+	.B2(\soc_top/n_6416 ),
+	.C1(\soc_top/n_6600 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[9] ),
+	.Y(\soc_top/n_6488 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g129522  (
+	.A(\soc_top/FE_OFN295_core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_1 ),
+	.Y(\soc_top/n_6491 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129523  (
+	.A1(\soc_top/core_InstructionDecode_io_readData1[8] ),
+	.A2(\soc_top/n_6414 ),
+	.B1(\soc_top/core_InstructionDecode_io_writeData[8] ),
+	.B2(\soc_top/n_6416 ),
+	.C1(\soc_top/n_6600 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[8] ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129525  (
+	.A1(\soc_top/core_InstructionDecode_io_readData1[7] ),
+	.A2(\soc_top/n_6414 ),
+	.B1(\soc_top/n_6416 ),
+	.B2(\soc_top/core_InstructionDecode_io_writeData[7] ),
+	.C1(\soc_top/n_6600 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[7] ),
+	.Y(\soc_top/n_6492 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g129526  (
+	.A(\soc_top/n_6494 ),
+	.Y(\soc_top/n_6495 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129527  (
+	.A1(\soc_top/FE_OFN118_core_InstructionDecode_io_readData1_5 ),
+	.A2(\soc_top/n_6414 ),
+	.B1(\soc_top/n_4878 ),
+	.B2(\soc_top/n_6416 ),
+	.C1(\soc_top/n_6600 ),
+	.C2(\soc_top/FE_OFN80_core_io_dmemReq_bits_addrRequest_5 ),
+	.Y(\soc_top/n_6494 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129529  (
+	.A1(\soc_top/core_InstructionDecode_io_readData2[7] ),
+	.A2(\soc_top/FE_OFN290_n_6415 ),
+	.B1(\soc_top/n_3867 ),
+	.B2(\soc_top/core_InstructionDecode_io_writeData[7] ),
+	.C1(\soc_top/n_3817 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[7] ),
+	.Y(\soc_top/n_6496 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129531  (
+	.A1(\soc_top/core_InstructionDecode_io_readData1[4] ),
+	.A2(\soc_top/n_6414 ),
+	.B1(\soc_top/n_6545 ),
+	.B2(\soc_top/n_6416 ),
+	.C1(\soc_top/n_6600 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[4] ),
+	.Y(\soc_top/n_6498 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g129532  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_7 ),
+	.Y(\soc_top/n_6501 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129533  (
+	.A1(\soc_top/core_InstructionDecode_io_readData1[3] ),
+	.A2(\soc_top/n_6414 ),
+	.B1(\soc_top/n_2711 ),
+	.B2(\soc_top/n_6416 ),
+	.C1(\soc_top/n_6600 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[3] ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/g129534  (
+	.A(\soc_top/n_6502 ),
+	.Y(\soc_top/n_6503 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129535  (
+	.A1(\soc_top/core_InstructionDecode_io_readData1[2] ),
+	.A2(\soc_top/n_6414 ),
+	.B1(\soc_top/FE_OFN109_n_6544 ),
+	.B2(\soc_top/n_6416 ),
+	.C1(\soc_top/n_6600 ),
+	.C2(\soc_top/FE_OFN81_core_io_dmemReq_bits_addrRequest_2 ),
+	.Y(\soc_top/n_6502 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129537  (
+	.A1(\soc_top/core_InstructionDecode_io_readData1[11] ),
+	.A2(\soc_top/n_6414 ),
+	.B1(\soc_top/core_InstructionDecode_io_writeData[11] ),
+	.B2(\soc_top/n_6416 ),
+	.C1(\soc_top/n_6600 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[11] ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129539  (
+	.A1(\soc_top/core_InstructionDecode_io_readData2[5] ),
+	.A2(\soc_top/FE_OFN290_n_6415 ),
+	.B1(\soc_top/n_4878 ),
+	.B2(\soc_top/n_3867 ),
+	.C1(\soc_top/FE_OFN205_n_3817 ),
+	.C2(\soc_top/FE_OFN80_core_io_dmemReq_bits_addrRequest_5 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g129540  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_17 ),
+	.Y(\soc_top/n_6509 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129541  (
+	.A1(\soc_top/core_InstructionDecode_io_readData2[4] ),
+	.A2(\soc_top/FE_OFN290_n_6415 ),
+	.B1(\soc_top/n_6545 ),
+	.B2(\soc_top/n_3867 ),
+	.C1(\soc_top/n_3817 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[4] ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g129542  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_3 ),
+	.Y(\soc_top/n_6511 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129543  (
+	.A1(\soc_top/core_InstructionDecode_io_readData2[2] ),
+	.A2(\soc_top/FE_OFN290_n_6415 ),
+	.B1(\soc_top/FE_OFN109_n_6544 ),
+	.B2(\soc_top/n_3867 ),
+	.C1(\soc_top/FE_OFN205_n_3817 ),
+	.C2(\soc_top/FE_OFN81_core_io_dmemReq_bits_addrRequest_2 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/g129544  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_24 ),
+	.Y(\soc_top/n_6513 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129545  (
+	.A1(\soc_top/core_InstructionDecode_io_readData1[31] ),
+	.A2(\soc_top/n_6414 ),
+	.B1(\soc_top/FE_OFN119_core_InstructionDecode_io_writeData_31 ),
+	.B2(\soc_top/n_6416 ),
+	.C1(\soc_top/n_6600 ),
+	.C2(\soc_top/core_io_dmemReq_bits_addrRequest[31] ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 \soc_top/g129546  (
+	.A(\soc_top/n_4921 ),
+	.B(\soc_top/n_5145 ),
+	.C_N(\soc_top/n_6516 ),
+	.X(\soc_top/n_6514 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 \soc_top/g129547  (
+	.A(\soc_top/n_5129 ),
+	.B(\soc_top/n_6517 ),
+	.C_N(\soc_top/n_5132 ),
+	.X(\soc_top/n_6515 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 \soc_top/g129548  (
+	.A(\soc_top/n_4103 ),
+	.B(\soc_top/n_6572 ),
+	.C_N(\soc_top/n_4116 ),
+	.D_N(\soc_top/n_3992 ),
+	.Y(\soc_top/n_6516 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 \soc_top/g129549  (
+	.A_N(\soc_top/n_4008 ),
+	.B(\soc_top/n_4112 ),
+	.C(\soc_top/n_3918 ),
+	.D(\soc_top/n_6585 ),
+	.Y(\soc_top/n_6517 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129550  (
+	.A1(\soc_top/n_3914 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_29[29] ),
+	.B1(\soc_top/n_3905 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[29] ),
+	.C1(\soc_top/n_6611 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_1[29] ),
+	.Y(\soc_top/n_6518 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129551  (
+	.A1(\soc_top/n_3963 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_17[26] ),
+	.B1(\soc_top/n_3939 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[26] ),
+	.C1(\soc_top/n_3936 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_25[26] ),
+	.Y(\soc_top/n_6519 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129552  (
+	.A1(\soc_top/n_3914 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_29[25] ),
+	.B1(\soc_top/n_3905 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[25] ),
+	.C1(\soc_top/n_6611 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_1[25] ),
+	.Y(\soc_top/n_6520 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129553  (
+	.A1(\soc_top/n_3963 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_17[12] ),
+	.B1(\soc_top/n_3939 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[12] ),
+	.C1(\soc_top/n_3936 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_25[12] ),
+	.Y(\soc_top/n_6521 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129554  (
+	.A1(\soc_top/n_3935 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_9[10] ),
+	.B1(\soc_top/n_3889 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_27[10] ),
+	.C1(\soc_top/FE_OFN6664_n_3932 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_5[10] ),
+	.Y(\soc_top/n_6522 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129555  (
+	.A1(\soc_top/n_3981 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_16[9] ),
+	.B1(\soc_top/n_3964 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[9] ),
+	.C1(\soc_top/n_3941 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_10[9] ),
+	.Y(\soc_top/n_6523 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129556  (
+	.A1(\soc_top/n_3963 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_17[10] ),
+	.B1(\soc_top/n_3939 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_21[10] ),
+	.C1(\soc_top/n_3936 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_25[10] ),
+	.Y(\soc_top/n_6524 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129557  (
+	.A1(\soc_top/n_3939 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_21[9] ),
+	.B1(\soc_top/n_3936 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[9] ),
+	.C1(\soc_top/n_6611 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_1[9] ),
+	.Y(\soc_top/n_6525 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129558  (
+	.A1(\soc_top/n_3981 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_16[21] ),
+	.B1(\soc_top/n_3964 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[21] ),
+	.C1(\soc_top/n_3941 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_10[21] ),
+	.Y(\soc_top/n_6526 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129559  (
+	.A1(\soc_top/n_3939 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_21[5] ),
+	.B1(\soc_top/n_3936 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[5] ),
+	.C1(\soc_top/n_3963 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_17[5] ),
+	.Y(\soc_top/n_6527 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129560  (
+	.A1(\soc_top/n_3889 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[4] ),
+	.B1(\soc_top/FE_OFN6664_n_3932 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[4] ),
+	.C1(\soc_top/n_3935 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_9[4] ),
+	.Y(\soc_top/n_6528 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129561  (
+	.A1(\soc_top/n_3939 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_21[4] ),
+	.B1(\soc_top/n_3936 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[4] ),
+	.C1(\soc_top/n_3963 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_17[4] ),
+	.Y(\soc_top/n_6529 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129562  (
+	.A1(\soc_top/n_3914 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_29[4] ),
+	.B1(\soc_top/n_3905 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[4] ),
+	.C1(\soc_top/n_6611 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_1[4] ),
+	.Y(\soc_top/n_6530 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129563  (
+	.A1(\soc_top/FE_OFN6649_n_3904 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_31[1] ),
+	.B1(\soc_top/FE_OFN6661_n_3913 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_29[1] ),
+	.C1(\soc_top/FE_OFN6648_n_6611 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_1[1] ),
+	.Y(\soc_top/n_6531 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129564  (
+	.A1(\soc_top/n_3889 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_27[1] ),
+	.B1(\soc_top/FE_OFN6664_n_3932 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_5[1] ),
+	.C1(\soc_top/n_3935 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_9[1] ),
+	.Y(\soc_top/n_6532 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129565  (
+	.A1(\soc_top/n_3939 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_21[1] ),
+	.B1(\soc_top/n_3936 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_25[1] ),
+	.C1(\soc_top/n_3963 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_17[1] ),
+	.Y(\soc_top/n_6533 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129566  (
+	.A1(\soc_top/n_3914 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_29[0] ),
+	.B1(\soc_top/n_3905 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_31[0] ),
+	.C1(\soc_top/FE_OFN6648_n_6611 ),
+	.C2(\soc_top/core_InstructionDecode_registers_reg_1[0] ),
+	.Y(\soc_top/n_6534 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g129567  (
+	.A1(\soc_top/n_4005 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_3[31] ),
+	.B1(\soc_top/n_3897 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_7[31] ),
+	.X(\soc_top/n_6535 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g129568  (
+	.A1(\soc_top/n_3911 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[28] ),
+	.B1(\soc_top/FE_OFN6609_n_6612 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[28] ),
+	.X(\soc_top/n_6536 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g129569  (
+	.A1(\soc_top/n_3995 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_12[26] ),
+	.B1(\soc_top/n_3885 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[26] ),
+	.X(\soc_top/n_6537 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g129570  (
+	.A1(\soc_top/n_3919 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_26[26] ),
+	.B1(\soc_top/n_3927 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[26] ),
+	.X(\soc_top/n_6538 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g129571  (
+	.A1(\soc_top/n_3911 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[26] ),
+	.B1(\soc_top/n_6612 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[26] ),
+	.X(\soc_top/n_6539 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g129572  (
+	.A1(\soc_top/n_3895 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_23[26] ),
+	.B1(\soc_top/FE_OFN5677_n_6576 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_15[26] ),
+	.X(\soc_top/n_6540 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g129573  (
+	.A1(\soc_top/FE_OFN5641_n_6597 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_4[24] ),
+	.B1(\soc_top/n_3927 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[24] ),
+	.X(\soc_top/n_6541 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g129574  (
+	.A1(\soc_top/n_3911 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[24] ),
+	.B1(\soc_top/n_6612 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[24] ),
+	.X(\soc_top/n_6542 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g129575  (
+	.A1(\soc_top/n_3911 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_28[16] ),
+	.B1(\soc_top/FE_OFN6609_n_6612 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[16] ),
+	.X(\soc_top/n_6543 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top/g129576  (
+	.A1(\soc_top/n_3528 ),
+	.A2(\soc_top/n_3796 ),
+	.B1(\soc_top/n_3783 ),
+	.C1(\soc_top/n_3825 ),
+	.D1(\soc_top/n_6580 ),
+	.Y(\soc_top/n_6544 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4bb_2 \soc_top/g129577  (
+	.A(\soc_top/n_3766 ),
+	.B(\soc_top/n_3859 ),
+	.C_N(\soc_top/n_3828 ),
+	.D_N(\soc_top/n_3849 ),
+	.X(\soc_top/n_6545 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g129578  (
+	.A1(\soc_top/FE_OFN5664_n_3797 ),
+	.A2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[21] ),
+	.B1(\soc_top/n_3748 ),
+	.B2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[5] ),
+	.C1(\soc_top/n_6566 ),
+	.X(\soc_top/n_6546 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g129579  (
+	.A1(\soc_top/n_3999 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_19[10] ),
+	.B1(\soc_top/n_3987 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_2[10] ),
+	.X(\soc_top/n_6547 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g129580  (
+	.A1(\soc_top/n_3921 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_10[7] ),
+	.B1(\soc_top/n_3916 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_14[7] ),
+	.X(\soc_top/n_6548 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g129581  (
+	.A1(\soc_top/n_3927 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[7] ),
+	.B1(\soc_top/FE_OFN5641_n_6597 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[7] ),
+	.X(\soc_top/n_6549 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g129582  (
+	.A1(\soc_top/n_6612 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_24[7] ),
+	.B1(\soc_top/n_3911 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[7] ),
+	.X(\soc_top/n_6550 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g129583  (
+	.A1(\soc_top/n_3897 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_7[7] ),
+	.B1(\soc_top/n_3983 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_13[7] ),
+	.X(\soc_top/n_6551 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g129584  (
+	.A1(\soc_top/FE_OFN5641_n_6597 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_4[6] ),
+	.B1(\soc_top/n_3927 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[6] ),
+	.X(\soc_top/n_6552 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g129585  (
+	.A1(\soc_top/n_3989 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_18[6] ),
+	.B1(\soc_top/n_3925 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[6] ),
+	.X(\soc_top/n_6553 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g129586  (
+	.A1(\soc_top/FE_OFN5677_n_6576 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_15[4] ),
+	.B1(\soc_top/n_3923 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_11[4] ),
+	.X(\soc_top/n_6554 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g129587  (
+	.A1(\soc_top/n_3987 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_2[2] ),
+	.B1(\soc_top/n_3999 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[2] ),
+	.X(\soc_top/n_6555 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g129588  (
+	.A1(\soc_top/n_3987 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_2[1] ),
+	.B1(\soc_top/n_3999 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_19[1] ),
+	.X(\soc_top/n_6556 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g129589  (
+	.A1(\soc_top/n_3907 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_6[31] ),
+	.B1(\soc_top/n_3899 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_8[31] ),
+	.X(\soc_top/n_6557 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g129590  (
+	.A1(\soc_top/n_3899 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_8[16] ),
+	.B1(\soc_top/n_3907 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_6[16] ),
+	.X(\soc_top/n_6558 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g129591  (
+	.A1(\soc_top/n_3893 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_4[16] ),
+	.B1(\soc_top/n_3909 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_24[16] ),
+	.X(\soc_top/n_6559 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g129592  (
+	.A1(\soc_top/n_3970 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_26[16] ),
+	.B1(\soc_top/n_3997 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_22[16] ),
+	.X(\soc_top/n_6560 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g129593  (
+	.A1(\soc_top/n_6391 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_16[31] ),
+	.B1(\soc_top/n_6393 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_20[31] ),
+	.X(\soc_top/n_6561 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g129594  (
+	.A1(\soc_top/n_6612 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_24[31] ),
+	.B1(\soc_top/FE_OFN5641_n_6597 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_4[31] ),
+	.X(\soc_top/n_6562 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/g129595  (
+	.A1(\soc_top/n_3970 ),
+	.A2(\soc_top/core_InstructionDecode_registers_reg_26[31] ),
+	.B1(\soc_top/n_6590 ),
+	.B2(\soc_top/core_InstructionDecode_registers_reg_28[31] ),
+	.X(\soc_top/n_6563 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111a_1 \soc_top/g129596  (
+	.A1(\soc_top/n_3561 ),
+	.A2(\soc_top/n_3627 ),
+	.B1(\soc_top/n_6576 ),
+	.C1(\soc_top/n_3962 ),
+	.D1(\soc_top/n_3938 ),
+	.X(\soc_top/n_6564 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 \soc_top/g129597  (
+	.A(\soc_top/n_6582 ),
+	.B(\soc_top/n_3913 ),
+	.C(\soc_top/n_3998 ),
+	.D(\soc_top/n_3994 ),
+	.X(\soc_top/n_6565 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \soc_top/g129598  (
+	.A1(\soc_top/n_3795 ),
+	.A2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[29] ),
+	.B1(\soc_top/n_3832 ),
+	.B2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[13] ),
+	.C1(\soc_top/n_3764 ),
+	.X(\soc_top/n_6566 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g129599  (
+	.A(\soc_top/n_5615 ),
+	.B(\soc_top/n_3624 ),
+	.C(\soc_top/n_3560 ),
+	.Y(\soc_top/n_6567 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g129600  (
+	.A(\soc_top/n_5634 ),
+	.B(\soc_top/n_3633 ),
+	.C(\soc_top/n_3560 ),
+	.Y(\soc_top/n_6568 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g129601  (
+	.A(\soc_top/n_5630 ),
+	.B(\soc_top/n_3634 ),
+	.C(\soc_top/n_3560 ),
+	.Y(\soc_top/n_6569 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_2 \soc_top/g129602  (
+	.A(\soc_top/n_3566 ),
+	.B(\soc_top/n_3627 ),
+	.C_N(\soc_top/n_5614 ),
+	.X(\soc_top/n_6570 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 \soc_top/g129603  (
+	.A(\soc_top/n_3563 ),
+	.B(\soc_top/n_3628 ),
+	.C_N(\soc_top/n_5625 ),
+	.X(\soc_top/n_6571 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_4 \soc_top/g129604  (
+	.A(\soc_top/n_5613 ),
+	.B(\soc_top/n_3624 ),
+	.C(\soc_top/n_3595 ),
+	.X(\soc_top/n_6572 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g129605  (
+	.A(\soc_top/n_3856 ),
+	.B(\soc_top/n_3630 ),
+	.C(\soc_top/n_3558 ),
+	.Y(\soc_top/n_6573 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g129606  (
+	.A(\soc_top/n_5637 ),
+	.B(\soc_top/n_3634 ),
+	.C(\soc_top/n_3596 ),
+	.Y(\soc_top/n_6574 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g129607  (
+	.A(\soc_top/n_5629 ),
+	.B(\soc_top/n_3630 ),
+	.C(\soc_top/n_3595 ),
+	.Y(\soc_top/n_6575 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_2 \soc_top/g129608  (
+	.A(\soc_top/n_3568 ),
+	.B(\soc_top/n_3628 ),
+	.C_N(\soc_top/n_5627 ),
+	.X(\soc_top/n_6576 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g129609  (
+	.A(\soc_top/n_5621 ),
+	.B(\soc_top/n_3624 ),
+	.C(\soc_top/n_3558 ),
+	.Y(\soc_top/n_6577 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_4 \soc_top/g129610  (
+	.A(\soc_top/n_5627 ),
+	.B(\soc_top/n_3636 ),
+	.C(\soc_top/n_3596 ),
+	.X(\soc_top/n_6578 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 \soc_top/g129611  (
+	.A(\soc_top/FE_OFN5682_n_3559 ),
+	.B(\soc_top/n_3625 ),
+	.C_N(\soc_top/n_5614 ),
+	.X(\soc_top/n_6579 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129612  (
+	.A1(\soc_top/n_3832 ),
+	.A2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[10] ),
+	.B1(\soc_top/core_mem_reg_result[2] ),
+	.B2(\soc_top/n_6417 ),
+	.C1(\soc_top/core_mem_reg_pc[2] ),
+	.C2(\soc_top/FE_OFN6651_n_3698 ),
+	.Y(\soc_top/n_6580 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129613  (
+	.A1(\soc_top/n_3832 ),
+	.A2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[11] ),
+	.B1(\soc_top/core_mem_reg_result[3] ),
+	.B2(\soc_top/n_6417 ),
+	.C1(\soc_top/core_mem_reg_pc[3] ),
+	.C2(\soc_top/FE_OFN6651_n_3698 ),
+	.Y(\soc_top/n_6581 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g129614  (
+	.A(\soc_top/n_3856 ),
+	.B(\soc_top/n_3623 ),
+	.C(\soc_top/n_3562 ),
+	.Y(\soc_top/n_6582 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_2 \soc_top/g129615  (
+	.A(\soc_top/n_3561 ),
+	.B(\soc_top/n_3628 ),
+	.C_N(\soc_top/n_5621 ),
+	.X(\soc_top/n_6583 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g129616  (
+	.A(\soc_top/n_5639 ),
+	.B(\soc_top/n_3633 ),
+	.C(\soc_top/n_3596 ),
+	.Y(\soc_top/n_6584 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g129617  (
+	.A(\soc_top/n_5639 ),
+	.B(\soc_top/n_3632 ),
+	.C(\soc_top/n_3567 ),
+	.Y(\soc_top/n_6585 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_2 \soc_top/g129618  (
+	.A(\soc_top/n_3568 ),
+	.B(\soc_top/n_3627 ),
+	.C_N(\soc_top/n_5618 ),
+	.X(\soc_top/n_6586 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_2 \soc_top/g129619  (
+	.A(\soc_top/n_3566 ),
+	.B(\soc_top/n_3628 ),
+	.C_N(\soc_top/n_5623 ),
+	.X(\soc_top/n_6587 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_2 \soc_top/g129620  (
+	.A(\soc_top/n_3566 ),
+	.B(\soc_top/n_3622 ),
+	.C_N(\soc_top/n_5622 ),
+	.X(\soc_top/n_6588 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 \soc_top/g129621  (
+	.A(\soc_top/n_3568 ),
+	.B(\soc_top/n_3622 ),
+	.C_N(\soc_top/n_5626 ),
+	.X(\soc_top/n_6589 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_4 \soc_top/g129622  (
+	.A(\soc_top/n_5638 ),
+	.B(\soc_top/n_3633 ),
+	.C(\soc_top/n_3558 ),
+	.X(\soc_top/n_6590 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g129623  (
+	.A(\soc_top/n_5604 ),
+	.B(\soc_top/n_3626 ),
+	.C(\soc_top/n_3596 ),
+	.Y(\soc_top/n_6591 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g129624  (
+	.A(\soc_top/n_5603 ),
+	.B(\soc_top/n_3630 ),
+	.C(\soc_top/n_3596 ),
+	.Y(\soc_top/n_6592 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g129625  (
+	.A(\soc_top/n_5635 ),
+	.B(\soc_top/n_3619 ),
+	.C(\soc_top/n_3567 ),
+	.Y(\soc_top/n_6593 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g129626  (
+	.A(\soc_top/n_5635 ),
+	.B(\soc_top/n_3626 ),
+	.C(\soc_top/n_3560 ),
+	.Y(\soc_top/n_6594 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g129627  (
+	.A(\soc_top/n_5603 ),
+	.B(\soc_top/n_3623 ),
+	.C(\soc_top/n_3565 ),
+	.Y(\soc_top/n_6595 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_2 \soc_top/g129628  (
+	.A(\soc_top/n_3561 ),
+	.B(\soc_top/n_3622 ),
+	.C_N(\soc_top/n_5620 ),
+	.X(\soc_top/n_6596 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_2 \soc_top/g129629  (
+	.A(\soc_top/n_3563 ),
+	.B(\soc_top/n_3627 ),
+	.C_N(\soc_top/n_5616 ),
+	.X(\soc_top/n_6597 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/g129630  (
+	.A(\soc_top/n_3810 ),
+	.B(\soc_top/n_3778 ),
+	.C(\soc_top/n_3716 ),
+	.D(\soc_top/n_3740 ),
+	.Y(\soc_top/n_6598 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129631  (
+	.A1(\soc_top/FE_OFN6628_n_3743 ),
+	.A2(\soc_top/gen_dmem_host_io_rspOut_bits_dataResponse[23] ),
+	.B1(\soc_top/core_mem_reg_result[23] ),
+	.B2(\soc_top/n_6417 ),
+	.C1(\soc_top/core_mem_reg_pc[23] ),
+	.C2(\soc_top/FE_OFN6651_n_3698 ),
+	.Y(\soc_top/n_6599 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_4 \soc_top/g129632  (
+	.A_N(\soc_top/n_5609 ),
+	.B(\soc_top/n_5612 ),
+	.C(\soc_top/n_3741 ),
+	.D(\soc_top/n_5608 ),
+	.X(\soc_top/n_6600 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/g129633  (
+	.A(\soc_top/n_3719 ),
+	.B(\soc_top/core_mem_reg_ctl_regWrite ),
+	.C(\soc_top/core_mem_reg_wra[4] ),
+	.Y(\soc_top/n_6601 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 \soc_top/g129634  (
+	.A_N(\soc_top/core_MEM_offset[1] ),
+	.B(\soc_top/core_MEM_offset[0] ),
+	.C(\soc_top/FE_OFN5625_n_3700 ),
+	.X(\soc_top/n_6602 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_4 \soc_top/g129635  (
+	.A1(\soc_top/n_6608 ),
+	.A2(\soc_top/n_3167 ),
+	.B1(\soc_top/FE_OFN86_core_id_reg_ctl_aluSrc ),
+	.B2(\soc_top/core_id_reg_imm[2] ),
+	.X(\soc_top/n_6603 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_2 \soc_top/g129636  (
+	.A(\soc_top/n_3392 ),
+	.B(\soc_top/core_Execute_ForwardingUnit_io_forwardA[1] ),
+	.C_N(\soc_top/core_Execute_ForwardingUnit_io_forwardA[0] ),
+	.Y(\soc_top/n_6604 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129638  (
+	.A1(\soc_top/FE_OFN247_n_6430 ),
+	.A2(\soc_top/core_InstructionDecode_io_writeData[3] ),
+	.B1(\soc_top/FE_OFN202_n_3293 ),
+	.B2(\soc_top/core_io_dmemReq_bits_addrRequest[3] ),
+	.C1(\soc_top/FE_OFN203_n_3317 ),
+	.C2(\soc_top/core_id_reg_rd2[3] ),
+	.Y(\soc_top/n_6605 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/g129640  (
+	.A1(\soc_top/FE_OFN247_n_6430 ),
+	.A2(\soc_top/FE_OFN109_n_6544 ),
+	.B1(\soc_top/FE_OFN202_n_3293 ),
+	.B2(\soc_top/FE_OFN81_core_io_dmemReq_bits_addrRequest_2 ),
+	.C1(\soc_top/FE_OFN203_n_3317 ),
+	.C2(\soc_top/core_id_reg_rd2[2] ),
+	.Y(\soc_top/n_6607 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_1 \soc_top/g129641  (
+	.A(\soc_top/core_Execute_aluCtl_io_out[3] ),
+	.B(\soc_top/core_Execute_aluCtl_io_out[2] ),
+	.C(\soc_top/core_Execute_aluCtl_io_out[0] ),
+	.D_N(\soc_top/core_Execute_aluCtl_io_out[1] ),
+	.X(\soc_top/n_6609 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \soc_top/g129642  (
+	.A(\soc_top/n_2926 ),
+	.B(\soc_top/switch_io_devSel[1] ),
+	.C_N(\soc_top/n_5645 ),
+	.Y(\soc_top/n_6610 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_4 \soc_top/g2  (
+	.A(\soc_top/n_5613 ),
+	.B(\soc_top/n_3631 ),
+	.C(\soc_top/n_3562 ),
+	.X(\soc_top/n_6611 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_4 \soc_top/g129643  (
+	.A(\soc_top/n_5636 ),
+	.B(\soc_top/n_3632 ),
+	.C(\soc_top/n_3562 ),
+	.X(\soc_top/n_6612 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 \soc_top/core_Execute_alu_lt_2331_36_g1093  (
+	.A1(\soc_top/n_7492 ),
+	.A2(\soc_top/core_Execute_alu_lt_2331_36_n_72 ),
+	.B1(\soc_top/core_Execute_alu_lt_2331_36_n_93 ),
+	.C1(\soc_top/core_Execute_alu_lt_2331_36_n_89 ),
+	.D1(\soc_top/core_Execute_alu_lt_2331_36_n_99 ),
+	.Y(\soc_top/n_5902 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311a_1 \soc_top/core_Execute_alu_lt_2331_36_g1094  (
+	.A1(\soc_top/n_7479 ),
+	.A2(\soc_top/n_7486 ),
+	.A3(\soc_top/core_Execute_alu_lt_2331_36_n_98 ),
+	.B1(\soc_top/n_7489 ),
+	.C1(\soc_top/core_Execute_alu_lt_2331_36_n_86 ),
+	.X(\soc_top/core_Execute_alu_lt_2331_36_n_99 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \soc_top/core_Execute_alu_lt_2331_36_g1095  (
+	.A(\soc_top/n_7251 ),
+	.B(\soc_top/n_7249 ),
+	.C(\soc_top/n_7466 ),
+	.D(\soc_top/core_Execute_alu_lt_2331_36_n_97 ),
+	.Y(\soc_top/core_Execute_alu_lt_2331_36_n_98 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/core_Execute_alu_lt_2331_36_g1096  (
+	.A(\soc_top/n_7481 ),
+	.B(\soc_top/n_7487 ),
+	.C(\soc_top/core_Execute_alu_lt_2331_36_n_96 ),
+	.Y(\soc_top/core_Execute_alu_lt_2331_36_n_97 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \soc_top/core_Execute_alu_lt_2331_36_g1097  (
+	.A(\soc_top/n_7241 ),
+	.B(\soc_top/n_7239 ),
+	.C(\soc_top/n_7468 ),
+	.D(\soc_top/core_Execute_alu_lt_2331_36_n_95 ),
+	.Y(\soc_top/core_Execute_alu_lt_2331_36_n_96 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/core_Execute_alu_lt_2331_36_g1098  (
+	.A(\soc_top/core_Execute_alu_lt_2331_36_n_84 ),
+	.B(\soc_top/n_7488 ),
+	.C(\soc_top/n_7507 ),
+	.Y(\soc_top/core_Execute_alu_lt_2331_36_n_95 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_Execute_alu_lt_2331_36_g1100  (
+	.A(\soc_top/n_7506 ),
+	.B_N(\soc_top/core_Execute_alu_lt_2331_36_n_86 ),
+	.Y(\soc_top/core_Execute_alu_lt_2331_36_n_93 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_lt_2331_36_g1104  (
+	.A1(\soc_top/core_Execute_alu_lt_2331_36_n_55 ),
+	.A2(\soc_top/n_7465 ),
+	.B1(\soc_top/core_Execute_alu_lt_2331_36_n_80 ),
+	.Y(\soc_top/core_Execute_alu_lt_2331_36_n_89 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/core_Execute_alu_lt_2331_36_g1108  (
+	.A1(\soc_top/core_Execute_alu_lt_2331_36_n_48 ),
+	.A2(\soc_top/n_7243 ),
+	.B1(\soc_top/n_7470 ),
+	.Y(\soc_top/core_Execute_alu_lt_2331_36_n_84 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 \soc_top/core_Execute_alu_lt_2331_36_g1111  (
+	.A(\soc_top/n_7248 ),
+	.B(\soc_top/n_7461 ),
+	.C(\soc_top/n_7460 ),
+	.D_N(\soc_top/core_Execute_alu_lt_2331_36_n_72 ),
+	.Y(\soc_top/core_Execute_alu_lt_2331_36_n_86 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top/core_Execute_alu_lt_2331_36_g1113  (
+	.A1_N(\soc_top/n_5868 ),
+	.A2_N(\soc_top/core_Execute_alu_lt_2331_36_n_42 ),
+	.B1(\soc_top/n_5998 ),
+	.B2(\soc_top/core_Execute_alu_lt_2331_36_n_58 ),
+	.Y(\soc_top/core_Execute_alu_lt_2331_36_n_80 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 \soc_top/core_Execute_alu_lt_2331_36_g1121  (
+	.A1(\soc_top/n_5992 ),
+	.A2(\soc_top/core_Execute_alu_lt_2331_36_n_12 ),
+	.B1(\soc_top/n_7245 ),
+	.C1(\soc_top/core_Execute_alu_lt_2331_36_n_55 ),
+	.Y(\soc_top/core_Execute_alu_lt_2331_36_n_72 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_lt_2331_36_g1133  (
+	.A(\soc_top/n_5868 ),
+	.B(\soc_top/core_Execute_alu_lt_2331_36_n_42 ),
+	.Y(\soc_top/core_Execute_alu_lt_2331_36_n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 \soc_top/core_Execute_alu_lt_2331_36_g1140  (
+	.A(\soc_top/n_5944 ),
+	.B(\soc_top/n_7242 ),
+	.C_N(\soc_top/n_5945 ),
+	.X(\soc_top/core_Execute_alu_lt_2331_36_n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_lt_2331_36_g1144  (
+	.A1(\soc_top/core_Execute_alu_lt_2331_36_n_0 ),
+	.A2(\soc_top/n_5998 ),
+	.B1(\soc_top/n_5997 ),
+	.B2(\soc_top/core_Execute_alu_lt_2331_36_n_15 ),
+	.Y(\soc_top/core_Execute_alu_lt_2331_36_n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_lt_2331_36_g1156  (
+	.A(\soc_top/core_Execute_alu_lt_2331_36_n_15 ),
+	.B(\soc_top/n_5997 ),
+	.Y(\soc_top/core_Execute_alu_lt_2331_36_n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/core_Execute_alu_lt_2331_36_g1174  (
+	.A(\soc_top/n_5950 ),
+	.Y(\soc_top/core_Execute_alu_lt_2331_36_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/core_Execute_alu_lt_2331_36_g1176  (
+	.A(\soc_top/n_5988 ),
+	.Y(\soc_top/core_Execute_alu_lt_2331_36_n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/core_Execute_alu_lt_2331_36_g1178  (
+	.A(\soc_top/n_5996 ),
+	.Y(\soc_top/core_Execute_alu_lt_2331_36_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/core_Execute_alu_lt_2331_36_g1179  (
+	.A(\soc_top/n_5981 ),
+	.Y(\soc_top/core_Execute_alu_lt_2331_36_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/core_Execute_alu_lt_2331_36_g1180  (
+	.A(\soc_top/n_5966 ),
+	.Y(\soc_top/core_Execute_alu_lt_2331_36_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/core_Execute_alu_lt_2331_36_g1181  (
+	.A(\soc_top/n_5993 ),
+	.Y(\soc_top/core_Execute_alu_lt_2331_36_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/core_Execute_alu_lt_2331_36_g1182  (
+	.A(\soc_top/n_5983 ),
+	.Y(\soc_top/core_Execute_alu_lt_2331_36_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/core_Execute_alu_lt_2331_36_g1183  (
+	.A(\soc_top/n_5964 ),
+	.Y(\soc_top/core_Execute_alu_lt_2331_36_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/core_Execute_alu_lt_2331_36_g1184  (
+	.A(\soc_top/n_5990 ),
+	.Y(\soc_top/core_Execute_alu_lt_2331_36_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/core_Execute_alu_lt_2331_36_g1185  (
+	.A(\soc_top/n_5986 ),
+	.Y(\soc_top/core_Execute_alu_lt_2331_36_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/core_Execute_alu_lt_2331_36_g1186  (
+	.A(\soc_top/n_5979 ),
+	.Y(\soc_top/core_Execute_alu_lt_2331_36_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/core_Execute_alu_lt_2331_36_g1187  (
+	.A(\soc_top/n_5970 ),
+	.Y(\soc_top/core_Execute_alu_lt_2331_36_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/core_Execute_alu_lt_2331_36_g1188  (
+	.A(\soc_top/n_5974 ),
+	.Y(\soc_top/core_Execute_alu_lt_2331_36_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/core_Execute_alu_lt_2331_36_g1189  (
+	.A(\soc_top/n_5972 ),
+	.Y(\soc_top/core_Execute_alu_lt_2331_36_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/core_Execute_alu_lt_2331_36_g1190  (
+	.A(\soc_top/n_5956 ),
+	.Y(\soc_top/core_Execute_alu_lt_2331_36_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/core_Execute_alu_lt_2331_36_g1191  (
+	.A(\soc_top/n_5958 ),
+	.Y(\soc_top/core_Execute_alu_lt_2331_36_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/core_Execute_alu_lt_2331_36_g1192  (
+	.A(\soc_top/n_5995 ),
+	.Y(\soc_top/core_Execute_alu_lt_2331_36_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/core_Execute_alu_lt_2331_36_g1193  (
+	.A(\soc_top/n_5868 ),
+	.Y(\soc_top/core_Execute_alu_lt_2331_36_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 \soc_top/core_Execute_alu_lt_2333_27_g1095  (
+	.A1(\soc_top/n_7492 ),
+	.A2(\soc_top/core_Execute_alu_lt_2333_27_n_72 ),
+	.B1(\soc_top/core_Execute_alu_lt_2333_27_n_93 ),
+	.C1(\soc_top/core_Execute_alu_lt_2333_27_n_89 ),
+	.D1(\soc_top/core_Execute_alu_lt_2333_27_n_99 ),
+	.Y(\soc_top/n_5901 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311a_1 \soc_top/core_Execute_alu_lt_2333_27_g1096  (
+	.A1(\soc_top/n_7479 ),
+	.A2(\soc_top/n_7486 ),
+	.A3(\soc_top/core_Execute_alu_lt_2333_27_n_98 ),
+	.B1(\soc_top/n_7489 ),
+	.C1(\soc_top/core_Execute_alu_lt_2333_27_n_83 ),
+	.X(\soc_top/core_Execute_alu_lt_2333_27_n_99 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \soc_top/core_Execute_alu_lt_2333_27_g1097  (
+	.A(\soc_top/n_7251 ),
+	.B(\soc_top/n_7249 ),
+	.C(\soc_top/n_7466 ),
+	.D(\soc_top/core_Execute_alu_lt_2333_27_n_97 ),
+	.Y(\soc_top/core_Execute_alu_lt_2333_27_n_98 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/core_Execute_alu_lt_2333_27_g1098  (
+	.A(\soc_top/n_7481 ),
+	.B(\soc_top/n_7487 ),
+	.C(\soc_top/core_Execute_alu_lt_2333_27_n_96 ),
+	.Y(\soc_top/core_Execute_alu_lt_2333_27_n_97 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \soc_top/core_Execute_alu_lt_2333_27_g1099  (
+	.A(\soc_top/n_7241 ),
+	.B(\soc_top/n_7239 ),
+	.C(\soc_top/n_7468 ),
+	.D(\soc_top/core_Execute_alu_lt_2333_27_n_95 ),
+	.Y(\soc_top/core_Execute_alu_lt_2333_27_n_96 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/core_Execute_alu_lt_2333_27_g1100  (
+	.A(\soc_top/core_Execute_alu_lt_2333_27_n_85 ),
+	.B(\soc_top/n_7488 ),
+	.C(\soc_top/n_7507 ),
+	.Y(\soc_top/core_Execute_alu_lt_2333_27_n_95 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_Execute_alu_lt_2333_27_g1102  (
+	.A(\soc_top/n_7506 ),
+	.B_N(\soc_top/core_Execute_alu_lt_2333_27_n_83 ),
+	.Y(\soc_top/core_Execute_alu_lt_2333_27_n_93 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_lt_2333_27_g1106  (
+	.A1(\soc_top/core_Execute_alu_lt_2333_27_n_55 ),
+	.A2(\soc_top/n_7465 ),
+	.B1(\soc_top/core_Execute_alu_lt_2333_27_n_58 ),
+	.B2(\soc_top/core_Execute_alu_lt_2333_27_n_75 ),
+	.Y(\soc_top/core_Execute_alu_lt_2333_27_n_89 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/core_Execute_alu_lt_2333_27_g1110  (
+	.A1(\soc_top/core_Execute_alu_lt_2333_27_n_48 ),
+	.A2(\soc_top/n_7243 ),
+	.B1(\soc_top/n_7470 ),
+	.Y(\soc_top/core_Execute_alu_lt_2333_27_n_85 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 \soc_top/core_Execute_alu_lt_2333_27_g1112  (
+	.A(\soc_top/n_7248 ),
+	.B(\soc_top/n_7461 ),
+	.C(\soc_top/n_7460 ),
+	.D_N(\soc_top/core_Execute_alu_lt_2333_27_n_72 ),
+	.Y(\soc_top/core_Execute_alu_lt_2333_27_n_83 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 \soc_top/core_Execute_alu_lt_2333_27_g1120  (
+	.A1(\soc_top/core_Execute_alu_lt_2333_27_n_42 ),
+	.A2(\soc_top/n_5868 ),
+	.B1_N(\soc_top/n_5998 ),
+	.Y(\soc_top/core_Execute_alu_lt_2333_27_n_75 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 \soc_top/core_Execute_alu_lt_2333_27_g1123  (
+	.A1(\soc_top/n_5992 ),
+	.A2(\soc_top/core_Execute_alu_lt_2331_36_n_12 ),
+	.B1(\soc_top/n_7245 ),
+	.C1(\soc_top/core_Execute_alu_lt_2333_27_n_55 ),
+	.Y(\soc_top/core_Execute_alu_lt_2333_27_n_72 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_lt_2333_27_g1135  (
+	.A(\soc_top/n_5868 ),
+	.B(\soc_top/core_Execute_alu_lt_2333_27_n_42 ),
+	.Y(\soc_top/core_Execute_alu_lt_2333_27_n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 \soc_top/core_Execute_alu_lt_2333_27_g1142  (
+	.A(\soc_top/n_5944 ),
+	.B(\soc_top/n_7242 ),
+	.C_N(\soc_top/n_5945 ),
+	.X(\soc_top/core_Execute_alu_lt_2333_27_n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_lt_2333_27_g1146  (
+	.A1(\soc_top/n_5997 ),
+	.A2(\soc_top/core_Execute_alu_lt_2331_36_n_15 ),
+	.B1(\soc_top/n_5868 ),
+	.B2(\soc_top/core_Execute_alu_lt_2333_27_n_8 ),
+	.Y(\soc_top/core_Execute_alu_lt_2333_27_n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_Execute_alu_lt_2333_27_g1158  (
+	.A(\soc_top/n_5996 ),
+	.B_N(\soc_top/n_5997 ),
+	.Y(\soc_top/core_Execute_alu_lt_2333_27_n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/core_Execute_alu_lt_2333_27_g1187  (
+	.A(\soc_top/n_5998 ),
+	.Y(\soc_top/core_Execute_alu_lt_2333_27_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_sll_2336_30_g3974  (
+	.A1(\soc_top/FE_OFN5660_n_5944 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_123 ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_5 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_93 ),
+	.C1(\soc_top/core_Execute_alu_sll_2336_30_n_83 ),
+	.Y(\soc_top/n_5893 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_sll_2336_30_g3975  (
+	.A1(\soc_top/FE_OFN5660_n_5944 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_130 ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_5 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_103 ),
+	.C1(\soc_top/core_Execute_alu_sll_2336_30_n_115 ),
+	.Y(\soc_top/n_5900 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_sll_2336_30_g3976  (
+	.A1(\soc_top/FE_OFN5660_n_5944 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_128 ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_5 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_94 ),
+	.C1(\soc_top/core_Execute_alu_sll_2336_30_n_110 ),
+	.Y(\soc_top/n_5899 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_sll_2336_30_g3977  (
+	.A1(\soc_top/FE_OFN5660_n_5944 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_129 ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_5 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_104 ),
+	.C1(\soc_top/core_Execute_alu_sll_2336_30_n_109 ),
+	.Y(\soc_top/n_5897 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_sll_2336_30_g3978  (
+	.A1(\soc_top/FE_OFN5660_n_5944 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_127 ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_5 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_90 ),
+	.C1(\soc_top/core_Execute_alu_sll_2336_30_n_108 ),
+	.Y(\soc_top/n_5898 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_sll_2336_30_g3979  (
+	.A1(\soc_top/FE_OFN5660_n_5944 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_126 ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_5 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_100 ),
+	.C1(\soc_top/core_Execute_alu_sll_2336_30_n_84 ),
+	.Y(\soc_top/n_5896 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_sll_2336_30_g3980  (
+	.A1(\soc_top/FE_OFN5660_n_5944 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_125 ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_5 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_92 ),
+	.C1(\soc_top/core_Execute_alu_sll_2336_30_n_85 ),
+	.Y(\soc_top/n_5895 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_sll_2336_30_g3981  (
+	.A1(\soc_top/FE_OFN5660_n_5944 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_124 ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_5 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_88 ),
+	.C1(\soc_top/core_Execute_alu_sll_2336_30_n_82 ),
+	.Y(\soc_top/n_5894 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_sll_2336_30_g3982  (
+	.A(\soc_top/n_5944 ),
+	.B(\soc_top/core_Execute_alu_sll_2336_30_n_123 ),
+	.Y(\soc_top/n_5877 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_sll_2336_30_g3983  (
+	.A(\soc_top/n_5944 ),
+	.B(\soc_top/core_Execute_alu_sll_2336_30_n_128 ),
+	.Y(\soc_top/n_5883 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_sll_2336_30_g3984  (
+	.A(\soc_top/n_5944 ),
+	.B(\soc_top/core_Execute_alu_sll_2336_30_n_127 ),
+	.Y(\soc_top/n_5882 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_sll_2336_30_g3985  (
+	.A(\soc_top/n_5944 ),
+	.B(\soc_top/core_Execute_alu_sll_2336_30_n_129 ),
+	.Y(\soc_top/n_5881 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_sll_2336_30_g3986  (
+	.A(\soc_top/n_5944 ),
+	.B(\soc_top/core_Execute_alu_sll_2336_30_n_130 ),
+	.Y(\soc_top/n_5884 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_sll_2336_30_g3987  (
+	.A(\soc_top/n_5944 ),
+	.B(\soc_top/core_Execute_alu_sll_2336_30_n_125 ),
+	.Y(\soc_top/n_5879 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_sll_2336_30_g3988  (
+	.A(\soc_top/n_5944 ),
+	.B(\soc_top/core_Execute_alu_sll_2336_30_n_126 ),
+	.Y(\soc_top/n_5880 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_sll_2336_30_g3989  (
+	.A(\soc_top/n_5944 ),
+	.B(\soc_top/core_Execute_alu_sll_2336_30_n_124 ),
+	.Y(\soc_top/n_5878 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_sll_2336_30_g3990  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_5 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_107 ),
+	.B1(\soc_top/FE_OFN5660_n_5944 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_81 ),
+	.C1(\soc_top/core_Execute_alu_sll_2336_30_n_118 ),
+	.Y(\soc_top/n_5888 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_sll_2336_30_g3991  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_5 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_101 ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_8 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_97 ),
+	.C1(\soc_top/core_Execute_alu_sll_2336_30_n_120 ),
+	.Y(\soc_top/n_5891 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_sll_2336_30_g3992  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_5 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_106 ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_8 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_99 ),
+	.C1(\soc_top/core_Execute_alu_sll_2336_30_n_119 ),
+	.Y(\soc_top/n_5889 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_sll_2336_30_g3993  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_10 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_90 ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_5 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_91 ),
+	.C1(\soc_top/core_Execute_alu_sll_2336_30_n_113 ),
+	.Y(\soc_top/n_5890 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_sll_2336_30_g3994  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_8 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_86 ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_5 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_102 ),
+	.C1(\soc_top/core_Execute_alu_sll_2336_30_n_121 ),
+	.Y(\soc_top/n_5892 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_sll_2336_30_g3995  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_5 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_105 ),
+	.B1(\soc_top/FE_OFN5660_n_5944 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_80 ),
+	.C1(\soc_top/core_Execute_alu_sll_2336_30_n_117 ),
+	.Y(\soc_top/n_5887 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_sll_2336_30_g3996  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_5 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_87 ),
+	.B1(\soc_top/FE_OFN5660_n_5944 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_50 ),
+	.C1(\soc_top/core_Execute_alu_sll_2336_30_n_122 ),
+	.Y(\soc_top/n_5885 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_sll_2336_30_g3997  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_10 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_88 ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_5 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_89 ),
+	.C1(\soc_top/core_Execute_alu_sll_2336_30_n_67 ),
+	.Y(\soc_top/n_5886 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 \soc_top/core_Execute_alu_sll_2336_30_g3998  (
+	.A1(\soc_top/n_5942 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_102 ),
+	.B1(\soc_top/FE_OFN5633_n_5942 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_86 ),
+	.X(\soc_top/core_Execute_alu_sll_2336_30_n_130 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 \soc_top/core_Execute_alu_sll_2336_30_g3999  (
+	.A1(\soc_top/n_5942 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_106 ),
+	.B1(\soc_top/FE_OFN5633_n_5942 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_99 ),
+	.X(\soc_top/core_Execute_alu_sll_2336_30_n_129 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 \soc_top/core_Execute_alu_sll_2336_30_g4000  (
+	.A1(\soc_top/n_5942 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_101 ),
+	.B1(\soc_top/FE_OFN5633_n_5942 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_97 ),
+	.X(\soc_top/core_Execute_alu_sll_2336_30_n_128 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 \soc_top/core_Execute_alu_sll_2336_30_g4001  (
+	.A1(\soc_top/n_5942 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_91 ),
+	.B1(\soc_top/FE_OFN5633_n_5942 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_98 ),
+	.X(\soc_top/core_Execute_alu_sll_2336_30_n_127 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4002  (
+	.A1_N(\soc_top/n_5942 ),
+	.A2_N(\soc_top/core_Execute_alu_sll_2336_30_n_107 ),
+	.B1(\soc_top/n_7472 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_51 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_126 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4003  (
+	.A1_N(\soc_top/n_5942 ),
+	.A2_N(\soc_top/core_Execute_alu_sll_2336_30_n_105 ),
+	.B1(\soc_top/n_7472 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_69 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_125 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4004  (
+	.A1_N(\soc_top/n_5942 ),
+	.A2_N(\soc_top/core_Execute_alu_sll_2336_30_n_89 ),
+	.B1(\soc_top/n_5942 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_47 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_124 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4005  (
+	.A1_N(\soc_top/n_5942 ),
+	.A2_N(\soc_top/core_Execute_alu_sll_2336_30_n_87 ),
+	.B1(\soc_top/n_7472 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_32 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_123 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \soc_top/core_Execute_alu_sll_2336_30_g4006  (
+	.A(\soc_top/core_Execute_alu_sll_2336_30_n_10 ),
+	.B(\soc_top/core_Execute_alu_sll_2336_30_n_93 ),
+	.X(\soc_top/core_Execute_alu_sll_2336_30_n_122 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \soc_top/core_Execute_alu_sll_2336_30_g4007  (
+	.A(\soc_top/core_Execute_alu_sll_2336_30_n_10 ),
+	.B(\soc_top/core_Execute_alu_sll_2336_30_n_103 ),
+	.X(\soc_top/core_Execute_alu_sll_2336_30_n_121 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \soc_top/core_Execute_alu_sll_2336_30_g4008  (
+	.A(\soc_top/core_Execute_alu_sll_2336_30_n_10 ),
+	.B(\soc_top/core_Execute_alu_sll_2336_30_n_94 ),
+	.X(\soc_top/core_Execute_alu_sll_2336_30_n_120 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \soc_top/core_Execute_alu_sll_2336_30_g4009  (
+	.A(\soc_top/core_Execute_alu_sll_2336_30_n_10 ),
+	.B(\soc_top/core_Execute_alu_sll_2336_30_n_104 ),
+	.X(\soc_top/core_Execute_alu_sll_2336_30_n_119 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \soc_top/core_Execute_alu_sll_2336_30_g4010  (
+	.A(\soc_top/core_Execute_alu_sll_2336_30_n_10 ),
+	.B(\soc_top/core_Execute_alu_sll_2336_30_n_100 ),
+	.X(\soc_top/core_Execute_alu_sll_2336_30_n_118 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \soc_top/core_Execute_alu_sll_2336_30_g4011  (
+	.A(\soc_top/core_Execute_alu_sll_2336_30_n_10 ),
+	.B(\soc_top/core_Execute_alu_sll_2336_30_n_92 ),
+	.X(\soc_top/core_Execute_alu_sll_2336_30_n_117 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_sll_2336_30_g4012  (
+	.A(\soc_top/core_Execute_alu_sll_2336_30_n_10 ),
+	.B(\soc_top/core_Execute_alu_sll_2336_30_n_97 ),
+	.Y(\soc_top/n_5875 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4013  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_20 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_49 ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_53 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_29 ),
+	.C1(\soc_top/core_Execute_alu_sll_2336_30_n_48 ),
+	.C2(\soc_top/core_Execute_alu_sll_2336_30_n_12 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_115 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_sll_2336_30_g4014  (
+	.A(\soc_top/core_Execute_alu_sll_2336_30_n_10 ),
+	.B(\soc_top/core_Execute_alu_sll_2336_30_n_99 ),
+	.Y(\soc_top/n_5873 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \soc_top/core_Execute_alu_sll_2336_30_g4015  (
+	.A(\soc_top/core_Execute_alu_sll_2336_30_n_8 ),
+	.B(\soc_top/core_Execute_alu_sll_2336_30_n_98 ),
+	.X(\soc_top/core_Execute_alu_sll_2336_30_n_113 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_sll_2336_30_g4016  (
+	.A(\soc_top/core_Execute_alu_sll_2336_30_n_10 ),
+	.B(\soc_top/core_Execute_alu_sll_2336_30_n_98 ),
+	.Y(\soc_top/n_5874 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_sll_2336_30_g4017  (
+	.A(\soc_top/core_Execute_alu_sll_2336_30_n_10 ),
+	.B(\soc_top/core_Execute_alu_sll_2336_30_n_86 ),
+	.Y(\soc_top/n_5876 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4018  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_41 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_49 ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_61 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_29 ),
+	.C1(\soc_top/core_Execute_alu_sll_2336_30_n_48 ),
+	.C2(\soc_top/core_Execute_alu_sll_2336_30_n_13 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_110 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4019  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_70 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_29 ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_45 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_49 ),
+	.C1(\soc_top/core_Execute_alu_sll_2336_30_n_48 ),
+	.C2(\soc_top/core_Execute_alu_sll_2336_30_n_41 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_109 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4020  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_20 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_48 ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_79 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_29 ),
+	.C1(\soc_top/core_Execute_alu_sll_2336_30_n_49 ),
+	.C2(\soc_top/core_Execute_alu_sll_2336_30_n_44 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_108 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_sll_2336_30_g4021  (
+	.A(\soc_top/FE_OFN5659_n_5944 ),
+	.B(\soc_top/core_Execute_alu_sll_2336_30_n_80 ),
+	.Y(\soc_top/n_5871 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_sll_2336_30_g4022  (
+	.A(\soc_top/n_5944 ),
+	.B(\soc_top/core_Execute_alu_sll_2336_30_n_81 ),
+	.Y(\soc_top/n_5872 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4023  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_63 ),
+	.A2(\soc_top/FE_OFN5609_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_65 ),
+	.B2(\soc_top/n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_107 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4024  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_75 ),
+	.A2(\soc_top/FE_OFN6604_n ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_76 ),
+	.B2(\soc_top/n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4025  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_74 ),
+	.A2(\soc_top/FE_OFN5609_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_60 ),
+	.B2(\soc_top/n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4026  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_72 ),
+	.A2(\soc_top/FE_OFN6604_n ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_73 ),
+	.B2(\soc_top/n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4027  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_62 ),
+	.A2(\soc_top/FE_OFN6604_n ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_71 ),
+	.B2(\soc_top/n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4028  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_56 ),
+	.A2(\soc_top/FE_OFN6604_n ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_63 ),
+	.B2(\soc_top/n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4029  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_64 ),
+	.A2(\soc_top/FE_OFN6604_n ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_74 ),
+	.B2(\soc_top/n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4030  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_71 ),
+	.A2(\soc_top/FE_OFN6604_n ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_56 ),
+	.B2(\soc_top/n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4031  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_77 ),
+	.A2(\soc_top/FE_OFN5609_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_32 ),
+	.B2(\soc_top/n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_99 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4032  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_14 ),
+	.A2(\soc_top/n_6603 ),
+	.A3(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_57 ),
+	.B2(\soc_top/FE_OFN5609_n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_98 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4033  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_60 ),
+	.A2(\soc_top/FE_OFN5609_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_69 ),
+	.B2(\soc_top/n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_97 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4034  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_31 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_61 ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_29 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_59 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_85 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4035  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_31 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_53 ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_29 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_62 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_84 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4036  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_31 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_70 ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_29 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_72 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_83 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4037  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_31 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_79 ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_29 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_58 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_82 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4038  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_59 ),
+	.A2(\soc_top/FE_OFN6604_n ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_78 ),
+	.B2(\soc_top/n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_94 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4039  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_73 ),
+	.A2(\soc_top/FE_OFN6604_n ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_75 ),
+	.B2(\soc_top/n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_93 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4040  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_78 ),
+	.A2(\soc_top/FE_OFN6604_n ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_64 ),
+	.B2(\soc_top/n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_92 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4041  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_54 ),
+	.A2(\soc_top/FE_OFN6604_n ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_55 ),
+	.B2(\soc_top/n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_91 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4042  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_58 ),
+	.A2(\soc_top/FE_OFN6604_n ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_52 ),
+	.B2(\soc_top/n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_90 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4043  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_55 ),
+	.A2(\soc_top/FE_OFN5609_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_57 ),
+	.B2(\soc_top/n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_89 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4044  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_52 ),
+	.A2(\soc_top/FE_OFN6604_n ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_54 ),
+	.B2(\soc_top/n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_88 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4045  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_76 ),
+	.A2(\soc_top/FE_OFN5609_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_77 ),
+	.B2(\soc_top/n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_87 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4046  (
+	.A1(\soc_top/core_Execute_alu_sll_2336_30_n_65 ),
+	.A2(\soc_top/FE_OFN5609_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_sll_2336_30_n_51 ),
+	.B2(\soc_top/n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_86 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sll_2336_30_g4047  (
+	.A(\soc_top/core_Execute_alu_sll_2336_30_n_51 ),
+	.B(\soc_top/core_Execute_alu_sll_2336_30_n_9 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_81 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sll_2336_30_g4048  (
+	.A(\soc_top/core_Execute_alu_sll_2336_30_n_69 ),
+	.B(\soc_top/core_Execute_alu_sll_2336_30_n_9 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_80 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_Execute_alu_sll_2336_30_g4049  (
+	.A(\soc_top/core_Execute_alu_sll_2336_30_n_10 ),
+	.B_N(\soc_top/core_Execute_alu_sll_2336_30_n_47 ),
+	.Y(\soc_top/n_5870 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sll_2336_30_g4050  (
+	.A(\soc_top/core_Execute_alu_sll_2336_30_n_47 ),
+	.B(\soc_top/core_Execute_alu_sll_2336_30_n_7 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_67 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_sll_2336_30_g4051  (
+	.A(\soc_top/n_5944 ),
+	.B(\soc_top/core_Execute_alu_sll_2336_30_n_50 ),
+	.Y(\soc_top/n_5869 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sll_2336_30_g4052  (
+	.A1(\soc_top/FE_OFN242_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_15 ),
+	.B1(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_27 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_79 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sll_2336_30_g4053  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_25 ),
+	.B1(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_43 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_78 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sll_2336_30_g4054  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_21 ),
+	.B1(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_38 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_77 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sll_2336_30_g4055  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_34 ),
+	.B1(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_35 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_76 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sll_2336_30_g4056  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_42 ),
+	.B1(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_46 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_75 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sll_2336_30_g4057  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_46 ),
+	.B1(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_34 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_74 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sll_2336_30_g4058  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_43 ),
+	.B1(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_39 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_73 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sll_2336_30_g4059  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_33 ),
+	.B1(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_25 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_72 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sll_2336_30_g4060  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_18 ),
+	.B1(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_36 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_71 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sll_2336_30_g4061  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_19 ),
+	.B1(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_24 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_70 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sll_2336_30_g4062  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_38 ),
+	.B1(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_11 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_69 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sll_2336_30_g4063  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_40 ),
+	.B1(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_17 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_65 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sll_2336_30_g4064  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_39 ),
+	.B1(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_42 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sll_2336_30_g4065  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_22 ),
+	.B1(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_26 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sll_2336_30_g4066  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_27 ),
+	.B1(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_16 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top/core_Execute_alu_sll_2336_30_g4067  (
+	.A1_N(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.A2_N(\soc_top/core_Execute_alu_sll_2336_30_n_45 ),
+	.B1(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_19 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sll_2336_30_g4068  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_35 ),
+	.B1(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_21 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sll_2336_30_g4069  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_24 ),
+	.B1(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_33 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sll_2336_30_g4070  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_16 ),
+	.B1(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_18 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sll_2336_30_g4071  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_17 ),
+	.B1(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_23 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sll_2336_30_g4072  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_28 ),
+	.B1(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_37 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sll_2336_30_g4073  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_26 ),
+	.B1(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_40 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sll_2336_30_g4074  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_37 ),
+	.B1(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_22 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top/core_Execute_alu_sll_2336_30_g4075  (
+	.A1_N(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.A2_N(\soc_top/core_Execute_alu_sll_2336_30_n_44 ),
+	.B1(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_15 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sll_2336_30_g4076  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sll_2336_30_n_36 ),
+	.B1(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_28 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top/core_Execute_alu_sll_2336_30_g4077  (
+	.A1_N(\soc_top/FE_DBTN2_n_5938 ),
+	.A2_N(\soc_top/core_Execute_alu_sll_2336_30_n_14 ),
+	.B1(\soc_top/FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sll_2336_30_n_23 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sll_2336_30_g4078  (
+	.A(\soc_top/core_Execute_alu_sll_2336_30_n_32 ),
+	.B(\soc_top/core_Execute_alu_sll_2336_30_n_9 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_50 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_sll_2336_30_g4079  (
+	.A(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B(\soc_top/core_Execute_alu_sll_2336_30_n_30 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_49 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_sll_2336_30_g4080  (
+	.A(\soc_top/FE_DBTN2_n_5938 ),
+	.B(\soc_top/core_Execute_alu_sll_2336_30_n_30 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \soc_top/core_Execute_alu_sll_2336_30_g4081  (
+	.A(\soc_top/core_Execute_alu_sll_2336_30_n_14 ),
+	.B(\soc_top/FE_OFN5609_n_6603 ),
+	.C(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.X(\soc_top/core_Execute_alu_sll_2336_30_n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/core_Execute_alu_sll_2336_30_g4082  (
+	.A(\soc_top/core_Execute_alu_sll_2336_30_n_31 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4083  (
+	.A1(\soc_top/FE_OFN6637_n_3520 ),
+	.A2(\soc_top/n_5957 ),
+	.B1(\soc_top/FE_OFN5937_n ),
+	.B2(\soc_top/n_5955 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/core_Execute_alu_sll_2336_30_g4084  (
+	.A1(\soc_top/n_3520 ),
+	.A2(\soc_top/n_5989 ),
+	.B1(\soc_top/FE_OFN5937_n ),
+	.B2(\soc_top/n_5987 ),
+	.X(\soc_top/core_Execute_alu_sll_2336_30_n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/core_Execute_alu_sll_2336_30_g4085  (
+	.A1(\soc_top/n_3520 ),
+	.A2(\soc_top/n_5991 ),
+	.B1(\soc_top/FE_OFN5937_n ),
+	.B2(\soc_top/n_5989 ),
+	.X(\soc_top/core_Execute_alu_sll_2336_30_n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4086  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/FE_OFN6632_n_5969 ),
+	.B1(\soc_top/FE_OFN5937_n ),
+	.B2(\soc_top/n_5967 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4087  (
+	.A1(\soc_top/FE_OFN6637_n_3520 ),
+	.A2(\soc_top/n_5961 ),
+	.B1(\soc_top/FE_OFN5937_n ),
+	.B2(\soc_top/n_5959 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/core_Execute_alu_sll_2336_30_g4088  (
+	.A1(\soc_top/n_3520 ),
+	.A2(\soc_top/n_5993 ),
+	.B1(\soc_top/FE_OFN5937_n ),
+	.B2(\soc_top/n_5991 ),
+	.X(\soc_top/core_Execute_alu_sll_2336_30_n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4089  (
+	.A1(\soc_top/FE_OFN6637_n_3520 ),
+	.A2(\soc_top/n_5951 ),
+	.B1(\soc_top/FE_OFN305_n_5936 ),
+	.B2(\soc_top/n_5949 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4090  (
+	.A1(\soc_top/FE_OFN6637_n_3520 ),
+	.A2(\soc_top/n_5965 ),
+	.B1(\soc_top/FE_OFN5937_n ),
+	.B2(\soc_top/n_5963 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4091  (
+	.A1(\soc_top/FE_OFN6637_n_3520 ),
+	.A2(\soc_top/n_5941 ),
+	.B1(\soc_top/FE_OFN305_n_5936 ),
+	.B2(\soc_top/n_5939 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4092  (
+	.A1(\soc_top/FE_OFN6637_n_3520 ),
+	.A2(\soc_top/n_5963 ),
+	.B1(\soc_top/FE_OFN5937_n ),
+	.B2(\soc_top/n_5961 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4093  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/n_5971 ),
+	.B1(\soc_top/FE_OFN5937_n ),
+	.B2(\soc_top/FE_OFN6632_n_5969 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4094  (
+	.A1(\soc_top/FE_OFN6637_n_3520 ),
+	.A2(\soc_top/n_5949 ),
+	.B1(\soc_top/FE_OFN305_n_5936 ),
+	.B2(\soc_top/n_5947 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4095  (
+	.A1(\soc_top/FE_OFN6637_n_3520 ),
+	.A2(\soc_top/n_5953 ),
+	.B1(\soc_top/FE_OFN305_n_5936 ),
+	.B2(\soc_top/n_5951 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4096  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/n_5977 ),
+	.B1(\soc_top/FE_OFN5937_n ),
+	.B2(\soc_top/n_5975 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_sll_2336_30_g4097  (
+	.A(\soc_top/FE_DBTN2_n_5938 ),
+	.B(\soc_top/core_Execute_alu_sll_2336_30_n_11 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_sll_2336_30_g4098  (
+	.A(\soc_top/n_6603 ),
+	.B(\soc_top/core_Execute_alu_sll_2336_30_n_10 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_sll_2336_30_g4099  (
+	.A(\soc_top/FE_OFN6604_n ),
+	.B(\soc_top/core_Execute_alu_sll_2336_30_n_10 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/core_Execute_alu_sll_2336_30_g4100  (
+	.A1(\soc_top/n_3520 ),
+	.A2(\soc_top/n_5997 ),
+	.B1(\soc_top/FE_OFN5937_n ),
+	.B2(\soc_top/n_5995 ),
+	.X(\soc_top/core_Execute_alu_sll_2336_30_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/core_Execute_alu_sll_2336_30_g4101  (
+	.A1(\soc_top/n_3520 ),
+	.A2(\soc_top/n_5868 ),
+	.B1(\soc_top/FE_OFN5937_n ),
+	.B2(\soc_top/n_5997 ),
+	.X(\soc_top/core_Execute_alu_sll_2336_30_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4102  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/n_5967 ),
+	.B1(\soc_top/FE_OFN5937_n ),
+	.B2(\soc_top/n_5965 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4103  (
+	.A1(\soc_top/n_3520 ),
+	.A2(\soc_top/n_5983 ),
+	.B1(\soc_top/FE_OFN5937_n ),
+	.B2(\soc_top/n_5981 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4104  (
+	.A1(\soc_top/FE_OFN6637_n_3520 ),
+	.A2(\soc_top/n_5955 ),
+	.B1(\soc_top/FE_OFN305_n_5936 ),
+	.B2(\soc_top/n_5953 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4105  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/n_5973 ),
+	.B1(\soc_top/FE_OFN5937_n ),
+	.B2(\soc_top/n_5971 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4106  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/n_5981 ),
+	.B1(\soc_top/FE_OFN5937_n ),
+	.B2(\soc_top/n_5979 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4107  (
+	.A1(\soc_top/FE_OFN6637_n_3520 ),
+	.A2(\soc_top/FE_OFN245_n_5943 ),
+	.B1(\soc_top/FE_OFN305_n_5936 ),
+	.B2(\soc_top/FE_OFN244_n_5941 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4108  (
+	.A1(\soc_top/FE_OFN6637_n_3520 ),
+	.A2(\soc_top/n_5959 ),
+	.B1(\soc_top/FE_OFN5937_n ),
+	.B2(\soc_top/n_5957 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4109  (
+	.A1(\soc_top/FE_OFN6637_n_3520 ),
+	.A2(\soc_top/n_5945 ),
+	.B1(\soc_top/FE_OFN305_n_5936 ),
+	.B2(\soc_top/FE_OFN245_n_5943 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/core_Execute_alu_sll_2336_30_g4110  (
+	.A1(\soc_top/n_3520 ),
+	.A2(\soc_top/n_5995 ),
+	.B1(\soc_top/FE_OFN5937_n ),
+	.B2(\soc_top/n_5993 ),
+	.X(\soc_top/core_Execute_alu_sll_2336_30_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4111  (
+	.A1(\soc_top/n_3520 ),
+	.A2(\soc_top/n_5985 ),
+	.B1(\soc_top/FE_OFN5937_n ),
+	.B2(\soc_top/n_5983 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4112  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/n_5975 ),
+	.B1(\soc_top/FE_OFN5937_n ),
+	.B2(\soc_top/n_5973 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4113  (
+	.A1(\soc_top/FE_OFN6637_n_3520 ),
+	.A2(\soc_top/n_5947 ),
+	.B1(\soc_top/FE_OFN305_n_5936 ),
+	.B2(\soc_top/n_5945 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4114  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/n_5979 ),
+	.B1(\soc_top/FE_OFN5937_n ),
+	.B2(\soc_top/n_5977 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sll_2336_30_g4115  (
+	.A1(\soc_top/n_3520 ),
+	.A2(\soc_top/n_5987 ),
+	.B1(\soc_top/FE_OFN5937_n ),
+	.B2(\soc_top/n_5985 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/core_Execute_alu_sll_2336_30_g4116  (
+	.A1(\soc_top/FE_OFN6637_n_3520 ),
+	.A2(\soc_top/FE_OFN6605_n ),
+	.B1(\soc_top/FE_OFN305_n_5936 ),
+	.B2(\soc_top/n_5937 ),
+	.X(\soc_top/core_Execute_alu_sll_2336_30_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sll_2336_30_g4117  (
+	.A(\soc_top/FE_OFN6637_n_3520 ),
+	.B(\soc_top/n_5937 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sll_2336_30_g4118  (
+	.A(\soc_top/FE_OFN5633_n_5942 ),
+	.B(\soc_top/FE_OFN5660_n_5944 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_sll_2336_30_g4119  (
+	.A(\soc_top/n_6603 ),
+	.B(\soc_top/n_5942 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/core_Execute_alu_sll_2336_30_g4120  (
+	.A(\soc_top/core_Execute_alu_sll_2336_30_n_7 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_sll_2336_30_g4121  (
+	.A(\soc_top/n_5942 ),
+	.B(\soc_top/FE_OFN5660_n_5944 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sll_2336_30_g4123  (
+	.A(\soc_top/FE_OFN5660_n_5944 ),
+	.B(\soc_top/n_5942 ),
+	.Y(\soc_top/core_Execute_alu_sll_2336_30_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4077  (
+	.A1(\soc_top/FE_OFN5661_n_5944 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_129 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_10 ),
+	.Y(\soc_top/n_5862 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4078  (
+	.A1(\soc_top/FE_DBTN0_n_5944 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_109 ),
+	.B1(\soc_top/FE_OFN6618_core_Execute_alu_sra_2340_42_n_5 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_87 ),
+	.C1(\soc_top/core_Execute_alu_sra_2340_42_n_78 ),
+	.Y(\soc_top/n_5843 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4079  (
+	.A1(\soc_top/FE_DBTN0_n_5944 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_117 ),
+	.B1(\soc_top/FE_OFN6618_core_Execute_alu_sra_2340_42_n_5 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_99 ),
+	.C1(\soc_top/core_Execute_alu_sra_2340_42_n_79 ),
+	.Y(\soc_top/n_5842 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4080  (
+	.A1(\soc_top/FE_DBTN0_n_5944 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_111 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_5 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_97 ),
+	.C1(\soc_top/core_Execute_alu_sra_2340_42_n_80 ),
+	.Y(\soc_top/n_5841 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4081  (
+	.A1(\soc_top/FE_OFN5661_n_5944 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_127 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_10 ),
+	.Y(\soc_top/n_5861 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4082  (
+	.A1(\soc_top/FE_OFN5661_n_5944 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_119 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_10 ),
+	.Y(\soc_top/n_5863 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4083  (
+	.A1(\soc_top/FE_OFN5663_n_5944 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_116 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_10 ),
+	.Y(\soc_top/n_5855 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4084  (
+	.A1(\soc_top/FE_OFN6618_core_Execute_alu_sra_2340_42_n_5 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_88 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_77 ),
+	.C1(\soc_top/core_Execute_alu_sra_2340_42_n_137 ),
+	.Y(\soc_top/n_5844 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4085  (
+	.A1(\soc_top/FE_OFN5661_n_5944 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_125 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_10 ),
+	.Y(\soc_top/n_5860 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4086  (
+	.A1(\soc_top/FE_OFN5663_n_5944 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_115 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_10 ),
+	.Y(\soc_top/n_5854 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4087  (
+	.A1(\soc_top/FE_OFN5663_n_5944 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_114 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_10 ),
+	.Y(\soc_top/n_5853 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4088  (
+	.A1(\soc_top/FE_OFN5662_n_5944 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_117 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_10 ),
+	.Y(\soc_top/n_5858 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4089  (
+	.A1(\soc_top/FE_OFN5662_n_5944 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_111 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_10 ),
+	.Y(\soc_top/n_5857 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4090  (
+	.A1(\soc_top/FE_OFN5663_n_5944 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_110 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_10 ),
+	.Y(\soc_top/n_5856 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4091  (
+	.A1(\soc_top/FE_OFN5662_n_5944 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_109 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_10 ),
+	.Y(\soc_top/n_5859 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4092  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_6 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_82 ),
+	.B1(\soc_top/FE_OFN6618_core_Execute_alu_sra_2340_42_n_5 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_90 ),
+	.C1(\soc_top/core_Execute_alu_sra_2340_42_n_138 ),
+	.Y(\soc_top/n_5845 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4093  (
+	.A1(\soc_top/FE_DBTN0_n_5944 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_114 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_5 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_82 ),
+	.C1(\soc_top/core_Execute_alu_sra_2340_42_n_107 ),
+	.Y(\soc_top/n_5837 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4094  (
+	.A1(\soc_top/FE_DBTN0_n_5944 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_116 ),
+	.B1(\soc_top/FE_OFN6618_core_Execute_alu_sra_2340_42_n_5 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_94 ),
+	.C1(\soc_top/core_Execute_alu_sra_2340_42_n_106 ),
+	.Y(\soc_top/n_5839 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4095  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_6 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_97 ),
+	.B1(\soc_top/FE_OFN6618_core_Execute_alu_sra_2340_42_n_5 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_93 ),
+	.C1(\soc_top/core_Execute_alu_sra_2340_42_n_136 ),
+	.Y(\soc_top/n_5849 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4096  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_6 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_95 ),
+	.B1(\soc_top/FE_OFN6618_core_Execute_alu_sra_2340_42_n_5 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_81 ),
+	.C1(\soc_top/core_Execute_alu_sra_2340_42_n_135 ),
+	.Y(\soc_top/n_5848 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4097  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_6 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_94 ),
+	.B1(\soc_top/FE_OFN6618_core_Execute_alu_sra_2340_42_n_5 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_83 ),
+	.C1(\soc_top/core_Execute_alu_sra_2340_42_n_140 ),
+	.Y(\soc_top/n_5847 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4098  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_6 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_92 ),
+	.B1(\soc_top/FE_OFN6618_core_Execute_alu_sra_2340_42_n_5 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_85 ),
+	.C1(\soc_top/core_Execute_alu_sra_2340_42_n_139 ),
+	.Y(\soc_top/n_5846 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4099  (
+	.A1(\soc_top/FE_DBTN0_n_5944 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_115 ),
+	.B1(\soc_top/FE_OFN6618_core_Execute_alu_sra_2340_42_n_5 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_92 ),
+	.C1(\soc_top/core_Execute_alu_sra_2340_42_n_108 ),
+	.Y(\soc_top/n_5838 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4100  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_6 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_87 ),
+	.B1(\soc_top/FE_OFN6618_core_Execute_alu_sra_2340_42_n_5 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_86 ),
+	.C1(\soc_top/core_Execute_alu_sra_2340_42_n_134 ),
+	.Y(\soc_top/n_5851 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4101  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_6 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_99 ),
+	.B1(\soc_top/FE_OFN6618_core_Execute_alu_sra_2340_42_n_5 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_96 ),
+	.C1(\soc_top/core_Execute_alu_sra_2340_42_n_133 ),
+	.Y(\soc_top/n_5850 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4102  (
+	.A1(\soc_top/FE_DBTN0_n_5944 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_110 ),
+	.B1(\soc_top/FE_OFN6618_core_Execute_alu_sra_2340_42_n_5 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_95 ),
+	.C1(\soc_top/core_Execute_alu_sra_2340_42_n_105 ),
+	.Y(\soc_top/n_5840 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4103  (
+	.A1(\soc_top/FE_OFN5661_n_5944 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_123 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_10 ),
+	.Y(\soc_top/n_5865 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4104  (
+	.A1(\soc_top/FE_OFN5661_n_5944 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_113 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_10 ),
+	.Y(\soc_top/n_5867 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4105  (
+	.A1(\soc_top/FE_OFN5661_n_5944 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_121 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_10 ),
+	.Y(\soc_top/n_5864 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4106  (
+	.A1(\soc_top/FE_OFN5661_n_5944 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_131 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_10 ),
+	.Y(\soc_top/n_5866 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sra_2340_42_g4107  (
+	.A(\soc_top/core_Execute_alu_sra_2340_42_n_118 ),
+	.B(\soc_top/FE_OFN5662_n_5944 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_140 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sra_2340_42_g4108  (
+	.A(\soc_top/core_Execute_alu_sra_2340_42_n_128 ),
+	.B(\soc_top/FE_OFN5662_n_5944 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_139 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sra_2340_42_g4109  (
+	.A(\soc_top/core_Execute_alu_sra_2340_42_n_126 ),
+	.B(\soc_top/FE_OFN5663_n_5944 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_138 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sra_2340_42_g4110  (
+	.A(\soc_top/core_Execute_alu_sra_2340_42_n_124 ),
+	.B(\soc_top/FE_OFN5663_n_5944 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_137 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sra_2340_42_g4111  (
+	.A(\soc_top/core_Execute_alu_sra_2340_42_n_122 ),
+	.B(\soc_top/FE_OFN5662_n_5944 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_136 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sra_2340_42_g4112  (
+	.A(\soc_top/core_Execute_alu_sra_2340_42_n_120 ),
+	.B(\soc_top/FE_OFN5662_n_5944 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_135 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sra_2340_42_g4113  (
+	.A(\soc_top/core_Execute_alu_sra_2340_42_n_112 ),
+	.B(\soc_top/FE_OFN5662_n_5944 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_134 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sra_2340_42_g4114  (
+	.A(\soc_top/core_Execute_alu_sra_2340_42_n_130 ),
+	.B(\soc_top/FE_OFN5662_n_5944 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_133 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4115  (
+	.A1(\soc_top/FE_OFN6618_core_Execute_alu_sra_2340_42_n_5 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_89 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_6 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_88 ),
+	.C1(\soc_top/core_Execute_alu_sra_2340_42_n_10 ),
+	.Y(\soc_top/n_5852 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/core_Execute_alu_sra_2340_42_g4116  (
+	.A(\soc_top/core_Execute_alu_sra_2340_42_n_130 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_131 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/core_Execute_alu_sra_2340_42_g4117  (
+	.A(\soc_top/core_Execute_alu_sra_2340_42_n_128 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_129 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/core_Execute_alu_sra_2340_42_g4118  (
+	.A(\soc_top/core_Execute_alu_sra_2340_42_n_126 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_127 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/core_Execute_alu_sra_2340_42_g4119  (
+	.A(\soc_top/core_Execute_alu_sra_2340_42_n_124 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_125 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/core_Execute_alu_sra_2340_42_g4120  (
+	.A(\soc_top/core_Execute_alu_sra_2340_42_n_122 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_123 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/core_Execute_alu_sra_2340_42_g4121  (
+	.A(\soc_top/core_Execute_alu_sra_2340_42_n_120 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_121 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/core_Execute_alu_sra_2340_42_g4122  (
+	.A(\soc_top/core_Execute_alu_sra_2340_42_n_118 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_119 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4123  (
+	.A1(\soc_top/FE_OFN5634_n_5942 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_100 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_11 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_130 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4124  (
+	.A1(\soc_top/FE_OFN5634_n_5942 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_91 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_11 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_128 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4125  (
+	.A1(\soc_top/FE_OFN5634_n_5942 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_84 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_11 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_126 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4126  (
+	.A1(\soc_top/FE_OFN5634_n_5942 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_89 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_11 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_124 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4127  (
+	.A1(\soc_top/FE_OFN5634_n_5942 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_104 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_11 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_122 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4128  (
+	.A1(\soc_top/FE_OFN5634_n_5942 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_101 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_11 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_120 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4129  (
+	.A1(\soc_top/FE_OFN5634_n_5942 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_98 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_11 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_118 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/core_Execute_alu_sra_2340_42_g4130  (
+	.A(\soc_top/core_Execute_alu_sra_2340_42_n_112 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_113 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 \soc_top/core_Execute_alu_sra_2340_42_g4131  (
+	.A1(\soc_top/FE_OFN5634_n_5942 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_96 ),
+	.B1(\soc_top/FE_OFN5633_n_5942 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_100 ),
+	.X(\soc_top/core_Execute_alu_sra_2340_42_n_117 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 \soc_top/core_Execute_alu_sra_2340_42_g4132  (
+	.A1(\soc_top/FE_OFN5634_n_5942 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_83 ),
+	.B1(\soc_top/FE_OFN5633_n_5942 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_98 ),
+	.X(\soc_top/core_Execute_alu_sra_2340_42_n_116 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 \soc_top/core_Execute_alu_sra_2340_42_g4133  (
+	.A1(\soc_top/FE_OFN5634_n_5942 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_85 ),
+	.B1(\soc_top/FE_OFN5633_n_5942 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_91 ),
+	.X(\soc_top/core_Execute_alu_sra_2340_42_n_115 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 \soc_top/core_Execute_alu_sra_2340_42_g4134  (
+	.A1(\soc_top/FE_OFN5634_n_5942 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_90 ),
+	.B1(\soc_top/FE_OFN5633_n_5942 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_84 ),
+	.X(\soc_top/core_Execute_alu_sra_2340_42_n_114 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4135  (
+	.A1(\soc_top/FE_OFN5634_n_5942 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_103 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_11 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_112 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 \soc_top/core_Execute_alu_sra_2340_42_g4136  (
+	.A1(\soc_top/FE_OFN5634_n_5942 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_93 ),
+	.B1(\soc_top/FE_OFN5633_n_5942 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_104 ),
+	.X(\soc_top/core_Execute_alu_sra_2340_42_n_111 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 \soc_top/core_Execute_alu_sra_2340_42_g4137  (
+	.A1(\soc_top/FE_OFN5634_n_5942 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_81 ),
+	.B1(\soc_top/FE_OFN5633_n_5942 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_101 ),
+	.X(\soc_top/core_Execute_alu_sra_2340_42_n_110 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4138  (
+	.A1_N(\soc_top/FE_OFN5634_n_5942 ),
+	.A2_N(\soc_top/core_Execute_alu_sra_2340_42_n_86 ),
+	.B1(\soc_top/FE_OFN5634_n_5942 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_102 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_109 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4139  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_31 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_56 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_12 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_47 ),
+	.C1(\soc_top/core_Execute_alu_sra_2340_42_n_48 ),
+	.C2(\soc_top/core_Execute_alu_sra_2340_42_n_29 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_108 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4140  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_31 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_65 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_30 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_47 ),
+	.C1(\soc_top/core_Execute_alu_sra_2340_42_n_48 ),
+	.C2(\soc_top/core_Execute_alu_sra_2340_42_n_38 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_107 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4141  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_31 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_73 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_22 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_48 ),
+	.C1(\soc_top/core_Execute_alu_sra_2340_42_n_47 ),
+	.C2(\soc_top/core_Execute_alu_sra_2340_42_n_38 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4142  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_31 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_58 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_26 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_48 ),
+	.C1(\soc_top/core_Execute_alu_sra_2340_42_n_47 ),
+	.C2(\soc_top/core_Execute_alu_sra_2340_42_n_29 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/core_Execute_alu_sra_2340_42_g4143  (
+	.A(\soc_top/core_Execute_alu_sra_2340_42_n_102 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4144  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_60 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_9 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4145  (
+	.A1(\soc_top/FE_OFN5940_n_6603 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_75 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_8 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4146  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_71 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_9 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4147  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_76 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_9 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4148  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_72 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_54 ),
+	.B2(\soc_top/n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_99 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4149  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_70 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_74 ),
+	.B2(\soc_top/FE_OFN5940_n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_98 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4150  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_69 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_55 ),
+	.B2(\soc_top/n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_97 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4151  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_68 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_61 ),
+	.B2(\soc_top/FE_OFN5940_n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_96 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4152  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_67 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_53 ),
+	.B2(\soc_top/n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_95 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4153  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_64 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_50 ),
+	.B2(\soc_top/n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_94 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4154  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_63 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_51 ),
+	.B2(\soc_top/FE_OFN5940_n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_93 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4155  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_49 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_72 ),
+	.B2(\soc_top/n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_92 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4156  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_61 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_76 ),
+	.B2(\soc_top/FE_OFN5940_n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_91 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4157  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_65 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_33 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_66 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_31 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_80 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4158  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_56 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_33 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_49 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_31 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_79 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4159  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_73 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_33 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_64 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_31 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_78 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4160  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_58 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_33 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_67 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_31 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_77 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4161  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_55 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_63 ),
+	.B2(\soc_top/FE_OFN5940_n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_90 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4162  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_52 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_71 ),
+	.B2(\soc_top/FE_OFN5940_n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_89 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4163  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_53 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_59 ),
+	.B2(\soc_top/FE_OFN5940_n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_88 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4164  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_50 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_57 ),
+	.B2(\soc_top/FE_OFN5940_n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_87 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4165  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_62 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_70 ),
+	.B2(\soc_top/FE_OFN5940_n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_86 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4166  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_54 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_68 ),
+	.B2(\soc_top/FE_OFN5940_n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_85 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4167  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_51 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_60 ),
+	.B2(\soc_top/FE_OFN5940_n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_84 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4168  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_57 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_62 ),
+	.B2(\soc_top/FE_OFN5940_n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_83 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4169  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_66 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_69 ),
+	.B2(\soc_top/n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_82 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4170  (
+	.A1(\soc_top/core_Execute_alu_sra_2340_42_n_59 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_52 ),
+	.B2(\soc_top/FE_OFN5940_n_6603 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_81 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/core_Execute_alu_sra_2340_42_g4171  (
+	.A(\soc_top/core_Execute_alu_sra_2340_42_n_74 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_75 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4172  (
+	.A1(\soc_top/FE_OFN242_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_45 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_7 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_76 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4173  (
+	.A1(\soc_top/FE_OFN242_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_46 ),
+	.B1(\soc_top/core_Execute_alu_sra_2340_42_n_7 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_74 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4174  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_43 ),
+	.B1(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_20 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_73 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4175  (
+	.A1(\soc_top/FE_OFN242_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_25 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_14 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_72 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4176  (
+	.A1(\soc_top/FE_OFN242_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_13 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_45 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_71 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4177  (
+	.A1(\soc_top/FE_OFN242_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_39 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_35 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_70 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4178  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_41 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_36 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_69 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4179  (
+	.A1(\soc_top/FE_OFN242_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_37 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_16 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_68 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4180  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_15 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_25 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_67 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4181  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_20 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_42 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_66 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4182  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_21 ),
+	.B1(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_43 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_65 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4183  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_42 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_41 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4184  (
+	.A1(\soc_top/FE_OFN242_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_24 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_44 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4185  (
+	.A1(\soc_top/FE_OFN242_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_44 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_17 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4186  (
+	.A1(\soc_top/FE_OFN242_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_18 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_13 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4187  (
+	.A1(\soc_top/FE_OFN242_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_35 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_46 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4188  (
+	.A1(\soc_top/FE_OFN242_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_28 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_37 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4189  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_27 ),
+	.B1(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_40 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4190  (
+	.A1(\soc_top/FE_OFN242_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_34 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_24 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4191  (
+	.A1_N(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.A2_N(\soc_top/core_Execute_alu_sra_2340_42_n_26 ),
+	.B1(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_27 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4192  (
+	.A1(\soc_top/FE_OFN242_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_19 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_34 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4193  (
+	.A1(\soc_top/FE_OFN242_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_23 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_28 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4194  (
+	.A1(\soc_top/FE_OFN242_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_14 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_23 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4195  (
+	.A1(\soc_top/FE_OFN242_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_16 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_18 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4196  (
+	.A1(\soc_top/FE_OFN242_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_17 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_39 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4197  (
+	.A1(\soc_top/FE_OFN242_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_36 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_19 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_50 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_sra_2340_42_g4198  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_sra_2340_42_n_40 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_sra_2340_42_n_15 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_49 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_sra_2340_42_g4199  (
+	.A(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B(\soc_top/core_Execute_alu_sra_2340_42_n_32 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_sra_2340_42_g4200  (
+	.A(\soc_top/FE_DBTN2_n_5938 ),
+	.B(\soc_top/core_Execute_alu_sra_2340_42_n_32 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/core_Execute_alu_sra_2340_42_g4201  (
+	.A(\soc_top/core_Execute_alu_sra_2340_42_n_33 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/core_Execute_alu_sra_2340_42_g4202  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/n_5937 ),
+	.B1(\soc_top/FE_OFN6636_n_3520 ),
+	.B2(\soc_top/FE_OFN6605_n ),
+	.X(\soc_top/core_Execute_alu_sra_2340_42_n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4203  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/n_5997 ),
+	.B1(\soc_top/n_5868 ),
+	.B2(\soc_top/FE_OFN6638_n_3520 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4204  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/n_5995 ),
+	.B1(\soc_top/n_5997 ),
+	.B2(\soc_top/FE_OFN6638_n_3520 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4205  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/n_5981 ),
+	.B1(\soc_top/n_5983 ),
+	.B2(\soc_top/FE_OFN6639_n_3520 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4206  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/n_5949 ),
+	.B1(\soc_top/FE_OFN6636_n_3520 ),
+	.B2(\soc_top/n_5951 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4207  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/n_5957 ),
+	.B1(\soc_top/FE_OFN6635_n_3520 ),
+	.B2(\soc_top/n_5959 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4208  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/n_5961 ),
+	.B1(\soc_top/FE_OFN6634_n_3520 ),
+	.B2(\soc_top/n_5963 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4209  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/n_5955 ),
+	.B1(\soc_top/FE_OFN6635_n_3520 ),
+	.B2(\soc_top/n_5957 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4210  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/n_5989 ),
+	.B1(\soc_top/n_5991 ),
+	.B2(\soc_top/FE_OFN6638_n_3520 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/core_Execute_alu_sra_2340_42_g4211  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/FE_OFN244_n_5941 ),
+	.B1(\soc_top/FE_OFN6636_n_3520 ),
+	.B2(\soc_top/FE_OFN245_n_5943 ),
+	.X(\soc_top/core_Execute_alu_sra_2340_42_n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4212  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/n_5979 ),
+	.B1(\soc_top/n_5981 ),
+	.B2(\soc_top/FE_OFN6639_n_3520 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4213  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/n_5965 ),
+	.B1(\soc_top/FE_OFN6634_n_3520 ),
+	.B2(\soc_top/n_5967 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4214  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/n_5993 ),
+	.B1(\soc_top/n_5995 ),
+	.B2(\soc_top/FE_OFN6638_n_3520 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4215  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/n_5973 ),
+	.B1(\soc_top/FE_OFN6639_n_3520 ),
+	.B2(\soc_top/n_5975 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_sra_2340_42_g4216  (
+	.A(\soc_top/n_6603 ),
+	.B(\soc_top/core_Execute_alu_sra_2340_42_n_6 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_sra_2340_42_g4217  (
+	.A(\soc_top/FE_OFN5609_n_6603 ),
+	.B(\soc_top/core_Execute_alu_sra_2340_42_n_6 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/core_Execute_alu_sra_2340_42_g4218  (
+	.A(\soc_top/core_Execute_alu_sra_2340_42_n_21 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/core_Execute_alu_sra_2340_42_g4219  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/FE_OFN6605_n ),
+	.B1(\soc_top/FE_OFN6636_n_3520 ),
+	.B2(\soc_top/FE_OFN244_n_5941 ),
+	.X(\soc_top/core_Execute_alu_sra_2340_42_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/core_Execute_alu_sra_2340_42_g4220  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/FE_OFN245_n_5943 ),
+	.B1(\soc_top/FE_OFN6636_n_3520 ),
+	.B2(\soc_top/n_5945 ),
+	.X(\soc_top/core_Execute_alu_sra_2340_42_n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4221  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/n_5975 ),
+	.B1(\soc_top/n_5977 ),
+	.B2(\soc_top/FE_OFN6639_n_3520 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4222  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/n_5951 ),
+	.B1(\soc_top/FE_OFN6635_n_3520 ),
+	.B2(\soc_top/n_5953 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/core_Execute_alu_sra_2340_42_g4223  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/n_5947 ),
+	.B1(\soc_top/FE_OFN6636_n_3520 ),
+	.B2(\soc_top/n_5949 ),
+	.X(\soc_top/core_Execute_alu_sra_2340_42_n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4224  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/n_5963 ),
+	.B1(\soc_top/FE_OFN6634_n_3520 ),
+	.B2(\soc_top/n_5965 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4225  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/n_5977 ),
+	.B1(\soc_top/FE_OFN6639_n_3520 ),
+	.B2(\soc_top/n_5979 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4226  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/n_5971 ),
+	.B1(\soc_top/n_5973 ),
+	.B2(\soc_top/FE_OFN6639_n_3520 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4227  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/n_5945 ),
+	.B1(\soc_top/FE_OFN6636_n_3520 ),
+	.B2(\soc_top/n_5947 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4228  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/n_5953 ),
+	.B1(\soc_top/FE_OFN6635_n_3520 ),
+	.B2(\soc_top/n_5955 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4229  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/FE_OFN6632_n_5969 ),
+	.B1(\soc_top/FE_OFN6639_n_3520 ),
+	.B2(\soc_top/n_5971 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4230  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/n_5987 ),
+	.B1(\soc_top/n_5989 ),
+	.B2(\soc_top/FE_OFN6638_n_3520 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4231  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/n_5985 ),
+	.B1(\soc_top/n_5987 ),
+	.B2(\soc_top/FE_OFN6638_n_3520 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4232  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/n_5983 ),
+	.B1(\soc_top/n_5985 ),
+	.B2(\soc_top/FE_OFN6638_n_3520 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4233  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/n_5959 ),
+	.B1(\soc_top/FE_OFN6634_n_3520 ),
+	.B2(\soc_top/n_5961 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4234  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/n_5967 ),
+	.B1(\soc_top/FE_OFN6634_n_3520 ),
+	.B2(\soc_top/FE_OFN6632_n_5969 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_sra_2340_42_g4235  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/n_5991 ),
+	.B1(\soc_top/n_5993 ),
+	.B2(\soc_top/FE_OFN6638_n_3520 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sra_2340_42_g4236  (
+	.A(\soc_top/FE_OFN5634_n_5942 ),
+	.B(\soc_top/n_5868 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sra_2340_42_g4237  (
+	.A(\soc_top/FE_OFN5661_n_5944 ),
+	.B(\soc_top/n_5868 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/core_Execute_alu_sra_2340_42_g4238  (
+	.A(\soc_top/core_Execute_alu_sra_2340_42_n_8 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sra_2340_42_g4239  (
+	.A(\soc_top/FE_OFN5940_n_6603 ),
+	.B(\soc_top/n_5868 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sra_2340_42_g4240  (
+	.A(\soc_top/n_5868 ),
+	.B(\soc_top/FE_OFN242_n_5938 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sra_2340_42_g4241  (
+	.A(\soc_top/FE_DBTN0_n_5944 ),
+	.B(\soc_top/FE_OFN5633_n_5942 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sra_2340_42_g4242  (
+	.A(\soc_top/FE_DBTN0_n_5944 ),
+	.B(\soc_top/FE_OFN5637_n_5942 ),
+	.Y(\soc_top/core_Execute_alu_sra_2340_42_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_srl_2338_33_g3981  (
+	.A(\soc_top/core_Execute_alu_srl_2338_33_n_140 ),
+	.B(\soc_top/core_Execute_alu_srl_2338_33_n_151 ),
+	.Y(\soc_top/n_5806 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_srl_2338_33_g3982  (
+	.A(\soc_top/core_Execute_alu_srl_2338_33_n_139 ),
+	.B(\soc_top/core_Execute_alu_srl_2338_33_n_150 ),
+	.Y(\soc_top/n_5805 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 \soc_top/core_Execute_alu_srl_2338_33_g3983  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_7 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_99 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_89 ),
+	.C1(\soc_top/core_Execute_alu_srl_2338_33_n_154 ),
+	.Y(\soc_top/n_5810 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 \soc_top/core_Execute_alu_srl_2338_33_g3984  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_7 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_95 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_92 ),
+	.C1(\soc_top/core_Execute_alu_srl_2338_33_n_156 ),
+	.Y(\soc_top/n_5812 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 \soc_top/core_Execute_alu_srl_2338_33_g3985  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_134 ),
+	.A2(\soc_top/FE_OFN5659_n_5944 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_91 ),
+	.C1(\soc_top/core_Execute_alu_srl_2338_33_n_128 ),
+	.X(\soc_top/n_5807 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_srl_2338_33_g3986  (
+	.A1(\soc_top/FE_DBTN0_n_5944 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_131 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_7 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_97 ),
+	.C1(\soc_top/core_Execute_alu_srl_2338_33_n_88 ),
+	.Y(\soc_top/n_5811 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_srl_2338_33_g3987  (
+	.A1(\soc_top/FE_DBTN0_n_5944 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_133 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_7 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_100 ),
+	.C1(\soc_top/core_Execute_alu_srl_2338_33_n_90 ),
+	.Y(\soc_top/n_5809 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_srl_2338_33_g3988  (
+	.A1(\soc_top/FE_DBTN0_n_5944 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_132 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_7 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_113 ),
+	.C1(\soc_top/core_Execute_alu_srl_2338_33_n_115 ),
+	.Y(\soc_top/n_5808 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_Execute_alu_srl_2338_33_g3989  (
+	.A(\soc_top/FE_OFN5659_n_5944 ),
+	.B_N(\soc_top/core_Execute_alu_srl_2338_33_n_136 ),
+	.Y(\soc_top/n_5821 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_Execute_alu_srl_2338_33_g3990  (
+	.A(\soc_top/FE_OFN5661_n_5944 ),
+	.B_N(\soc_top/core_Execute_alu_srl_2338_33_n_130 ),
+	.Y(\soc_top/n_5828 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_srl_2338_33_g3991  (
+	.A(\soc_top/core_Execute_alu_srl_2338_33_n_130 ),
+	.B(\soc_top/FE_OFN5662_n_5944 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_156 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_Execute_alu_srl_2338_33_g3992  (
+	.A(\soc_top/FE_OFN5659_n_5944 ),
+	.B_N(\soc_top/core_Execute_alu_srl_2338_33_n_135 ),
+	.Y(\soc_top/n_5822 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_srl_2338_33_g3993  (
+	.A(\soc_top/core_Execute_alu_srl_2338_33_n_129 ),
+	.B(\soc_top/FE_OFN5663_n_5944 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_154 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_Execute_alu_srl_2338_33_g3994  (
+	.A(\soc_top/FE_OFN5659_n_5944 ),
+	.B_N(\soc_top/core_Execute_alu_srl_2338_33_n_134 ),
+	.Y(\soc_top/n_5823 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_Execute_alu_srl_2338_33_g3995  (
+	.A(\soc_top/FE_OFN5661_n_5944 ),
+	.B_N(\soc_top/core_Execute_alu_srl_2338_33_n_129 ),
+	.Y(\soc_top/n_5826 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_srl_2338_33_g3996  (
+	.A(\soc_top/core_Execute_alu_srl_2338_33_n_135 ),
+	.B(\soc_top/FE_OFN5659_n_5944 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_151 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_srl_2338_33_g3997  (
+	.A(\soc_top/core_Execute_alu_srl_2338_33_n_136 ),
+	.B(\soc_top/FE_OFN5659_n_5944 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_150 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_srl_2338_33_g3998  (
+	.A(\soc_top/FE_OFN5659_n_5944 ),
+	.B(\soc_top/core_Execute_alu_srl_2338_33_n_132 ),
+	.Y(\soc_top/n_5824 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_srl_2338_33_g3999  (
+	.A(\soc_top/FE_OFN5662_n_5944 ),
+	.B(\soc_top/core_Execute_alu_srl_2338_33_n_131 ),
+	.Y(\soc_top/n_5827 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4000  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_7 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_98 ),
+	.B1(\soc_top/FE_DBTN0_n_5944 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_86 ),
+	.C1(\soc_top/core_Execute_alu_srl_2338_33_n_121 ),
+	.Y(\soc_top/n_5818 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4001  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_9 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_94 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_7 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_106 ),
+	.C1(\soc_top/core_Execute_alu_srl_2338_33_n_123 ),
+	.Y(\soc_top/n_5814 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4002  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_9 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_104 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_7 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_96 ),
+	.C1(\soc_top/core_Execute_alu_srl_2338_33_n_124 ),
+	.Y(\soc_top/n_5813 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4003  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_11 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_112 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_7 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_107 ),
+	.C1(\soc_top/core_Execute_alu_srl_2338_33_n_119 ),
+	.Y(\soc_top/n_5815 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4004  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_7 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_109 ),
+	.B1(\soc_top/FE_DBTN0_n_5944 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_87 ),
+	.C1(\soc_top/core_Execute_alu_srl_2338_33_n_120 ),
+	.Y(\soc_top/n_5817 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_srl_2338_33_g4005  (
+	.A(\soc_top/FE_OFN5662_n_5944 ),
+	.B(\soc_top/core_Execute_alu_srl_2338_33_n_133 ),
+	.Y(\soc_top/n_5825 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4006  (
+	.A1(\soc_top/FE_OFN6622_core_Execute_alu_srl_2338_33_n_11 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_113 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_7 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_110 ),
+	.C1(\soc_top/core_Execute_alu_srl_2338_33_n_116 ),
+	.Y(\soc_top/n_5816 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4007  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_66 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_32 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_306 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_12 ),
+	.C1(\soc_top/core_Execute_alu_srl_2338_33_n_127 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_140 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4008  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_64 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_32 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_306 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_13 ),
+	.C1(\soc_top/core_Execute_alu_srl_2338_33_n_126 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_139 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4009  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_7 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_111 ),
+	.B1(\soc_top/FE_OFN6622_core_Execute_alu_srl_2338_33_n_11 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_95 ),
+	.C1(\soc_top/core_Execute_alu_srl_2338_33_n_72 ),
+	.Y(\soc_top/n_5820 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4010  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_7 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_101 ),
+	.B1(\soc_top/FE_OFN6622_core_Execute_alu_srl_2338_33_n_11 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_97 ),
+	.C1(\soc_top/core_Execute_alu_srl_2338_33_n_74 ),
+	.Y(\soc_top/n_5819 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4011  (
+	.A1(\soc_top/FE_OFN5638_n_5942 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_96 ),
+	.B1(\soc_top/FE_OFN5633_n_5942 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_104 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_136 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4012  (
+	.A1(\soc_top/FE_OFN5636_n_5942 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_106 ),
+	.B1(\soc_top/FE_OFN5633_n_5942 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_94 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_135 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4013  (
+	.A1(\soc_top/FE_OFN5635_n_5942 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_107 ),
+	.B1(\soc_top/FE_OFN5633_n_5942 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_105 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_134 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4014  (
+	.A1_N(\soc_top/FE_OFN5634_n_5942 ),
+	.A2_N(\soc_top/core_Execute_alu_srl_2338_33_n_109 ),
+	.B1(\soc_top/n_7472 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_76 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_133 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4015  (
+	.A1_N(\soc_top/n_5942 ),
+	.A2_N(\soc_top/core_Execute_alu_srl_2338_33_n_110 ),
+	.B1(\soc_top/n_5942 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_93 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_132 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4016  (
+	.A1_N(\soc_top/core_Execute_alu_srl_2338_33_n_22 ),
+	.A2_N(\soc_top/core_Execute_alu_srl_2338_33_n_306 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_7 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_112 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_128 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4017  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_7 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_114 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_45 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_51 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_127 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4018  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_7 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_108 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_21 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_51 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_126 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4019  (
+	.A1_N(\soc_top/FE_OFN5634_n_5942 ),
+	.A2_N(\soc_top/core_Execute_alu_srl_2338_33_n_101 ),
+	.B1(\soc_top/FE_OFN5634_n_5942 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_49 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_131 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4020  (
+	.A1(\soc_top/FE_OFN5634_n_5942 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_111 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_5 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_35 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_130 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4021  (
+	.A1_N(\soc_top/n_7472 ),
+	.A2_N(\soc_top/core_Execute_alu_srl_2338_33_n_54 ),
+	.B1(\soc_top/FE_OFN5634_n_5942 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_98 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_129 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_srl_2338_33_g4022  (
+	.A(\soc_top/FE_OFN6622_core_Execute_alu_srl_2338_33_n_11 ),
+	.B(\soc_top/core_Execute_alu_srl_2338_33_n_104 ),
+	.Y(\soc_top/n_5829 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \soc_top/core_Execute_alu_srl_2338_33_g4023  (
+	.A(\soc_top/core_Execute_alu_srl_2338_33_n_11 ),
+	.B(\soc_top/core_Execute_alu_srl_2338_33_n_108 ),
+	.X(\soc_top/core_Execute_alu_srl_2338_33_n_124 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \soc_top/core_Execute_alu_srl_2338_33_g4024  (
+	.A(\soc_top/core_Execute_alu_srl_2338_33_n_11 ),
+	.B(\soc_top/core_Execute_alu_srl_2338_33_n_114 ),
+	.X(\soc_top/core_Execute_alu_srl_2338_33_n_123 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_srl_2338_33_g4025  (
+	.A(\soc_top/FE_OFN6622_core_Execute_alu_srl_2338_33_n_11 ),
+	.B(\soc_top/core_Execute_alu_srl_2338_33_n_94 ),
+	.Y(\soc_top/n_5830 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \soc_top/core_Execute_alu_srl_2338_33_g4026  (
+	.A(\soc_top/FE_OFN6622_core_Execute_alu_srl_2338_33_n_11 ),
+	.B(\soc_top/core_Execute_alu_srl_2338_33_n_99 ),
+	.X(\soc_top/core_Execute_alu_srl_2338_33_n_121 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \soc_top/core_Execute_alu_srl_2338_33_g4027  (
+	.A(\soc_top/FE_OFN6622_core_Execute_alu_srl_2338_33_n_11 ),
+	.B(\soc_top/core_Execute_alu_srl_2338_33_n_100 ),
+	.X(\soc_top/core_Execute_alu_srl_2338_33_n_120 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \soc_top/core_Execute_alu_srl_2338_33_g4028  (
+	.A(\soc_top/core_Execute_alu_srl_2338_33_n_9 ),
+	.B(\soc_top/core_Execute_alu_srl_2338_33_n_105 ),
+	.X(\soc_top/core_Execute_alu_srl_2338_33_n_119 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_srl_2338_33_g4029  (
+	.A(\soc_top/FE_OFN6622_core_Execute_alu_srl_2338_33_n_11 ),
+	.B(\soc_top/core_Execute_alu_srl_2338_33_n_105 ),
+	.Y(\soc_top/n_5831 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_Execute_alu_srl_2338_33_g4030  (
+	.A(\soc_top/FE_OFN6622_core_Execute_alu_srl_2338_33_n_11 ),
+	.B_N(\soc_top/core_Execute_alu_srl_2338_33_n_93 ),
+	.Y(\soc_top/n_5832 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_srl_2338_33_g4031  (
+	.A(\soc_top/core_Execute_alu_srl_2338_33_n_93 ),
+	.B(\soc_top/core_Execute_alu_srl_2338_33_n_8 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_116 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4032  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_55 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_32 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_73 ),
+	.C1(\soc_top/core_Execute_alu_srl_2338_33_n_75 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_115 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_srl_2338_33_g4033  (
+	.A(\soc_top/FE_OFN5661_n_5944 ),
+	.B(\soc_top/core_Execute_alu_srl_2338_33_n_87 ),
+	.Y(\soc_top/n_5833 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_srl_2338_33_g4034  (
+	.A(\soc_top/FE_OFN5661_n_5944 ),
+	.B(\soc_top/core_Execute_alu_srl_2338_33_n_86 ),
+	.Y(\soc_top/n_5834 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4035  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_85 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_58 ),
+	.B2(\soc_top/n_6603 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_114 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4036  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_83 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_69 ),
+	.B2(\soc_top/n_6603 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_113 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4037  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_81 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_59 ),
+	.B2(\soc_top/n_6603 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_112 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4038  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_62 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_68 ),
+	.B2(\soc_top/FE_OFN5940_n_6603 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_111 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4039  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_80 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_62 ),
+	.B2(\soc_top/FE_OFN5940_n_6603 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_110 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4040  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_82 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_78 ),
+	.B2(\soc_top/FE_OFN5940_n_6603 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_109 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4041  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_77 ),
+	.A2(\soc_top/FE_OFN5609_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_56 ),
+	.B2(\soc_top/n_6603 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_108 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4042  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_79 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_61 ),
+	.B2(\soc_top/FE_OFN5940_n_6603 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_107 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4043  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_67 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_57 ),
+	.B2(\soc_top/FE_OFN5940_n_6603 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4044  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_36 ),
+	.A2(\soc_top/FE_OFN5940_n_6603 ),
+	.A3(\soc_top/FE_OFN5624_n_5938 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_60 ),
+	.B2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4045  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_78 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_76 ),
+	.B2(\soc_top/FE_OFN5940_n_6603 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4046  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_55 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_34 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_83 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_32 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_92 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4047  (
+	.A1_N(\soc_top/core_Execute_alu_srl_2338_33_n_32 ),
+	.A2_N(\soc_top/core_Execute_alu_srl_2338_33_n_65 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_38 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_51 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_91 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4048  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_64 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_34 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_77 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_32 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_90 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4049  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_66 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_34 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_85 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_32 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_89 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4050  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_65 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_34 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_81 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_32 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_88 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4051  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_61 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_60 ),
+	.B2(\soc_top/FE_OFN5940_n_6603 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4052  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_56 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_63 ),
+	.B2(\soc_top/n_6603 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4053  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_58 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_67 ),
+	.B2(\soc_top/n_6603 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_99 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4054  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_57 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_84 ),
+	.B2(\soc_top/FE_OFN5940_n_6603 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_98 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4055  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_59 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_79 ),
+	.B2(\soc_top/FE_OFN5940_n_6603 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_97 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4056  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_63 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_82 ),
+	.B2(\soc_top/FE_OFN5940_n_6603 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_96 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4057  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_69 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_80 ),
+	.B2(\soc_top/FE_OFN5940_n_6603 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_95 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4058  (
+	.A1(\soc_top/core_Execute_alu_srl_2338_33_n_84 ),
+	.A2(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_54 ),
+	.B2(\soc_top/FE_OFN5940_n_6603 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_94 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4059  (
+	.A1_N(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.A2_N(\soc_top/core_Execute_alu_srl_2338_33_n_68 ),
+	.B1(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_35 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_93 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_srl_2338_33_g4060  (
+	.A(\soc_top/core_Execute_alu_srl_2338_33_n_76 ),
+	.B(\soc_top/core_Execute_alu_srl_2338_33_n_10 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_87 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_srl_2338_33_g4061  (
+	.A(\soc_top/core_Execute_alu_srl_2338_33_n_54 ),
+	.B(\soc_top/core_Execute_alu_srl_2338_33_n_10 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_86 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_srl_2338_33_g4062  (
+	.A(\soc_top/core_Execute_alu_srl_2338_33_n_27 ),
+	.B(\soc_top/core_Execute_alu_srl_2338_33_n_51 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_75 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_srl_2338_33_g4063  (
+	.A(\soc_top/core_Execute_alu_srl_2338_33_n_49 ),
+	.B(\soc_top/core_Execute_alu_srl_2338_33_n_8 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_74 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_Execute_alu_srl_2338_33_g4064  (
+	.A(\soc_top/core_Execute_alu_srl_2338_33_n_45 ),
+	.B_N(\soc_top/core_Execute_alu_srl_2338_33_n_306 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_73 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_srl_2338_33_g4065  (
+	.A(\soc_top/core_Execute_alu_srl_2338_33_n_52 ),
+	.B(\soc_top/FE_OFN5661_n_5944 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_72 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_Execute_alu_srl_2338_33_g4067  (
+	.A(\soc_top/FE_OFN6622_core_Execute_alu_srl_2338_33_n_11 ),
+	.B_N(\soc_top/core_Execute_alu_srl_2338_33_n_49 ),
+	.Y(\soc_top/n_5835 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4068  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_48 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_29 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_85 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4069  (
+	.A1(\soc_top/FE_OFN242_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_42 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_46 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_84 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4070  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_29 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_15 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_83 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4071  (
+	.A1(\soc_top/FE_OFN242_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_47 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_28 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_82 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4072  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_40 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_24 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_81 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4073  (
+	.A1(\soc_top/FE_OFN242_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_37 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_18 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_80 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4074  (
+	.A1(\soc_top/FE_OFN242_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_44 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_47 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_79 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4075  (
+	.A1(\soc_top/FE_OFN242_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_39 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_16 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_78 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4076  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_26 ),
+	.B1(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_40 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_77 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4077  (
+	.A1_N(\soc_top/FE_OFN242_n_5938 ),
+	.A2_N(\soc_top/core_Execute_alu_srl_2338_33_n_36 ),
+	.B1(\soc_top/FE_OFN242_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_41 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_76 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4078  (
+	.A1(\soc_top/FE_OFN242_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_30 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_43 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_69 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4079  (
+	.A1(\soc_top/FE_OFN242_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_46 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_19 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_68 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4080  (
+	.A1(\soc_top/FE_OFN242_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_43 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_37 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_67 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4081  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_27 ),
+	.B1(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_20 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_66 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4082  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_14 ),
+	.B1(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_26 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_65 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4083  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_38 ),
+	.B1(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_14 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4084  (
+	.A1(\soc_top/FE_OFN242_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_25 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_44 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4085  (
+	.A1(\soc_top/FE_OFN242_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_23 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_42 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4086  (
+	.A1(\soc_top/FE_OFN242_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_28 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_39 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4087  (
+	.A1(\soc_top/FE_OFN242_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_16 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_41 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4088  (
+	.A1(\soc_top/FE_OFN242_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_17 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_25 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4089  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_15 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_30 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4090  (
+	.A1(\soc_top/FE_OFN242_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_18 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_23 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4091  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_24 ),
+	.B1(\soc_top/FE_OFN5624_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_17 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4092  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_20 ),
+	.B1(\soc_top/FE_OFN254_FE_DBTN2_n_5938 ),
+	.B2(\soc_top/core_Execute_alu_srl_2338_33_n_48 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_srl_2338_33_g4093  (
+	.A1(\soc_top/FE_OFN242_n_5938 ),
+	.A2(\soc_top/core_Execute_alu_srl_2338_33_n_19 ),
+	.B1(\soc_top/core_Execute_alu_srl_2338_33_n_31 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_Execute_alu_srl_2338_33_g4095  (
+	.A(\soc_top/core_Execute_alu_srl_2338_33_n_35 ),
+	.B_N(\soc_top/core_Execute_alu_srl_2338_33_n_10 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_srl_2338_33_g4096  (
+	.A(\soc_top/core_Execute_alu_srl_2338_33_n_34 ),
+	.B(\soc_top/FE_DBTN2_n_5938 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \soc_top/core_Execute_alu_srl_2338_33_g4098  (
+	.A(\soc_top/core_Execute_alu_srl_2338_33_n_36 ),
+	.B(\soc_top/FE_OFN117_FE_DBTN1_n_6603 ),
+	.C(\soc_top/FE_OFN5624_n_5938 ),
+	.X(\soc_top/core_Execute_alu_srl_2338_33_n_49 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/core_Execute_alu_srl_2338_33_g4100  (
+	.A(\soc_top/n_3520 ),
+	.B(\soc_top/n_5868 ),
+	.C(\soc_top/FE_OFN242_n_5938 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4101  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/n_5955 ),
+	.B1(\soc_top/FE_OFN6635_n_3520 ),
+	.B2(\soc_top/n_5957 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4102  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/n_5977 ),
+	.B1(\soc_top/FE_OFN6639_n_3520 ),
+	.B2(\soc_top/n_5979 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4103  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/n_5991 ),
+	.B1(\soc_top/n_5993 ),
+	.B2(\soc_top/FE_OFN6638_n_3520 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4104  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/FE_OFN245_n_5943 ),
+	.B1(\soc_top/FE_OFN6636_n_3520 ),
+	.B2(\soc_top/n_5945 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4105  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/n_5973 ),
+	.B1(\soc_top/FE_OFN6639_n_3520 ),
+	.B2(\soc_top/n_5975 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4106  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/n_5971 ),
+	.B1(\soc_top/n_5973 ),
+	.B2(\soc_top/FE_OFN6639_n_3520 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4107  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/n_5987 ),
+	.B1(\soc_top/n_5989 ),
+	.B2(\soc_top/FE_OFN6638_n_3520 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4108  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/n_5993 ),
+	.B1(\soc_top/FE_OFN6638_n_3520 ),
+	.B2(\soc_top/n_5995 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4109  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/n_5957 ),
+	.B1(\soc_top/FE_OFN6635_n_3520 ),
+	.B2(\soc_top/n_5959 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4110  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/n_5985 ),
+	.B1(\soc_top/FE_OFN6638_n_3520 ),
+	.B2(\soc_top/n_5987 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4111  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/n_5945 ),
+	.B1(\soc_top/FE_OFN6636_n_3520 ),
+	.B2(\soc_top/n_5947 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4112  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/n_5975 ),
+	.B1(\soc_top/FE_OFN6639_n_3520 ),
+	.B2(\soc_top/n_5977 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/core_Execute_alu_srl_2338_33_g4113  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/n_5997 ),
+	.B1(\soc_top/n_5868 ),
+	.B2(\soc_top/FE_OFN6638_n_3520 ),
+	.X(\soc_top/core_Execute_alu_srl_2338_33_n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/core_Execute_alu_srl_2338_33_g4114  (
+	.A(\soc_top/n_3520 ),
+	.B(\soc_top/FE_OFN5624_n_5938 ),
+	.C(\soc_top/n_5868 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_srl_2338_33_g4115  (
+	.A(\soc_top/n_6603 ),
+	.B(\soc_top/core_Execute_alu_srl_2338_33_n_11 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_srl_2338_33_g4116  (
+	.A(\soc_top/FE_OFN5609_n_6603 ),
+	.B(\soc_top/core_Execute_alu_srl_2338_33_n_11 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/core_Execute_alu_srl_2338_33_g4117  (
+	.A(\soc_top/core_Execute_alu_srl_2338_33_n_21 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/core_Execute_alu_srl_2338_33_g4118  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/n_5937 ),
+	.B1(\soc_top/FE_OFN6636_n_3520 ),
+	.B2(\soc_top/FE_OFN6605_n ),
+	.X(\soc_top/core_Execute_alu_srl_2338_33_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \soc_top/core_Execute_alu_srl_2338_33_g4119  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/FE_OFN6605_n ),
+	.B1(\soc_top/FE_OFN6636_n_3520 ),
+	.B2(\soc_top/FE_OFN244_n_5941 ),
+	.X(\soc_top/core_Execute_alu_srl_2338_33_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4120  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/n_5967 ),
+	.B1(\soc_top/FE_OFN6634_n_3520 ),
+	.B2(\soc_top/FE_OFN6632_n_5969 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4121  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/n_5959 ),
+	.B1(\soc_top/FE_OFN6634_n_3520 ),
+	.B2(\soc_top/n_5961 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4122  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/n_5981 ),
+	.B1(\soc_top/FE_OFN6639_n_3520 ),
+	.B2(\soc_top/n_5983 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4123  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/n_5947 ),
+	.B1(\soc_top/FE_OFN6636_n_3520 ),
+	.B2(\soc_top/n_5949 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4124  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/n_5953 ),
+	.B1(\soc_top/FE_OFN6635_n_3520 ),
+	.B2(\soc_top/n_5955 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4125  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/FE_OFN6632_n_5969 ),
+	.B1(\soc_top/FE_OFN6634_n_3520 ),
+	.B2(\soc_top/n_5971 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4126  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/n_5961 ),
+	.B1(\soc_top/FE_OFN6634_n_3520 ),
+	.B2(\soc_top/n_5963 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4127  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/n_5983 ),
+	.B1(\soc_top/n_5985 ),
+	.B2(\soc_top/FE_OFN6638_n_3520 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4128  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/FE_OFN244_n_5941 ),
+	.B1(\soc_top/FE_OFN6636_n_3520 ),
+	.B2(\soc_top/FE_OFN245_n_5943 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4129  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/n_5951 ),
+	.B1(\soc_top/FE_OFN6636_n_3520 ),
+	.B2(\soc_top/n_5953 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4130  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/n_5995 ),
+	.B1(\soc_top/n_5997 ),
+	.B2(\soc_top/FE_OFN6638_n_3520 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4131  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/n_5979 ),
+	.B1(\soc_top/FE_OFN6639_n_3520 ),
+	.B2(\soc_top/n_5981 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4132  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/n_5965 ),
+	.B1(\soc_top/FE_OFN6634_n_3520 ),
+	.B2(\soc_top/n_5967 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4133  (
+	.A1(\soc_top/n_5936 ),
+	.A2(\soc_top/n_5989 ),
+	.B1(\soc_top/FE_OFN6638_n_3520 ),
+	.B2(\soc_top/n_5991 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4134  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/n_5963 ),
+	.B1(\soc_top/FE_OFN6634_n_3520 ),
+	.B2(\soc_top/n_5965 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_srl_2338_33_g4135  (
+	.A1(\soc_top/FE_OFN299_n_3520 ),
+	.A2(\soc_top/n_5949 ),
+	.B1(\soc_top/FE_OFN6636_n_3520 ),
+	.B2(\soc_top/n_5951 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_srl_2338_33_g4136  (
+	.A(\soc_top/FE_DBTN0_n_5944 ),
+	.B(\soc_top/FE_OFN5633_n_5942 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_srl_2338_33_g4137  (
+	.A(\soc_top/FE_OFN5634_n_5942 ),
+	.B(\soc_top/FE_OFN5940_n_6603 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/core_Execute_alu_srl_2338_33_g4138  (
+	.A(\soc_top/core_Execute_alu_srl_2338_33_n_9 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_srl_2338_33_g4139  (
+	.A(\soc_top/FE_OFN5633_n_5942 ),
+	.B(\soc_top/FE_OFN5663_n_5944 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_srl_2338_33_g4140  (
+	.A(\soc_top/FE_DBTN0_n_5944 ),
+	.B(\soc_top/FE_OFN5637_n_5942 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/core_Execute_alu_srl_2338_33_g4141  (
+	.A(\soc_top/n_7472 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_Execute_alu_srl_2338_33_g2  (
+	.A(\soc_top/FE_OFN5661_n_5944 ),
+	.B_N(\soc_top/core_Execute_alu_srl_2338_33_n_52 ),
+	.Y(\soc_top/n_5836 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_Execute_alu_srl_2338_33_g4237  (
+	.A(\soc_top/FE_DBTN2_n_5938 ),
+	.B_N(\soc_top/core_Execute_alu_srl_2338_33_n_34 ),
+	.Y(\soc_top/core_Execute_alu_srl_2338_33_n_306 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g958  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_75 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_166 ),
+	.Y(\soc_top/n_5934 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_2 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g959  (
+	.A(\soc_top/n_5997 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_22 ),
+	.CIN(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_164 ),
+	.COUT(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_166 ),
+	.SUM(\soc_top/n_5933 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_2 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g960  (
+	.A(\soc_top/n_5995 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_5 ),
+	.CIN(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_162 ),
+	.COUT(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_164 ),
+	.SUM(\soc_top/n_5932 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_2 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g961  (
+	.A(\soc_top/n_5993 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_20 ),
+	.CIN(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_160 ),
+	.COUT(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_162 ),
+	.SUM(\soc_top/n_5931 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_2 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g962  (
+	.A(\soc_top/n_5991 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_24 ),
+	.CIN(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_158 ),
+	.COUT(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_160 ),
+	.SUM(\soc_top/n_5930 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_2 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g963  (
+	.A(\soc_top/n_5989 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_21 ),
+	.CIN(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_156 ),
+	.COUT(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_158 ),
+	.SUM(\soc_top/n_5929 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_2 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g964  (
+	.A(\soc_top/n_5987 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_4 ),
+	.CIN(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_154 ),
+	.COUT(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_156 ),
+	.SUM(\soc_top/n_5928 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_2 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g965  (
+	.A(\soc_top/n_5985 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_25 ),
+	.CIN(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_152 ),
+	.COUT(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_154 ),
+	.SUM(\soc_top/n_5927 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_2 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g966  (
+	.A(\soc_top/n_5983 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_6 ),
+	.CIN(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_150 ),
+	.COUT(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_152 ),
+	.SUM(\soc_top/n_5926 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_2 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g967  (
+	.A(\soc_top/n_5981 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_23 ),
+	.CIN(\soc_top/n_7339 ),
+	.COUT(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_150 ),
+	.SUM(\soc_top/n_5925 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g974  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_141 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_49 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_143 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g975  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_83 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_140 ),
+	.X(\soc_top/n_5922 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g976  (
+	.A1(\soc_top/n_5975 ),
+	.A2(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_18 ),
+	.B1(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_140 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_141 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g977  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_138 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_39 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_140 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g978  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_82 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_137 ),
+	.X(\soc_top/n_5921 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g979  (
+	.A1(\soc_top/n_5973 ),
+	.A2(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_8 ),
+	.B1(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_137 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_138 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g980  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_135 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_61 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_137 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g981  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_81 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_134 ),
+	.X(\soc_top/n_5920 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g982  (
+	.A1(\soc_top/n_5971 ),
+	.A2(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_33 ),
+	.B1(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_134 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_135 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g983  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_132 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_52 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_134 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g984  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_80 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_131 ),
+	.X(\soc_top/n_5919 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g985  (
+	.A1(\soc_top/FE_OFN6632_n_5969 ),
+	.A2(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_29 ),
+	.B1(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_131 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_132 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g986  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_129 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_51 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_131 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g987  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_85 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_128 ),
+	.X(\soc_top/n_5918 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g988  (
+	.A1(\soc_top/n_5967 ),
+	.A2(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_13 ),
+	.B1(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_128 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_129 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g989  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_126 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_45 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_128 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g990  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_78 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_125 ),
+	.X(\soc_top/n_5917 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g991  (
+	.A1(\soc_top/n_5965 ),
+	.A2(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_28 ),
+	.B1(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_125 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_126 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g992  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_123 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_48 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_125 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g993  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_77 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_122 ),
+	.X(\soc_top/n_5916 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g994  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_122 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_59 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_123 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g995  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_120 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_41 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_122 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g996  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_76 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_119 ),
+	.X(\soc_top/n_5915 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g997  (
+	.A1(\soc_top/n_5961 ),
+	.A2(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_19 ),
+	.B1(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_119 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_120 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g998  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_117 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_44 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_119 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g999  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_74 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_116 ),
+	.X(\soc_top/n_5914 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1000  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_116 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_58 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_117 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1001  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_114 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_42 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_116 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1002  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_66 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_113 ),
+	.X(\soc_top/n_5913 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1003  (
+	.A1(\soc_top/n_5957 ),
+	.A2(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_16 ),
+	.B1(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_113 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_114 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1004  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_111 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_40 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_113 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1005  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_71 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_110 ),
+	.X(\soc_top/n_5912 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1006  (
+	.A1(\soc_top/n_5955 ),
+	.A2(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_17 ),
+	.B1(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_110 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_111 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1007  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_108 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_46 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_110 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1008  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_70 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_107 ),
+	.X(\soc_top/n_5911 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1009  (
+	.A1(\soc_top/n_5953 ),
+	.A2(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_12 ),
+	.B1(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_107 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_108 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1010  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_105 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_47 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_107 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1011  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_69 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_104 ),
+	.X(\soc_top/n_5910 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1012  (
+	.A1(\soc_top/n_5951 ),
+	.A2(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_27 ),
+	.B1(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_104 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1013  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_102 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_50 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1014  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_68 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_101 ),
+	.X(\soc_top/n_5909 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1015  (
+	.A1(\soc_top/n_5949 ),
+	.A2(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_26 ),
+	.B1(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_101 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1016  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_99 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_38 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1017  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_67 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_98 ),
+	.X(\soc_top/n_5908 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1018  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_98 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_57 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_99 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1019  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_96 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_37 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_98 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1020  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_72 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_95 ),
+	.X(\soc_top/n_5907 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1021  (
+	.A1(\soc_top/n_5945 ),
+	.A2(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_11 ),
+	.B1(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_95 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_96 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1022  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_93 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_56 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_95 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1023  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_65 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_92 ),
+	.X(\soc_top/n_5906 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1024  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_92 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_55 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_93 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_2 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1025  (
+	.A1_N(\soc_top/FE_OFN244_n_5941 ),
+	.A2_N(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_32 ),
+	.B1(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_60 ),
+	.B2(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_236 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_92 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1026  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_64 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_236 ),
+	.Y(\soc_top/n_5905 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1029  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_73 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_63 ),
+	.X(\soc_top/n_5904 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1030  (
+	.A1(\soc_top/FE_OFN6605_n ),
+	.A2(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_31 ),
+	.B1(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_73 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_87 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1031  (
+	.A(\soc_top/FE_OFN6606_n_6609 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_62 ),
+	.Y(\soc_top/n_5903 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1032  (
+	.A(\soc_top/n_5967 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_13 ),
+	.X(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_85 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1034  (
+	.A(\soc_top/n_5975 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_18 ),
+	.X(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_83 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1035  (
+	.A(\soc_top/n_5973 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_8 ),
+	.X(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_82 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1036  (
+	.A(\soc_top/n_5971 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_33 ),
+	.X(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_81 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1037  (
+	.A(\soc_top/FE_OFN6632_n_5969 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_29 ),
+	.X(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_80 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1039  (
+	.A(\soc_top/n_5965 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_28 ),
+	.X(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_78 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1040  (
+	.A(\soc_top/n_5963 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_30 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_77 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1041  (
+	.A(\soc_top/n_5961 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_19 ),
+	.X(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_76 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1042  (
+	.A(\soc_top/n_5868 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_7 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_75 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1043  (
+	.A(\soc_top/n_5959 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_14 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_74 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1044  (
+	.A(\soc_top/n_5945 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_11 ),
+	.X(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_72 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1045  (
+	.A(\soc_top/n_5955 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_17 ),
+	.X(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_71 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1046  (
+	.A(\soc_top/n_5953 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_12 ),
+	.X(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_70 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1047  (
+	.A(\soc_top/n_5951 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_27 ),
+	.X(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_69 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1048  (
+	.A(\soc_top/n_5949 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_26 ),
+	.X(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_68 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1049  (
+	.A(\soc_top/n_5947 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_10 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_67 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1050  (
+	.A(\soc_top/n_5957 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_16 ),
+	.X(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_66 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1051  (
+	.A(\soc_top/FE_OFN245_n_5943 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_34 ),
+	.X(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_65 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1052  (
+	.A(\soc_top/FE_OFN244_n_5941 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_32 ),
+	.X(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1053  (
+	.A(\soc_top/FE_OFN6605_n ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_31 ),
+	.X(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1054  (
+	.A(\soc_top/n_5937 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_237 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1055  (
+	.A1(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_2 ),
+	.A2(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_36 ),
+	.B1(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_3 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_73 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1056  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_33 ),
+	.B(\soc_top/n_5971 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1057  (
+	.A(\soc_top/FE_OFN244_n_5941 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_32 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1058  (
+	.A_N(\soc_top/n_5963 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_30 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1059  (
+	.A_N(\soc_top/n_5959 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_14 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1060  (
+	.A_N(\soc_top/n_5947 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_10 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1061  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_34 ),
+	.B(\soc_top/FE_OFN245_n_5943 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1062  (
+	.A(\soc_top/FE_OFN245_n_5943 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_34 ),
+	.X(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1064  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_31 ),
+	.B(\soc_top/FE_OFN6605_n ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1065  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_29 ),
+	.B(\soc_top/FE_OFN6632_n_5969 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1066  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_13 ),
+	.B(\soc_top/n_5967 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1067  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_26 ),
+	.B(\soc_top/n_5949 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_50 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1068  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_18 ),
+	.B(\soc_top/n_5975 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_49 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1069  (
+	.A_N(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_30 ),
+	.B(\soc_top/n_5963 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1070  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_27 ),
+	.B(\soc_top/n_5951 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1071  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_12 ),
+	.B(\soc_top/n_5953 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1072  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_28 ),
+	.B(\soc_top/n_5965 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1073  (
+	.A_N(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_14 ),
+	.B(\soc_top/n_5959 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1075  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_16 ),
+	.B(\soc_top/n_5957 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1076  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_19 ),
+	.B(\soc_top/n_5961 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1077  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_17 ),
+	.B(\soc_top/n_5955 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1078  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_8 ),
+	.B(\soc_top/n_5973 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1079  (
+	.A_N(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_10 ),
+	.B(\soc_top/n_5947 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1080  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_11 ),
+	.B(\soc_top/n_5945 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1081  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_237 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1083  (
+	.A(\soc_top/FE_OFN6606_n_6609 ),
+	.B(\soc_top/n_5942 ),
+	.X(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1084  (
+	.A(\soc_top/FE_OFN6606_n_6609 ),
+	.B(\soc_top/n_5970 ),
+	.X(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1085  (
+	.A(\soc_top/FE_OFN6606_n_6609 ),
+	.B(\soc_top/n_6603 ),
+	.X(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1086  (
+	.A(\soc_top/n_6609 ),
+	.B(\soc_top/n_5984 ),
+	.X(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1087  (
+	.A(\soc_top/FE_OFN6606_n_6609 ),
+	.B(\soc_top/FE_DBTN2_n_5938 ),
+	.X(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1088  (
+	.A(\soc_top/FE_OFN6606_n_6609 ),
+	.B(\soc_top/n_5962 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1089  (
+	.A(\soc_top/FE_OFN6606_n_6609 ),
+	.B(\soc_top/n_5968 ),
+	.X(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1090  (
+	.A(\soc_top/n_6609 ),
+	.B(\soc_top/n_5990 ),
+	.X(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1091  (
+	.A(\soc_top/FE_OFN6606_n_6609 ),
+	.B(\soc_top/n_5980 ),
+	.X(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1092  (
+	.A(\soc_top/n_6609 ),
+	.B(\soc_top/n_5996 ),
+	.X(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1093  (
+	.A(\soc_top/FE_OFN6606_n_6609 ),
+	.B(\soc_top/n_5964 ),
+	.X(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1094  (
+	.A(\soc_top/FE_OFN6606_n_6609 ),
+	.B(\soc_top/n_5950 ),
+	.X(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1095  (
+	.A(\soc_top/n_6609 ),
+	.B(\soc_top/n_5988 ),
+	.X(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1096  (
+	.A(\soc_top/FE_OFN6606_n_6609 ),
+	.B(\soc_top/FE_OFN6647_n_5948 ),
+	.X(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1097  (
+	.A(\soc_top/n_6609 ),
+	.B(\soc_top/n_5992 ),
+	.X(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1098  (
+	.A1_N(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_1 ),
+	.A2_N(\soc_top/n_5998 ),
+	.B1(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_1 ),
+	.B2(\soc_top/n_5998 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1099  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_1 ),
+	.B(\soc_top/n_5960 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1100  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_1 ),
+	.B(\soc_top/n_5974 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1101  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_1 ),
+	.B(\soc_top/n_5954 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1102  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_1 ),
+	.B(\soc_top/n_5982 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1103  (
+	.A1_N(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_1 ),
+	.A2_N(\soc_top/n_5994 ),
+	.B1(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_1 ),
+	.B2(\soc_top/n_5994 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1104  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_1 ),
+	.B(\soc_top/n_5956 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1105  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_1 ),
+	.B(\soc_top/n_5978 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1106  (
+	.A(\soc_top/FE_OFN6606_n_6609 ),
+	.B(\soc_top/n_5958 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1107  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_1 ),
+	.B(\soc_top/n_5966 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1108  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_1 ),
+	.B(\soc_top/n_5952 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1109  (
+	.A1_N(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_1 ),
+	.A2_N(\soc_top/n_5944 ),
+	.B1(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_1 ),
+	.B2(\soc_top/n_5944 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1110  (
+	.A1_N(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_1 ),
+	.A2_N(\soc_top/n_5946 ),
+	.B1(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_1 ),
+	.B2(\soc_top/n_5946 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1111  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_1 ),
+	.B(\soc_top/n_5986 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1112  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_1 ),
+	.B(\soc_top/n_5976 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1113  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_1 ),
+	.B(\soc_top/n_5972 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1114  (
+	.A(\soc_top/n_5937 ),
+	.B(\soc_top/FE_OFN6606_n_6609 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1115  (
+	.A(\soc_top/FE_OFN6606_n_6609 ),
+	.B(\soc_top/n_5937 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g2  (
+	.A(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_87 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_53 ),
+	.X(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_236 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 \soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_g1118  (
+	.A0(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_1 ),
+	.A1(\soc_top/FE_OFN6606_n_6609 ),
+	.S(\soc_top/FE_OFN305_n_5936 ),
+	.Y(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_237 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g750  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_41 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_143 ),
+	.Y(\soc_top/n_5677 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_2 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g751  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[30] ),
+	.B(\soc_top/n_5708 ),
+	.CIN(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_141 ),
+	.COUT(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_143 ),
+	.SUM(\soc_top/n_5676 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_2 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g752  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[29] ),
+	.B(\soc_top/n_5707 ),
+	.CIN(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_139 ),
+	.COUT(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_141 ),
+	.SUM(\soc_top/n_5675 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_2 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g753  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[28] ),
+	.B(\soc_top/n_5706 ),
+	.CIN(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_137 ),
+	.COUT(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_139 ),
+	.SUM(\soc_top/n_5674 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_2 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g754  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[27] ),
+	.B(\soc_top/n_5705 ),
+	.CIN(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_135 ),
+	.COUT(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_137 ),
+	.SUM(\soc_top/n_5673 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_2 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g755  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[26] ),
+	.B(\soc_top/n_5704 ),
+	.CIN(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_133 ),
+	.COUT(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_135 ),
+	.SUM(\soc_top/n_5672 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_2 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g756  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[25] ),
+	.B(\soc_top/n_5703 ),
+	.CIN(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_214 ),
+	.COUT(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_133 ),
+	.SUM(\soc_top/n_5671 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g766  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_121 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_13 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_123 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g767  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_37 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_120 ),
+	.X(\soc_top/n_5667 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g769  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_118 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_9 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_120 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g770  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_36 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_117 ),
+	.X(\soc_top/n_5666 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g772  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_115 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_15 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_117 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g773  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_49 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_114 ),
+	.X(\soc_top/n_5665 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g775  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_112 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_12 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_114 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g776  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_54 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_111 ),
+	.X(\soc_top/n_5664 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g778  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_109 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_0 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_111 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g779  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_59 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_108 ),
+	.X(\soc_top/n_5663 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g780  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_108 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_29 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_109 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g781  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_106 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_18 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_108 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g782  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_58 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_105 ),
+	.X(\soc_top/n_5662 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g783  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_105 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_27 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g784  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_103 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_2 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g785  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_57 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_102 ),
+	.X(\soc_top/n_5661 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g786  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_102 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_26 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g787  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_100 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_14 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g788  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_56 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_99 ),
+	.X(\soc_top/n_5660 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g790  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_97 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_8 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_99 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g791  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_55 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_96 ),
+	.X(\soc_top/n_5659 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g792  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_96 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_23 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_97 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g793  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_94 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_4 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_96 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g794  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_60 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_93 ),
+	.X(\soc_top/n_5658 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g796  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_91 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_1 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_93 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g797  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_53 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_90 ),
+	.X(\soc_top/n_5657 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g798  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_90 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_32 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_91 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g799  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_88 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_10 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_90 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g800  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_52 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_87 ),
+	.X(\soc_top/n_5656 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g801  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_87 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_31 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_88 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g802  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_85 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_21 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_87 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g803  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_51 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_84 ),
+	.X(\soc_top/n_5655 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g805  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_82 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_11 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_84 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g806  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_50 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_81 ),
+	.X(\soc_top/n_5654 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g807  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_81 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_33 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_82 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g808  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_79 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_22 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_81 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g809  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_48 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_78 ),
+	.X(\soc_top/n_5653 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g810  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_78 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_25 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_79 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g811  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_76 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_16 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_78 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g812  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_47 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_75 ),
+	.X(\soc_top/n_5652 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g814  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_73 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_20 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_75 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g815  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_46 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_72 ),
+	.X(\soc_top/n_5651 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g816  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_72 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_34 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_73 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g817  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_70 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_17 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_72 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g818  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_45 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_69 ),
+	.X(\soc_top/n_5650 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g819  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_69 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_24 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_70 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g820  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_67 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_19 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_69 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g821  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_44 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_66 ),
+	.X(\soc_top/n_5649 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g824  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_43 ),
+	.B(\soc_top/FE_OFN5604_core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_63 ),
+	.X(\soc_top/n_5648 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g826  (
+	.A1(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_28 ),
+	.A2(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_35 ),
+	.B1(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_7 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g827  (
+	.A(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_35 ),
+	.B(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_42 ),
+	.Y(\soc_top/n_5647 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g828  (
+	.A1(\soc_top/core_InstructionDecode_io_immediate[0] ),
+	.A2(\soc_top/n_5678 ),
+	.B1(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_35 ),
+	.X(\soc_top/n_5646 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g829  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[12] ),
+	.B(\soc_top/n_5690 ),
+	.X(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g830  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[17] ),
+	.B(\soc_top/n_5695 ),
+	.X(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g831  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[16] ),
+	.B(\soc_top/n_5694 ),
+	.X(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g832  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[15] ),
+	.B(\soc_top/n_5693 ),
+	.X(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g833  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[14] ),
+	.B(\soc_top/n_5692 ),
+	.X(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g834  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[13] ),
+	.B(\soc_top/n_5691 ),
+	.X(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g835  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[18] ),
+	.B(\soc_top/n_5696 ),
+	.X(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g836  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[11] ),
+	.B(\soc_top/n_5689 ),
+	.X(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g837  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[10] ),
+	.B(\soc_top/n_5688 ),
+	.X(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g838  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[9] ),
+	.B(\soc_top/n_5687 ),
+	.X(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g839  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[8] ),
+	.B(\soc_top/n_5686 ),
+	.X(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_50 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g840  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[19] ),
+	.B(\soc_top/n_5697 ),
+	.X(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_49 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g841  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[7] ),
+	.B(\soc_top/n_5685 ),
+	.X(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g842  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[6] ),
+	.B(\soc_top/n_5684 ),
+	.X(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g843  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[5] ),
+	.B(\soc_top/n_5683 ),
+	.X(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g844  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[4] ),
+	.B(\soc_top/n_5682 ),
+	.X(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g845  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[3] ),
+	.B(\soc_top/n_5681 ),
+	.X(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g846  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[2] ),
+	.B(\soc_top/n_5680 ),
+	.X(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g847  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[1] ),
+	.B(\soc_top/n_5679 ),
+	.X(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g848  (
+	.A(\soc_top/core_if_reg_ins[31] ),
+	.B(\soc_top/n_5709 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g852  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[21] ),
+	.B(\soc_top/n_5699 ),
+	.X(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g853  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[20] ),
+	.B(\soc_top/n_5698 ),
+	.X(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g854  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[5] ),
+	.B(\soc_top/n_5683 ),
+	.X(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g855  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[8] ),
+	.B(\soc_top/n_5686 ),
+	.X(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g856  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[11] ),
+	.B(\soc_top/n_5689 ),
+	.X(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g857  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[10] ),
+	.B(\soc_top/n_5688 ),
+	.X(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g858  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[2] ),
+	.B(\soc_top/n_5680 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g859  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[17] ),
+	.B(\soc_top/n_5695 ),
+	.X(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g860  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[1] ),
+	.B(\soc_top/n_5679 ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g861  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[16] ),
+	.B(\soc_top/n_5694 ),
+	.X(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g862  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[15] ),
+	.B(\soc_top/n_5693 ),
+	.X(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g863  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[7] ),
+	.B(\soc_top/n_5685 ),
+	.X(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g864  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[4] ),
+	.B(\soc_top/n_5682 ),
+	.X(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g865  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[13] ),
+	.B(\soc_top/n_5691 ),
+	.X(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g866  (
+	.A(\soc_top/n_5685 ),
+	.B(\soc_top/core_InstructionDecode_io_immediate[7] ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g867  (
+	.A(\soc_top/n_5687 ),
+	.B(\soc_top/core_InstructionDecode_io_immediate[9] ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g868  (
+	.A(\soc_top/n_5683 ),
+	.B(\soc_top/core_InstructionDecode_io_immediate[5] ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g869  (
+	.A(\soc_top/n_5681 ),
+	.B(\soc_top/core_InstructionDecode_io_immediate[3] ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g870  (
+	.A(\soc_top/n_5694 ),
+	.B(\soc_top/core_InstructionDecode_io_immediate[16] ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g871  (
+	.A(\soc_top/n_5678 ),
+	.B(\soc_top/core_InstructionDecode_io_immediate[0] ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g872  (
+	.A(\soc_top/n_5682 ),
+	.B(\soc_top/core_InstructionDecode_io_immediate[4] ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g873  (
+	.A(\soc_top/n_5684 ),
+	.B(\soc_top/core_InstructionDecode_io_immediate[6] ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g874  (
+	.A(\soc_top/n_5697 ),
+	.B(\soc_top/core_InstructionDecode_io_immediate[19] ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g875  (
+	.A(\soc_top/n_5692 ),
+	.B(\soc_top/core_InstructionDecode_io_immediate[14] ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g876  (
+	.A(\soc_top/n_5699 ),
+	.B(\soc_top/core_InstructionDecode_io_immediate[21] ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g877  (
+	.A(\soc_top/n_5696 ),
+	.B(\soc_top/core_InstructionDecode_io_immediate[18] ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g878  (
+	.A(\soc_top/n_5686 ),
+	.B(\soc_top/core_InstructionDecode_io_immediate[8] ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g879  (
+	.A(\soc_top/n_5688 ),
+	.B(\soc_top/core_InstructionDecode_io_immediate[10] ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g880  (
+	.A(\soc_top/n_5698 ),
+	.B(\soc_top/core_InstructionDecode_io_immediate[20] ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g881  (
+	.A(\soc_top/n_5691 ),
+	.B(\soc_top/core_InstructionDecode_io_immediate[13] ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g882  (
+	.A(\soc_top/n_5679 ),
+	.B(\soc_top/core_InstructionDecode_io_immediate[1] ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g885  (
+	.A(\soc_top/n_5690 ),
+	.B(\soc_top/core_InstructionDecode_io_immediate[12] ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g887  (
+	.A(\soc_top/n_5693 ),
+	.B(\soc_top/core_InstructionDecode_io_immediate[15] ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g888  (
+	.A(\soc_top/n_5689 ),
+	.B(\soc_top/core_InstructionDecode_io_immediate[11] ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g889  (
+	.A(\soc_top/n_5695 ),
+	.B(\soc_top/core_InstructionDecode_io_immediate[17] ),
+	.Y(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_2 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g2  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[23] ),
+	.B(\soc_top/n_5701 ),
+	.CIN(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_218 ),
+	.COUT(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_216 ),
+	.SUM(\soc_top/n_5669 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_2 \soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_g893  (
+	.A(\soc_top/core_InstructionDecode_io_immediate[22] ),
+	.B(\soc_top/n_5700 ),
+	.CIN(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_123 ),
+	.COUT(\soc_top/core_InstructionDecode_add_2216_32_Y_core_InstructionDecode_add_2215_36_n_218 ),
+	.SUM(\soc_top/n_5668 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2579  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_108 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_106 ),
+	.Y(\soc_top/n_5739 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2580  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_107 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_91 ),
+	.C(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_89 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_108 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2581  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_105 ),
+	.B(\soc_top/n_7509 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_107 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2582  (
+	.A1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_102 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_91 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_103 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2583  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_104 ),
+	.B(\soc_top/n_7498 ),
+	.C(\soc_top/n_7269 ),
+	.D(\soc_top/n_7499 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2584  (
+	.A1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_85 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_100 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_98 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2585  (
+	.A1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_74 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_80 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_63 ),
+	.B2(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_36 ),
+	.C1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_101 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2586  (
+	.A1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_87 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_96 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_95 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2587  (
+	.A1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_94 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_90 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_88 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2588  (
+	.A1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_92 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_73 ),
+	.A3(\soc_top/n_7496 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_99 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2589  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_93 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_55 ),
+	.C(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_24 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_99 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2590  (
+	.A1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_77 ),
+	.A2(\soc_top/n_7326 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_79 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_98 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2592  (
+	.A1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_71 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_76 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_56 ),
+	.B2(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_42 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_96 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2593  (
+	.A1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_69 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_78 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_66 ),
+	.B2(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_33 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_95 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2594  (
+	.A1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_53 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_31 ),
+	.B1(\soc_top/n_7495 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_94 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2595  (
+	.A1(\soc_top/n_6495 ),
+	.A2(\soc_top/n_7497 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_84 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_93 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2596  (
+	.A1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_51 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_60 ),
+	.B1(\soc_top/n_6511 ),
+	.B2(\soc_top/n_6502 ),
+	.C1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_27 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_92 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2597  (
+	.A_N(\soc_top/n_7495 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_88 ),
+	.C(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_46 ),
+	.D(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_26 ),
+	.X(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_91 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2598  (
+	.A1(\soc_top/n_7501 ),
+	.A2(\soc_top/n_5768 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_82 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_90 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2599  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_83 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_87 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_89 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2601  (
+	.A(\soc_top/n_7326 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_45 ),
+	.C(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_37 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_85 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2602  (
+	.A1(\soc_top/n_7491 ),
+	.A2(\soc_top/n_6507 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_72 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_84 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2603  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_71 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_34 ),
+	.C(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_25 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_83 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2604  (
+	.A(\soc_top/n_5800 ),
+	.B(\soc_top/n_7505 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_82 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2605  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_28 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_41 ),
+	.C(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_74 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_88 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2606  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_69 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_47 ),
+	.C(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_30 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_87 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2608  (
+	.A1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_9 ),
+	.A2(\soc_top/n_6455 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_54 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_80 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2609  (
+	.A1(\soc_top/FE_OFN5605_core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_2 ),
+	.A2(\soc_top/n_5752 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_58 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_79 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2610  (
+	.A1(\soc_top/n_6485 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_4 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_57 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_78 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2611  (
+	.A1(\soc_top/n_5750 ),
+	.A2(\soc_top/FE_OFN303_n_6488 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_62 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_77 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2612  (
+	.A1(\soc_top/FE_OFN5612_n_5758 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_6 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_52 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_76 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2614  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_72 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_73 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2615  (
+	.A1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_19 ),
+	.A2(\soc_top/n_5763 ),
+	.B1(\soc_top/n_5764 ),
+	.B2(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_15 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_66 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2618  (
+	.A1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_23 ),
+	.A2(\soc_top/n_6513 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_29 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2619  (
+	.A1(\soc_top/n_5771 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_12 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_36 ),
+	.X(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_74 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2620  (
+	.A1(\soc_top/n_6449 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_8 ),
+	.B1(\soc_top/n_7271 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_72 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2621  (
+	.A1(\soc_top/n_6477 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_5 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_42 ),
+	.X(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_71 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2623  (
+	.A1(\soc_top/n_6473 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_16 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_33 ),
+	.X(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_69 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2626  (
+	.A_N(\soc_top/n_5749 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_45 ),
+	.C(\soc_top/n_6491 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2628  (
+	.A1_N(\soc_top/n_6511 ),
+	.A2_N(\soc_top/n_6502 ),
+	.B1(\soc_top/n_5774 ),
+	.B2(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_22 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2630  (
+	.A_N(\soc_top/n_6479 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_53 ),
+	.C(\soc_top/n_6487 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2631  (
+	.A_N(\soc_top/n_5761 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_47 ),
+	.C(\soc_top/n_5793 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2632  (
+	.A1_N(\soc_top/n_6477 ),
+	.A2_N(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_5 ),
+	.B1(\soc_top/FE_OFN5622_n_5760 ),
+	.B2(\soc_top/n_6474 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2633  (
+	.A(\soc_top/n_7271 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_8 ),
+	.C(\soc_top/n_6449 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2634  (
+	.A(\soc_top/n_5769 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_41 ),
+	.C_N(\soc_top/n_6457 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2635  (
+	.A_N(\soc_top/n_5765 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_46 ),
+	.C(\soc_top/n_6467 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2636  (
+	.A_N(\soc_top/n_5757 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_34 ),
+	.C(\soc_top/n_5789 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_2 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2637  (
+	.A(\soc_top/n_5773 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_40 ),
+	.C_N(\soc_top/n_5741 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2639  (
+	.A(\soc_top/n_5742 ),
+	.B_N(\soc_top/n_5774 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2642  (
+	.A_N(\soc_top/n_6491 ),
+	.B(\soc_top/n_5749 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2645  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_4 ),
+	.B(\soc_top/n_6485 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2646  (
+	.A_N(\soc_top/n_6465 ),
+	.B(\soc_top/n_5766 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2647  (
+	.A(\soc_top/n_5750 ),
+	.B(\soc_top/FE_OFN303_n_6488 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2650  (
+	.A(\soc_top/n_6474 ),
+	.B(\soc_top/n_5760 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2651  (
+	.A(\soc_top/n_6455 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_9 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2652  (
+	.A_N(\soc_top/n_5766 ),
+	.B(\soc_top/n_6465 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2653  (
+	.A_N(\soc_top/n_5793 ),
+	.B(\soc_top/n_5761 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2654  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_12 ),
+	.B(\soc_top/n_5771 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2655  (
+	.A(\soc_top/n_6457 ),
+	.B_N(\soc_top/n_5769 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2656  (
+	.A_N(\soc_top/n_5744 ),
+	.B(\soc_top/n_6501 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2657  (
+	.A_N(\soc_top/n_6467 ),
+	.B(\soc_top/n_5765 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2658  (
+	.A_N(\soc_top/n_5789 ),
+	.B(\soc_top/n_5757 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2659  (
+	.A_N(\soc_top/n_6497 ),
+	.B(\soc_top/n_6493 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2660  (
+	.A(\soc_top/n_6513 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_23 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2662  (
+	.A(\soc_top/FE_OFN5612_n_5758 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_6 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2663  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_15 ),
+	.B(\soc_top/n_5764 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2665  (
+	.A(\soc_top/n_5772 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2667  (
+	.A(\soc_top/n_5787 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2670  (
+	.A(\soc_top/n_5767 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2679  (
+	.A(\soc_top/n_5770 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2680  (
+	.A(\soc_top/n_5747 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2682  (
+	.A(\soc_top/n_5790 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2683  (
+	.A(\soc_top/n_5759 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g2688  (
+	.A(\soc_top/n_5768 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2580  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_179 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_108 ),
+	.Y(\soc_top/n_5740 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2582  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_180 ),
+	.B(\soc_top/n_7509 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_109 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2583  (
+	.A1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_94 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_104 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_106 ),
+	.X(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_108 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2585  (
+	.A1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_102 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_176 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_98 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2587  (
+	.A1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_97 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_91 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_96 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2589  (
+	.A1(\soc_top/n_7495 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_76 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_93 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_2 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2590  (
+	.A1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_59 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_84 ),
+	.B1(\soc_top/n_7496 ),
+	.C1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_73 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2591  (
+	.A1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_70 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_78 ),
+	.B1(\soc_top/FE_OFN5605_core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_2 ),
+	.B2(\soc_top/n_5752 ),
+	.C1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_56 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2593  (
+	.A1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_177 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_80 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_58 ),
+	.B2(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_33 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_98 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2594  (
+	.A1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_72 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_75 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_40 ),
+	.B2(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_61 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_97 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2595  (
+	.A1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_69 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_79 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_32 ),
+	.B2(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_65 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_96 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2596  (
+	.A1(\soc_top/n_6495 ),
+	.A2(\soc_top/n_7497 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_86 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_95 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2597  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_176 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_89 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_94 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2598  (
+	.A1(\soc_top/n_5800 ),
+	.A2(\soc_top/n_7505 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_68 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_93 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2600  (
+	.A1(\soc_top/n_5749 ),
+	.A2(\soc_top/FE_OFN295_core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_1 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_48 ),
+	.C1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_70 ),
+	.X(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_90 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2601  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_27 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_52 ),
+	.C(\soc_top/n_7495 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_89 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2603  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_29 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_41 ),
+	.C(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_72 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_87 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2604  (
+	.A1(\soc_top/n_7491 ),
+	.A2(\soc_top/n_6507 ),
+	.B1_N(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_73 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_86 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2606  (
+	.A1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_42 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_10 ),
+	.A3(\soc_top/n_5741 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_63 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_84 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2607  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_28 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_50 ),
+	.C(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_69 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_91 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2610  (
+	.A1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_38 ),
+	.A2(\soc_top/FE_OFN5650_n_5769 ),
+	.A3(\soc_top/n_6457 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_44 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_80 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2611  (
+	.A1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_5 ),
+	.A2(\soc_top/n_6451 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_55 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_79 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31a_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2612  (
+	.A1(\soc_top/n_5749 ),
+	.A2(\soc_top/FE_OFN295_core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_1 ),
+	.A3(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_48 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_43 ),
+	.X(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_78 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2613  (
+	.A1(\soc_top/n_7271 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_8 ),
+	.A3(\soc_top/n_6449 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_30 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_77 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2614  (
+	.A1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_9 ),
+	.A2(\soc_top/n_6465 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_54 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_76 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2615  (
+	.A1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_15 ),
+	.A2(\soc_top/n_5790 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_178 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_75 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2617  (
+	.A(\soc_top/n_7501 ),
+	.B(\soc_top/n_5768 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_68 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2620  (
+	.A1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_16 ),
+	.A2(\soc_top/n_6473 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_45 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_65 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_2 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2622  (
+	.A1(\soc_top/n_6449 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_8 ),
+	.B1(\soc_top/n_7271 ),
+	.X(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_73 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2623  (
+	.A1(\soc_top/n_5759 ),
+	.A2(\soc_top/FE_OFN5607_core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_21 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_40 ),
+	.X(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_72 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2625  (
+	.A1(\soc_top/n_6487 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_25 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_53 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_70 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2626  (
+	.A1(\soc_top/n_6473 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_16 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_31 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_69 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2627  (
+	.A1(\soc_top/n_5774 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_22 ),
+	.B1(\soc_top/n_6503 ),
+	.B2(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_3 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2629  (
+	.A1_N(\soc_top/FE_OFN5607_core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_21 ),
+	.A2_N(\soc_top/n_5759 ),
+	.B1(\soc_top/n_6475 ),
+	.B2(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_8 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2631  (
+	.A1(\soc_top/n_6511 ),
+	.A2(\soc_top/n_6502 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_7 ),
+	.B2(\soc_top/n_5744 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2632  (
+	.A1(\soc_top/n_5772 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_24 ),
+	.B1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_14 ),
+	.B2(\soc_top/n_6453 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2634  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_53 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_25 ),
+	.C(\soc_top/n_6487 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2635  (
+	.A(\soc_top/n_5761 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_50 ),
+	.C_N(\soc_top/n_5793 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2636  (
+	.A(\soc_top/n_5765 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_52 ),
+	.C_N(\soc_top/n_6467 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2640  (
+	.A(\soc_top/n_5764 ),
+	.B_N(\soc_top/n_6471 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2641  (
+	.A(\soc_top/n_5770 ),
+	.B_N(\soc_top/n_6455 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2642  (
+	.A_N(\soc_top/n_5750 ),
+	.B(\soc_top/n_6489 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2643  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_22 ),
+	.B(\soc_top/n_5774 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2644  (
+	.A(\soc_top/n_5752 ),
+	.B(\soc_top/FE_OFN5605_core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_2 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2645  (
+	.A(\soc_top/n_6465 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_9 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2647  (
+	.A(\soc_top/n_6451 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_5 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_50 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2649  (
+	.A(\soc_top/n_6489 ),
+	.B_N(\soc_top/n_5750 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2652  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_31 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2653  (
+	.A(\soc_top/n_6497 ),
+	.B_N(\soc_top/n_6493 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2654  (
+	.A(\soc_top/n_5789 ),
+	.B_N(\soc_top/n_5757 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2655  (
+	.A(\soc_top/n_5793 ),
+	.B_N(\soc_top/n_5761 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2656  (
+	.A(\soc_top/n_6467 ),
+	.B_N(\soc_top/n_5765 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2657  (
+	.A(\soc_top/n_6457 ),
+	.B(\soc_top/FE_OFN5650_n_5769 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2658  (
+	.A(\soc_top/n_5790 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_15 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2659  (
+	.A(\soc_top/n_6475 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_8 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2660  (
+	.A_N(\soc_top/n_6455 ),
+	.B(\soc_top/n_5770 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2664  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_24 ),
+	.B(\soc_top/n_5772 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2665  (
+	.A_N(\soc_top/n_6471 ),
+	.B(\soc_top/n_5764 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2675  (
+	.A(\soc_top/n_5763 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2677  (
+	.A(\soc_top/n_5771 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2682  (
+	.A(\soc_top/n_5766 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_2 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_177 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_26 ),
+	.C_N(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_38 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_176 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2692  (
+	.A1(\soc_top/n_6453 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_14 ),
+	.B1_N(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_33 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_177 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2693  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_41 ),
+	.B(\soc_top/n_5757 ),
+	.C_N(\soc_top/n_5789 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_178 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2694  (
+	.A_N(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_94 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_109 ),
+	.C(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_91 ),
+	.D(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_87 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_179 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g2695  (
+	.A1(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_100 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_105 ),
+	.B1(\soc_top/n_7498 ),
+	.C1(\soc_top/n_7499 ),
+	.D1(\soc_top/n_7269 ),
+	.Y(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_180 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \soc_top/core_pc_add_2693_38_g477  (
+	.A(\soc_top/core_pc_io_out[31] ),
+	.B(\soc_top/core_pc_add_2693_38_n_54 ),
+	.X(\soc_top/n_5738 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \soc_top/core_pc_add_2693_38_g478  (
+	.A(\soc_top/core_pc_io_out[30] ),
+	.B(\soc_top/core_pc_add_2693_38_n_52 ),
+	.COUT(\soc_top/core_pc_add_2693_38_n_54 ),
+	.SUM(\soc_top/n_5737 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \soc_top/core_pc_add_2693_38_g479  (
+	.A(\soc_top/core_pc_io_out[29] ),
+	.B(\soc_top/core_pc_add_2693_38_n_50 ),
+	.COUT(\soc_top/core_pc_add_2693_38_n_52 ),
+	.SUM(\soc_top/n_5736 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \soc_top/core_pc_add_2693_38_g480  (
+	.A(\soc_top/core_pc_io_out[28] ),
+	.B(\soc_top/core_pc_add_2693_38_n_48 ),
+	.COUT(\soc_top/core_pc_add_2693_38_n_50 ),
+	.SUM(\soc_top/n_5735 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \soc_top/core_pc_add_2693_38_g481  (
+	.A(\soc_top/core_pc_io_out[27] ),
+	.B(\soc_top/core_pc_add_2693_38_n_46 ),
+	.COUT(\soc_top/core_pc_add_2693_38_n_48 ),
+	.SUM(\soc_top/n_5734 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \soc_top/core_pc_add_2693_38_g482  (
+	.A(\soc_top/core_pc_io_out[26] ),
+	.B(\soc_top/core_pc_add_2693_38_n_44 ),
+	.COUT(\soc_top/core_pc_add_2693_38_n_46 ),
+	.SUM(\soc_top/n_5733 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \soc_top/core_pc_add_2693_38_g483  (
+	.A(\soc_top/core_pc_io_out[25] ),
+	.B(\soc_top/core_pc_add_2693_38_n_42 ),
+	.COUT(\soc_top/core_pc_add_2693_38_n_44 ),
+	.SUM(\soc_top/n_5732 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \soc_top/core_pc_add_2693_38_g484  (
+	.A(\soc_top/core_pc_io_out[24] ),
+	.B(\soc_top/core_pc_add_2693_38_n_40 ),
+	.COUT(\soc_top/core_pc_add_2693_38_n_42 ),
+	.SUM(\soc_top/n_5731 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \soc_top/core_pc_add_2693_38_g485  (
+	.A(\soc_top/core_pc_io_out[23] ),
+	.B(\soc_top/core_pc_add_2693_38_n_38 ),
+	.COUT(\soc_top/core_pc_add_2693_38_n_40 ),
+	.SUM(\soc_top/n_5730 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \soc_top/core_pc_add_2693_38_g486  (
+	.A(\soc_top/core_pc_io_out[22] ),
+	.B(\soc_top/core_pc_add_2693_38_n_36 ),
+	.COUT(\soc_top/core_pc_add_2693_38_n_38 ),
+	.SUM(\soc_top/n_5729 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \soc_top/core_pc_add_2693_38_g487  (
+	.A(\soc_top/core_pc_io_out[21] ),
+	.B(\soc_top/core_pc_add_2693_38_n_34 ),
+	.COUT(\soc_top/core_pc_add_2693_38_n_36 ),
+	.SUM(\soc_top/n_5728 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \soc_top/core_pc_add_2693_38_g488  (
+	.A(\soc_top/core_pc_io_out[20] ),
+	.B(\soc_top/core_pc_add_2693_38_n_32 ),
+	.COUT(\soc_top/core_pc_add_2693_38_n_34 ),
+	.SUM(\soc_top/n_5727 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \soc_top/core_pc_add_2693_38_g489  (
+	.A(\soc_top/core_pc_io_out[19] ),
+	.B(\soc_top/core_pc_add_2693_38_n_30 ),
+	.COUT(\soc_top/core_pc_add_2693_38_n_32 ),
+	.SUM(\soc_top/n_5726 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \soc_top/core_pc_add_2693_38_g490  (
+	.A(\soc_top/core_pc_io_out[18] ),
+	.B(\soc_top/core_pc_add_2693_38_n_28 ),
+	.COUT(\soc_top/core_pc_add_2693_38_n_30 ),
+	.SUM(\soc_top/n_5725 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \soc_top/core_pc_add_2693_38_g491  (
+	.A(\soc_top/core_pc_io_out[17] ),
+	.B(\soc_top/core_pc_add_2693_38_n_26 ),
+	.COUT(\soc_top/core_pc_add_2693_38_n_28 ),
+	.SUM(\soc_top/n_5724 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \soc_top/core_pc_add_2693_38_g492  (
+	.A(\soc_top/core_pc_io_out[16] ),
+	.B(\soc_top/core_pc_add_2693_38_n_24 ),
+	.COUT(\soc_top/core_pc_add_2693_38_n_26 ),
+	.SUM(\soc_top/n_5723 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \soc_top/core_pc_add_2693_38_g493  (
+	.A(\soc_top/core_pc_io_out[15] ),
+	.B(\soc_top/core_pc_add_2693_38_n_22 ),
+	.COUT(\soc_top/core_pc_add_2693_38_n_24 ),
+	.SUM(\soc_top/n_5722 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \soc_top/core_pc_add_2693_38_g494  (
+	.A(\soc_top/core_pc_io_out[14] ),
+	.B(\soc_top/core_pc_add_2693_38_n_20 ),
+	.COUT(\soc_top/core_pc_add_2693_38_n_22 ),
+	.SUM(\soc_top/n_5721 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \soc_top/core_pc_add_2693_38_g495  (
+	.A(\soc_top/core_pc_io_out[13] ),
+	.B(\soc_top/core_pc_add_2693_38_n_18 ),
+	.COUT(\soc_top/core_pc_add_2693_38_n_20 ),
+	.SUM(\soc_top/n_5720 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \soc_top/core_pc_add_2693_38_g496  (
+	.A(\soc_top/core_pc_io_out[12] ),
+	.B(\soc_top/core_pc_add_2693_38_n_16 ),
+	.COUT(\soc_top/core_pc_add_2693_38_n_18 ),
+	.SUM(\soc_top/n_5719 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \soc_top/core_pc_add_2693_38_g497  (
+	.A(\soc_top/core_pc_io_out[11] ),
+	.B(\soc_top/core_pc_add_2693_38_n_14 ),
+	.COUT(\soc_top/core_pc_add_2693_38_n_16 ),
+	.SUM(\soc_top/n_5718 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \soc_top/core_pc_add_2693_38_g498  (
+	.A(\soc_top/core_pc_io_out[10] ),
+	.B(\soc_top/core_pc_add_2693_38_n_12 ),
+	.COUT(\soc_top/core_pc_add_2693_38_n_14 ),
+	.SUM(\soc_top/n_5717 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \soc_top/core_pc_add_2693_38_g499  (
+	.A(\soc_top/core_pc_io_out[9] ),
+	.B(\soc_top/core_pc_add_2693_38_n_10 ),
+	.COUT(\soc_top/core_pc_add_2693_38_n_12 ),
+	.SUM(\soc_top/n_5716 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \soc_top/core_pc_add_2693_38_g500  (
+	.A(\soc_top/core_pc_io_out[8] ),
+	.B(\soc_top/core_pc_add_2693_38_n_8 ),
+	.COUT(\soc_top/core_pc_add_2693_38_n_10 ),
+	.SUM(\soc_top/n_5715 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \soc_top/core_pc_add_2693_38_g501  (
+	.A(\soc_top/core_pc_io_out[7] ),
+	.B(\soc_top/core_pc_add_2693_38_n_6 ),
+	.COUT(\soc_top/core_pc_add_2693_38_n_8 ),
+	.SUM(\soc_top/n_5714 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \soc_top/core_pc_add_2693_38_g502  (
+	.A(\soc_top/core_pc_io_out[6] ),
+	.B(\soc_top/core_pc_add_2693_38_n_4 ),
+	.COUT(\soc_top/core_pc_add_2693_38_n_6 ),
+	.SUM(\soc_top/n_5713 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \soc_top/core_pc_add_2693_38_g503  (
+	.A(\soc_top/core_pc_io_out[5] ),
+	.B(\soc_top/core_pc_add_2693_38_n_2 ),
+	.COUT(\soc_top/core_pc_add_2693_38_n_4 ),
+	.SUM(\soc_top/n_5712 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \soc_top/core_pc_add_2693_38_g504  (
+	.A(\soc_top/core_pc_io_out[4] ),
+	.B(\soc_top/core_pc_add_2693_38_n_0 ),
+	.COUT(\soc_top/core_pc_add_2693_38_n_2 ),
+	.SUM(\soc_top/n_5711 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \soc_top/core_pc_add_2693_38_g505  (
+	.A(\soc_top/core_pc_io_out[2] ),
+	.B(\soc_top/core_pc_io_out[3] ),
+	.COUT(\soc_top/core_pc_add_2693_38_n_0 ),
+	.SUM(\soc_top/n_5710 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \soc_top/g129723  (
+	.A_N(\soc_top/gen_dmem_host_adrReg[4] ),
+	.B(\soc_top/n_2946 ),
+	.C(\soc_top/gen_dmem_host_adrReg[3] ),
+	.Y(\soc_top/n_6885 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_Execute_alu_lt_2333_27_g129961  (
+	.A(\soc_top/n_5955 ),
+	.B_N(\soc_top/n_5954 ),
+	.Y(\soc_top/n_7239 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/core_Execute_alu_lt_2333_27_g129962  (
+	.A_N(\soc_top/n_5954 ),
+	.B(\soc_top/n_5955 ),
+	.Y(\soc_top/n_7240 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_Execute_alu_lt_2333_27_g129963  (
+	.A(\soc_top/n_5953 ),
+	.B_N(\soc_top/n_5952 ),
+	.Y(\soc_top/n_7241 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_Execute_alu_lt_2333_27_g129964  (
+	.A(\soc_top/n_5947 ),
+	.B_N(\soc_top/n_5946 ),
+	.Y(\soc_top/n_7242 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/core_Execute_alu_lt_2333_27_g129965  (
+	.A_N(\soc_top/n_5946 ),
+	.B(\soc_top/n_5947 ),
+	.Y(\soc_top/n_7243 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_Execute_alu_lt_2333_27_g129966  (
+	.A(\soc_top/n_5988 ),
+	.B_N(\soc_top/n_5989 ),
+	.Y(\soc_top/n_7244 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_Execute_alu_lt_2333_27_g129967  (
+	.A(\soc_top/n_5995 ),
+	.B_N(\soc_top/n_5994 ),
+	.Y(\soc_top/n_7245 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_Execute_alu_lt_2333_27_g129968  (
+	.A(\soc_top/n_5972 ),
+	.B_N(\soc_top/n_5973 ),
+	.Y(\soc_top/n_7246 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/core_Execute_alu_lt_2333_27_g129969  (
+	.A_N(\soc_top/n_5977 ),
+	.B(\soc_top/n_5976 ),
+	.Y(\soc_top/n_7247 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_Execute_alu_lt_2333_27_g129970  (
+	.A(\soc_top/n_5985 ),
+	.B_N(\soc_top/n_5984 ),
+	.Y(\soc_top/n_7248 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_Execute_alu_lt_2333_27_g129971  (
+	.A(\soc_top/n_5963 ),
+	.B_N(\soc_top/n_5962 ),
+	.Y(\soc_top/n_7249 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/core_Execute_alu_lt_2333_27_g129972  (
+	.A_N(\soc_top/n_5962 ),
+	.B(\soc_top/n_5963 ),
+	.Y(\soc_top/n_7250 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_Execute_alu_lt_2333_27_g129973  (
+	.A(\soc_top/n_5961 ),
+	.B_N(\soc_top/n_5960 ),
+	.Y(\soc_top/n_7251 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 \soc_top/core_Execute_alu_lt_2333_27_g129974  (
+	.A(\soc_top/n_5952 ),
+	.B(\soc_top/n_7239 ),
+	.C_N(\soc_top/n_5953 ),
+	.X(\soc_top/n_7252 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_Execute_alu_lt_2333_27_g129977  (
+	.A(\soc_top/n_5945 ),
+	.B_N(\soc_top/n_5944 ),
+	.Y(\soc_top/n_7255 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/core_Execute_alu_lt_2333_27_g129978  (
+	.A_N(\soc_top/FE_OFN245_n_5943 ),
+	.B(\soc_top/n_5942 ),
+	.Y(\soc_top/n_7256 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_Execute_alu_lt_2333_27_g129979  (
+	.A(\soc_top/n_5942 ),
+	.B_N(\soc_top/FE_OFN245_n_5943 ),
+	.Y(\soc_top/n_7257 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_Execute_alu_lt_2333_27_g129980  (
+	.A(\soc_top/FE_OFN6632_n_5969 ),
+	.B_N(\soc_top/n_5968 ),
+	.Y(\soc_top/n_7258 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_lt_2333_27_g129981  (
+	.A(\soc_top/n_5991 ),
+	.B(\soc_top/n_7244 ),
+	.Y(\soc_top/n_7259 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 \soc_top/core_Execute_alu_lt_2333_27_g129982  (
+	.A1(\soc_top/n_7244 ),
+	.A2(\soc_top/n_5991 ),
+	.B1_N(\soc_top/n_5990 ),
+	.Y(\soc_top/n_7260 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_lt_2333_27_g129983  (
+	.A(\soc_top/n_5975 ),
+	.B(\soc_top/n_7246 ),
+	.Y(\soc_top/n_7261 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 \soc_top/core_Execute_alu_lt_2333_27_g129984  (
+	.A1(\soc_top/n_7246 ),
+	.A2(\soc_top/n_5975 ),
+	.B1_N(\soc_top/n_5974 ),
+	.Y(\soc_top/n_7262 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 \soc_top/core_Execute_alu_lt_2333_27_g129985  (
+	.A(\soc_top/n_5960 ),
+	.B(\soc_top/n_7249 ),
+	.C_N(\soc_top/n_5961 ),
+	.X(\soc_top/n_7263 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2b_1 \soc_top/core_Execute_alu_lt_2333_27_g129986  (
+	.A(\soc_top/n_5937 ),
+	.B_N(\soc_top/FE_OFN305_n_5936 ),
+	.X(\soc_top/n_7264 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_lt_2333_27_g129987  (
+	.A(\soc_top/n_5939 ),
+	.B(\soc_top/n_7264 ),
+	.Y(\soc_top/n_7265 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_lt_2333_27_g129988  (
+	.A(\soc_top/n_7264 ),
+	.B(\soc_top/n_5939 ),
+	.Y(\soc_top/n_7266 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_lt_2333_27_g129989  (
+	.A1(\soc_top/FE_DBTN2_n_5938 ),
+	.A2(\soc_top/n_7265 ),
+	.B1(\soc_top/n_7266 ),
+	.Y(\soc_top/n_7267 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g129990  (
+	.A(\soc_top/n_6481 ),
+	.B_N(\soc_top/n_5756 ),
+	.Y(\soc_top/n_7268 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g129991  (
+	.A_N(\soc_top/n_6483 ),
+	.B(\soc_top/n_6469 ),
+	.Y(\soc_top/n_7269 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g129992  (
+	.A_N(\soc_top/n_6459 ),
+	.B(\soc_top/n_5787 ),
+	.Y(\soc_top/n_7270 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_4 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g129993  (
+	.A_N(\soc_top/n_6493 ),
+	.B(\soc_top/n_6497 ),
+	.Y(\soc_top/n_7271 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxbp_2 \soc_top/gen_dmem_host_startWBTransaction_reg  (
+	.CLK(\soc_top/CTS_5 ),
+	.D(\soc_top/n_1809 ),
+	.Q(\soc_top/gen_dmem_host_startWBTransaction ),
+	.Q_N(\soc_top/n_2712 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 \soc_top/g130050  (
+	.A1(\soc_top/FE_OFN5610_core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_16 ),
+	.A2(\soc_top/n_6479 ),
+	.B1_N(\soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_n_53 ),
+	.Y(\soc_top/n_7326 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_2 \soc_top/g130062  (
+	.A(\soc_top/n_5979 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_15 ),
+	.CIN(\soc_top/n_7341 ),
+	.COUT(\soc_top/n_7339 ),
+	.SUM(\soc_top/n_7338 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_2 \soc_top/g130063  (
+	.A(\soc_top/n_5977 ),
+	.B(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_9 ),
+	.CIN(\soc_top/core_Execute_alu_sub_2329_32_Y_core_Execute_alu_add_2327_32_n_143 ),
+	.COUT(\soc_top/n_7341 ),
+	.SUM(\soc_top/n_7340 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_lt_2333_27_g130122  (
+	.A(\soc_top/n_5978 ),
+	.B(\soc_top/core_Execute_alu_lt_2331_36_n_7 ),
+	.Y(\soc_top/n_7458 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_lt_2333_27_g130123  (
+	.A(\soc_top/core_Execute_alu_lt_2331_36_n_14 ),
+	.B(\soc_top/n_5980 ),
+	.Y(\soc_top/n_7459 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_lt_2333_27_g130124  (
+	.A1(\soc_top/n_5989 ),
+	.A2(\soc_top/core_Execute_alu_lt_2331_36_n_17 ),
+	.B1(\soc_top/n_5991 ),
+	.B2(\soc_top/core_Execute_alu_lt_2331_36_n_9 ),
+	.Y(\soc_top/n_7460 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_lt_2333_27_g130125  (
+	.A(\soc_top/n_5987 ),
+	.B(\soc_top/core_Execute_alu_lt_2331_36_n_8 ),
+	.Y(\soc_top/n_7461 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \soc_top/core_Execute_alu_lt_2333_27_g130126  (
+	.A1(\soc_top/n_5980 ),
+	.A2(\soc_top/core_Execute_alu_lt_2331_36_n_14 ),
+	.B1(\soc_top/n_5982 ),
+	.B2(\soc_top/core_Execute_alu_lt_2331_36_n_11 ),
+	.Y(\soc_top/n_7462 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \soc_top/core_Execute_alu_lt_2333_27_g130127  (
+	.A_N(\soc_top/n_5976 ),
+	.B(\soc_top/n_7458 ),
+	.C(\soc_top/n_5977 ),
+	.Y(\soc_top/n_7463 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_lt_2333_27_g130128  (
+	.A(\soc_top/n_7459 ),
+	.B(\soc_top/n_5983 ),
+	.Y(\soc_top/n_7464 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32a_1 \soc_top/core_Execute_alu_lt_2331_36_g130129  (
+	.A1(\soc_top/core_Execute_alu_lt_2331_36_n_12 ),
+	.A2(\soc_top/n_5992 ),
+	.A3(\soc_top/n_7245 ),
+	.B1(\soc_top/core_Execute_alu_lt_2331_36_n_1 ),
+	.B2(\soc_top/n_5994 ),
+	.X(\soc_top/n_7465 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_lt_2333_27_g130130  (
+	.A1(\soc_top/n_5965 ),
+	.A2(\soc_top/core_Execute_alu_lt_2331_36_n_10 ),
+	.B1(\soc_top/n_5967 ),
+	.B2(\soc_top/core_Execute_alu_lt_2331_36_n_13 ),
+	.Y(\soc_top/n_7466 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/core_Execute_alu_lt_2333_27_g130131  (
+	.A(\soc_top/core_Execute_alu_lt_2331_36_n_10 ),
+	.B(\soc_top/n_5965 ),
+	.X(\soc_top/n_7467 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_lt_2333_27_g130132  (
+	.A1(\soc_top/n_5957 ),
+	.A2(\soc_top/core_Execute_alu_lt_2331_36_n_3 ),
+	.B1(\soc_top/n_5959 ),
+	.B2(\soc_top/core_Execute_alu_lt_2331_36_n_2 ),
+	.Y(\soc_top/n_7468 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/core_Execute_alu_lt_2333_27_g130133  (
+	.A(\soc_top/core_Execute_alu_lt_2331_36_n_3 ),
+	.B(\soc_top/n_5957 ),
+	.X(\soc_top/n_7469 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_lt_2333_27_g130134  (
+	.A1(\soc_top/n_5949 ),
+	.A2(\soc_top/core_Execute_alu_lt_2331_36_n_18 ),
+	.B1(\soc_top/n_5951 ),
+	.B2(\soc_top/core_Execute_alu_lt_2331_36_n_19 ),
+	.Y(\soc_top/n_7470 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \soc_top/core_Execute_alu_lt_2333_27_g130135  (
+	.A(\soc_top/core_Execute_alu_lt_2331_36_n_18 ),
+	.B(\soc_top/n_5949 ),
+	.X(\soc_top/n_7471 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_sll_2336_30_g130136  (
+	.A(\soc_top/n_6603 ),
+	.B(\soc_top/FE_OFN5633_n_5942 ),
+	.Y(\soc_top/n_7472 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \soc_top/core_Execute_alu_lt_2333_27_g130137  (
+	.A(\soc_top/n_5984 ),
+	.B(\soc_top/n_7461 ),
+	.C_N(\soc_top/n_5985 ),
+	.Y(\soc_top/n_7473 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_Execute_alu_lt_2333_27_g130138  (
+	.A1(\soc_top/core_Execute_alu_lt_2331_36_n_7 ),
+	.A2(\soc_top/n_5978 ),
+	.B1(\soc_top/n_7463 ),
+	.Y(\soc_top/n_7474 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_lt_2333_27_g130139  (
+	.A1(\soc_top/n_5973 ),
+	.A2(\soc_top/core_Execute_alu_lt_2331_36_n_4 ),
+	.B1(\soc_top/n_5975 ),
+	.B2(\soc_top/core_Execute_alu_lt_2331_36_n_5 ),
+	.Y(\soc_top/n_7475 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_Execute_alu_lt_2333_27_g130140  (
+	.A(\soc_top/n_5971 ),
+	.B(\soc_top/core_Execute_alu_lt_2331_36_n_6 ),
+	.Y(\soc_top/n_7476 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \soc_top/core_Execute_alu_lt_2333_27_g130141  (
+	.A(\soc_top/n_7462 ),
+	.B(\soc_top/n_7247 ),
+	.C(\soc_top/n_7458 ),
+	.X(\soc_top/n_7477 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top/core_Execute_alu_lt_2333_27_g130142  (
+	.A1_N(\soc_top/n_5983 ),
+	.A2_N(\soc_top/n_7459 ),
+	.B1(\soc_top/n_5982 ),
+	.B2(\soc_top/n_7464 ),
+	.Y(\soc_top/n_7478 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/core_Execute_alu_lt_2333_27_g130143  (
+	.A1(\soc_top/n_7263 ),
+	.A2(\soc_top/n_7250 ),
+	.B1(\soc_top/n_7466 ),
+	.Y(\soc_top/n_7479 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_lt_2333_27_g130144  (
+	.A(\soc_top/n_7467 ),
+	.B(\soc_top/n_5967 ),
+	.Y(\soc_top/n_7480 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/core_Execute_alu_lt_2333_27_g130145  (
+	.A1(\soc_top/n_7252 ),
+	.A2(\soc_top/n_7240 ),
+	.B1(\soc_top/n_7468 ),
+	.Y(\soc_top/n_7481 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_lt_2333_27_g130146  (
+	.A(\soc_top/n_7469 ),
+	.B(\soc_top/n_5959 ),
+	.Y(\soc_top/n_7482 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_Execute_alu_lt_2333_27_g130147  (
+	.A(\soc_top/n_7471 ),
+	.B(\soc_top/n_5951 ),
+	.Y(\soc_top/n_7483 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/core_Execute_alu_lt_2333_27_g130148  (
+	.A1(\soc_top/core_Execute_alu_lt_2331_36_n_8 ),
+	.A2(\soc_top/n_5987 ),
+	.B1(\soc_top/n_7473 ),
+	.Y(\soc_top/n_7484 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \soc_top/core_Execute_alu_lt_2333_27_g130149  (
+	.A(\soc_top/n_5968 ),
+	.B(\soc_top/n_7476 ),
+	.C_N(\soc_top/FE_OFN6632_n_5969 ),
+	.Y(\soc_top/n_7485 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top/core_Execute_alu_lt_2333_27_g130150  (
+	.A1_N(\soc_top/n_5967 ),
+	.A2_N(\soc_top/n_7467 ),
+	.B1(\soc_top/n_5966 ),
+	.B2(\soc_top/n_7480 ),
+	.Y(\soc_top/n_7486 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top/core_Execute_alu_lt_2333_27_g130151  (
+	.A1_N(\soc_top/n_5959 ),
+	.A2_N(\soc_top/n_7469 ),
+	.B1(\soc_top/n_5958 ),
+	.B2(\soc_top/n_7482 ),
+	.Y(\soc_top/n_7487 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top/core_Execute_alu_lt_2333_27_g130152  (
+	.A1_N(\soc_top/n_5951 ),
+	.A2_N(\soc_top/n_7471 ),
+	.B1(\soc_top/n_5950 ),
+	.B2(\soc_top/n_7483 ),
+	.Y(\soc_top/n_7488 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 \soc_top/core_Execute_alu_lt_2333_27_g130153  (
+	.A(\soc_top/n_7476 ),
+	.B(\soc_top/n_7258 ),
+	.C(\soc_top/n_7475 ),
+	.D_N(\soc_top/n_7477 ),
+	.Y(\soc_top/n_7489 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g130154  (
+	.A1(\soc_top/n_6499 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_17 ),
+	.B1(\soc_top/n_6495 ),
+	.B2(\soc_top/FE_OFN294_core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_10 ),
+	.Y(\soc_top/n_7490 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g130155  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_17 ),
+	.B(\soc_top/n_6499 ),
+	.Y(\soc_top/n_7491 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_lt_2333_27_g130156  (
+	.A1(\soc_top/n_7460 ),
+	.A2(\soc_top/n_7484 ),
+	.B1(\soc_top/n_7259 ),
+	.B2(\soc_top/n_7260 ),
+	.Y(\soc_top/n_7492 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/core_Execute_alu_lt_2333_27_g130157  (
+	.A1(\soc_top/core_Execute_alu_lt_2331_36_n_6 ),
+	.A2(\soc_top/n_5971 ),
+	.B1(\soc_top/n_7485 ),
+	.Y(\soc_top/n_7493 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 \soc_top/core_Execute_alu_lt_2333_27_g130158  (
+	.A(\soc_top/FE_OFN5609_n_6603 ),
+	.B(\soc_top/n_7267 ),
+	.C(\soc_top/n_5941 ),
+	.X(\soc_top/n_7494 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_2 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g130159  (
+	.A1(\soc_top/n_6461 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_18 ),
+	.B1(\soc_top/n_5800 ),
+	.B2(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_0 ),
+	.Y(\soc_top/n_7495 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g130160  (
+	.A1(\soc_top/n_5744 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_7 ),
+	.B1(\soc_top/n_7490 ),
+	.Y(\soc_top/n_7496 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g130161  (
+	.A(\soc_top/n_6507 ),
+	.B(\soc_top/n_7491 ),
+	.Y(\soc_top/n_7497 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g130162  (
+	.A1(\soc_top/n_6459 ),
+	.A2(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_21 ),
+	.B1(\soc_top/n_7268 ),
+	.Y(\soc_top/n_7498 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g130163  (
+	.A(\soc_top/n_6463 ),
+	.B(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_14 ),
+	.Y(\soc_top/n_7499 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g130164  (
+	.A1(\soc_top/n_7270 ),
+	.A2(\soc_top/n_7268 ),
+	.B1(\soc_top/n_5756 ),
+	.B2(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_20 ),
+	.Y(\soc_top/n_7500 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g130165  (
+	.A(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_18 ),
+	.B(\soc_top/n_6461 ),
+	.Y(\soc_top/n_7501 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \soc_top/core_Execute_alu_lt_2333_27_g130166  (
+	.A1(\soc_top/n_7475 ),
+	.A2(\soc_top/n_7493 ),
+	.B1(\soc_top/n_7261 ),
+	.B2(\soc_top/n_7262 ),
+	.Y(\soc_top/n_7502 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/core_Execute_alu_lt_2333_27_g130167  (
+	.A1(\soc_top/n_7494 ),
+	.A2(\soc_top/n_7256 ),
+	.B1(\soc_top/n_7257 ),
+	.Y(\soc_top/n_7503 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g130168  (
+	.A_N(\soc_top/n_6469 ),
+	.B(\soc_top/n_7499 ),
+	.C(\soc_top/n_6483 ),
+	.Y(\soc_top/n_7504 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \soc_top/core_InstructionDecode_bu_gte_2116_41_Y_core_InstructionDecode_bu_lt_2117_41_g130169  (
+	.A(\soc_top/n_5768 ),
+	.B(\soc_top/n_7501 ),
+	.Y(\soc_top/n_7505 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \soc_top/core_Execute_alu_lt_2333_27_g130170  (
+	.A1(\soc_top/n_7462 ),
+	.A2(\soc_top/n_7474 ),
+	.B1(\soc_top/n_7502 ),
+	.B2(\soc_top/n_7477 ),
+	.C1(\soc_top/n_7478 ),
+	.Y(\soc_top/n_7506 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \soc_top/core_Execute_alu_lt_2333_27_g130171  (
+	.A(\soc_top/n_7255 ),
+	.B(\soc_top/n_7242 ),
+	.C(\soc_top/n_7470 ),
+	.D(\soc_top/n_7503 ),
+	.Y(\soc_top/n_7507 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g130172  (
+	.A1(\soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_n_14 ),
+	.A2(\soc_top/n_6463 ),
+	.B1(\soc_top/n_7504 ),
+	.Y(\soc_top/n_7508 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \soc_top/core_InstructionDecode_bu_gte_2114_24_Y_core_InstructionDecode_bu_lt_2115_33_g130173  (
+	.A1(\soc_top/n_7508 ),
+	.A2(\soc_top/n_7498 ),
+	.B1(\soc_top/n_7500 ),
+	.Y(\soc_top/n_7509 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g167 (
+	.A(gpio_oe[1]),
+	.Y(io_oeb[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g168 (
+	.A(gpio_oe[0]),
+	.Y(io_oeb[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g169 (
+	.A(gpio_oe[31]),
+	.Y(io_oeb[37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g170 (
+	.A(gpio_oe[30]),
+	.Y(io_oeb[36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g171 (
+	.A(gpio_oe[29]),
+	.Y(io_oeb[35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g172 (
+	.A(gpio_oe[28]),
+	.Y(io_oeb[34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g173 (
+	.A(gpio_oe[27]),
+	.Y(io_oeb[33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g174 (
+	.A(gpio_oe[26]),
+	.Y(io_oeb[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g175 (
+	.A(gpio_oe[25]),
+	.Y(io_oeb[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g176 (
+	.A(gpio_oe[24]),
+	.Y(io_oeb[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g177 (
+	.A(gpio_oe[23]),
+	.Y(io_oeb[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g178 (
+	.A(gpio_oe[22]),
+	.Y(io_oeb[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g179 (
+	.A(gpio_oe[21]),
+	.Y(io_oeb[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g180 (
+	.A(gpio_oe[20]),
+	.Y(io_oeb[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g181 (
+	.A(gpio_oe[2]),
+	.Y(io_oeb[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g182 (
+	.A(gpio_oe[19]),
+	.Y(io_oeb[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g183 (
+	.A(gpio_oe[18]),
+	.Y(io_oeb[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g184 (
+	.A(gpio_oe[17]),
+	.Y(io_oeb[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g185 (
+	.A(gpio_oe[16]),
+	.Y(io_oeb[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g186 (
+	.A(gpio_oe[15]),
+	.Y(io_oeb[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g187 (
+	.A(gpio_oe[14]),
+	.Y(io_oeb[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g188 (
+	.A(gpio_oe[13]),
+	.Y(io_oeb[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g189 (
+	.A(gpio_oe[12]),
+	.Y(io_oeb[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g190 (
+	.A(gpio_oe[11]),
+	.Y(io_oeb[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g191 (
+	.A(gpio_oe[10]),
+	.Y(io_oeb[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g192 (
+	.A(gpio_oe[9]),
+	.Y(io_oeb[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g193 (
+	.A(gpio_oe[8]),
+	.Y(io_oeb[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g194 (
+	.A(gpio_oe[7]),
+	.Y(io_oeb[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g195 (
+	.A(gpio_oe[6]),
+	.Y(io_oeb[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g196 (
+	.A(gpio_oe[5]),
+	.Y(io_oeb[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g197 (
+	.A(gpio_oe[4]),
+	.Y(io_oeb[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g198 (
+	.A(gpio_oe[3]),
+	.Y(io_oeb[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell (
+	.HI(io_oeb[5]),
+	.LO(io_oeb[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+endmodule
+