fixed drc violation
diff --git a/def/user_project_wrapper.def b/def/user_project_wrapper.def
index df0573c..b6dd213 100644
--- a/def/user_project_wrapper.def
+++ b/def/user_project_wrapper.def
@@ -1699,7 +1699,7 @@
       + PORT
         + LAYER met3 ( -3600 -600 ) ( 3600 600 )
         + PLACED ( -1200 227460 ) N ;
-    - io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL
+    - io_oeb[37] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
       + PORT
         + LAYER met3 ( -3600 -600 ) ( 3600 600 )
         + PLACED ( -1200 32300 ) N ;
@@ -1851,7 +1851,7 @@
       + PORT
         + LAYER met3 ( -3600 -600 ) ( 3600 600 )
         + PLACED ( -1200 292740 ) N ;
-    - io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL
+    - io_out[37] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
       + PORT
         + LAYER met3 ( -3600 -600 ) ( 3600 600 )
         + PLACED ( -1200 96900 ) N ;
@@ -7523,7 +7523,7 @@
       NEW met4 3100 + SHAPE STRIPE ( 1379120 -19070 ) ( 1379120 665000 )
       NEW met4 3100 + SHAPE STRIPE ( 1199120 -19070 ) ( 1199120 665000 ) ;
 END SPECIALNETS
-NETS 637 ;
+NETS 635 ;
     - analog_io[0] ( PIN analog_io[0] ) + USE SIGNAL ;
     - analog_io[10] ( PIN analog_io[10] ) + USE SIGNAL ;
     - analog_io[11] ( PIN analog_io[11] ) + USE SIGNAL ;
@@ -7553,120 +7553,118 @@
     - analog_io[7] ( PIN analog_io[7] ) + USE SIGNAL ;
     - analog_io[8] ( PIN analog_io[8] ) + USE SIGNAL ;
     - analog_io[9] ( PIN analog_io[9] ) + USE SIGNAL ;
-    - io_in[0] ( PIN io_in[0] ) ( mprj io_in[0] ) + USE SIGNAL ;
-    - io_in[10] ( PIN io_in[10] ) ( mprj io_in[10] ) + USE SIGNAL ;
-    - io_in[11] ( PIN io_in[11] ) ( mprj io_in[11] ) + USE SIGNAL ;
-    - io_in[12] ( PIN io_in[12] ) ( mprj io_in[12] ) + USE SIGNAL ;
-    - io_in[13] ( PIN io_in[13] ) ( mprj io_in[13] ) + USE SIGNAL ;
-    - io_in[14] ( PIN io_in[14] ) ( mprj io_in[14] ) + USE SIGNAL ;
-    - io_in[15] ( PIN io_in[15] ) ( mprj io_in[15] ) + USE SIGNAL ;
-    - io_in[16] ( PIN io_in[16] ) ( mprj io_in[16] ) + USE SIGNAL ;
-    - io_in[17] ( PIN io_in[17] ) ( mprj io_in[17] ) + USE SIGNAL ;
-    - io_in[18] ( PIN io_in[18] ) ( mprj io_in[18] ) + USE SIGNAL ;
-    - io_in[19] ( PIN io_in[19] ) ( mprj io_in[19] ) + USE SIGNAL ;
-    - io_in[1] ( PIN io_in[1] ) ( mprj io_in[1] ) + USE SIGNAL ;
-    - io_in[20] ( PIN io_in[20] ) ( mprj io_in[20] ) + USE SIGNAL ;
-    - io_in[21] ( PIN io_in[21] ) ( mprj io_in[21] ) + USE SIGNAL ;
-    - io_in[22] ( PIN io_in[22] ) ( mprj io_in[22] ) + USE SIGNAL ;
-    - io_in[23] ( PIN io_in[23] ) ( mprj io_in[23] ) + USE SIGNAL ;
-    - io_in[24] ( PIN io_in[24] ) ( mprj io_in[24] ) + USE SIGNAL ;
-    - io_in[25] ( PIN io_in[25] ) ( mprj io_in[25] ) + USE SIGNAL ;
-    - io_in[26] ( PIN io_in[26] ) ( mprj io_in[26] ) + USE SIGNAL ;
-    - io_in[27] ( PIN io_in[27] ) ( mprj io_in[27] ) + USE SIGNAL ;
-    - io_in[28] ( PIN io_in[28] ) ( mprj io_in[28] ) + USE SIGNAL ;
-    - io_in[29] ( PIN io_in[29] ) ( mprj io_in[29] ) + USE SIGNAL ;
-    - io_in[2] ( PIN io_in[2] ) ( mprj io_in[2] ) + USE SIGNAL ;
-    - io_in[30] ( PIN io_in[30] ) ( mprj io_in[30] ) + USE SIGNAL ;
-    - io_in[31] ( PIN io_in[31] ) ( mprj io_in[31] ) + USE SIGNAL ;
-    - io_in[32] ( PIN io_in[32] ) ( mprj io_in[32] ) + USE SIGNAL ;
-    - io_in[33] ( PIN io_in[33] ) ( mprj io_in[33] ) + USE SIGNAL ;
-    - io_in[34] ( PIN io_in[34] ) ( mprj io_in[34] ) + USE SIGNAL ;
-    - io_in[35] ( PIN io_in[35] ) ( mprj io_in[35] ) + USE SIGNAL ;
-    - io_in[36] ( PIN io_in[36] ) ( mprj io_in[36] ) + USE SIGNAL ;
-    - io_in[37] ( PIN io_in[37] ) ( mprj io_in[37] ) + USE SIGNAL ;
-    - io_in[3] ( PIN io_in[3] ) ( mprj io_in[3] ) + USE SIGNAL ;
-    - io_in[4] ( PIN io_in[4] ) ( mprj io_in[4] ) + USE SIGNAL ;
-    - io_in[5] ( PIN io_in[5] ) ( mprj io_in[5] ) + USE SIGNAL ;
-    - io_in[6] ( PIN io_in[6] ) ( mprj io_in[6] ) + USE SIGNAL ;
-    - io_in[7] ( PIN io_in[7] ) ( mprj io_in[7] ) + USE SIGNAL ;
-    - io_in[8] ( PIN io_in[8] ) ( mprj io_in[8] ) + USE SIGNAL ;
-    - io_in[9] ( PIN io_in[9] ) ( mprj io_in[9] ) + USE SIGNAL ;
-    - io_oeb[0] ( PIN io_oeb[0] ) ( mprj io_oeb[0] ) + USE SIGNAL ;
-    - io_oeb[10] ( PIN io_oeb[10] ) ( mprj io_oeb[10] ) + USE SIGNAL ;
-    - io_oeb[11] ( PIN io_oeb[11] ) ( mprj io_oeb[11] ) + USE SIGNAL ;
-    - io_oeb[12] ( PIN io_oeb[12] ) ( mprj io_oeb[12] ) + USE SIGNAL ;
-    - io_oeb[13] ( PIN io_oeb[13] ) ( mprj io_oeb[13] ) + USE SIGNAL ;
-    - io_oeb[14] ( PIN io_oeb[14] ) ( mprj io_oeb[14] ) + USE SIGNAL ;
-    - io_oeb[15] ( PIN io_oeb[15] ) ( mprj io_oeb[15] ) + USE SIGNAL ;
-    - io_oeb[16] ( PIN io_oeb[16] ) ( mprj io_oeb[16] ) + USE SIGNAL ;
-    - io_oeb[17] ( PIN io_oeb[17] ) ( mprj io_oeb[17] ) + USE SIGNAL ;
-    - io_oeb[18] ( PIN io_oeb[18] ) ( mprj io_oeb[18] ) + USE SIGNAL ;
-    - io_oeb[19] ( PIN io_oeb[19] ) ( mprj io_oeb[19] ) + USE SIGNAL ;
-    - io_oeb[1] ( PIN io_oeb[1] ) ( mprj io_oeb[1] ) + USE SIGNAL ;
-    - io_oeb[20] ( PIN io_oeb[20] ) ( mprj io_oeb[20] ) + USE SIGNAL ;
-    - io_oeb[21] ( PIN io_oeb[21] ) ( mprj io_oeb[21] ) + USE SIGNAL ;
-    - io_oeb[22] ( PIN io_oeb[22] ) ( mprj io_oeb[22] ) + USE SIGNAL ;
-    - io_oeb[23] ( PIN io_oeb[23] ) ( mprj io_oeb[23] ) + USE SIGNAL ;
-    - io_oeb[24] ( PIN io_oeb[24] ) ( mprj io_oeb[24] ) + USE SIGNAL ;
-    - io_oeb[25] ( PIN io_oeb[25] ) ( mprj io_oeb[25] ) + USE SIGNAL ;
-    - io_oeb[26] ( PIN io_oeb[26] ) ( mprj io_oeb[26] ) + USE SIGNAL ;
-    - io_oeb[27] ( PIN io_oeb[27] ) ( mprj io_oeb[27] ) + USE SIGNAL ;
-    - io_oeb[28] ( PIN io_oeb[28] ) ( mprj io_oeb[28] ) + USE SIGNAL ;
-    - io_oeb[29] ( PIN io_oeb[29] ) ( mprj io_oeb[29] ) + USE SIGNAL ;
-    - io_oeb[2] ( PIN io_oeb[2] ) ( mprj io_oeb[2] ) + USE SIGNAL ;
-    - io_oeb[30] ( PIN io_oeb[30] ) ( mprj io_oeb[30] ) + USE SIGNAL ;
-    - io_oeb[31] ( PIN io_oeb[31] ) ( mprj io_oeb[31] ) + USE SIGNAL ;
-    - io_oeb[32] ( PIN io_oeb[32] ) ( mprj io_oeb[32] ) + USE SIGNAL ;
-    - io_oeb[33] ( PIN io_oeb[33] ) ( mprj io_oeb[33] ) + USE SIGNAL ;
-    - io_oeb[34] ( PIN io_oeb[34] ) ( mprj io_oeb[34] ) + USE SIGNAL ;
-    - io_oeb[35] ( PIN io_oeb[35] ) ( mprj io_oeb[35] ) + USE SIGNAL ;
-    - io_oeb[36] ( PIN io_oeb[36] ) ( mprj io_oeb[36] ) + USE SIGNAL ;
-    - io_oeb[37] ( PIN io_oeb[37] ) ( mprj io_oeb[37] ) + USE SIGNAL ;
-    - io_oeb[3] ( PIN io_oeb[3] ) ( mprj io_oeb[3] ) + USE SIGNAL ;
-    - io_oeb[4] ( PIN io_oeb[4] ) ( mprj io_oeb[4] ) + USE SIGNAL ;
-    - io_oeb[5] ( PIN io_oeb[5] ) ( mprj io_oeb[5] ) + USE SIGNAL ;
-    - io_oeb[6] ( PIN io_oeb[6] ) ( mprj io_oeb[6] ) + USE SIGNAL ;
-    - io_oeb[7] ( PIN io_oeb[7] ) ( mprj io_oeb[7] ) + USE SIGNAL ;
-    - io_oeb[8] ( PIN io_oeb[8] ) ( mprj io_oeb[8] ) + USE SIGNAL ;
-    - io_oeb[9] ( PIN io_oeb[9] ) ( mprj io_oeb[9] ) + USE SIGNAL ;
-    - io_out[0] ( PIN io_out[0] ) ( mprj io_out[0] ) + USE SIGNAL ;
-    - io_out[10] ( PIN io_out[10] ) ( mprj io_out[10] ) + USE SIGNAL ;
-    - io_out[11] ( PIN io_out[11] ) ( mprj io_out[11] ) + USE SIGNAL ;
-    - io_out[12] ( PIN io_out[12] ) ( mprj io_out[12] ) + USE SIGNAL ;
-    - io_out[13] ( PIN io_out[13] ) ( mprj io_out[13] ) + USE SIGNAL ;
-    - io_out[14] ( PIN io_out[14] ) ( mprj io_out[14] ) + USE SIGNAL ;
-    - io_out[15] ( PIN io_out[15] ) ( mprj io_out[15] ) + USE SIGNAL ;
-    - io_out[16] ( PIN io_out[16] ) ( mprj io_out[16] ) + USE SIGNAL ;
-    - io_out[17] ( PIN io_out[17] ) ( mprj io_out[17] ) + USE SIGNAL ;
-    - io_out[18] ( PIN io_out[18] ) ( mprj io_out[18] ) + USE SIGNAL ;
-    - io_out[19] ( PIN io_out[19] ) ( mprj io_out[19] ) + USE SIGNAL ;
-    - io_out[1] ( PIN io_out[1] ) ( mprj io_out[1] ) + USE SIGNAL ;
-    - io_out[20] ( PIN io_out[20] ) ( mprj io_out[20] ) + USE SIGNAL ;
-    - io_out[21] ( PIN io_out[21] ) ( mprj io_out[21] ) + USE SIGNAL ;
-    - io_out[22] ( PIN io_out[22] ) ( mprj io_out[22] ) + USE SIGNAL ;
-    - io_out[23] ( PIN io_out[23] ) ( mprj io_out[23] ) + USE SIGNAL ;
-    - io_out[24] ( PIN io_out[24] ) ( mprj io_out[24] ) + USE SIGNAL ;
-    - io_out[25] ( PIN io_out[25] ) ( mprj io_out[25] ) + USE SIGNAL ;
-    - io_out[26] ( PIN io_out[26] ) ( mprj io_out[26] ) + USE SIGNAL ;
-    - io_out[27] ( PIN io_out[27] ) ( mprj io_out[27] ) + USE SIGNAL ;
-    - io_out[28] ( PIN io_out[28] ) ( mprj io_out[28] ) + USE SIGNAL ;
-    - io_out[29] ( PIN io_out[29] ) ( mprj io_out[29] ) + USE SIGNAL ;
-    - io_out[2] ( PIN io_out[2] ) ( mprj io_out[2] ) + USE SIGNAL ;
-    - io_out[30] ( PIN io_out[30] ) ( mprj io_out[30] ) + USE SIGNAL ;
-    - io_out[31] ( PIN io_out[31] ) ( mprj io_out[31] ) + USE SIGNAL ;
-    - io_out[32] ( PIN io_out[32] ) ( mprj io_out[32] ) + USE SIGNAL ;
-    - io_out[33] ( PIN io_out[33] ) ( mprj io_out[33] ) + USE SIGNAL ;
-    - io_out[34] ( PIN io_out[34] ) ( mprj io_out[34] ) + USE SIGNAL ;
-    - io_out[35] ( PIN io_out[35] ) ( mprj io_out[35] ) + USE SIGNAL ;
-    - io_out[36] ( PIN io_out[36] ) ( mprj io_out[36] ) + USE SIGNAL ;
-    - io_out[37] ( PIN io_out[37] ) ( mprj io_out[37] ) + USE SIGNAL ;
-    - io_out[3] ( PIN io_out[3] ) ( mprj io_out[3] ) + USE SIGNAL ;
-    - io_out[4] ( PIN io_out[4] ) ( mprj io_out[4] ) + USE SIGNAL ;
-    - io_out[5] ( PIN io_out[5] ) ( mprj io_out[5] ) + USE SIGNAL ;
-    - io_out[6] ( PIN io_out[6] ) ( mprj io_out[6] ) + USE SIGNAL ;
-    - io_out[7] ( PIN io_out[7] ) ( mprj io_out[7] ) + USE SIGNAL ;
-    - io_out[8] ( PIN io_out[8] ) ( mprj io_out[8] ) + USE SIGNAL ;
-    - io_out[9] ( PIN io_out[9] ) ( mprj io_out[9] ) + USE SIGNAL ;
+    - io_in[0] ( PIN io_in[0] ) + USE SIGNAL ;
+    - io_in[10] ( PIN io_in[10] ) + USE SIGNAL ;
+    - io_in[11] ( PIN io_in[11] ) + USE SIGNAL ;
+    - io_in[12] ( PIN io_in[12] ) + USE SIGNAL ;
+    - io_in[13] ( PIN io_in[13] ) + USE SIGNAL ;
+    - io_in[14] ( PIN io_in[14] ) + USE SIGNAL ;
+    - io_in[15] ( PIN io_in[15] ) + USE SIGNAL ;
+    - io_in[16] ( PIN io_in[16] ) + USE SIGNAL ;
+    - io_in[17] ( PIN io_in[17] ) + USE SIGNAL ;
+    - io_in[18] ( PIN io_in[18] ) + USE SIGNAL ;
+    - io_in[19] ( PIN io_in[19] ) + USE SIGNAL ;
+    - io_in[1] ( PIN io_in[1] ) + USE SIGNAL ;
+    - io_in[20] ( PIN io_in[20] ) + USE SIGNAL ;
+    - io_in[21] ( PIN io_in[21] ) + USE SIGNAL ;
+    - io_in[22] ( PIN io_in[22] ) + USE SIGNAL ;
+    - io_in[23] ( PIN io_in[23] ) + USE SIGNAL ;
+    - io_in[24] ( PIN io_in[24] ) + USE SIGNAL ;
+    - io_in[25] ( PIN io_in[25] ) + USE SIGNAL ;
+    - io_in[26] ( PIN io_in[26] ) + USE SIGNAL ;
+    - io_in[27] ( PIN io_in[27] ) + USE SIGNAL ;
+    - io_in[28] ( PIN io_in[28] ) + USE SIGNAL ;
+    - io_in[29] ( PIN io_in[29] ) + USE SIGNAL ;
+    - io_in[2] ( PIN io_in[2] ) + USE SIGNAL ;
+    - io_in[30] ( PIN io_in[30] ) + USE SIGNAL ;
+    - io_in[31] ( PIN io_in[31] ) + USE SIGNAL ;
+    - io_in[32] ( PIN io_in[32] ) + USE SIGNAL ;
+    - io_in[33] ( PIN io_in[33] ) + USE SIGNAL ;
+    - io_in[34] ( PIN io_in[34] ) + USE SIGNAL ;
+    - io_in[35] ( PIN io_in[35] ) + USE SIGNAL ;
+    - io_in[36] ( PIN io_in[36] ) + USE SIGNAL ;
+    - io_in[37] ( PIN io_in[37] ) + USE SIGNAL ;
+    - io_in[3] ( PIN io_in[3] ) + USE SIGNAL ;
+    - io_in[4] ( PIN io_in[4] ) + USE SIGNAL ;
+    - io_in[5] ( PIN io_in[5] ) + USE SIGNAL ;
+    - io_in[6] ( PIN io_in[6] ) + USE SIGNAL ;
+    - io_in[7] ( PIN io_in[7] ) + USE SIGNAL ;
+    - io_in[8] ( PIN io_in[8] ) + USE SIGNAL ;
+    - io_in[9] ( PIN io_in[9] ) + USE SIGNAL ;
+    - io_oeb[0] ( PIN io_oeb[0] ) + USE SIGNAL ;
+    - io_oeb[10] ( PIN io_oeb[10] ) + USE SIGNAL ;
+    - io_oeb[11] ( PIN io_oeb[11] ) + USE SIGNAL ;
+    - io_oeb[12] ( PIN io_oeb[12] ) + USE SIGNAL ;
+    - io_oeb[13] ( PIN io_oeb[13] ) + USE SIGNAL ;
+    - io_oeb[14] ( PIN io_oeb[14] ) + USE SIGNAL ;
+    - io_oeb[15] ( PIN io_oeb[15] ) + USE SIGNAL ;
+    - io_oeb[16] ( PIN io_oeb[16] ) + USE SIGNAL ;
+    - io_oeb[17] ( PIN io_oeb[17] ) + USE SIGNAL ;
+    - io_oeb[18] ( PIN io_oeb[18] ) + USE SIGNAL ;
+    - io_oeb[19] ( PIN io_oeb[19] ) + USE SIGNAL ;
+    - io_oeb[1] ( PIN io_oeb[1] ) + USE SIGNAL ;
+    - io_oeb[20] ( PIN io_oeb[20] ) + USE SIGNAL ;
+    - io_oeb[21] ( PIN io_oeb[21] ) + USE SIGNAL ;
+    - io_oeb[22] ( PIN io_oeb[22] ) + USE SIGNAL ;
+    - io_oeb[23] ( PIN io_oeb[23] ) + USE SIGNAL ;
+    - io_oeb[24] ( PIN io_oeb[24] ) + USE SIGNAL ;
+    - io_oeb[25] ( PIN io_oeb[25] ) + USE SIGNAL ;
+    - io_oeb[26] ( PIN io_oeb[26] ) + USE SIGNAL ;
+    - io_oeb[27] ( PIN io_oeb[27] ) + USE SIGNAL ;
+    - io_oeb[28] ( PIN io_oeb[28] ) + USE SIGNAL ;
+    - io_oeb[29] ( PIN io_oeb[29] ) + USE SIGNAL ;
+    - io_oeb[2] ( PIN io_oeb[2] ) + USE SIGNAL ;
+    - io_oeb[30] ( PIN io_oeb[30] ) + USE SIGNAL ;
+    - io_oeb[31] ( PIN io_oeb[31] ) + USE SIGNAL ;
+    - io_oeb[32] ( PIN io_oeb[32] ) + USE SIGNAL ;
+    - io_oeb[33] ( PIN io_oeb[33] ) + USE SIGNAL ;
+    - io_oeb[34] ( PIN io_oeb[34] ) + USE SIGNAL ;
+    - io_oeb[35] ( PIN io_oeb[35] ) + USE SIGNAL ;
+    - io_oeb[36] ( PIN io_oeb[36] ) + USE SIGNAL ;
+    - io_oeb[3] ( PIN io_oeb[3] ) + USE SIGNAL ;
+    - io_oeb[4] ( PIN io_oeb[4] ) + USE SIGNAL ;
+    - io_oeb[5] ( PIN io_oeb[5] ) + USE SIGNAL ;
+    - io_oeb[6] ( PIN io_oeb[6] ) + USE SIGNAL ;
+    - io_oeb[7] ( PIN io_oeb[7] ) + USE SIGNAL ;
+    - io_oeb[8] ( PIN io_oeb[8] ) + USE SIGNAL ;
+    - io_oeb[9] ( PIN io_oeb[9] ) + USE SIGNAL ;
+    - io_out[0] ( PIN io_out[0] ) + USE SIGNAL ;
+    - io_out[10] ( PIN io_out[10] ) + USE SIGNAL ;
+    - io_out[11] ( PIN io_out[11] ) + USE SIGNAL ;
+    - io_out[12] ( PIN io_out[12] ) + USE SIGNAL ;
+    - io_out[13] ( PIN io_out[13] ) + USE SIGNAL ;
+    - io_out[14] ( PIN io_out[14] ) + USE SIGNAL ;
+    - io_out[15] ( PIN io_out[15] ) + USE SIGNAL ;
+    - io_out[16] ( PIN io_out[16] ) + USE SIGNAL ;
+    - io_out[17] ( PIN io_out[17] ) + USE SIGNAL ;
+    - io_out[18] ( PIN io_out[18] ) + USE SIGNAL ;
+    - io_out[19] ( PIN io_out[19] ) + USE SIGNAL ;
+    - io_out[1] ( PIN io_out[1] ) + USE SIGNAL ;
+    - io_out[20] ( PIN io_out[20] ) + USE SIGNAL ;
+    - io_out[21] ( PIN io_out[21] ) + USE SIGNAL ;
+    - io_out[22] ( PIN io_out[22] ) + USE SIGNAL ;
+    - io_out[23] ( PIN io_out[23] ) + USE SIGNAL ;
+    - io_out[24] ( PIN io_out[24] ) + USE SIGNAL ;
+    - io_out[25] ( PIN io_out[25] ) + USE SIGNAL ;
+    - io_out[26] ( PIN io_out[26] ) + USE SIGNAL ;
+    - io_out[27] ( PIN io_out[27] ) + USE SIGNAL ;
+    - io_out[28] ( PIN io_out[28] ) + USE SIGNAL ;
+    - io_out[29] ( PIN io_out[29] ) + USE SIGNAL ;
+    - io_out[2] ( PIN io_out[2] ) + USE SIGNAL ;
+    - io_out[30] ( PIN io_out[30] ) + USE SIGNAL ;
+    - io_out[31] ( PIN io_out[31] ) + USE SIGNAL ;
+    - io_out[32] ( PIN io_out[32] ) + USE SIGNAL ;
+    - io_out[33] ( PIN io_out[33] ) + USE SIGNAL ;
+    - io_out[34] ( PIN io_out[34] ) + USE SIGNAL ;
+    - io_out[35] ( PIN io_out[35] ) + USE SIGNAL ;
+    - io_out[36] ( PIN io_out[36] ) + USE SIGNAL ;
+    - io_out[3] ( PIN io_out[3] ) + USE SIGNAL ;
+    - io_out[4] ( PIN io_out[4] ) + USE SIGNAL ;
+    - io_out[5] ( PIN io_out[5] ) + USE SIGNAL ;
+    - io_out[6] ( PIN io_out[6] ) + USE SIGNAL ;
+    - io_out[7] ( PIN io_out[7] ) + USE SIGNAL ;
+    - io_out[8] ( PIN io_out[8] ) + USE SIGNAL ;
+    - io_out[9] ( PIN io_out[9] ) + USE SIGNAL ;
     - la_data_in[0] ( PIN la_data_in[0] ) + USE SIGNAL ;
     - la_data_in[100] ( PIN la_data_in[100] ) + USE SIGNAL ;
     - la_data_in[101] ( PIN la_data_in[101] ) + USE SIGNAL ;
@@ -8160,6 +8158,6 @@
     - wbs_sel_i[3] ( PIN wbs_sel_i[3] ) ( mprj wbs_sel_i[3] ) + USE SIGNAL ;
     - wbs_stb_i ( PIN wbs_stb_i ) ( mprj wbs_stb_i ) + USE SIGNAL ;
     - wbs_we_i ( PIN wbs_we_i ) ( mprj wbs_we_i ) + USE SIGNAL ;
-    - zero_ ( PIN la_data_out[127] ) + USE GROUND ;
+    - zero_ ( PIN la_data_out[127] ) ( PIN io_out[37] ) ( PIN io_oeb[37] ) + USE GROUND ;
 END NETS
 END DESIGN
diff --git a/gds/user_project_wrapper.gds b/gds/user_project_wrapper.gds
index e48d841..8298dfd 100644
--- a/gds/user_project_wrapper.gds
+++ b/gds/user_project_wrapper.gds
Binary files differ
diff --git a/lef/user_project_wrapper.lef b/lef/user_project_wrapper.lef
index b70b264..f0b861a 100644
--- a/lef/user_project_wrapper.lef
+++ b/lef/user_project_wrapper.lef
@@ -6627,46 +6627,9 @@
       LAYER li1 ;
         RECT 1175.000 675.000 2375.140 1605.580 ;
       LAYER met1 ;
-        RECT 2.830 14.660 2917.250 3515.220 ;
+        RECT 2.830 14.320 2917.250 1605.580 ;
       LAYER met2 ;
-        RECT 2.860 3517.320 40.150 3518.050 ;
-        RECT 41.270 3517.320 121.110 3518.050 ;
-        RECT 122.230 3517.320 202.070 3518.050 ;
-        RECT 203.190 3517.320 283.490 3518.050 ;
-        RECT 284.610 3517.320 364.450 3518.050 ;
-        RECT 365.570 3517.320 445.410 3518.050 ;
-        RECT 446.530 3517.320 526.830 3518.050 ;
-        RECT 527.950 3517.320 607.790 3518.050 ;
-        RECT 608.910 3517.320 688.750 3518.050 ;
-        RECT 689.870 3517.320 770.170 3518.050 ;
-        RECT 771.290 3517.320 851.130 3518.050 ;
-        RECT 852.250 3517.320 932.090 3518.050 ;
-        RECT 933.210 3517.320 1013.510 3518.050 ;
-        RECT 1014.630 3517.320 1094.470 3518.050 ;
-        RECT 1095.590 3517.320 1175.430 3518.050 ;
-        RECT 1176.550 3517.320 1256.850 3518.050 ;
-        RECT 1257.970 3517.320 1337.810 3518.050 ;
-        RECT 1338.930 3517.320 1418.770 3518.050 ;
-        RECT 1419.890 3517.320 1500.190 3518.050 ;
-        RECT 1501.310 3517.320 1581.150 3518.050 ;
-        RECT 1582.270 3517.320 1662.110 3518.050 ;
-        RECT 1663.230 3517.320 1743.530 3518.050 ;
-        RECT 1744.650 3517.320 1824.490 3518.050 ;
-        RECT 1825.610 3517.320 1905.450 3518.050 ;
-        RECT 1906.570 3517.320 1986.870 3518.050 ;
-        RECT 1987.990 3517.320 2067.830 3518.050 ;
-        RECT 2068.950 3517.320 2148.790 3518.050 ;
-        RECT 2149.910 3517.320 2230.210 3518.050 ;
-        RECT 2231.330 3517.320 2311.170 3518.050 ;
-        RECT 2312.290 3517.320 2392.130 3518.050 ;
-        RECT 2393.250 3517.320 2473.550 3518.050 ;
-        RECT 2474.670 3517.320 2554.510 3518.050 ;
-        RECT 2555.630 3517.320 2635.470 3518.050 ;
-        RECT 2636.590 3517.320 2716.890 3518.050 ;
-        RECT 2718.010 3517.320 2797.850 3518.050 ;
-        RECT 2798.970 3517.320 2878.810 3518.050 ;
-        RECT 2879.930 3517.320 2917.220 3518.050 ;
-        RECT 2.860 2.680 2917.220 3517.320 ;
+        RECT 2.860 2.680 2917.220 1605.580 ;
         RECT 3.550 1.630 7.950 2.680 ;
         RECT 9.070 1.630 13.930 2.680 ;
         RECT 15.050 1.630 19.910 2.680 ;
@@ -7161,221 +7124,64 @@
         RECT 2905.690 1.630 2910.550 2.680 ;
         RECT 2911.670 1.630 2916.530 2.680 ;
       LAYER met3 ;
-        RECT 2.800 3485.700 2917.200 3486.185 ;
-        RECT 2.400 3485.020 2917.200 3485.700 ;
-        RECT 2.400 3422.420 2917.600 3485.020 ;
-        RECT 2.800 3420.420 2917.600 3422.420 ;
-        RECT 2.400 3420.380 2917.600 3420.420 ;
-        RECT 2.400 3418.380 2917.200 3420.380 ;
-        RECT 2.400 3357.140 2917.600 3418.380 ;
-        RECT 2.800 3355.140 2917.600 3357.140 ;
-        RECT 2.400 3354.420 2917.600 3355.140 ;
-        RECT 2.400 3352.420 2917.200 3354.420 ;
-        RECT 2.400 3291.860 2917.600 3352.420 ;
-        RECT 2.800 3289.860 2917.600 3291.860 ;
-        RECT 2.400 3287.780 2917.600 3289.860 ;
-        RECT 2.400 3285.780 2917.200 3287.780 ;
-        RECT 2.400 3226.580 2917.600 3285.780 ;
-        RECT 2.800 3224.580 2917.600 3226.580 ;
-        RECT 2.400 3221.140 2917.600 3224.580 ;
-        RECT 2.400 3219.140 2917.200 3221.140 ;
-        RECT 2.400 3161.300 2917.600 3219.140 ;
-        RECT 2.800 3159.300 2917.600 3161.300 ;
-        RECT 2.400 3155.180 2917.600 3159.300 ;
-        RECT 2.400 3153.180 2917.200 3155.180 ;
-        RECT 2.400 3096.700 2917.600 3153.180 ;
-        RECT 2.800 3094.700 2917.600 3096.700 ;
-        RECT 2.400 3088.540 2917.600 3094.700 ;
-        RECT 2.400 3086.540 2917.200 3088.540 ;
-        RECT 2.400 3031.420 2917.600 3086.540 ;
-        RECT 2.800 3029.420 2917.600 3031.420 ;
-        RECT 2.400 3021.900 2917.600 3029.420 ;
-        RECT 2.400 3019.900 2917.200 3021.900 ;
-        RECT 2.400 2966.140 2917.600 3019.900 ;
-        RECT 2.800 2964.140 2917.600 2966.140 ;
-        RECT 2.400 2955.940 2917.600 2964.140 ;
-        RECT 2.400 2953.940 2917.200 2955.940 ;
-        RECT 2.400 2900.860 2917.600 2953.940 ;
-        RECT 2.800 2898.860 2917.600 2900.860 ;
-        RECT 2.400 2889.300 2917.600 2898.860 ;
-        RECT 2.400 2887.300 2917.200 2889.300 ;
-        RECT 2.400 2835.580 2917.600 2887.300 ;
-        RECT 2.800 2833.580 2917.600 2835.580 ;
-        RECT 2.400 2822.660 2917.600 2833.580 ;
-        RECT 2.400 2820.660 2917.200 2822.660 ;
-        RECT 2.400 2770.300 2917.600 2820.660 ;
-        RECT 2.800 2768.300 2917.600 2770.300 ;
-        RECT 2.400 2756.700 2917.600 2768.300 ;
-        RECT 2.400 2754.700 2917.200 2756.700 ;
-        RECT 2.400 2705.020 2917.600 2754.700 ;
-        RECT 2.800 2703.020 2917.600 2705.020 ;
-        RECT 2.400 2690.060 2917.600 2703.020 ;
-        RECT 2.400 2688.060 2917.200 2690.060 ;
-        RECT 2.400 2640.420 2917.600 2688.060 ;
-        RECT 2.800 2638.420 2917.600 2640.420 ;
-        RECT 2.400 2623.420 2917.600 2638.420 ;
-        RECT 2.400 2621.420 2917.200 2623.420 ;
-        RECT 2.400 2575.140 2917.600 2621.420 ;
-        RECT 2.800 2573.140 2917.600 2575.140 ;
-        RECT 2.400 2557.460 2917.600 2573.140 ;
-        RECT 2.400 2555.460 2917.200 2557.460 ;
-        RECT 2.400 2509.860 2917.600 2555.460 ;
-        RECT 2.800 2507.860 2917.600 2509.860 ;
-        RECT 2.400 2490.820 2917.600 2507.860 ;
-        RECT 2.400 2488.820 2917.200 2490.820 ;
-        RECT 2.400 2444.580 2917.600 2488.820 ;
-        RECT 2.800 2442.580 2917.600 2444.580 ;
-        RECT 2.400 2424.180 2917.600 2442.580 ;
-        RECT 2.400 2422.180 2917.200 2424.180 ;
-        RECT 2.400 2379.300 2917.600 2422.180 ;
-        RECT 2.800 2377.300 2917.600 2379.300 ;
-        RECT 2.400 2358.220 2917.600 2377.300 ;
-        RECT 2.400 2356.220 2917.200 2358.220 ;
-        RECT 2.400 2314.020 2917.600 2356.220 ;
-        RECT 2.800 2312.020 2917.600 2314.020 ;
-        RECT 2.400 2291.580 2917.600 2312.020 ;
-        RECT 2.400 2289.580 2917.200 2291.580 ;
-        RECT 2.400 2248.740 2917.600 2289.580 ;
-        RECT 2.800 2246.740 2917.600 2248.740 ;
-        RECT 2.400 2224.940 2917.600 2246.740 ;
-        RECT 2.400 2222.940 2917.200 2224.940 ;
-        RECT 2.400 2184.140 2917.600 2222.940 ;
-        RECT 2.800 2182.140 2917.600 2184.140 ;
-        RECT 2.400 2158.980 2917.600 2182.140 ;
-        RECT 2.400 2156.980 2917.200 2158.980 ;
-        RECT 2.400 2118.860 2917.600 2156.980 ;
-        RECT 2.800 2116.860 2917.600 2118.860 ;
-        RECT 2.400 2092.340 2917.600 2116.860 ;
-        RECT 2.400 2090.340 2917.200 2092.340 ;
-        RECT 2.400 2053.580 2917.600 2090.340 ;
-        RECT 2.800 2051.580 2917.600 2053.580 ;
-        RECT 2.400 2025.700 2917.600 2051.580 ;
-        RECT 2.400 2023.700 2917.200 2025.700 ;
-        RECT 2.400 1988.300 2917.600 2023.700 ;
-        RECT 2.800 1986.300 2917.600 1988.300 ;
-        RECT 2.400 1959.740 2917.600 1986.300 ;
-        RECT 2.400 1957.740 2917.200 1959.740 ;
-        RECT 2.400 1923.020 2917.600 1957.740 ;
-        RECT 2.800 1921.020 2917.600 1923.020 ;
-        RECT 2.400 1893.100 2917.600 1921.020 ;
-        RECT 2.400 1891.100 2917.200 1893.100 ;
-        RECT 2.400 1857.740 2917.600 1891.100 ;
-        RECT 2.800 1855.740 2917.600 1857.740 ;
-        RECT 2.400 1826.460 2917.600 1855.740 ;
-        RECT 2.400 1824.460 2917.200 1826.460 ;
-        RECT 2.400 1793.140 2917.600 1824.460 ;
-        RECT 2.800 1791.140 2917.600 1793.140 ;
-        RECT 2.400 1760.500 2917.600 1791.140 ;
-        RECT 2.400 1758.500 2917.200 1760.500 ;
-        RECT 2.400 1727.860 2917.600 1758.500 ;
-        RECT 2.800 1725.860 2917.600 1727.860 ;
-        RECT 2.400 1693.860 2917.600 1725.860 ;
-        RECT 2.400 1691.860 2917.200 1693.860 ;
-        RECT 2.400 1662.580 2917.600 1691.860 ;
-        RECT 2.800 1660.580 2917.600 1662.580 ;
-        RECT 2.400 1627.220 2917.600 1660.580 ;
-        RECT 2.400 1625.220 2917.200 1627.220 ;
-        RECT 2.400 1597.300 2917.600 1625.220 ;
-        RECT 2.800 1595.300 2917.600 1597.300 ;
-        RECT 2.400 1561.260 2917.600 1595.300 ;
-        RECT 2.400 1559.260 2917.200 1561.260 ;
-        RECT 2.400 1532.020 2917.600 1559.260 ;
-        RECT 2.800 1530.020 2917.600 1532.020 ;
-        RECT 2.400 1494.620 2917.600 1530.020 ;
-        RECT 2.400 1492.620 2917.200 1494.620 ;
-        RECT 2.400 1466.740 2917.600 1492.620 ;
-        RECT 2.800 1464.740 2917.600 1466.740 ;
-        RECT 2.400 1427.980 2917.600 1464.740 ;
-        RECT 2.400 1425.980 2917.200 1427.980 ;
-        RECT 2.400 1401.460 2917.600 1425.980 ;
-        RECT 2.800 1399.460 2917.600 1401.460 ;
-        RECT 2.400 1362.020 2917.600 1399.460 ;
-        RECT 2.400 1360.020 2917.200 1362.020 ;
-        RECT 2.400 1336.860 2917.600 1360.020 ;
-        RECT 2.800 1334.860 2917.600 1336.860 ;
-        RECT 2.400 1295.380 2917.600 1334.860 ;
-        RECT 2.400 1293.380 2917.200 1295.380 ;
-        RECT 2.400 1271.580 2917.600 1293.380 ;
-        RECT 2.800 1269.580 2917.600 1271.580 ;
-        RECT 2.400 1228.740 2917.600 1269.580 ;
-        RECT 2.400 1226.740 2917.200 1228.740 ;
-        RECT 2.400 1206.300 2917.600 1226.740 ;
-        RECT 2.800 1204.300 2917.600 1206.300 ;
-        RECT 2.400 1162.780 2917.600 1204.300 ;
-        RECT 2.400 1160.780 2917.200 1162.780 ;
-        RECT 2.400 1141.020 2917.600 1160.780 ;
-        RECT 2.800 1139.020 2917.600 1141.020 ;
-        RECT 2.400 1096.140 2917.600 1139.020 ;
-        RECT 2.400 1094.140 2917.200 1096.140 ;
-        RECT 2.400 1075.740 2917.600 1094.140 ;
-        RECT 2.800 1073.740 2917.600 1075.740 ;
-        RECT 2.400 1029.500 2917.600 1073.740 ;
-        RECT 2.400 1027.500 2917.200 1029.500 ;
-        RECT 2.400 1010.460 2917.600 1027.500 ;
-        RECT 2.800 1008.460 2917.600 1010.460 ;
-        RECT 2.400 963.540 2917.600 1008.460 ;
-        RECT 2.400 961.540 2917.200 963.540 ;
-        RECT 2.400 945.180 2917.600 961.540 ;
-        RECT 2.800 943.180 2917.600 945.180 ;
-        RECT 2.400 896.900 2917.600 943.180 ;
-        RECT 2.400 894.900 2917.200 896.900 ;
-        RECT 2.400 880.580 2917.600 894.900 ;
-        RECT 2.800 878.580 2917.600 880.580 ;
-        RECT 2.400 830.260 2917.600 878.580 ;
-        RECT 2.400 828.260 2917.200 830.260 ;
-        RECT 2.400 815.300 2917.600 828.260 ;
-        RECT 2.800 813.300 2917.600 815.300 ;
-        RECT 2.400 764.300 2917.600 813.300 ;
-        RECT 2.400 762.300 2917.200 764.300 ;
-        RECT 2.400 750.020 2917.600 762.300 ;
-        RECT 2.800 748.020 2917.600 750.020 ;
-        RECT 2.400 697.660 2917.600 748.020 ;
-        RECT 2.400 695.660 2917.200 697.660 ;
-        RECT 2.400 684.740 2917.600 695.660 ;
-        RECT 2.800 682.740 2917.600 684.740 ;
-        RECT 2.400 631.020 2917.600 682.740 ;
-        RECT 2.400 629.020 2917.200 631.020 ;
-        RECT 2.400 619.460 2917.600 629.020 ;
-        RECT 2.800 617.460 2917.600 619.460 ;
-        RECT 2.400 565.060 2917.600 617.460 ;
-        RECT 2.400 563.060 2917.200 565.060 ;
-        RECT 2.400 554.180 2917.600 563.060 ;
-        RECT 2.800 552.180 2917.600 554.180 ;
-        RECT 2.400 498.420 2917.600 552.180 ;
-        RECT 2.400 496.420 2917.200 498.420 ;
-        RECT 2.400 488.900 2917.600 496.420 ;
-        RECT 2.800 486.900 2917.600 488.900 ;
-        RECT 2.400 431.780 2917.600 486.900 ;
-        RECT 2.400 429.780 2917.200 431.780 ;
-        RECT 2.400 424.300 2917.600 429.780 ;
-        RECT 2.800 422.300 2917.600 424.300 ;
-        RECT 2.400 365.820 2917.600 422.300 ;
-        RECT 2.400 363.820 2917.200 365.820 ;
-        RECT 2.400 359.020 2917.600 363.820 ;
-        RECT 2.800 357.020 2917.600 359.020 ;
-        RECT 2.400 299.180 2917.600 357.020 ;
-        RECT 2.400 297.180 2917.200 299.180 ;
-        RECT 2.400 293.740 2917.600 297.180 ;
-        RECT 2.800 291.740 2917.600 293.740 ;
-        RECT 2.400 232.540 2917.600 291.740 ;
-        RECT 2.400 230.540 2917.200 232.540 ;
-        RECT 2.400 228.460 2917.600 230.540 ;
-        RECT 2.800 226.460 2917.600 228.460 ;
-        RECT 2.400 166.580 2917.600 226.460 ;
-        RECT 2.400 164.580 2917.200 166.580 ;
-        RECT 2.400 163.180 2917.600 164.580 ;
-        RECT 2.800 161.180 2917.600 163.180 ;
-        RECT 2.400 99.940 2917.600 161.180 ;
-        RECT 2.400 97.940 2917.200 99.940 ;
-        RECT 2.400 97.900 2917.600 97.940 ;
-        RECT 2.800 95.900 2917.600 97.900 ;
-        RECT 2.400 33.980 2917.600 95.900 ;
-        RECT 2.400 33.300 2917.200 33.980 ;
-        RECT 2.800 31.980 2917.200 33.300 ;
-        RECT 2.800 31.300 2917.600 31.980 ;
-        RECT 2.400 16.495 2917.600 31.300 ;
+        RECT 26.285 16.495 2379.055 1605.580 ;
       LAYER met4 ;
-        RECT 1175.000 675.000 2375.140 1605.580 ;
+        RECT 1173.295 665.400 2375.140 1605.580 ;
+        RECT 1173.295 662.495 1178.570 665.400 ;
+        RECT 1182.470 662.495 1197.170 665.400 ;
+        RECT 1201.070 662.495 1215.770 665.400 ;
+        RECT 1219.670 662.495 1234.370 665.400 ;
+        RECT 1238.270 662.495 1268.570 665.400 ;
+        RECT 1272.470 662.495 1287.170 665.400 ;
+        RECT 1291.070 662.495 1305.770 665.400 ;
+        RECT 1309.670 662.495 1324.370 665.400 ;
+        RECT 1328.270 662.495 1358.570 665.400 ;
+        RECT 1362.470 662.495 1377.170 665.400 ;
+        RECT 1381.070 662.495 1395.770 665.400 ;
+        RECT 1399.670 662.495 1414.370 665.400 ;
+        RECT 1418.270 662.495 1448.570 665.400 ;
+        RECT 1452.470 662.495 1467.170 665.400 ;
+        RECT 1471.070 662.495 1485.770 665.400 ;
+        RECT 1489.670 662.495 1504.370 665.400 ;
+        RECT 1508.270 662.495 1538.570 665.400 ;
+        RECT 1542.470 662.495 1557.170 665.400 ;
+        RECT 1561.070 662.495 1575.770 665.400 ;
+        RECT 1579.670 662.495 1594.370 665.400 ;
+        RECT 1598.270 662.495 1628.570 665.400 ;
+        RECT 1632.470 662.495 1647.170 665.400 ;
+        RECT 1651.070 662.495 1665.770 665.400 ;
+        RECT 1669.670 662.495 1684.370 665.400 ;
+        RECT 1688.270 662.495 1718.570 665.400 ;
+        RECT 1722.470 662.495 1737.170 665.400 ;
+        RECT 1741.070 662.495 1755.770 665.400 ;
+        RECT 1759.670 662.495 1774.370 665.400 ;
+        RECT 1778.270 662.495 1808.570 665.400 ;
+        RECT 1812.470 662.495 1827.170 665.400 ;
+        RECT 1831.070 662.495 1845.770 665.400 ;
+        RECT 1849.670 662.495 1864.370 665.400 ;
+        RECT 1868.270 662.495 1898.570 665.400 ;
+        RECT 1902.470 662.495 1917.170 665.400 ;
+        RECT 1921.070 662.495 1935.770 665.400 ;
+        RECT 1939.670 662.495 1954.370 665.400 ;
+        RECT 1958.270 662.495 1988.570 665.400 ;
+        RECT 1992.470 662.495 2007.170 665.400 ;
+        RECT 2011.070 662.495 2025.770 665.400 ;
+        RECT 2029.670 662.495 2044.370 665.400 ;
+        RECT 2048.270 662.495 2078.570 665.400 ;
+        RECT 2082.470 662.495 2097.170 665.400 ;
+        RECT 2101.070 662.495 2115.770 665.400 ;
+        RECT 2119.670 662.495 2134.370 665.400 ;
+        RECT 2138.270 662.495 2168.570 665.400 ;
+        RECT 2172.470 662.495 2187.170 665.400 ;
+        RECT 2191.070 662.495 2205.770 665.400 ;
+        RECT 2209.670 662.495 2224.370 665.400 ;
+        RECT 2228.270 662.495 2258.570 665.400 ;
+        RECT 2262.470 662.495 2277.170 665.400 ;
+        RECT 2281.070 662.495 2295.770 665.400 ;
+        RECT 2299.670 662.495 2314.370 665.400 ;
+        RECT 2318.270 662.495 2348.570 665.400 ;
+        RECT 2352.470 662.495 2367.170 665.400 ;
+        RECT 2371.070 662.495 2375.140 665.400 ;
   END
 END user_project_wrapper
 END LIBRARY