blob: b48c4ca6e00c4f3c0c93030449c844e815f3ac91 [file] [log] [blame]
(DELAYFILE
(SDFVERSION "3.0")
(DESIGN "Video")
(DATE "Sun May 22 15:04:26 2022")
(VENDOR "Parallax")
(PROGRAM "STA")
(VERSION "2.3.1")
(DIVIDER .)
(VOLTAGE 1.800::1.800)
(PROCESS "1.000::1.000")
(TEMPERATURE 25.000::25.000)
(TIMESCALE 1ns)
(CELL
(CELLTYPE "Video")
(INSTANCE)
(DELAY
(ABSOLUTE
(INTERCONNECT sram0_dout0[0] input1.A (0.013:0.013:0.013) (0.006:0.006:0.006))
(INTERCONNECT sram0_dout0[10] input2.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout0[11] input3.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout0[12] input4.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout0[13] input5.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout0[14] input6.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout0[15] input7.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout0[16] input8.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout0[17] input9.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT sram0_dout0[18] input10.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout0[19] input11.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT sram0_dout0[1] input12.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout0[20] input13.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT sram0_dout0[21] input14.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT sram0_dout0[22] input15.A (0.015:0.015:0.015) (0.007:0.007:0.007))
(INTERCONNECT sram0_dout0[23] input16.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT sram0_dout0[24] input17.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT sram0_dout0[25] input18.A (0.013:0.013:0.013) (0.006:0.006:0.006))
(INTERCONNECT sram0_dout0[26] input19.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT sram0_dout0[27] input20.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout0[28] input21.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout0[29] input22.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout0[2] input23.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout0[30] input24.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout0[31] input25.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout0[32] input26.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout0[33] input27.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout0[34] input28.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout0[35] input29.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout0[36] input30.A (0.009:0.009:0.009) (0.003:0.003:0.003))
(INTERCONNECT sram0_dout0[37] input31.A (0.009:0.009:0.009) (0.003:0.003:0.003))
(INTERCONNECT sram0_dout0[38] input32.A (0.009:0.009:0.009) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout0[39] input33.A (0.009:0.009:0.009) (0.003:0.003:0.003))
(INTERCONNECT sram0_dout0[3] input34.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT sram0_dout0[40] input35.A (0.009:0.009:0.009) (0.003:0.003:0.003))
(INTERCONNECT sram0_dout0[41] input36.A (0.009:0.009:0.009) (0.003:0.003:0.003))
(INTERCONNECT sram0_dout0[42] input37.A (0.009:0.009:0.009) (0.003:0.003:0.003))
(INTERCONNECT sram0_dout0[43] input38.A (0.009:0.009:0.009) (0.003:0.003:0.003))
(INTERCONNECT sram0_dout0[44] input39.A (0.009:0.009:0.009) (0.003:0.003:0.003))
(INTERCONNECT sram0_dout0[45] input40.A (0.009:0.009:0.009) (0.003:0.003:0.003))
(INTERCONNECT sram0_dout0[46] input41.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout0[47] input42.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout0[48] input43.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout0[49] input44.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout0[4] input45.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT sram0_dout0[50] input46.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout0[51] input47.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout0[52] input48.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout0[53] input49.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout0[54] input50.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout0[55] input51.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT sram0_dout0[56] input52.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT sram0_dout0[57] input53.A (0.020:0.020:0.020) (0.009:0.009:0.009))
(INTERCONNECT sram0_dout0[58] input54.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout0[59] input55.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT sram0_dout0[5] input56.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT sram0_dout0[60] input57.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT sram0_dout0[61] input58.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT sram0_dout0[62] input59.A (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT sram0_dout0[63] input60.A (0.021:0.021:0.021) (0.010:0.010:0.010))
(INTERCONNECT sram0_dout0[6] input61.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT sram0_dout0[7] input62.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout0[8] input63.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout0[9] input64.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout1[0] input65.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout1[10] input66.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout1[11] input67.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout1[12] input68.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout1[13] input69.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout1[14] input70.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout1[15] input71.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT sram0_dout1[16] input72.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout1[17] input73.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT sram0_dout1[18] input74.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout1[19] input75.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT sram0_dout1[1] input76.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout1[20] input77.A (0.020:0.020:0.020) (0.009:0.009:0.009))
(INTERCONNECT sram0_dout1[21] input78.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout1[22] input79.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT sram0_dout1[23] input80.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT sram0_dout1[24] input81.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT sram0_dout1[25] input82.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout1[26] input83.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout1[27] input84.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout1[28] input85.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout1[29] input86.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout1[2] input87.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout1[32] input88.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout1[33] input89.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout1[34] input90.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout1[35] input91.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout1[36] input92.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout1[37] input93.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout1[38] input94.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout1[39] input95.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout1[3] input96.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout1[40] input97.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout1[41] input98.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout1[42] input99.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout1[43] input100.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout1[44] input101.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout1[45] input102.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout1[46] input103.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout1[47] input104.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout1[48] input105.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout1[49] input106.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout1[4] input107.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout1[50] input108.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout1[51] input109.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout1[52] input110.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout1[53] input111.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout1[54] input112.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout1[55] input113.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout1[56] input114.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout1[57] input115.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout1[58] input116.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout1[59] input117.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout1[5] input118.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout1[60] input119.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout1[61] input120.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout1[6] input121.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram0_dout1[7] input122.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout1[8] input123.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT sram0_dout1[9] input124.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT sram1_dout0[0] input125.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT sram1_dout0[10] input126.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout0[11] input127.A (0.013:0.013:0.013) (0.006:0.006:0.006))
(INTERCONNECT sram1_dout0[12] input128.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout0[13] input129.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout0[14] input130.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout0[15] input131.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout0[16] input132.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout0[17] input133.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT sram1_dout0[18] input134.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout0[19] input135.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram1_dout0[1] input136.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT sram1_dout0[20] input137.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout0[21] input138.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT sram1_dout0[22] input139.A (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT sram1_dout0[23] input140.A (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT sram1_dout0[24] input141.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT sram1_dout0[25] input142.A (0.017:0.017:0.017) (0.008:0.008:0.008))
(INTERCONNECT sram1_dout0[26] input143.A (0.019:0.019:0.019) (0.008:0.008:0.008))
(INTERCONNECT sram1_dout0[27] input144.A (0.020:0.020:0.020) (0.009:0.009:0.009))
(INTERCONNECT sram1_dout0[28] input145.A (0.021:0.021:0.021) (0.010:0.010:0.010))
(INTERCONNECT sram1_dout0[29] input146.A (0.023:0.023:0.023) (0.010:0.010:0.010))
(INTERCONNECT sram1_dout0[2] input147.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT sram1_dout0[30] input148.A (0.022:0.022:0.022) (0.010:0.010:0.010))
(INTERCONNECT sram1_dout0[31] input149.A (0.022:0.022:0.022) (0.010:0.010:0.010))
(INTERCONNECT sram1_dout0[32] input150.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT sram1_dout0[33] input151.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT sram1_dout0[34] input152.A (0.021:0.021:0.021) (0.009:0.009:0.009))
(INTERCONNECT sram1_dout0[35] input153.A (0.021:0.021:0.021) (0.009:0.009:0.009))
(INTERCONNECT sram1_dout0[36] input154.A (0.022:0.022:0.022) (0.010:0.010:0.010))
(INTERCONNECT sram1_dout0[37] input155.A (0.022:0.022:0.022) (0.010:0.010:0.010))
(INTERCONNECT sram1_dout0[38] input156.A (0.020:0.020:0.020) (0.009:0.009:0.009))
(INTERCONNECT sram1_dout0[39] input157.A (0.020:0.020:0.020) (0.009:0.009:0.009))
(INTERCONNECT sram1_dout0[3] input158.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT sram1_dout0[40] input159.A (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT sram1_dout0[41] input160.A (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT sram1_dout0[42] input161.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT sram1_dout0[43] input162.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT sram1_dout0[44] input163.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT sram1_dout0[45] input164.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT sram1_dout0[46] input165.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout0[47] input166.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout0[48] input167.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout0[49] input168.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout0[4] input169.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout0[50] input170.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout0[51] input171.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout0[52] input172.A (0.013:0.013:0.013) (0.006:0.006:0.006))
(INTERCONNECT sram1_dout0[53] input173.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout0[54] input174.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout0[55] input175.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout0[56] input176.A (0.013:0.013:0.013) (0.006:0.006:0.006))
(INTERCONNECT sram1_dout0[57] input177.A (0.013:0.013:0.013) (0.006:0.006:0.006))
(INTERCONNECT sram1_dout0[58] input178.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT sram1_dout0[59] input179.A (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT sram1_dout0[5] input180.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout0[60] input181.A (0.019:0.019:0.019) (0.008:0.008:0.008))
(INTERCONNECT sram1_dout0[61] input182.A (0.024:0.024:0.024) (0.011:0.011:0.011))
(INTERCONNECT sram1_dout0[62] input183.A (0.022:0.022:0.022) (0.010:0.010:0.010))
(INTERCONNECT sram1_dout0[63] input184.A (0.025:0.025:0.025) (0.011:0.011:0.011))
(INTERCONNECT sram1_dout0[6] input185.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram1_dout0[7] input186.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout0[8] input187.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout0[9] input188.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout1[0] input189.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout1[10] input190.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout1[11] input191.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT sram1_dout1[12] input192.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout1[13] input193.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout1[14] input194.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT sram1_dout1[15] input195.A (0.013:0.013:0.013) (0.006:0.006:0.006))
(INTERCONNECT sram1_dout1[16] input196.A (0.013:0.013:0.013) (0.006:0.006:0.006))
(INTERCONNECT sram1_dout1[17] input197.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram1_dout1[18] input198.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout1[19] input199.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT sram1_dout1[1] input200.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout1[20] input201.A (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT sram1_dout1[21] input202.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram1_dout1[22] input203.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout1[23] input204.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram1_dout1[24] input205.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT sram1_dout1[25] input206.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout1[26] input207.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT sram1_dout1[27] input208.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT sram1_dout1[28] input209.A (0.015:0.015:0.015) (0.007:0.007:0.007))
(INTERCONNECT sram1_dout1[29] input210.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT sram1_dout1[2] input211.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram1_dout1[32] input212.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT sram1_dout1[33] input213.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT sram1_dout1[34] input214.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT sram1_dout1[35] input215.A (0.017:0.017:0.017) (0.008:0.008:0.008))
(INTERCONNECT sram1_dout1[36] input216.A (0.020:0.020:0.020) (0.009:0.009:0.009))
(INTERCONNECT sram1_dout1[37] input217.A (0.020:0.020:0.020) (0.009:0.009:0.009))
(INTERCONNECT sram1_dout1[38] input218.A (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT sram1_dout1[39] input219.A (0.019:0.019:0.019) (0.008:0.008:0.008))
(INTERCONNECT sram1_dout1[3] input220.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout1[40] input221.A (0.023:0.023:0.023) (0.011:0.011:0.011))
(INTERCONNECT sram1_dout1[41] input222.A (0.019:0.019:0.019) (0.008:0.008:0.008))
(INTERCONNECT sram1_dout1[42] input223.A (0.025:0.025:0.025) (0.011:0.011:0.011))
(INTERCONNECT sram1_dout1[43] input224.A (0.021:0.021:0.021) (0.009:0.009:0.009))
(INTERCONNECT sram1_dout1[44] input225.A (0.017:0.017:0.017) (0.008:0.008:0.008))
(INTERCONNECT sram1_dout1[45] input226.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout1[46] input227.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT sram1_dout1[47] input228.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout1[48] input229.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout1[49] input230.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout1[4] input231.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram1_dout1[50] input232.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout1[51] input233.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout1[52] input234.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT sram1_dout1[53] input235.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout1[54] input236.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT sram1_dout1[55] input237.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout1[56] input238.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram1_dout1[57] input239.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout1[58] input240.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT sram1_dout1[59] input241.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout1[5] input242.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout1[60] input243.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT sram1_dout1[61] input244.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout1[6] input245.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout1[7] input246.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout1[8] input247.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT sram1_dout1[9] input248.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT wb_adr_i[10] input249.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[11] input250.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT wb_adr_i[12] input251.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[13] input252.A (0.013:0.013:0.013) (0.006:0.006:0.006))
(INTERCONNECT wb_adr_i[14] input253.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT wb_adr_i[15] input254.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[16] input255.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[17] input256.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[18] input257.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[19] input258.A (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT wb_adr_i[20] input259.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT wb_adr_i[21] input260.A (0.022:0.022:0.022) (0.010:0.010:0.010))
(INTERCONNECT wb_adr_i[22] input261.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT wb_adr_i[23] input262.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT wb_adr_i[2] input263.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[3] input264.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT wb_adr_i[4] input265.A (0.013:0.013:0.013) (0.006:0.006:0.006))
(INTERCONNECT wb_adr_i[5] input266.A (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT wb_adr_i[6] input267.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT wb_adr_i[7] input268.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[8] input269.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[9] input270.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT wb_clk_i clkbuf_0_wb_clk_i.A (0.189:0.189:0.189) (0.096:0.096:0.096))
(INTERCONNECT wb_cyc_i input271.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT wb_data_i[0] input272.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT wb_data_i[10] input273.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT wb_data_i[11] input274.A (0.013:0.013:0.013) (0.006:0.006:0.006))
(INTERCONNECT wb_data_i[12] input275.A (0.013:0.013:0.013) (0.006:0.006:0.006))
(INTERCONNECT wb_data_i[13] input276.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT wb_data_i[14] input277.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT wb_data_i[15] input278.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT wb_data_i[16] input279.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT wb_data_i[17] input280.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT wb_data_i[18] input281.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT wb_data_i[19] input282.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT wb_data_i[1] input283.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT wb_data_i[20] input284.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT wb_data_i[21] input285.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT wb_data_i[22] input286.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT wb_data_i[23] input287.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT wb_data_i[24] input288.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT wb_data_i[25] input289.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT wb_data_i[26] input290.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT wb_data_i[27] input291.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT wb_data_i[28] input292.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT wb_data_i[29] input293.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT wb_data_i[2] input294.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT wb_data_i[30] input295.A (0.013:0.013:0.013) (0.006:0.006:0.006))
(INTERCONNECT wb_data_i[31] input296.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT wb_data_i[3] input297.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT wb_data_i[4] input298.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT wb_data_i[5] input299.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT wb_data_i[6] input300.A (0.020:0.020:0.020) (0.009:0.009:0.009))
(INTERCONNECT wb_data_i[7] input301.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT wb_data_i[8] input302.A (0.013:0.013:0.013) (0.006:0.006:0.006))
(INTERCONNECT wb_data_i[9] input303.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT wb_rst_i input304.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT wb_sel_i[0] input305.A (0.013:0.013:0.013) (0.006:0.006:0.006))
(INTERCONNECT wb_sel_i[1] input306.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT wb_sel_i[2] input307.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT wb_sel_i[3] input308.A (0.013:0.013:0.013) (0.006:0.006:0.006))
(INTERCONNECT wb_stb_i input309.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT wb_we_i input310.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT Video_475.LO wb_error_o (0.000:0.000:0.000))
(INTERCONNECT _1251_.X _1252_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1251_.X _1291_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1251_.X _1580_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1251_.X _1923_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1251_.X _1925_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1252_.Y _1255_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1252_.Y _1276_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1253_.X _1254_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1253_.X _1291_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1253_.X _1580_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1253_.X _1923_.D_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1253_.X _1925_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1254_.X _1255_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1254_.X _1277_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1254_.X _1285_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1254_.X _1320_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1254_.X _1520_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1255_.X _1256_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1255_.X _1283_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1256_.X _1257_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1256_.X _1267_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1256_.X _1273_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1256_.X _1288_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _1256_.X _1321_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1257_.X _1258_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1257_.X _1261_.B (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _1257_.X _1264_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1257_.X _1270_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1257_.X _1281_.B (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _1258_.Y _1259_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1258_.Y _1967_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1258_.Y _2167_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1258_.Y _2195_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1259_.Y _1260_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1259_.Y _2142_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1259_.Y _2221_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1260_.X _2810_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1260_.X _2110_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1260_.X _2072_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1260_.X _2038_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1260_.X _2005_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1260_.X output335.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1261_.Y _1262_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1261_.Y _2164_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1261_.Y _2192_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1262_.Y _1263_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1262_.Y _1966_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1262_.Y _2140_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1262_.Y _2219_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1263_.X _2811_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _1263_.X _2108_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1263_.X _2070_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1263_.X _2036_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1263_.X _2003_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1263_.X output346.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1264_.Y _1265_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1264_.Y _1963_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1264_.Y _2162_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1264_.Y _2216_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1265_.Y _1266_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1265_.Y _2137_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1265_.Y _2191_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1266_.X _2812_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _1266_.X _2105_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1266_.X _2067_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1266_.X _2034_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1266_.X _2001_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1266_.X output357.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1267_.Y _1268_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1267_.Y _2132_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1267_.Y _2214_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1268_.Y _1269_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1268_.Y _1962_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1268_.Y _2065_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1268_.Y _2161_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1269_.X _2813_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1269_.X _2188_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1269_.X _2103_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1269_.X _2030_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _1269_.X _1999_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1269_.X output360.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1270_.Y _1271_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _1270_.Y _1959_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1270_.Y _2027_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1270_.Y _2061_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1271_.Y _1272_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1271_.Y _2159_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1271_.Y _2213_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1272_.X _2814_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1272_.X _2186_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1272_.X _2131_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1272_.X _2101_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1272_.X _1996_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1272_.X output361.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1273_.Y _1274_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1273_.Y _1991_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _1273_.Y _2097_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1273_.Y _2128_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1273_.Y _2156_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1274_.Y _1275_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1274_.Y _1958_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1275_.X _2815_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1275_.X _2211_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1275_.X _2184_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1275_.X _2060_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1275_.X _2026_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1275_.X output362.A (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _1276_.X _1278_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1276_.X _1285_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1276_.X _1320_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1276_.X _1518_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1276_.X _1557_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1277_.X _1278_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1277_.X _2309_.A (0.015:0.015:0.015) (0.014:0.014:0.014))
(INTERCONNECT _1277_.X _2347_.A (0.015:0.015:0.015) (0.015:0.015:0.015))
(INTERCONNECT _1277_.X _2385_.A (0.015:0.015:0.015) (0.015:0.015:0.015))
(INTERCONNECT _1277_.X _2423_.A (0.015:0.015:0.015) (0.015:0.015:0.015))
(INTERCONNECT _1278_.X _1279_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1278_.X _1952_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1279_.X _1280_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1279_.X _1989_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1279_.X _2096_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _1279_.X _2155_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1279_.X _2209_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1280_.X _2816_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1280_.X _2182_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1280_.X _2127_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1280_.X _2058_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1280_.X _2023_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1280_.X output363.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1281_.Y _1282_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1281_.Y _2017_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1281_.Y _2204_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1282_.Y _2817_.A (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT _1282_.Y _2053_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1282_.Y _1987_.A1 (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT _1282_.Y _1950_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1282_.Y output364.A (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT _1283_.Y _1284_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1283_.Y _2084_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1284_.Y _2818_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1284_.Y _2049_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1284_.Y _2014_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1284_.Y _1982_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1284_.Y _1937_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1284_.Y output365.A (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1284_.Y INSDIODE2_46.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1285_.X _1286_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1285_.X _1934_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1286_.X _1287_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1286_.X _2082_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1286_.X _2145_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1286_.X _2171_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1286_.X _2199_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1287_.X _2819_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1287_.X _2115_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1287_.X _2047_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1287_.X _2012_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1287_.X _1979_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1287_.X output366.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1288_.X _1289_.B (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1288_.X _1305_.A (0.030:0.030:0.030) (0.027:0.027:0.027))
(INTERCONNECT _1288_.X _1328_.A1 (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT _1288_.X _1331_.A1 (0.032:0.032:0.032) (0.030:0.030:0.030))
(INTERCONNECT _1288_.X _1334_.A1 (0.032:0.032:0.032) (0.030:0.030:0.030))
(INTERCONNECT _1289_.Y _1290_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1289_.Y _1976_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1289_.Y _2009_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1289_.Y _2043_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _1290_.Y _2820_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1290_.Y _2079_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1290_.Y output336.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1291_.Y _1292_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _1291_.Y _1926_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1291_.Y _2073_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1292_.Y _2793_.A (0.015:0.015:0.015) (0.015:0.015:0.015))
(INTERCONNECT _1292_.Y _1971_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1292_.Y output314.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1293_.X _1294_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1293_.X _1303_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1294_.X _1295_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1294_.X _1298_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1294_.X _1326_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1294_.X _1329_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1294_.X _1332_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1295_.Y _1296_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1295_.Y _1971_.D_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1295_.Y _2073_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1295_.Y _2111_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1296_.Y _2792_.A (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT _1296_.Y output313.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1296_.Y INSDIODE2_17.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT _1297_.X _1301_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1297_.X _2006_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1297_.X _2075_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1297_.X _2081_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1297_.X _2468_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1298_.X _1299_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1298_.X _1318_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1298_.X _1571_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1298_.X _1573_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1298_.X _2223_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1299_.X _1300_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1299_.X _1312_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1299_.X _1314_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1299_.X _1575_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1299_.X _1577_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1300_.X _1301_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1300_.X _1306_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1300_.X _1308_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1300_.X _1310_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1300_.X _2560_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1301_.X _1302_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1302_.X _2790_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1302_.X output311.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1303_.X _1304_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1304_.X _2791_.A (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT _1304_.X _2039_.C_N (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1304_.X output312.A (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1304_.X INSDIODE2_16.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT _1304_.X INSDIODE2_70.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1305_.Y _2842_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1305_.Y output367.A (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1306_.X _1307_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1307_.X _2794_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1307_.X output315.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1307_.X INSDIODE2_18.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1308_.X _1309_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1309_.X _2795_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1309_.X output316.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1310_.X _1311_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1311_.X _2796_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1311_.X output317.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _1312_.X _1313_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1313_.X _2797_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1313_.X output318.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1313_.X INSDIODE2_61.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1314_.X _1315_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1315_.X _2798_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1315_.X output319.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _1316_.X _1318_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1316_.X _1326_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1316_.X _1332_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1316_.X _2223_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1316_.X _2490_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1317_.X _1318_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1317_.X _1326_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1317_.X _1329_.A_N (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1317_.X _2223_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1317_.X _2488_.A0 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1318_.X _1319_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1318_.X _2260_.A (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _1318_.X _2338_.A (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _1318_.X _2376_.A (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _1318_.X _2414_.A (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _1319_.X _1322_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1319_.X _2227_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1319_.X _2234_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1319_.X _2242_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1319_.X _2248_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1320_.Y _1321_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1320_.Y _1324_.A (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1320_.Y _2396_.A (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1320_.Y _2434_.A (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1321_.Y _1322_.B (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _1321_.Y _2465_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1322_.X _1323_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1323_.X output331.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1324_.X _1325_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1324_.X _2239_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1324_.X _2282_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1324_.X _2320_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1324_.X _2358_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1325_.X _1328_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1325_.X _1331_.A2 (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT _1325_.X _1334_.A2 (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT _1325_.X _2229_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1325_.X _2235_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1326_.X _1327_.A (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT _1326_.X _2252_.A (0.016:0.016:0.016) (0.016:0.016:0.016))
(INTERCONNECT _1326_.X _2333_.A (0.016:0.016:0.016) (0.015:0.015:0.015))
(INTERCONNECT _1326_.X _2371_.A (0.016:0.016:0.016) (0.015:0.015:0.015))
(INTERCONNECT _1326_.X _2409_.A (0.016:0.016:0.016) (0.015:0.015:0.016))
(INTERCONNECT _1327_.X _1328_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1327_.X _2226_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1327_.X _2233_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1327_.X _2241_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1327_.X _2247_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1328_.Y output332.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1329_.X _1330_.A (0.030:0.030:0.030) (0.029:0.029:0.029))
(INTERCONNECT _1329_.X _2254_.A (0.032:0.032:0.032) (0.030:0.030:0.030))
(INTERCONNECT _1329_.X _2334_.A (0.032:0.032:0.032) (0.030:0.030:0.030))
(INTERCONNECT _1329_.X _2372_.A (0.032:0.032:0.032) (0.030:0.030:0.030))
(INTERCONNECT _1329_.X _2410_.A (0.032:0.032:0.032) (0.030:0.030:0.030))
(INTERCONNECT _1329_.X INSDIODE2_10.DIODE (0.030:0.030:0.030) (0.029:0.029:0.029))
(INTERCONNECT _1330_.X _1331_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1330_.X _2222_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1330_.X _2232_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1330_.X _2240_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1330_.X _2246_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1331_.Y output392.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1332_.X _1333_.A (0.027:0.027:0.027) (0.026:0.026:0.026))
(INTERCONNECT _1332_.X _2256_.A (0.028:0.028:0.028) (0.027:0.027:0.027))
(INTERCONNECT _1332_.X _2335_.A (0.028:0.028:0.028) (0.026:0.027:0.027))
(INTERCONNECT _1332_.X _2373_.A (0.028:0.028:0.028) (0.027:0.027:0.027))
(INTERCONNECT _1332_.X _2411_.A (0.028:0.028:0.028) (0.027:0.027:0.027))
(INTERCONNECT _1333_.X _1334_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1333_.X _2222_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1333_.X _2232_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1333_.X _2240_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1333_.X _2246_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1334_.Y output393.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1335_.X _1338_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1335_.X _1654_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1335_.X _1657_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1335_.X _1658_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1335_.X _1663_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1336_.X _1337_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1336_.X _1351_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1336_.X _1363_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1337_.X _1338_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1337_.X _1341_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1337_.X _1344_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1337_.X _1346_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1337_.X _1349_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1338_.X _1339_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1339_.X _2566_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1340_.X _1341_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1340_.X _1655_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1340_.X _1657_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1340_.X _1658_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1340_.X _1663_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1341_.X _1342_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1342_.X _2567_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1343_.X _1344_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1343_.X _1657_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1343_.X _1658_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1343_.X _1660_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1343_.X _1663_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1344_.X _1345_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1345_.X _2568_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1346_.X _1347_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1347_.X _2569_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1348_.X _1349_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1348_.X _1665_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1348_.X _1666_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1348_.X _1667_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1348_.X _1673_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1349_.X _1350_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1350_.X _2570_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1351_.X _1352_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1351_.X _1354_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1351_.X _1357_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1351_.X _1359_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1351_.X _1361_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1352_.X _1353_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1353_.X _2571_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1354_.X _1355_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1355_.X _2572_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1356_.X _1357_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1356_.X _1675_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1356_.X _1676_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1356_.X _1677_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1356_.X _1680_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1357_.X _1358_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1358_.X _2573_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1359_.X _1360_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1360_.X _2574_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1361_.X _1362_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1361_.X INSDIODE2_56.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1362_.X _2575_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1363_.X _1364_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1363_.X INSDIODE2_57.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1364_.X _2576_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1365_.X _1366_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1365_.X _1394_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1365_.X _1432_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1365_.X _1442_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1365_.X _1510_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1366_.X _1367_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1366_.X _1392_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1366_.X _1454_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1366_.X _1470_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1366_.X _1487_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1367_.X _1371_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1367_.X _1380_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1367_.X _1419_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1367_.X _1468_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1367_.X _1484_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1368_.X _1369_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1368_.X _1404_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1368_.X _1433_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1368_.X _1442_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1368_.X _1510_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1369_.X _1370_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1369_.X _1392_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1369_.X _1395_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1369_.X _1454_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1369_.X _1470_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1370_.X _1371_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1370_.X _1380_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1370_.X _1418_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1370_.X _1468_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1370_.X _1484_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1371_.Y _1502_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1371_.Y _1427_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1371_.Y output395.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1372_.X _1373_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1372_.X _1381_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1372_.X _1402_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1372_.X _1440_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1372_.X _1511_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1373_.X _1374_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1373_.X _1435_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1373_.X _1459_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1373_.X _1475_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1373_.X _1506_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1374_.X _1375_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1374_.X _1452_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1374_.X _1455_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1374_.X _1469_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1374_.X _1471_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1375_.Y _1501_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1375_.Y _1426_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1375_.Y output394.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1376_.X _1377_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1376_.X _1382_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1376_.X _1403_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1376_.X _1441_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1376_.X _1511_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1377_.X _1378_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1377_.X _1435_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1377_.X _1459_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1377_.X _1475_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1377_.X _1506_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1378_.X _1379_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1378_.X _1452_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1378_.X _1455_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1378_.X _1469_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1378_.X _1471_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1379_.Y _1502_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1379_.Y _1427_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _1379_.Y output334.A (0.015:0.015:0.015) (0.014:0.014:0.014))
(INTERCONNECT _1379_.Y INSDIODE2_65.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
(INTERCONNECT _1380_.X _1388_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1381_.X _1383_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1381_.X _1393_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1381_.X _1485_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1381_.X _1488_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1381_.X _1493_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1382_.X _1383_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1382_.X _1393_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1382_.X _1485_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1382_.X _1488_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1382_.X _1493_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1383_.X _1388_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1384_.Y _1385_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1384_.Y _1421_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1385_.X _1386_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1385_.X _1428_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1385_.X _1624_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1385_.X _1640_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1386_.X _1387_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1386_.X _1415_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1386_.X _1463_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1386_.X _1479_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1386_.X _1496_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1387_.X _1388_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1387_.X _1422_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1387_.X _1461_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1387_.X _1478_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1387_.X _1627_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1388_.X _1412_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1389_.X _1390_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1389_.X _1400_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1389_.X _1639_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1389_.X _1642_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1389_.X _1647_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1390_.Y _1391_.A (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _1390_.Y _1414_.A (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _1390_.Y _1479_.B1 (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _1390_.Y _1496_.B1 (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _1391_.X _1397_.A1 (0.016:0.016:0.016) (0.016:0.016:0.016))
(INTERCONNECT _1391_.X _1429_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1391_.X _1462_.A1 (0.016:0.016:0.016) (0.016:0.016:0.016))
(INTERCONNECT _1391_.X _1477_.A1 (0.016:0.016:0.016) (0.016:0.016:0.016))
(INTERCONNECT _1391_.X _1489_.A1 (0.016:0.016:0.016) (0.016:0.016:0.016))
(INTERCONNECT _1392_.X _1393_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1393_.X _1397_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1394_.X _1395_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1394_.X _1405_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1394_.X _1409_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1394_.X _1490_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1394_.X _1492_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1395_.Y _1396_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1395_.Y _1413_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1395_.Y _1514_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1396_.X _1397_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1396_.X _1448_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1396_.X _1462_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1396_.X _1477_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1396_.X _1489_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1397_.X _1412_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1398_.X _1400_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1398_.X _1407_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1398_.X _1421_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1398_.X _1646_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1398_.X _1647_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1399_.X _1400_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1399_.X _1644_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1399_.X _1645_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1399_.X _1646_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1399_.X _1647_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1400_.Y _1401_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _1400_.Y _1438_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1400_.Y _1460_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1400_.Y _1476_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1401_.X _1411_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1401_.X _1416_.A2 (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _1401_.X _1430_.A2 (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _1401_.X _1494_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1401_.X _1504_.A2 (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _1402_.X _1406_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1402_.X _1410_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1402_.X _1437_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1402_.X _1491_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1402_.X _1508_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1403_.X _1406_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1403_.X _1410_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1403_.X _1437_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1403_.X _1491_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1403_.X _1508_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1404_.X _1405_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1404_.X _1409_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1404_.X _1487_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1404_.X _1490_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1404_.X _1492_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1405_.X _1406_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1406_.X _1411_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1407_.X _1408_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _1407_.X _1431_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _1407_.X _1460_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1407_.X _1476_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1408_.X _1411_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1408_.X _1416_.B1 (0.016:0.016:0.016) (0.015:0.015:0.015))
(INTERCONNECT _1408_.X _1430_.B1 (0.015:0.015:0.015) (0.015:0.015:0.015))
(INTERCONNECT _1408_.X _1464_.B1 (0.016:0.016:0.016) (0.015:0.015:0.015))
(INTERCONNECT _1408_.X _1504_.B1 (0.015:0.015:0.015) (0.014:0.014:0.014))
(INTERCONNECT _1409_.X _1410_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1410_.X _1411_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1411_.X _1412_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1412_.X _1424_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1413_.Y _1417_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1413_.Y _1425_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1413_.Y _1465_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1413_.Y _1481_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1413_.Y _1498_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1414_.X _1415_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1414_.X _1448_.A1 (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _1414_.X _1463_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1414_.X _1503_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1414_.X _1514_.B2 (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _1415_.X _1416_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1416_.X _1417_.B (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1417_.X _1424_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1418_.X _1420_.S0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1418_.X _1453_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1418_.X _1466_.S0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1418_.X _1482_.S0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1418_.X _1499_.S0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1419_.X _1420_.S1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1419_.X _1453_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1419_.X _1466_.S1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1419_.X _1482_.S1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1419_.X _1499_.S1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1420_.X _1424_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1421_.Y _1422_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1422_.Y _1423_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1422_.Y _1517_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1423_.X _1424_.B2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1423_.X _1451_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1423_.X _1467_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1423_.X _1483_.B2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1423_.X _1500_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1424_.X output438.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1425_.X _1516_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1425_.X _1501_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1425_.X _1450_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1425_.X _1426_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1425_.X output333.A (0.018:0.018:0.018) (0.017:0.017:0.017))
(INTERCONNECT _1425_.X INSDIODE2_29.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
(INTERCONNECT _1425_.X INSDIODE2_71.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
(INTERCONNECT _1426_.X _1451_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1427_.X _1451_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1428_.X _1429_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1428_.X _1448_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1428_.X _1486_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1428_.X _1503_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1428_.X _1514_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1429_.X _1430_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1430_.X _1450_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1431_.X _1439_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1431_.X _1480_.B1 (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT _1431_.X _1494_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1431_.X _1497_.B1 (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT _1431_.X _1509_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1431_.X INSDIODE2_58.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT _1432_.X _1434_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1432_.X _1436_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1432_.X _1474_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1432_.X _1505_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1432_.X _1507_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1433_.X _1434_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1433_.X _1436_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1433_.X _1474_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1433_.X _1505_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1433_.X _1507_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1434_.X _1435_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1435_.X _1439_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1436_.X _1437_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1437_.X _1439_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1438_.X _1439_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1438_.X _1464_.A2 (0.017:0.017:0.017) (0.016:0.016:0.016))
(INTERCONNECT _1438_.X _1480_.A2 (0.017:0.017:0.017) (0.016:0.016:0.016))
(INTERCONNECT _1438_.X _1497_.A2 (0.017:0.017:0.017) (0.016:0.016:0.016))
(INTERCONNECT _1438_.X _1509_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1438_.X INSDIODE2_11.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
(INTERCONNECT _1439_.X _1449_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1440_.X _1443_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1440_.X _1447_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1440_.X _1457_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1440_.X _1473_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1440_.X _1513_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1441_.X _1443_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1441_.X _1447_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1441_.X _1457_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1441_.X _1473_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1441_.X _1513_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1442_.X _1443_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1443_.X _1448_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1444_.X _1446_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1444_.X _1456_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1444_.X _1458_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1444_.X _1472_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1444_.X _1512_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1445_.X _1446_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1445_.X _1456_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1445_.X _1458_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1445_.X _1472_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1445_.X _1512_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1446_.X _1447_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1447_.X _1448_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1448_.X _1449_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1449_.X _1450_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1450_.X _1451_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1451_.X output439.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1452_.X _1453_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1453_.X _1462_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1454_.X _1455_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1455_.X _1461_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1456_.X _1457_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1457_.X _1460_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1458_.X _1459_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1459_.X _1460_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1460_.X _1461_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1461_.X _1462_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1462_.X _1467_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1463_.X _1464_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1464_.X _1465_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1464_.X INSDIODE2_0.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1465_.X _1467_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1466_.X _1467_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1467_.X output435.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1468_.X _1469_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1469_.X _1478_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1470_.X _1471_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1471_.X _1477_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1472_.X _1473_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1473_.X _1476_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1474_.X _1475_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1475_.X _1476_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1476_.X _1477_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1477_.X _1478_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1478_.X _1483_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1479_.X _1480_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1480_.X _1481_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1481_.X _1483_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1482_.X _1483_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1483_.X output436.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1484_.X _1486_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1485_.X _1486_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1486_.X _1495_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1487_.X _1488_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1488_.X _1489_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1489_.X _1495_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1490_.X _1491_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1491_.X _1494_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1492_.X _1493_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1493_.X _1494_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1494_.X _1495_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1495_.X _1500_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1496_.X _1497_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1497_.X _1498_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1497_.X INSDIODE2_1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1498_.X _1500_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1499_.X _1500_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1500_.X output433.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1501_.X _1517_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1502_.X _1517_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1503_.X _1504_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1504_.X _1516_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1504_.X INSDIODE2_2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1505_.X _1506_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1506_.X _1509_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1507_.X _1508_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1508_.X _1509_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1509_.X _1515_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1510_.X _1511_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1511_.X _1514_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1512_.X _1513_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1513_.X _1514_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1514_.X _1515_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1515_.X _1516_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1516_.X _1517_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1517_.X output434.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1518_.X _1519_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1518_.X _1533_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1518_.X _1545_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1518_.X _1569_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1518_.X _2466_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1519_.X _1523_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1519_.X _1525_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1519_.X _1527_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1519_.X _1529_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1519_.X _1531_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1520_.X _1521_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1520_.X _2228_.A (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _1520_.X _2270_.A (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _1520_.X _2456_.B2 (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT _1520_.X _2462_.B2 (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT _1521_.X _1522_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1521_.X _1534_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1521_.X _1546_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1521_.X _1558_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1521_.X _1569_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1522_.X _1523_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1522_.X _1525_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1522_.X _1527_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1522_.X _1529_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1522_.X _1531_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1523_.X _1524_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1524_.X _2821_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1524_.X output337.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1524_.X INSDIODE2_30.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1525_.X _1526_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1526_.X _2822_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _1526_.X output338.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1526_.X INSDIODE2_31.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1527_.X _1528_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1528_.X _2823_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1528_.X output339.A (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _1528_.X INSDIODE2_32.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _1529_.X _1530_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1530_.X _2824_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1530_.X output340.A (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _1530_.X INSDIODE2_33.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _1531_.X _1532_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1532_.X _2825_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _1532_.X output341.A (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _1532_.X INSDIODE2_34.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1533_.X _1535_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1533_.X _1537_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1533_.X _1539_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1533_.X _1541_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1533_.X _1543_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1534_.X _1535_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1534_.X _1537_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1534_.X _1539_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1534_.X _1541_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1534_.X _1543_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1535_.X _1536_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1536_.X _2826_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1536_.X output342.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1536_.X INSDIODE2_35.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1537_.X _1538_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1538_.X _2827_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1538_.X output343.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1538_.X INSDIODE2_36.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1539_.X _1540_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1540_.X _2828_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1540_.X output344.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1540_.X INSDIODE2_37.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1541_.X _1542_.A (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _1542_.X _2829_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1542_.X output345.A (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _1542_.X INSDIODE2_38.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _1543_.X _1544_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1544_.X _2830_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1544_.X output347.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1544_.X INSDIODE2_39.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1545_.X _1547_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1545_.X _1549_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1545_.X _1551_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1545_.X _1553_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1545_.X _1555_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1546_.X _1547_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1546_.X _1549_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1546_.X _1551_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1546_.X _1553_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1546_.X _1555_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1547_.X _1548_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1548_.X _2831_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1548_.X output348.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1548_.X INSDIODE2_66.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1549_.X _1550_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1550_.X _2832_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1550_.X output349.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1550_.X INSDIODE2_40.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1551_.X _1552_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1552_.X _2833_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1552_.X output350.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1552_.X INSDIODE2_41.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1553_.X _1554_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1554_.X _2834_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1554_.X output351.A (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1554_.X INSDIODE2_42.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1555_.X _1556_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1556_.X _2835_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1556_.X output352.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1556_.X INSDIODE2_43.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1557_.X _1559_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1557_.X _1561_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1557_.X _1563_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1557_.X _1565_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1557_.X _1567_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1558_.X _1559_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1558_.X _1561_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1558_.X _1563_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1558_.X _1565_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1558_.X _1567_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1559_.X _1560_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _1560_.X _2836_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1560_.X output353.A (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1560_.X INSDIODE2_44.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1561_.X _1562_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1562_.X _2837_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1562_.X output354.A (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1562_.X INSDIODE2_45.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1563_.X _1564_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1564_.X _2838_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1564_.X output355.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1565_.X _1566_.A (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _1566_.X _2839_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1566_.X output356.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1567_.X _1568_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1568_.X _2840_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1568_.X output358.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1569_.X _1570_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1570_.X _2841_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1570_.X output359.A (0.016:0.016:0.016) (0.016:0.016:0.016))
(INTERCONNECT _1570_.X INSDIODE2_67.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
(INTERCONNECT _1571_.Y _1572_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1571_.Y _1940_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1571_.Y _1983_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1571_.Y _2055_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1571_.Y _2118_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1572_.Y _2843_.A (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1572_.Y _2087_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1572_.Y output368.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1573_.Y _1574_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1573_.Y _1922_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _1574_.Y _2844_.A (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT _1574_.Y _2076_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1574_.Y output369.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1574_.Y INSDIODE2_47.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1574_.Y INSDIODE2_68.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1575_.X _1576_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1576_.X _2845_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1576_.X output370.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1577_.X _1578_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1578_.X _2846_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1578_.X output371.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1579_.Y _1581_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1579_.Y _1921_.A (0.015:0.015:0.015) (0.014:0.014:0.014))
(INTERCONNECT _1579_.Y _1953_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1579_.Y _2133_.A (0.015:0.015:0.015) (0.015:0.015:0.015))
(INTERCONNECT _1579_.Y _2280_.A (0.015:0.015:0.015) (0.015:0.015:0.015))
(INTERCONNECT _1580_.Y _1581_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1580_.Y _1932_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1580_.Y _1943_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1580_.Y _2467_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1580_.Y _2561_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1581_.X _1582_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1581_.X _2503_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1582_.X _1583_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1582_.X _2470_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1582_.X _2481_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1582_.X _2492_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _1582_.X _2514_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1583_.X _1584_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1583_.X _1586_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1583_.X _1588_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1583_.X _1590_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1583_.X _2468_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1584_.X _1585_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1585_.X _2562_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1586_.X _1587_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1587_.X _2563_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1588_.X _1589_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1589_.X _2564_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1590_.X _1591_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1591_.X _2565_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1592_.Y _1596_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1593_.Y _1596_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1594_.Y _1596_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1595_.Y _1596_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1596_.X _1597_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1596_.X _1600_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1596_.X _1602_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1596_.X _1605_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1596_.X _1750_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1597_.X _1601_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1597_.X _1603_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1598_.X _1599_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1598_.X _1612_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1598_.X _1615_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1598_.X _1617_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1598_.X _1750_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1599_.X _1600_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1599_.X _1603_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1599_.X _1606_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1599_.X _1609_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1599_.X _1795_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1600_.Y _1601_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1601_.Y _2577_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1602_.X _1604_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1602_.X _1606_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1603_.Y _1604_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1604_.Y _2578_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1605_.X _1607_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1605_.X _1608_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1605_.X _1609_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1605_.X _1611_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1605_.X _1614_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1606_.Y _1607_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1607_.Y _2579_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1608_.X _1610_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1608_.X _1612_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1609_.Y _1610_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1610_.Y _2580_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1611_.X _1613_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1611_.X _1615_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1612_.Y _1613_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1613_.Y _2581_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1614_.X _1616_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1614_.X _1617_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1614_.X _1618_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1615_.Y _1616_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1616_.Y _2582_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1617_.Y _1618_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1618_.X _2583_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1619_.Y _1623_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1620_.Y _1623_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1621_.Y _1623_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1622_.Y _1623_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1623_.X _1624_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1623_.X _1626_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1623_.X _1639_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1623_.X _1640_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1624_.X _1628_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1624_.X _1630_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1624_.X _1631_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1624_.X _1633_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1625_.X _1628_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1625_.X _1631_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1625_.X _1634_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1625_.X _1644_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1625_.X _1650_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1626_.X _1627_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1626_.X _1642_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1626_.X _1647_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1626_.X _1689_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1626_.X _1693_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1627_.X _1628_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1628_.X _1629_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1629_.X _2584_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1630_.X _1632_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1630_.X _1634_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1631_.Y _1632_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1632_.Y _2585_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1633_.X _1635_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1633_.X _1637_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1633_.X _1638_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1634_.Y _1635_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1635_.Y _2586_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1636_.Y _1637_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1636_.Y _1640_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _1636_.Y _1689_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1636_.Y _1693_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1636_.Y _1920_.A1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1637_.X _1638_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1638_.X _2587_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1639_.X _1643_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1639_.X _1644_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1639_.X _1645_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1639_.X _1646_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1640_.Y _1641_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1640_.Y _1651_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1640_.Y _1653_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1640_.Y _1679_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1640_.Y _1685_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1641_.X _1642_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1641_.X _1648_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1641_.X _1659_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1641_.X _1668_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1641_.X _1683_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1642_.Y _1643_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1643_.Y _2588_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1644_.Y _1645_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1645_.Y _2589_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1646_.X _1648_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1647_.X _1648_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1648_.X _1649_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1649_.X _2590_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1650_.Y _1651_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1650_.Y _1656_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1650_.Y _1669_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1650_.Y _1683_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1650_.Y _1687_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1651_.Y _1652_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1651_.Y _1677_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1651_.Y _1679_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1651_.Y _1680_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1652_.X _1654_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1652_.X _1660_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1652_.X _1664_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1652_.X _1667_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1652_.X _1674_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1653_.X _1654_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1653_.X _1655_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1654_.X _2591_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1655_.Y _1656_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1656_.Y _2592_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1657_.X _1660_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1658_.Y _1660_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1659_.X _1660_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1659_.X _1664_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1659_.X _1667_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1659_.X _1674_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1659_.X _1677_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1660_.X _2593_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1661_.X _1662_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1661_.X _1665_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1661_.X _1666_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1661_.X _1671_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1661_.X _1673_.A3 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1662_.Y _1664_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1663_.X _1664_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1664_.X _2594_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1665_.X _1667_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1666_.Y _1667_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1666_.Y _1668_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1667_.X _2595_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1668_.Y _1669_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1668_.Y _1670_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1669_.Y _1670_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1670_.X _2596_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1671_.X _1672_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1671_.X _1675_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1671_.X _1676_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1671_.X _1678_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1671_.X _1680_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1672_.Y _1674_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1673_.X _1674_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1674_.X _2597_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1675_.Y _1677_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1676_.X _1677_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1677_.X _2598_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1678_.Y _1679_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1678_.Y _1683_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1678_.Y _1685_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1679_.X _1681_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1679_.X _1684_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1680_.X _1681_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1681_.X _1682_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1682_.X _2599_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1683_.X _1684_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1684_.X _2600_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1685_.X _1686_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1686_.X _1687_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1687_.Y _2601_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1688_.X _1690_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1688_.X _1691_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1688_.X _1692_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1688_.X _1694_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1688_.X _1695_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1689_.X _1690_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1689_.X _1691_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1690_.Y _2602_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1691_.Y _1692_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1692_.X _2603_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1693_.Y _1696_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1693_.Y _1701_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1694_.Y _1696_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1694_.Y _1699_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1694_.Y _1700_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1695_.X _1696_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1696_.X _1697_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1697_.X _2604_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1698_.Y _1699_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1698_.Y _1700_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1699_.Y _1701_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1700_.X _1701_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1701_.X _1702_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1702_.X _2605_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1703_.X _1704_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1703_.X _1734_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1703_.X _1767_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1703_.X _1792_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _1703_.X _1862_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1704_.X _1705_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1704_.X _1858_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1704_.X _1860_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1704_.X _1861_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1704_.X _1863_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1705_.X _1748_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1706_.X _1748_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1707_.Y _1708_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1707_.Y _1791_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1708_.X _1711_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1708_.X _1727_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1708_.X _1731_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1708_.X _1743_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1708_.X _1784_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1709_.Y _1711_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1709_.Y _1742_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1709_.Y _1765_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1709_.Y _1792_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1709_.Y _1884_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1710_.X _1711_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1711_.X _1748_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1712_.X _1713_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1712_.X _1738_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1712_.X _1764_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1712_.X _1862_.C (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1712_.X _1863_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1713_.X _1728_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1714_.X _1715_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1714_.X _1876_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1714_.X _1877_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1714_.X _1878_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1714_.X _1879_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1715_.X _1728_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1716_.Y _1724_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1717_.X _1718_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1717_.X _1727_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1717_.X _1739_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1717_.X _1769_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1717_.X _1786_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1718_.X _1724_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1718_.X _1785_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1718_.X _1868_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1718_.X _1869_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1718_.X _1871_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1719_.Y _1724_.B2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1719_.Y _1727_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1719_.Y _2158_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1720_.Y _1723_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1720_.Y _1765_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1720_.Y _1770_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1721_.X _1723_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1721_.X _1737_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1721_.X _1768_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1721_.X _1793_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1721_.X _1883_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1722_.Y _1723_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1722_.Y _2144_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1723_.X _1724_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1724_.X _1728_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1725_.X _1726_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1725_.X _1781_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1725_.X _1782_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1725_.X _1874_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1725_.X _1878_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1726_.Y _1727_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1727_.X _1728_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1728_.X _1748_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1729_.Y _1747_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1730_.Y _1731_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1730_.Y _1768_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1730_.Y _1770_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1730_.Y _1782_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1730_.Y _1793_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1731_.X _1747_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1732_.Y _1737_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1732_.Y _1740_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1732_.Y _1781_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1732_.Y _1788_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1733_.Y _1737_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1733_.Y _2170_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1734_.Y _1736_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1734_.Y _1745_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1734_.Y _1787_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1735_.Y _1736_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1735_.Y _1742_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1735_.Y _1784_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1735_.Y _1788_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1736_.Y _1737_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1737_.X _1747_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1738_.Y _1740_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1738_.Y _1743_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1738_.Y _1785_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1738_.Y _1791_.A2_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1739_.X _1740_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1740_.X _1746_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1741_.Y _1742_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1742_.X _1746_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1743_.X _1746_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1744_.Y _1745_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1744_.Y _2183_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1745_.X _1746_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1746_.X _1747_.A4 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1747_.X _1748_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1748_.X _2606_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1749_.X _1751_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1749_.X _1753_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1749_.X _1754_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1749_.X _1755_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1749_.X _1756_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1750_.X _1751_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1750_.X _1753_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1750_.X _1757_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1750_.X _1762_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1751_.X _1752_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1752_.X _2607_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1753_.Y _1754_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1754_.Y _2608_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1755_.Y _1757_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1755_.Y _1760_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1755_.Y _1761_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1756_.X _1757_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1757_.X _1758_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1758_.X _2609_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1759_.Y _1760_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1759_.Y _1761_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1760_.Y _1762_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1761_.X _1762_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1762_.X _1763_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1763_.X _2610_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1764_.X _1765_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1765_.X _1776_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1766_.Y _1768_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1766_.Y _2198_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1767_.X _1768_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1768_.X _1776_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1769_.Y _1770_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1770_.Y _1776_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1771_.X _1775_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1772_.X _1775_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1773_.X _1775_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1774_.X _1775_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1775_.X _1776_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1776_.X _1777_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1776_.X _1859_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1776_.X _1876_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1776_.X _1881_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1777_.Y _1795_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1777_.Y _1858_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1777_.Y _1864_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1777_.Y _1872_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1778_.Y _1781_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1778_.Y _1782_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1779_.X _1780_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1779_.X _1860_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1779_.X _1861_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1779_.X _1862_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1779_.X _1863_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1780_.Y _1781_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1781_.Y _1795_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1782_.X _1794_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1783_.Y _1785_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1784_.Y _1785_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1785_.X _1794_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1786_.Y _1787_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1787_.X _1788_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1788_.X _1794_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1789_.Y _1793_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1789_.Y _2114_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1790_.Y _1792_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1791_.X _1792_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1792_.X _1793_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1793_.X _1794_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1794_.X _1795_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1795_.X _2611_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1796_.Y _1801_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1796_.Y _2024_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1797_.X _1801_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1797_.X _1855_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1797_.X _2537_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1797_.X _2538_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1797_.X _2550_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1798_.X _1801_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1798_.X _1909_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1798_.X _2551_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1798_.X _2553_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1798_.X _2558_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1799_.Y _1801_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1799_.Y _2013_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1800_.X _1801_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1801_.X _1857_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1802_.Y _1808_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1802_.Y _2029_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1803_.Y _1804_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1803_.Y _1847_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1803_.Y _1889_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1803_.Y _1900_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1804_.X _1808_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1804_.X _1832_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1804_.X _1838_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1804_.X _2541_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1804_.X _2543_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1805_.Y _1807_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1805_.Y _1831_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1806_.Y _1807_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1806_.Y _1814_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1807_.X _1808_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1808_.X _1825_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1809_.Y _1810_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1809_.Y _1889_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1809_.Y _1897_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1810_.X _1813_.A2_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1810_.X _1815_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1810_.X _1835_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1810_.X _1842_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1810_.X _1910_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1811_.Y _1812_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1811_.Y _1892_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1812_.X _1813_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1812_.X _1826_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1812_.X _1854_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1812_.X _1899_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1812_.X _1915_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1813_.X _1825_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1814_.X _1815_.A2_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1814_.X _1839_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1814_.X _1842_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1814_.X _1906_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1814_.X _2559_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1815_.X _1825_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1816_.Y _1817_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1816_.Y _1890_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1817_.X _1824_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1817_.X _1834_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1817_.X _1896_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1817_.X _1913_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1817_.X _1915_.A2_N (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1818_.Y _1824_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1818_.Y _2552_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1819_.Y _1820_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1819_.Y _1885_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1819_.Y _1897_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1820_.X _1823_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1820_.X _1835_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1820_.X _1916_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1820_.X _1918_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1820_.X _2529_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1821_.X _1823_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1821_.X _1826_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1821_.X _1890_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1821_.X _2532_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1821_.X _2534_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1822_.Y _1823_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1822_.Y _1826_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1823_.X _1824_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1824_.X _1825_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1825_.X _1857_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1826_.X _1832_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1827_.X _1831_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1827_.X _1839_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1827_.X _1912_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1827_.X _2518_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1827_.X _2519_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1828_.X _1829_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1828_.X _1830_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1828_.X _1910_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1828_.X _2518_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1828_.X _2519_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1829_.Y _1831_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1830_.X _1831_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1831_.X _1832_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1832_.Y _1857_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1833_.Y _1834_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1833_.Y _1841_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1833_.Y _1892_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1833_.Y _1905_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1833_.Y _1918_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1834_.X _1835_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1835_.X _1856_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1836_.Y _1839_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1837_.Y _1838_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1837_.Y _1840_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1837_.Y _1896_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1838_.X _1839_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1839_.X _1849_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1840_.X _1841_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1840_.X _1905_.A2_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1840_.X _1906_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1840_.X _2523_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1840_.X _2524_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1841_.X _1842_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1842_.Y _1849_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1843_.Y _1848_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1843_.Y _1886_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1843_.Y _1893_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1843_.Y _2516_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1844_.X _1848_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1844_.X _1913_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1844_.X _2546_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1844_.X _2547_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1844_.X _2550_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1845_.Y _1848_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1845_.Y _2051_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1846_.Y _1847_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1846_.Y _1885_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1846_.Y _1886_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1847_.X _1848_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1848_.X _1849_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1849_.X _1856_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1850_.Y _1855_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1850_.Y _2064_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1851_.Y _1855_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1851_.Y _2059_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1852_.Y _1854_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1853_.Y _1854_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1854_.X _1855_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1855_.X _1856_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1856_.X _1857_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1857_.X _2612_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1858_.Y _2613_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1859_.X _1860_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1859_.X _1866_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1859_.X _1868_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1859_.X _1874_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1859_.X _1884_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1860_.Y _1861_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1861_.Y _2614_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1862_.X _1864_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1862_.X _1866_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1863_.Y _1864_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1864_.Y _2615_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1865_.X _1867_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1865_.X _1868_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1865_.X _1869_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1865_.X _1870_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1865_.X _1871_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1866_.Y _1867_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1867_.Y _2616_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1868_.Y _1869_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1869_.Y _2617_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1870_.X _1872_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1870_.X _1873_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1870_.X _1874_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1870_.X _1878_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1871_.Y _1872_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1872_.Y _2618_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1873_.X _1875_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1873_.X _1876_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1873_.X _1877_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1873_.X _1879_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1874_.Y _1875_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1875_.Y _2619_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1876_.Y _1877_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1877_.Y _2620_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1878_.X _1881_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1879_.X _1880_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1879_.X _1883_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1880_.Y _1881_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1880_.Y _1884_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1881_.X _1882_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1882_.X _2621_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1883_.X _1884_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1884_.X _2622_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1885_.X _1887_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1886_.X _1887_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1887_.X _1894_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1888_.Y _1890_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1889_.X _1890_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1890_.X _1894_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1891_.Y _1893_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1891_.Y _1899_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1891_.Y _2078_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1892_.X _1893_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1893_.X _1894_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1894_.X _1901_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1895_.X _1901_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1896_.X _1897_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1897_.X _1901_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1898_.Y _1900_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1899_.X _1900_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1900_.X _1901_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1901_.X _1902_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1901_.X _2522_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1901_.X _2548_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1901_.X _2556_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1902_.X _1920_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1902_.X _2516_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1902_.X _2520_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1902_.X _2552_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1902_.X _2559_.C1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1903_.Y _1907_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1903_.Y _1917_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1903_.Y _1988_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1904_.Y _1907_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1904_.Y _1978_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1905_.X _1906_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1906_.X _1907_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1907_.X _1920_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1908_.Y _1910_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1908_.Y _1917_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1909_.X _1910_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1910_.X _1919_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1911_.Y _1913_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1911_.Y _1985_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1912_.X _1913_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1913_.X _1919_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1914_.Y _1916_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1915_.X _1916_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1916_.X _1919_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1917_.X _1918_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1918_.X _1919_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1919_.X _1920_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1920_.X _2623_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1921_.X _1935_.A (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _1921_.X _1938_.A (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _1921_.X _2217_.C1 (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1921_.X _2230_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1921_.X _2236_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1922_.X _1933_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1922_.X _1974_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1922_.X _2007_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1922_.X _2041_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1922_.X _2080_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1923_.X _1926_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1923_.X _1969_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1923_.X _2006_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1923_.X _2039_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1923_.X _2074_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1924_.X _1925_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1924_.X _2006_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1924_.X _2075_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1924_.X _2081_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1924_.X _2471_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1925_.X _1926_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1925_.X _1969_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1926_.X _1932_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1926_.X _1944_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1927_.X _1932_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1927_.X _1943_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1928_.X _1931_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1928_.X _1943_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1928_.X INSDIODE2_3.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1929_.X _1931_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1929_.X _1941_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1930_.X _1931_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1931_.X _1932_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1932_.X _1933_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1932_.X _1952_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1933_.X _1934_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1933_.X _1937_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1934_.X _1935_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1935_.X _1936_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1936_.X _2624_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1937_.X _1938_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1938_.X _1939_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1939_.X _2625_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1940_.X _1944_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1940_.X _1951_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1940_.X _2020_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1940_.X _2091_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1940_.X _2179_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1941_.X _1944_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1941_.X _1971_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1941_.X _2073_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1941_.X _2111_.C (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _1942_.X _1943_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1943_.X _1944_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1943_.X _1971_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1943_.X _2073_.B_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1943_.X _2111_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1944_.Y _1945_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1944_.Y _1956_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1944_.Y _1965_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1945_.X _1946_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1945_.X _1947_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1945_.X _1957_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1945_.X _1961_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1945_.X _1967_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1946_.X _1950_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1946_.X _1955_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1946_.X _1960_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1946_.X _1964_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1946_.X _1968_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1947_.X _1950_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1948_.X _1949_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1948_.X _1981_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1948_.X _1997_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1948_.X _2052_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1948_.X _2220_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1949_.X _1950_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1949_.X _1958_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1949_.X _1962_.C1 (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _1949_.X _1966_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1949_.X _1979_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1950_.X _2626_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1951_.X _1952_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1951_.X _1990_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1951_.X _2015_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1951_.X _2050_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1951_.X _2174_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1952_.X _1955_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1953_.X _1954_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1953_.X _1986_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1953_.X _2025_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1953_.X _2062_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1953_.X _2095_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1954_.X _1955_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1954_.X _1960_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1954_.X _1964_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1954_.X _1968_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1954_.X _1977_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1955_.X _2627_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1956_.X _1958_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1956_.X _1959_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1956_.X _1962_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1956_.X _1963_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1956_.X _1966_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1957_.X _1958_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1958_.X _2628_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1959_.Y _1960_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1960_.X _2629_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1961_.X _1962_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1962_.X _2630_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1963_.Y _1964_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1964_.X _2631_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1965_.X _1966_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1966_.X _2632_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1967_.Y _1968_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1968_.X _2633_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1969_.X _1970_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1969_.X _1983_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1970_.X _1974_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1970_.X _1990_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1970_.X _2113_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1970_.X _2120_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1970_.X _2125_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1971_.X _1972_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1971_.X _2020_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1971_.X _2055_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1972_.X _1973_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1972_.X _1983_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1972_.X _2007_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1972_.X _2041_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1972_.X _2091_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1973_.X _1974_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1973_.X _1990_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1973_.X _2015_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1973_.X _2050_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1973_.X _2082_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1974_.Y _1975_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1974_.Y _1980_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1975_.X _1976_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1975_.X _1977_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1975_.X _1978_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1975_.X _1979_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1975_.X _1982_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1976_.Y _1977_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1977_.X _2634_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1978_.Y _1979_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1979_.X _2635_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1980_.X _1982_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1981_.X _1982_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1981_.X _2012_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1981_.X _2014_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1981_.X _2047_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1981_.X _2049_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1982_.X _2636_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1983_.X _1984_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _1983_.X _1998_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1983_.X _2000_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1983_.X _2002_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1983_.X _2004_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1984_.X _1985_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1984_.X _1987_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1984_.X _1988_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1984_.X _1989_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1984_.X _1995_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1985_.Y _1987_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1986_.X _1987_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1986_.X _1989_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1986_.X _1992_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1986_.X _2010_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1986_.X _2019_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1987_.X _2637_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1988_.Y _1989_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1989_.X _2638_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1990_.Y _1991_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1990_.Y _1992_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1990_.Y _1993_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1991_.Y _1992_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1992_.X _2639_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1993_.X _1996_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1993_.X _1999_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1993_.X _2001_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1993_.X _2003_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1993_.X _2005_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1994_.X _1995_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1994_.X _2159_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1994_.X _2161_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1994_.X _2171_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1994_.X _2199_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1995_.X _1996_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1996_.X _2640_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1997_.X _1998_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1997_.X _2000_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1997_.X _2002_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1997_.X _2004_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1997_.X _2022_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1998_.X _1999_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1999_.X _2641_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2000_.X _2001_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2001_.X _2642_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2002_.X _2003_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2003_.X _2643_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2004_.X _2005_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2005_.X _2644_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2006_.X _2007_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2006_.X _2015_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2006_.X _2020_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2006_.X _2143_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2006_.X _2148_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2007_.Y _2008_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2007_.Y _2011_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2008_.X _2009_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2008_.X _2010_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2008_.X _2012_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2008_.X _2013_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2008_.X _2014_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2009_.Y _2010_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2010_.X _2645_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2011_.X _2012_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2012_.X _2646_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2013_.Y _2014_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2014_.X _2647_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2015_.Y _2016_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2015_.Y _2018_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2015_.Y _2027_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2015_.Y _2028_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2016_.X _2019_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2016_.X _2023_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2016_.X _2034_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2016_.X _2036_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2016_.X _2038_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2017_.X _2018_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _2017_.X _2089_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2017_.X _2122_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2017_.X _2152_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2017_.X _2177_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2018_.Y _2019_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2019_.X _2648_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2020_.X _2021_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _2020_.X _2033_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2020_.X _2035_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2020_.X _2037_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2021_.X _2022_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2021_.X _2024_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2021_.X _2026_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2021_.X _2029_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2021_.X _2030_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2022_.X _2023_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2023_.X _2649_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2024_.Y _2026_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2025_.X _2026_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2025_.X _2028_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2025_.X _2030_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2025_.X _2044_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2025_.X _2060_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2026_.X _2650_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2027_.Y _2028_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2028_.X _2651_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2029_.Y _2030_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2030_.X _2652_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2031_.X _2032_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2031_.X _2068_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2031_.X _2106_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2031_.X _2138_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2031_.X _2189_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2032_.X _2033_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2032_.X _2035_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2032_.X _2037_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2032_.X _2057_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2032_.X _2066_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2033_.X _2034_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2034_.X _2653_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2035_.X _2036_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2036_.X _2654_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2037_.X _2038_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2038_.X _2655_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2039_.X _2040_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2039_.X _2055_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2040_.X _2041_.C (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2040_.X _2050_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2040_.X _2169_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2040_.X _2174_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2040_.X _2179_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2041_.Y _2042_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2041_.Y _2048_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2042_.X _2043_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2042_.X _2044_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2042_.X _2046_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2042_.X _2047_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2042_.X _2049_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2043_.Y _2044_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2044_.X _2656_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2045_.Y _2046_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2046_.Y _2047_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2047_.X _2657_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2048_.X _2049_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2049_.X _2658_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2050_.Y _2051_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2050_.Y _2053_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2050_.Y _2054_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2050_.Y _2061_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2051_.Y _2053_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2052_.X _2053_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2052_.X _2079_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2052_.X _2115_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2052_.X _2145_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2052_.X _2155_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2053_.X _2659_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2054_.X _2058_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2054_.X _2063_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2054_.X _2067_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2054_.X _2070_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _2054_.X _2072_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _2055_.X _2056_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2055_.X _2066_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _2055_.X _2069_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _2055_.X _2071_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _2056_.X _2057_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2056_.X _2059_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2056_.X _2060_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2056_.X _2064_.B (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _2056_.X _2065_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2057_.X _2058_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2058_.X _2660_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2059_.Y _2060_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2060_.X _2661_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2061_.Y _2063_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2062_.X _2063_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2062_.X _2065_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2062_.X _2083_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2062_.X _2086_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2062_.X _2090_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2063_.X _2662_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2064_.Y _2065_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2065_.X _2663_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2066_.X _2067_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2067_.X _2664_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2068_.X _2069_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2068_.X _2071_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2068_.X _2100_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2068_.X _2102_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2068_.X _2104_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2069_.X _2070_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2070_.X _2665_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2071_.X _2072_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2072_.X _2666_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2073_.X _2076_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2073_.X _2087_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2074_.Y _2075_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2074_.Y _2081_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2075_.X _2076_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2075_.X _2087_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2076_.X _2077_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2077_.X _2078_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2077_.X _2079_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2077_.X _2083_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2077_.X _2085_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2077_.X _2086_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2078_.Y _2079_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2079_.X _2667_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2080_.X _2082_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2080_.X _2113_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2080_.X _2143_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2080_.X _2169_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2080_.X _2197_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2081_.Y _2082_.D (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _2081_.Y _2091_.C (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _2081_.Y _2197_.B (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _2081_.Y _2202_.B (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _2081_.Y _2207_.B (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _2082_.X _2083_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2083_.X _2668_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2084_.X _2085_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2084_.X _2116_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2084_.X _2146_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2084_.X _2172_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2084_.X _2200_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2085_.Y _2086_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2086_.X _2669_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2087_.X _2088_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2088_.X _2089_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2088_.X _2090_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2088_.X _2097_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _2088_.X _2098_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _2088_.X _2099_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2089_.Y _2090_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2090_.X _2670_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2091_.X _2092_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2091_.X _2107_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2091_.X _2109_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2092_.X _2094_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2092_.X _2096_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2092_.X _2100_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2092_.X _2102_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2092_.X _2104_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2093_.Y _2094_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2094_.Y _2096_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2095_.X _2096_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2095_.X _2098_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2095_.X _2117_.C1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2095_.X _2123_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2095_.X _2129_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2096_.X _2671_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2097_.Y _2098_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2098_.X _2672_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2099_.X _2101_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2099_.X _2103_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2099_.X _2105_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2099_.X _2108_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2099_.X _2110_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2100_.X _2101_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2101_.X _2673_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2102_.X _2103_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2103_.X _2674_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2104_.X _2105_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2105_.X _2675_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2106_.X _2107_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2106_.X _2109_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2106_.X _2126_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2106_.X _2130_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2106_.X _2136_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2107_.X _2108_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2108_.X _2676_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2109_.X _2110_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2110_.X _2677_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2111_.X _2112_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2111_.X _2119_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2111_.X _2179_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2112_.X _2113_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2112_.X _2143_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2112_.X _2169_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2112_.X _2174_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2112_.X _2197_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2113_.Y _2114_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2113_.Y _2115_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2113_.Y _2116_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2113_.Y _2117_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2114_.Y _2115_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2115_.X _2678_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2116_.Y _2117_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2117_.X _2679_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2118_.X _2120_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2118_.X _2125_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2118_.X _2148_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2118_.X _2202_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2118_.X _2207_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2119_.X _2120_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2119_.X _2125_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2119_.X _2148_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2119_.X _2202_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2119_.X _2207_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2120_.Y _2121_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2120_.Y _2124_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2120_.Y _2132_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2121_.X _2122_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2121_.X _2123_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2121_.X _2128_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2121_.X _2129_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2121_.X _2135_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2122_.Y _2123_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2123_.X _2680_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2124_.X _2127_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2124_.X _2131_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2124_.X _2137_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2124_.X _2140_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2124_.X _2142_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2125_.X _2126_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2125_.X _2130_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2125_.X _2136_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2125_.X _2139_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2125_.X _2141_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2126_.X _2127_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2127_.X _2681_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2128_.Y _2129_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2129_.X _2682_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2130_.X _2131_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2131_.X _2683_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2132_.Y _2135_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2133_.X _2134_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _2133_.X _2165_.A (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _2133_.X _2193_.A (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _2133_.X _2238_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2133_.X _2466_.A4 (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _2134_.X _2135_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2134_.X _2147_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2134_.X _2153_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2134_.X _2157_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2134_.X _2163_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2135_.X _2684_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2136_.X _2137_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2137_.X _2685_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2138_.X _2139_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2138_.X _2141_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2138_.X _2181_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2138_.X _2185_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2138_.X _2187_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2139_.X _2140_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2140_.X _2686_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2141_.X _2142_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2142_.X _2687_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2143_.Y _2144_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2143_.Y _2145_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2143_.Y _2146_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2143_.Y _2147_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2144_.Y _2145_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2145_.X _2688_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2146_.Y _2147_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2147_.X _2689_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2148_.Y _2149_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2148_.Y _2151_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2148_.Y _2154_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2148_.Y _2160_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2149_.X _2150_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2149_.X _2158_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2149_.X _2162_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2149_.X _2164_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2149_.X _2167_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2150_.X _2153_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2150_.X _2157_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2150_.X _2163_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2150_.X _2166_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2150_.X _2168_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2151_.X _2152_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2151_.X _2155_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2151_.X _2156_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2151_.X _2159_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2151_.X _2161_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2152_.Y _2153_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2153_.X _2690_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2154_.X _2155_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2155_.X _2691_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2156_.Y _2157_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2157_.X _2692_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2158_.Y _2159_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2159_.X _2693_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2160_.X _2161_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2161_.X _2694_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2162_.Y _2163_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2163_.X _2695_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2164_.Y _2166_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2165_.X _2166_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2165_.X _2168_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2165_.X _2173_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2165_.X _2178_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2165_.X _2184_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2166_.X _2696_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2167_.Y _2168_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2168_.X _2697_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2169_.Y _2170_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2169_.Y _2171_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2169_.Y _2172_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2169_.Y _2173_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2170_.Y _2171_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2171_.X _2698_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2172_.Y _2173_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2173_.X _2699_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2174_.Y _2175_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2174_.Y _2176_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2175_.X _2178_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2175_.X _2182_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _2175_.X _2186_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2175_.X _2188_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2175_.X _2191_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2176_.X _2177_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2176_.X _2192_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2176_.X _2194_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2176_.X _2195_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2176_.X _2196_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2177_.Y _2178_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2178_.X _2700_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2179_.X _2180_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2179_.X _2190_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2180_.X _2181_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2180_.X _2183_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2180_.X _2184_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2180_.X _2185_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2180_.X _2187_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2181_.X _2182_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2182_.X _2701_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2183_.Y _2184_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2184_.X _2702_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2185_.X _2186_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2186_.X _2703_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2187_.X _2188_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2188_.X _2704_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2189_.X _2190_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2189_.X _2208_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2189_.X _2210_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2189_.X _2212_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2189_.X _2218_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2190_.X _2191_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2191_.X _2705_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2192_.Y _2194_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2193_.X _2194_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2193_.X _2196_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2193_.X _2201_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2193_.X _2205_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2193_.X _2215_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2194_.X _2706_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2195_.Y _2196_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2196_.X _2707_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2197_.Y _2198_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2197_.Y _2199_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2197_.Y _2200_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2197_.Y _2201_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2198_.Y _2199_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2199_.X _2708_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2200_.Y _2201_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2201_.X _2709_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2202_.Y _2203_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2202_.Y _2206_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2202_.Y _2216_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2203_.X _2204_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2203_.X _2205_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2203_.X _2214_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2203_.X _2215_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2203_.X _2217_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2204_.Y _2205_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2205_.X _2710_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2206_.X _2209_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2206_.X _2211_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2206_.X _2213_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2206_.X _2219_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2206_.X _2221_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2207_.X _2208_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2207_.X _2210_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2207_.X _2212_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2207_.X _2218_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2207_.X _2220_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2208_.X _2209_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2209_.X _2711_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2210_.X _2211_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2211_.X _2712_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2212_.X _2213_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2213_.X _2713_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2214_.Y _2215_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2215_.X _2714_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2216_.Y _2217_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2217_.X _2715_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2218_.X _2219_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2219_.X _2716_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2220_.X _2221_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2221_.X _2717_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2222_.X _2226_.B1 (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _2223_.Y _2224_.A (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _2223_.Y _2344_.A (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _2223_.Y _2382_.A (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _2223_.Y _2420_.A (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _2224_.X _2225_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2224_.X _2267_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2224_.X _2306_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2224_.X _2454_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2224_.X _2460_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2225_.X _2226_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2225_.X _2233_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2225_.X _2241_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2225_.X _2247_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2225_.X _2259_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2226_.X _2229_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2227_.X _2229_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2228_.X _2229_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2228_.X _2235_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2228_.X _2243_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2228_.X _2249_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2228_.X _2263_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2229_.X _2230_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2230_.X _2231_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2231_.X _2718_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2232_.X _2233_.B1 (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _2233_.X _2235_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2234_.X _2235_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2235_.X _2236_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2236_.X _2237_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2237_.X _2719_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2238_.X _2244_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2238_.X _2250_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2238_.X _2264_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2238_.X _2272_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2238_.X _2278_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2239_.X _2243_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2239_.X _2249_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2239_.X _2263_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2239_.X _2271_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2239_.X _2277_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2240_.X _2241_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2240_.X INSDIODE2_4.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2241_.X _2243_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2242_.X _2243_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2243_.X _2244_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2244_.X _2245_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2245_.X _2720_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2246_.X _2247_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2246_.X INSDIODE2_5.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2247_.X _2249_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2248_.X _2249_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2249_.X _2250_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2250_.X _2251_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2251_.X _2721_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2252_.X _2253_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2252_.X _2295_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2252_.X _2448_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2252_.X _2454_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2252_.X _2460_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2253_.X _2259_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2253_.X _2268_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2253_.X _2275_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2253_.X _2284_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2253_.X _2290_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2254_.X _2255_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2254_.X _2296_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2254_.X _2447_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2254_.X _2453_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2254_.X _2459_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2255_.X _2258_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2255_.X _2266_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2255_.X _2274_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2255_.X _2283_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2255_.X _2289_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2256_.X _2257_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2256_.X _2297_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2256_.X _2447_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2256_.X _2453_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2256_.X _2459_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2257_.X _2258_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2257_.X _2266_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2257_.X _2274_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2257_.X _2283_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2257_.X _2289_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2258_.X _2259_.B1 (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _2259_.X _2263_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2260_.X _2261_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2260_.X _2300_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2260_.X _2449_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2260_.X _2455_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2260_.X _2461_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2261_.X _2262_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2261_.X _2269_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2261_.X _2276_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2261_.X _2285_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2261_.X _2291_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2262_.X _2263_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2263_.X _2264_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2264_.X _2265_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2265_.X _2722_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2266_.X _2268_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2266_.X INSDIODE2_6.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2267_.X _2268_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2267_.X _2275_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2267_.X _2284_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2267_.X _2290_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2267_.X _2299_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2268_.X _2271_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2269_.X _2271_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2270_.X _2271_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2270_.X _2277_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2270_.X _2286_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2270_.X _2292_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2270_.X _2302_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2271_.X _2272_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2272_.X _2273_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2273_.X _2723_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2274_.X _2275_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2275_.X _2277_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2276_.X _2277_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2277_.X _2278_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2278_.X _2279_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2279_.X _2724_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2280_.X _2281_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2280_.X _2319_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2280_.X _2357_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2280_.X _2395_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _2280_.X _2433_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _2281_.X _2287_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2281_.X _2293_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2281_.X _2303_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2281_.X _2311_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2281_.X _2317_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2282_.X _2286_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2282_.X _2292_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2282_.X _2302_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2282_.X _2310_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2282_.X _2316_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2283_.X _2284_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2284_.X _2286_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2285_.X _2286_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2286_.X _2287_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2287_.X _2288_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2288_.X _2725_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2289_.X _2290_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2290_.X _2292_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2291_.X _2292_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2292_.X _2293_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2293_.X _2294_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2294_.X _2726_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2295_.X _2299_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2295_.X _2307_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2295_.X _2314_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2295_.X _2322_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2295_.X _2328_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2296_.X _2298_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2296_.X _2305_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2296_.X _2313_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2296_.X _2321_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2296_.X _2327_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2297_.X _2298_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2297_.X _2305_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2297_.X _2313_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2297_.X _2321_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2297_.X _2327_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2298_.X _2299_.B1 (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT _2299_.X _2302_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2300_.X _2301_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2300_.X _2308_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2300_.X _2315_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2300_.X _2323_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2300_.X _2329_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2301_.X _2302_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2302_.X _2303_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2303_.X _2304_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2304_.X _2727_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2305_.X _2307_.B1 (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT _2306_.X _2307_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2306_.X _2314_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2306_.X _2322_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2306_.X _2328_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2306_.X _2337_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2307_.X _2310_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2308_.X _2310_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2309_.X _2310_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2309_.X _2316_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2309_.X _2324_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2309_.X _2330_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2309_.X _2340_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2310_.X _2311_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2311_.X _2312_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2312_.X _2728_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2313_.X _2314_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _2314_.X _2316_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2315_.X _2316_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2316_.X _2317_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2317_.X _2318_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2318_.X _2729_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2319_.X _2325_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2319_.X _2331_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2319_.X _2341_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2319_.X _2349_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2319_.X _2355_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2320_.X _2324_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2320_.X _2330_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2320_.X _2340_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2320_.X _2348_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2320_.X _2354_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2321_.X _2322_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2322_.X _2324_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2323_.X _2324_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2324_.X _2325_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2325_.X _2326_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2326_.X _2730_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2327_.X _2328_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _2328_.X _2330_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2329_.X _2330_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2330_.X _2331_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2331_.X _2332_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2332_.X _2731_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2333_.X _2337_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2333_.X _2345_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2333_.X _2352_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2333_.X _2360_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2333_.X _2366_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2334_.X _2336_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2334_.X _2343_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2334_.X _2351_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2334_.X _2359_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2334_.X _2365_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2335_.X _2336_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2335_.X _2343_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2335_.X _2351_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2335_.X _2359_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2335_.X _2365_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2336_.X _2337_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2337_.X _2340_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2338_.X _2339_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2338_.X _2346_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2338_.X _2353_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2338_.X _2361_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2338_.X _2367_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2339_.X _2340_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2340_.X _2341_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2341_.X _2342_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2342_.X _2732_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2343_.X _2345_.B1 (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _2344_.X _2345_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2344_.X _2352_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2344_.X _2360_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2344_.X _2366_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2344_.X _2375_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2345_.X _2348_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2346_.X _2348_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2347_.X _2348_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2347_.X _2354_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2347_.X _2362_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2347_.X _2368_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2347_.X _2378_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2348_.X _2349_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2349_.X _2350_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2350_.X _2733_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2351_.X _2352_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2352_.X _2354_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2353_.X _2354_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2354_.X _2355_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2355_.X _2356_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2356_.X _2734_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2357_.X _2363_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2357_.X _2369_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2357_.X _2379_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2357_.X _2387_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2357_.X _2393_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2358_.X _2362_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2358_.X _2368_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2358_.X _2378_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2358_.X _2386_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2358_.X _2392_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2359_.X _2360_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2360_.X _2362_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2361_.X _2362_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2362_.X _2363_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2363_.X _2364_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2364_.X _2735_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2365_.X _2366_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _2366_.X _2368_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2367_.X _2368_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2368_.X _2369_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2369_.X _2370_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2370_.X _2736_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2371_.X _2375_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2371_.X _2383_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2371_.X _2390_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2371_.X _2398_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2371_.X _2404_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2372_.X _2374_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2372_.X _2381_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2372_.X _2389_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2372_.X _2397_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2372_.X _2403_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2373_.X _2374_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2373_.X _2381_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2373_.X _2389_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2373_.X _2397_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2373_.X _2403_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2374_.X _2375_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2375_.X _2378_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2376_.X _2377_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2376_.X _2384_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2376_.X _2391_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2376_.X _2399_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2376_.X _2405_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2377_.X _2378_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2378_.X _2379_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2379_.X _2380_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2380_.X _2737_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2381_.X _2383_.B1 (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _2382_.X _2383_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2382_.X _2390_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2382_.X _2398_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2382_.X _2404_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2382_.X _2413_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2383_.X _2386_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2384_.X _2386_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2385_.X _2386_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2385_.X _2392_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2385_.X _2400_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2385_.X _2406_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2385_.X _2416_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2386_.X _2387_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2387_.X _2388_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2388_.X _2738_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2389_.X _2390_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2390_.X _2392_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2391_.X _2392_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2392_.X _2393_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2393_.X _2394_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2394_.X _2739_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2395_.X _2401_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2395_.X _2407_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2395_.X _2417_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2395_.X _2425_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2395_.X _2431_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2396_.X _2400_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2396_.X _2406_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2396_.X _2416_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2396_.X _2424_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2396_.X _2430_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2397_.X _2398_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _2397_.X INSDIODE2_7.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _2398_.X _2400_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2399_.X _2400_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2400_.X _2401_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2401_.X _2402_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2402_.X _2740_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2403_.X _2404_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2403_.X INSDIODE2_8.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2404_.X _2406_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2405_.X _2406_.A3 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2406_.X _2407_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2407_.X _2408_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2408_.X _2741_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2409_.X _2413_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2409_.X _2421_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2409_.X _2428_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2409_.X _2436_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2409_.X _2442_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2410_.X _2412_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2410_.X _2419_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2410_.X _2427_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2410_.X _2435_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2410_.X _2441_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2411_.X _2412_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2411_.X _2419_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2411_.X _2427_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2411_.X _2435_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2411_.X _2441_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2412_.X _2413_.B1 (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _2413_.X _2416_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2414_.X _2415_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2414_.X _2422_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2414_.X _2429_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2414_.X _2437_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2414_.X _2443_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2415_.X _2416_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2416_.X _2417_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2417_.X _2418_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2418_.X _2742_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2419_.X _2421_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2420_.X _2421_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2420_.X _2428_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2420_.X _2436_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2420_.X _2442_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2420_.X _2448_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2421_.X _2424_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2422_.X _2424_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2423_.X _2424_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2423_.X _2430_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2423_.X _2438_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2423_.X _2444_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2423_.X _2450_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2424_.X _2425_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2425_.X _2426_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2426_.X _2743_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2427_.X _2428_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2428_.X _2430_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2429_.X _2430_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2430_.X _2431_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2431_.X _2432_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2432_.X _2744_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2433_.X _2439_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2433_.X _2445_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2433_.X _2451_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2433_.X _2457_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2433_.X _2463_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2434_.X _2438_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2434_.X _2444_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2434_.X _2450_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2434_.X _2456_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2434_.X _2462_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2435_.X _2436_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _2436_.X _2438_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2437_.X _2438_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2438_.X _2439_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2439_.X _2440_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2440_.X _2745_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2441_.X _2442_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2441_.X INSDIODE2_9.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2442_.X _2444_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2443_.X _2444_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2444_.X _2445_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2445_.X _2446_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2446_.X _2746_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2447_.X _2448_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2448_.X _2450_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2449_.X _2450_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2450_.X _2451_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2451_.X _2452_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2452_.X _2747_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2453_.X _2454_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2454_.X _2456_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2455_.X _2456_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2456_.X _2457_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2457_.X _2458_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2458_.X _2748_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2459_.X _2460_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _2460_.X _2462_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2461_.X _2462_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2462_.X _2463_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2463_.X _2464_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2464_.X _2749_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2465_.Y _2466_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2465_.Y _2750_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2466_.X _2467_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2466_.X _2560_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2466_.X _2561_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2467_.X _2751_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2468_.X _2469_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2469_.X _2752_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2470_.X _2471_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2470_.X _2473_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2470_.X _2475_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2470_.X _2477_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2470_.X _2479_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2471_.X _2472_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2472_.X _2753_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2473_.X _2474_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2474_.X _2754_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2475_.X _2476_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2476_.X _2755_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2477_.X _2478_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2478_.X _2756_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2479_.X _2480_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2480_.X _2757_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2481_.X _2482_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2481_.X _2484_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2481_.X _2486_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2481_.X _2488_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2481_.X _2490_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2482_.X _2483_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2483_.X _2758_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2484_.X _2485_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2485_.X _2759_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2486_.X _2487_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2487_.X _2760_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2488_.X _2489_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2489_.X _2761_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2490_.X _2491_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2491_.X _2762_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2492_.X _2493_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2492_.X _2495_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2492_.X _2497_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2492_.X _2499_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2492_.X _2501_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2493_.X _2494_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2494_.X _2763_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2495_.X _2496_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2496_.X _2764_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2497_.X _2498_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2498_.X _2765_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2499_.X _2500_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2500_.X _2766_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2501_.X _2502_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2502_.X _2767_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2503_.X _2504_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2503_.X _2506_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2503_.X _2508_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2503_.X _2510_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2503_.X _2512_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2504_.X _2505_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2505_.X _2768_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2506_.X _2507_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2507_.X _2769_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2508_.X _2509_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2509_.X _2770_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2510_.X _2511_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2511_.X _2771_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2512_.X _2513_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2513_.X _2772_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2514_.X _2515_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2515_.X _2773_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2516_.X _2517_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2517_.X _2774_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2518_.Y _2520_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2518_.Y _2523_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2518_.Y _2524_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2519_.X _2520_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2520_.X _2521_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2521_.X _2775_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2522_.X _2525_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2522_.X _2530_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2522_.X _2535_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2522_.X _2539_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2522_.X _2544_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2523_.X _2525_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2523_.X _2529_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2524_.Y _2525_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2525_.X _2526_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2526_.X _2776_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2527_.X _2528_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2527_.X _2532_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2527_.X _2534_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2528_.Y _2530_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2529_.Y _2530_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2530_.X _2531_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2531_.X _2777_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2532_.X _2533_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2532_.X _2537_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2532_.X _2538_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2532_.X _2550_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2533_.Y _2535_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2534_.X _2535_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2535_.X _2536_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2536_.X _2778_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2537_.Y _2539_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2537_.Y _2541_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2537_.Y _2543_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2538_.X _2539_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2539_.X _2540_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2540_.X _2779_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2541_.Y _2542_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2541_.Y _2546_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2541_.Y _2547_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2542_.Y _2544_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2543_.Y _2544_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2544_.X _2545_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2545_.X _2780_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2546_.X _2548_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2547_.Y _2548_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2547_.Y _2552_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2548_.X _2549_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2549_.X _2781_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2550_.X _2551_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2550_.X _2553_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2550_.X _2558_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2551_.X _2552_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2552_.X _2782_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2553_.X _2554_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2553_.X _2555_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2554_.X _2556_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2555_.Y _2556_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2555_.Y _2559_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2556_.X _2557_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2557_.X _2783_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2558_.X _2559_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2559_.X _2784_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2560_.X _2785_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2561_.Y _2786_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2562_.Q _1571_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2562_.Q _1584_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2563_.Q _1573_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2563_.Q _1586_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2564_.Q _1575_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2564_.Q _1588_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2565_.Q _1577_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2565_.Q _1590_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2566_.Q _2799_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2566_.Q output320.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _2566_.Q INSDIODE2_19.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2566_.Q INSDIODE2_62.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _2567_.Q _2800_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2567_.Q output321.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2567_.Q INSDIODE2_20.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2567_.Q INSDIODE2_21.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2568_.Q _2801_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2568_.Q output322.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _2568_.Q INSDIODE2_22.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _2569_.Q _2802_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2569_.Q output323.A (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _2569_.Q INSDIODE2_23.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2569_.Q INSDIODE2_63.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _2570_.Q _2803_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2570_.Q output324.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _2570_.Q INSDIODE2_24.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _2570_.Q INSDIODE2_25.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2571_.Q _2804_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2571_.Q output325.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2571_.Q INSDIODE2_26.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2571_.Q INSDIODE2_64.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2572_.Q _2805_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2572_.Q output326.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2572_.Q INSDIODE2_27.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2572_.Q INSDIODE2_28.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2573_.Q _2806_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2573_.Q output327.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2574_.Q _2807_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2574_.Q output328.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2575_.Q _1368_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2575_.Q _1372_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2575_.Q _1376_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2575_.Q _1445_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2576_.Q _1365_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2576_.Q _1372_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2576_.Q _1376_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2576_.Q _1444_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2577_.Q _1349_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2577_.Q _1597_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2577_.Q _1600_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2577_.Q _1602_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2577_.Q _1605_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2578_.Q _1352_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2578_.Q _1602_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2578_.Q _1603_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2578_.Q _1605_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2579_.Q _1354_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2579_.Q _1605_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2579_.Q _1606_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2580_.Q _1357_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2580_.Q _1608_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2580_.Q _1609_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2580_.Q _1611_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2580_.Q _1614_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2581_.Q _1359_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2581_.Q _1611_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2581_.Q _1612_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2581_.Q _1614_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2582_.Q _1361_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2582_.Q _1614_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2582_.Q _1615_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2583_.Q _1363_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2583_.Q _1617_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2583_.Q _1618_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2584_.Q _1338_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2584_.Q _1624_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2584_.Q _1627_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2585_.Q _1341_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2585_.Q _1630_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2585_.Q _1631_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2585_.Q _1633_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2586_.Q _1344_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2586_.Q _1633_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2586_.Q _1634_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2587_.Q _1346_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2587_.Q _1637_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2587_.Q _1638_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2588_.Q _1384_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2588_.Q _1389_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2588_.Q _1407_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2589_.Q _1384_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2589_.Q _1390_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2589_.Q _1399_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2589_.Q _1407_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2590_.Q _1385_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2590_.Q _1390_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2590_.Q _1398_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2591_.Q _1335_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2591_.Q _1653_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2591_.Q _1661_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2592_.Q _1340_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2592_.Q _1661_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2593_.Q _1343_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2593_.Q _1661_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2594_.Q _1346_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2594_.Q _1661_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2594_.Q _1663_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2594_.Q _1664_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2595_.Q _1348_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2595_.Q _1671_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2596_.Q _1352_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2596_.Q _1669_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2596_.Q _1670_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2596_.Q _1671_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2596_.Q _1673_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2597_.Q _1354_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2597_.Q _1671_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2597_.Q _1673_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2597_.Q _1674_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2598_.Q _1356_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2598_.Q _1678_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2599_.Q _1359_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2599_.Q _1678_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2599_.Q _1680_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2600_.Q _1361_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2600_.Q _1683_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2600_.Q _1684_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2600_.Q _1685_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2601_.Q _1363_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2601_.Q _1686_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2602_.Q _1619_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2602_.Q _1688_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2603_.Q _1622_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2603_.Q _1691_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2603_.Q _1692_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2603_.Q _1694_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2603_.Q _1695_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2604_.Q _1620_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2604_.Q _1694_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2604_.Q _1695_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2605_.Q _1621_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2605_.Q _1698_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2606_.Q _1747_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2606_.Q output440.A (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _2606_.Q INSDIODE2_69.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _2607_.Q _1594_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2607_.Q _1749_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2608_.Q _1593_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2608_.Q _1753_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2608_.Q _1754_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2608_.Q _1755_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2608_.Q _1756_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2609_.Q _1592_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2609_.Q _1755_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2609_.Q _1756_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2610_.Q _1595_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2610_.Q _1759_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2611_.Q _1598_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2611_.Q _1650_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2612_.Q _1856_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2612_.Q output437.A (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _2612_.Q INSDIODE2_72.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _2613_.Q _1703_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2613_.Q _1865_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2614_.Q _1720_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2614_.Q _1724_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2614_.Q _1729_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2614_.Q _1779_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2614_.Q _1865_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2615_.Q _1712_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2615_.Q _1865_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2616_.Q _1706_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2616_.Q _1735_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2616_.Q _1774_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2616_.Q _1865_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2616_.Q _1866_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2617_.Q _1717_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2617_.Q _1870_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2618_.Q _1710_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2618_.Q _1730_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2618_.Q _1745_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2618_.Q _1870_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2618_.Q _1871_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2619_.Q _1725_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2619_.Q _1741_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2619_.Q _1771_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2619_.Q _1873_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2620_.Q _1714_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2620_.Q _1732_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2620_.Q _1772_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2621_.Q _1707_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2621_.Q _1773_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2621_.Q _1878_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2621_.Q _1879_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2622_.Q _1709_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2622_.Q _1721_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2623_.Q _1625_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2623_.Q _1636_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2624_.Q _1336_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2624_.Q _1934_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2625_.Q _1336_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2625_.Q _1937_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2626_.Q _1595_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2626_.Q _1947_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2627_.Q _1592_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2627_.Q _1955_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2628_.Q _1593_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2628_.Q _1957_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2629_.Q _1594_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2629_.Q _1960_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2630_.Q _1621_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2630_.Q _1961_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2631_.Q _1620_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2631_.Q _1964_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2632_.Q _1622_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2632_.Q _1965_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2633_.Q _1619_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2633_.Q _1968_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2634_.Q _1906_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2634_.Q _1914_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2634_.Q _1977_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2635_.Q _1904_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2635_.Q _1910_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2636_.Q _1909_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2636_.Q _1980_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2637_.Q _1911_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2637_.Q _1915_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2638_.Q _1903_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2639_.Q _1913_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2639_.Q _1915_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2639_.Q _1992_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2640_.Q _1905_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2640_.Q _1918_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2640_.Q _1995_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2641_.Q _1916_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2641_.Q _1918_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2641_.Q _1998_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2642_.Q _1905_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2642_.Q _1906_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2642_.Q _2000_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2643_.Q _1908_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2643_.Q _2002_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2644_.Q _1912_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2644_.Q _2004_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2645_.Q _1807_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2645_.Q _1815_.A1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2645_.Q _2010_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2646_.Q _1813_.A1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2646_.Q _1815_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2646_.Q _2011_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2647_.Q _1799_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2647_.Q _1824_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2648_.Q _1813_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2648_.Q _1826_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2648_.Q _2019_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2649_.Q _1808_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2649_.Q _1832_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2649_.Q _2022_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2650_.Q _1796_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2650_.Q _1824_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2651_.Q _1822_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2651_.Q _2028_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2652_.Q _1802_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2652_.Q _1823_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2653_.Q _1800_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2653_.Q _2033_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2654_.Q _1829_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2654_.Q _1830_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2654_.Q _2035_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2655_.Q _1805_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2655_.Q _2037_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2656_.Q _1839_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2656_.Q _1842_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2656_.Q _2044_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2657_.Q _1835_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2657_.Q _1842_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2657_.Q _2045_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2658_.Q _1853_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2658_.Q _2048_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2659_.Q _1845_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2659_.Q _1854_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2660_.Q _1838_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2660_.Q _1847_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2660_.Q _2057_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2661_.Q _1834_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2661_.Q _1851_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2662_.Q _1834_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2662_.Q _1841_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2662_.Q _2063_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2663_.Q _1835_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2663_.Q _1850_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2664_.Q _1838_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2664_.Q _1841_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2664_.Q _2066_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2665_.Q _1847_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2665_.Q _1852_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2665_.Q _2069_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2666_.Q _1836_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2666_.Q _1848_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2666_.Q _2071_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2667_.Q _1891_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2668_.Q _1889_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2668_.Q _1897_.B2 (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _2668_.Q _2083_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2669_.Q _1895_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2669_.Q _2086_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2670_.Q _1892_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2670_.Q _1899_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2670_.Q _2090_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2671_.Q _1889_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2671_.Q _1900_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2671_.Q _2093_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2672_.Q _1890_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2672_.Q _1896_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2672_.Q _2098_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2673_.Q _1888_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2673_.Q _1892_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2673_.Q _2100_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2674_.Q _1885_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2674_.Q _1897_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2674_.Q _2102_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2675_.Q _1896_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2675_.Q _1898_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2675_.Q _2104_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2676_.Q _1885_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2676_.Q _1886_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2676_.Q _2107_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2677_.Q _1886_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2677_.Q _1893_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2677_.Q _2109_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2678_.Q _1789_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2678_.Q _1792_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2679_.Q _1784_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2679_.Q _1791_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2679_.Q _2117_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2680_.Q _1781_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2680_.Q _1788_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2680_.Q _2123_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2681_.Q _1778_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2681_.Q _2126_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2682_.Q _1782_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2682_.Q _1793_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2682_.Q _2129_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2683_.Q _1783_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2683_.Q _1787_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2683_.Q _2130_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2684_.Q _1784_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2684_.Q _1788_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2684_.Q _2135_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2685_.Q _1785_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2685_.Q _1791_.A1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2685_.Q _2136_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2686_.Q _1780_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2686_.Q _2139_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2687_.Q _1787_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2687_.Q _1790_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2687_.Q _2141_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2688_.Q _1711_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2688_.Q _1722_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2689_.Q _1711_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2689_.Q _1727_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2689_.Q _2147_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2690_.Q _1715_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2690_.Q _2153_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2691_.Q _1726_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2691_.Q _2154_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2692_.Q _1710_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2692_.Q _2157_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2693_.Q _1719_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2694_.Q _1706_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2694_.Q _2160_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2695_.Q _1713_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2695_.Q _2163_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2696_.Q _1716_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2696_.Q _1723_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2696_.Q _2166_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2697_.Q _1705_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2697_.Q _2168_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2698_.Q _1733_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2698_.Q _1742_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2699_.Q _1731_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2699_.Q _1743_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2699_.Q _2173_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2700_.Q _1737_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2700_.Q _1740_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2700_.Q _2178_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2701_.Q _1741_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2701_.Q _2181_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2702_.Q _1731_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2702_.Q _1744_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2703_.Q _1739_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2703_.Q _2185_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2704_.Q _1736_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2704_.Q _1742_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2704_.Q _2187_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2705_.Q _1740_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2705_.Q _1743_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2705_.Q _2190_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2706_.Q _1729_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2706_.Q _2194_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2707_.Q _1736_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2707_.Q _1745_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2707_.Q _2196_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2708_.Q _1765_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2708_.Q _1766_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2709_.Q _1773_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2709_.Q _2201_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2710_.Q _1772_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2710_.Q _2205_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2711_.Q _1771_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2711_.Q _2208_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2712_.Q _1768_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2712_.Q _1770_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2712_.Q _2210_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2713_.Q _1769_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2713_.Q _2212_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2714_.Q _1774_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2714_.Q _2215_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2715_.Q _1764_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2715_.Q _2217_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2716_.Q _1765_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2716_.Q _1770_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2716_.Q _2218_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2717_.Q _1767_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2717_.Q _2220_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2718_.Q _2229_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2718_.Q output442.A (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _2719_.Q _2235_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2719_.Q output453.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _2720_.Q _2243_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2720_.Q output464.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _2721_.Q _2249_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2721_.Q output467.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2722_.Q _2263_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2722_.Q output468.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2723_.Q _2271_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2723_.Q output469.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2724_.Q _2277_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2724_.Q output470.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2725_.Q _2286_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2725_.Q output471.A (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _2726_.Q _2292_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2726_.Q output472.A (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _2727_.Q _2302_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2727_.Q output473.A (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _2728_.Q _2310_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2728_.Q output443.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _2729_.Q _2316_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2729_.Q output444.A (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT _2730_.Q _2324_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2730_.Q output445.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2731_.Q _2330_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2731_.Q output446.A (0.015:0.015:0.015) (0.015:0.015:0.015))
(INTERCONNECT _2732_.Q _2340_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2732_.Q output447.A (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT _2733_.Q _2348_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2733_.Q output448.A (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _2734_.Q _2354_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2734_.Q output449.A (0.015:0.015:0.015) (0.014:0.014:0.014))
(INTERCONNECT _2735_.Q _2362_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2735_.Q output450.A (0.017:0.017:0.017) (0.017:0.017:0.017))
(INTERCONNECT _2736_.Q _2368_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2736_.Q output451.A (0.020:0.020:0.020) (0.020:0.020:0.020))
(INTERCONNECT _2737_.Q _2378_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2737_.Q output452.A (0.017:0.017:0.017) (0.017:0.017:0.017))
(INTERCONNECT _2738_.Q _2386_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2738_.Q output454.A (0.016:0.016:0.016) (0.015:0.015:0.015))
(INTERCONNECT _2739_.Q _2392_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2739_.Q output455.A (0.017:0.017:0.017) (0.017:0.017:0.017))
(INTERCONNECT _2740_.Q _2400_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2740_.Q output456.A (0.021:0.021:0.021) (0.020:0.020:0.020))
(INTERCONNECT _2741_.Q _2406_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2741_.Q output457.A (0.020:0.020:0.020) (0.019:0.019:0.019))
(INTERCONNECT _2742_.Q _2416_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2742_.Q output458.A (0.016:0.016:0.016) (0.015:0.015:0.015))
(INTERCONNECT _2743_.Q _2424_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2743_.Q output459.A (0.016:0.016:0.016) (0.016:0.016:0.016))
(INTERCONNECT _2744_.Q _2430_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2744_.Q output460.A (0.017:0.017:0.017) (0.017:0.017:0.017))
(INTERCONNECT _2745_.Q _2438_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2745_.Q output461.A (0.019:0.019:0.019) (0.019:0.019:0.019))
(INTERCONNECT _2746_.Q _2444_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2746_.Q output462.A (0.017:0.017:0.017) (0.017:0.017:0.017))
(INTERCONNECT _2747_.Q _2450_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2747_.Q output463.A (0.025:0.025:0.025) (0.024:0.024:0.024))
(INTERCONNECT _2748_.Q _2456_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2748_.Q output465.A (0.019:0.019:0.019) (0.019:0.019:0.019))
(INTERCONNECT _2749_.Q _2462_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2749_.Q output466.A (0.024:0.024:0.024) (0.024:0.024:0.024))
(INTERCONNECT _2750_.Q output441.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2751_.Q _2467_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2751_.Q output474.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2752_.Q _1297_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2752_.Q _1925_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2752_.Q _2039_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2753_.Q _1303_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2753_.Q _1924_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2754_.Q _1295_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2754_.Q _1926_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2754_.Q _2473_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2755_.Q _1291_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2755_.Q _2111_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2755_.Q _2475_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2756_.Q _1306_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2756_.Q _1927_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2756_.Q _2477_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2757_.Q _1308_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2757_.Q _1927_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2757_.Q _2479_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2758_.Q _1310_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2758_.Q _1927_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2758_.Q _2482_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2759_.Q _1312_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2759_.Q _1927_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2759_.Q _2484_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2760_.Q _1314_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2760_.Q _1923_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2760_.Q _2486_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2761_.Q _1317_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2761_.Q _1332_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2761_.Q _1923_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2762_.Q _1316_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2762_.Q _1329_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2762_.Q _1930_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2762_.Q _1941_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2763_.Q _1930_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2763_.Q _1942_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2763_.Q _2493_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2764_.Q _1930_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2764_.Q _1942_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2764_.Q _2495_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2765_.Q _1930_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2765_.Q _1941_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2765_.Q _2497_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2766_.Q _1929_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2766_.Q _2499_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2767_.Q _1929_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2767_.Q _2501_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2768_.Q _1929_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2768_.Q _2504_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2769_.Q _1929_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2769_.Q _2506_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2770_.Q _1928_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2770_.Q _2508_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2771_.Q _1928_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2771_.Q _2510_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2772_.Q _1928_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2772_.Q _2512_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2773_.Q _1928_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2773_.Q _2514_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2774_.Q _1807_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2774_.Q _1827_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2774_.Q _1843_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2774_.Q _2527_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2775_.Q _1828_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2775_.Q _1846_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2775_.Q _1854_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2775_.Q _1917_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2775_.Q _2527_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2776_.Q _1800_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2776_.Q _1837_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2776_.Q _1900_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2776_.Q _2527_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2777_.Q _1808_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2777_.Q _1819_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2777_.Q _1855_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2777_.Q _2527_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2778_.Q _1821_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2778_.Q _1833_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2779_.Q _1797_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2779_.Q _1816_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2780_.Q _1803_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2780_.Q _1907_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2780_.Q _1917_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2780_.Q _2550_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2781_.Q _1811_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2781_.Q _1844_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2782_.Q _1798_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2782_.Q _1818_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2782_.Q _1853_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2782_.Q _1895_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2783_.Q _1809_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2783_.Q _1907_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2783_.Q _2554_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2783_.Q _2555_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2783_.Q _2558_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2784_.Q _1806_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2784_.Q _1893_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2784_.Q _1899_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2784_.Q _1916_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2784_.Q _2558_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2785_.Q _1253_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2785_.Q _1293_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2786_.Q _1251_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2786_.Q _1293_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2788_.X output329.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2789_.X output330.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2790_.X output372.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2791_.X output373.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2792_.X output374.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2793_.X output375.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2794_.X output376.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2795_.X output377.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2796_.X output378.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2797_.X output379.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2798_.X output380.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2799_.X output381.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2800_.X output382.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2801_.X output383.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2802_.X output384.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2803_.X output385.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2804_.X output386.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2805_.X output387.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2806_.X output388.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2807_.X output389.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2808_.X output390.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2809_.X output391.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2810_.X output396.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2811_.X output407.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2812_.X output418.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2813_.X output421.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2814_.X output422.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2815_.X output423.A (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _2815_.X INSDIODE2_48.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2816_.X output424.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2817_.X output425.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2818_.X output426.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2819_.X output427.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2820_.X output397.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2821_.X output398.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2822_.X output399.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2823_.X output400.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2824_.X output401.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2825_.X output402.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2826_.X output403.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2827_.X output404.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2828_.X output405.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2829_.X output406.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2830_.X output408.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2831_.X output409.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2832_.X output410.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2833_.X output411.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2834_.X output412.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2835_.X output413.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2836_.X output414.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2837_.X output415.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2838_.X output416.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2839_.X output417.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2840_.X output419.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2841_.X output420.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2842_.X output428.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2843_.X output429.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2844_.X output430.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2845_.X output431.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2846_.X output432.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_0_wb_clk_i.X clkbuf_1_0_0_wb_clk_i.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_0_wb_clk_i.X clkbuf_1_1_0_wb_clk_i.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_1_0_0_wb_clk_i.X clkbuf_2_0_0_wb_clk_i.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_1_0_0_wb_clk_i.X clkbuf_2_1_0_wb_clk_i.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_1_1_0_wb_clk_i.X clkbuf_2_2_0_wb_clk_i.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_1_1_0_wb_clk_i.X clkbuf_2_3_0_wb_clk_i.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_2_0_0_wb_clk_i.X clkbuf_leaf_3_wb_clk_i.A (0.016:0.016:0.016) (0.015:0.015:0.015))
(INTERCONNECT clkbuf_2_0_0_wb_clk_i.X clkbuf_leaf_30_wb_clk_i.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_0_0_wb_clk_i.X clkbuf_leaf_31_wb_clk_i.A (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_2_0_0_wb_clk_i.X clkbuf_leaf_35_wb_clk_i.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_2_0_0_wb_clk_i.X clkbuf_leaf_36_wb_clk_i.A (0.015:0.015:0.015) (0.014:0.014:0.014))
(INTERCONNECT clkbuf_2_0_0_wb_clk_i.X clkbuf_leaf_37_wb_clk_i.A (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_2_0_0_wb_clk_i.X clkbuf_leaf_38_wb_clk_i.A (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT clkbuf_2_0_0_wb_clk_i.X clkbuf_leaf_39_wb_clk_i.A (0.015:0.015:0.015) (0.013:0.013:0.013))
(INTERCONNECT clkbuf_2_0_0_wb_clk_i.X clkbuf_leaf_40_wb_clk_i.A (0.015:0.015:0.015) (0.014:0.014:0.014))
(INTERCONNECT clkbuf_2_0_0_wb_clk_i.X clkbuf_leaf_41_wb_clk_i.A (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT clkbuf_2_0_0_wb_clk_i.X clkbuf_opt_1_0_wb_clk_i.A (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT clkbuf_2_0_0_wb_clk_i.X clkbuf_leaf_43_wb_clk_i.A (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT clkbuf_2_0_0_wb_clk_i.X clkbuf_leaf_44_wb_clk_i.A (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT clkbuf_2_0_0_wb_clk_i.X clkbuf_leaf_45_wb_clk_i.A (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT clkbuf_2_0_0_wb_clk_i.X clkbuf_leaf_46_wb_clk_i.A (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT clkbuf_2_0_0_wb_clk_i.X clkbuf_leaf_47_wb_clk_i.A (0.015:0.015:0.015) (0.014:0.014:0.014))
(INTERCONNECT clkbuf_2_1_0_wb_clk_i.X clkbuf_leaf_20_wb_clk_i.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_2_1_0_wb_clk_i.X clkbuf_opt_2_0_wb_clk_i.A (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_2_1_0_wb_clk_i.X clkbuf_leaf_22_wb_clk_i.A (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_2_1_0_wb_clk_i.X clkbuf_leaf_23_wb_clk_i.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_2_1_0_wb_clk_i.X clkbuf_leaf_24_wb_clk_i.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_2_1_0_wb_clk_i.X clkbuf_leaf_25_wb_clk_i.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_2_1_0_wb_clk_i.X clkbuf_leaf_26_wb_clk_i.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_2_1_0_wb_clk_i.X _2601_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_2_1_0_wb_clk_i.X clkbuf_leaf_29_wb_clk_i.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_1_0_wb_clk_i.X clkbuf_leaf_32_wb_clk_i.A (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_2_1_0_wb_clk_i.X clkbuf_leaf_33_wb_clk_i.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_2_1_0_wb_clk_i.X clkbuf_leaf_34_wb_clk_i.A (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_2_2_0_wb_clk_i.X clkbuf_leaf_8_wb_clk_i.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_2_2_0_wb_clk_i.X clkbuf_leaf_9_wb_clk_i.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_2_2_0_wb_clk_i.X clkbuf_leaf_11_wb_clk_i.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_2_0_wb_clk_i.X clkbuf_leaf_12_wb_clk_i.A (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_2_0_wb_clk_i.X clkbuf_leaf_13_wb_clk_i.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_2_2_0_wb_clk_i.X clkbuf_leaf_14_wb_clk_i.A (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_2_2_0_wb_clk_i.X clkbuf_leaf_15_wb_clk_i.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_2_0_wb_clk_i.X clkbuf_leaf_16_wb_clk_i.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_2_0_wb_clk_i.X clkbuf_leaf_17_wb_clk_i.A (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_2_3_0_wb_clk_i.X clkbuf_leaf_0_wb_clk_i.A (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_2_3_0_wb_clk_i.X clkbuf_leaf_1_wb_clk_i.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_2_3_0_wb_clk_i.X clkbuf_leaf_2_wb_clk_i.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_2_3_0_wb_clk_i.X clkbuf_leaf_4_wb_clk_i.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_3_0_wb_clk_i.X clkbuf_leaf_5_wb_clk_i.A (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_3_0_wb_clk_i.X clkbuf_leaf_6_wb_clk_i.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_3_0_wb_clk_i.X clkbuf_leaf_7_wb_clk_i.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_2_3_0_wb_clk_i.X clkbuf_leaf_10_wb_clk_i.A (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_2_3_0_wb_clk_i.X clkbuf_leaf_18_wb_clk_i.A (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_3_0_wb_clk_i.X clkbuf_opt_3_0_wb_clk_i.A (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_3_0_wb_clk_i.X clkbuf_leaf_28_wb_clk_i.A (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_0_wb_clk_i.X _2703_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_0_wb_clk_i.X _2788_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_0_wb_clk_i.X _2704_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_0_wb_clk_i.X _2701_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_10_wb_clk_i.X _2676_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_10_wb_clk_i.X _2677_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_10_wb_clk_i.X _2681_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_10_wb_clk_i.X _2683_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_11_wb_clk_i.X _2724_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_11_wb_clk_i.X _2722_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_11_wb_clk_i.X _2721_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_11_wb_clk_i.X _2720_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_11_wb_clk_i.X _2732_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_12_wb_clk_i.X _2733_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_12_wb_clk_i.X _2718_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_12_wb_clk_i.X _2719_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_12_wb_clk_i.X _2737_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_13_wb_clk_i.X _2736_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_13_wb_clk_i.X _2735_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_13_wb_clk_i.X _2739_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_14_wb_clk_i.X _2741_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_14_wb_clk_i.X _2742_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_14_wb_clk_i.X _2740_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_14_wb_clk_i.X _2729_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_14_wb_clk_i.X _2731_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_14_wb_clk_i.X _2738_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_15_wb_clk_i.X _2734_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_15_wb_clk_i.X _2730_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_15_wb_clk_i.X _2728_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_16_wb_clk_i.X _2725_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_16_wb_clk_i.X _2723_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_16_wb_clk_i.X _2726_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_16_wb_clk_i.X _2727_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_16_wb_clk_i.X _2743_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_17_wb_clk_i.X _2744_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_17_wb_clk_i.X _2745_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_17_wb_clk_i.X _2746_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_17_wb_clk_i.X _2749_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_17_wb_clk_i.X _2748_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_17_wb_clk_i.X _2747_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_18_wb_clk_i.X _2776_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_18_wb_clk_i.X _2777_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_18_wb_clk_i.X _2778_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_1_wb_clk_i.X _2705_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_1_wb_clk_i.X _2702_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_1_wb_clk_i.X _2713_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_1_wb_clk_i.X _2716_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_1_wb_clk_i.X _2686_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_1_wb_clk_i.X _2685_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_20_wb_clk_i.X _2576_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_20_wb_clk_i.X _2575_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_22_wb_clk_i.X _2773_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_22_wb_clk_i.X _2772_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_22_wb_clk_i.X _2771_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_22_wb_clk_i.X _2770_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_22_wb_clk_i.X _2769_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_22_wb_clk_i.X _2768_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_23_wb_clk_i.X _2568_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_23_wb_clk_i.X _2569_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_23_wb_clk_i.X _2767_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_23_wb_clk_i.X _2566_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_23_wb_clk_i.X _2766_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_23_wb_clk_i.X _2765_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_23_wb_clk_i.X _2574_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_23_wb_clk_i.X _2570_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_23_wb_clk_i.X _2594_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_23_wb_clk_i.X _2597_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_23_wb_clk_i.X _2593_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_24_wb_clk_i.X _2567_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_24_wb_clk_i.X _2586_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_24_wb_clk_i.X _2587_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_24_wb_clk_i.X _2592_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_24_wb_clk_i.X _2591_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_25_wb_clk_i.X _2600_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_25_wb_clk_i.X _2584_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_25_wb_clk_i.X _2588_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_26_wb_clk_i.X _2585_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_26_wb_clk_i.X _2589_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_26_wb_clk_i.X _2590_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_28_wb_clk_i.X _2781_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_28_wb_clk_i.X _2783_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_28_wb_clk_i.X _2784_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_28_wb_clk_i.X _2782_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_28_wb_clk_i.X _2612_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_29_wb_clk_i.X _2650_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_29_wb_clk_i.X _2652_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_29_wb_clk_i.X _2623_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_29_wb_clk_i.X _2583_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_29_wb_clk_i.X _2582_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_29_wb_clk_i.X _2604_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_29_wb_clk_i.X _2611_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_29_wb_clk_i.X _2647_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_29_wb_clk_i.X _2646_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_29_wb_clk_i.X _2645_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_2_wb_clk_i.X _2687_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_2_wb_clk_i.X _2643_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_2_wb_clk_i.X _2641_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_2_wb_clk_i.X _2711_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_2_wb_clk_i.X _2712_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_2_wb_clk_i.X _2640_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_30_wb_clk_i.X _2651_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_30_wb_clk_i.X _2648_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_30_wb_clk_i.X _2661_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_30_wb_clk_i.X _2656_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_30_wb_clk_i.X _2635_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_30_wb_clk_i.X _2657_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_31_wb_clk_i.X _2658_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_31_wb_clk_i.X _2669_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_31_wb_clk_i.X _2625_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_31_wb_clk_i.X _2634_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_31_wb_clk_i.X _2636_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_32_wb_clk_i.X _2631_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_32_wb_clk_i.X _2630_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_32_wb_clk_i.X _2632_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_32_wb_clk_i.X _2626_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_32_wb_clk_i.X _2633_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_32_wb_clk_i.X _2603_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_32_wb_clk_i.X _2605_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_33_wb_clk_i.X _2581_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_33_wb_clk_i.X _2599_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_33_wb_clk_i.X _2580_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_33_wb_clk_i.X _2598_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_33_wb_clk_i.X _2596_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_33_wb_clk_i.X _2579_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_33_wb_clk_i.X _2602_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_33_wb_clk_i.X _2610_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_34_wb_clk_i.X _2609_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_34_wb_clk_i.X _2578_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_34_wb_clk_i.X _2595_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_34_wb_clk_i.X _2577_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_34_wb_clk_i.X _2608_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_34_wb_clk_i.X _2571_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_34_wb_clk_i.X _2573_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_34_wb_clk_i.X _2764_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_34_wb_clk_i.X _2763_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_34_wb_clk_i.X _2572_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_35_wb_clk_i.X _2607_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_35_wb_clk_i.X _2761_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_35_wb_clk_i.X _2762_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_35_wb_clk_i.X _2759_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_35_wb_clk_i.X _2758_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_36_wb_clk_i.X _2757_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_36_wb_clk_i.X _2755_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_36_wb_clk_i.X _2756_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_37_wb_clk_i.X _2760_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_37_wb_clk_i.X _2627_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_37_wb_clk_i.X _2629_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_37_wb_clk_i.X _2628_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_37_wb_clk_i.X _2624_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_38_wb_clk_i.X _2691_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_38_wb_clk_i.X _2688_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_38_wb_clk_i.X _2694_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_38_wb_clk_i.X _2692_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_38_wb_clk_i.X _2693_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_39_wb_clk_i.X _2695_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_39_wb_clk_i.X _2690_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_39_wb_clk_i.X _2752_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_39_wb_clk_i.X _2785_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_39_wb_clk_i.X _2786_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_3_wb_clk_i.X _2680_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_3_wb_clk_i.X _2717_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_wb_clk_i.X _2678_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_3_wb_clk_i.X _2684_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_40_wb_clk_i.X _2753_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_40_wb_clk_i.X _2754_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_40_wb_clk_i.X _2565_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_40_wb_clk_i.X _2563_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_40_wb_clk_i.X _2751_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_40_wb_clk_i.X _2564_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_40_wb_clk_i.X _2562_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_41_wb_clk_i.X _2696_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_41_wb_clk_i.X _2697_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_42_wb_clk_i.X _2750_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_42_wb_clk_i.X _2789_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_43_wb_clk_i.X _2710_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_43_wb_clk_i.X _2714_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_43_wb_clk_i.X _2614_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_43_wb_clk_i.X _2613_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_44_wb_clk_i.X _2617_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_44_wb_clk_i.X _2616_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_44_wb_clk_i.X _2707_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_44_wb_clk_i.X _2706_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_44_wb_clk_i.X _2615_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_45_wb_clk_i.X _2606_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_45_wb_clk_i.X _2715_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_46_wb_clk_i.X _2689_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_46_wb_clk_i.X _2708_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_46_wb_clk_i.X _2698_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_46_wb_clk_i.X _2699_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_47_wb_clk_i.X _2700_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_47_wb_clk_i.X _2709_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_47_wb_clk_i.X _2620_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_47_wb_clk_i.X _2618_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_47_wb_clk_i.X _2621_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_47_wb_clk_i.X _2622_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_47_wb_clk_i.X _2619_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_wb_clk_i.X _2668_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_wb_clk_i.X _2679_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_wb_clk_i.X _2667_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_wb_clk_i.X _2670_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_wb_clk_i.X _2682_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_wb_clk_i.X _2659_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_wb_clk_i.X _2662_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_wb_clk_i.X _2663_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_wb_clk_i.X _2639_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_wb_clk_i.X _2638_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_5_wb_clk_i.X _2637_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_5_wb_clk_i.X _2642_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_5_wb_clk_i.X _2644_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_5_wb_clk_i.X _2672_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_6_wb_clk_i.X _2649_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_6_wb_clk_i.X _2774_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_6_wb_clk_i.X _2779_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_6_wb_clk_i.X _2780_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_6_wb_clk_i.X _2775_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_7_wb_clk_i.X _2653_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_7_wb_clk_i.X _2655_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_7_wb_clk_i.X _2660_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_7_wb_clk_i.X _2654_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_8_wb_clk_i.X _2671_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_wb_clk_i.X _2674_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_wb_clk_i.X _2675_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_8_wb_clk_i.X _2666_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_8_wb_clk_i.X _2665_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_9_wb_clk_i.X _2664_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_9_wb_clk_i.X _2673_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_opt_1_0_wb_clk_i.X clkbuf_leaf_42_wb_clk_i.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_2_0_wb_clk_i.X clkbuf_opt_2_1_wb_clk_i.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_2_1_wb_clk_i.X _2809_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_opt_3_0_wb_clk_i.X clkbuf_opt_3_1_wb_clk_i.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_3_1_wb_clk_i.X _2808_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input1.X _2227_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input10.X _2367_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input100.X _1506_.B2 (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT input100.X INSDIODE2_59.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT input101.X _1393_.B2 (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT input102.X _1443_.B2 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT input103.X _1452_.B2 (0.015:0.015:0.015) (0.015:0.015:0.015))
(INTERCONNECT input104.X _1471_.B2 (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT input105.X _1488_.B2 (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT input106.X _1513_.B2 (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT input107.X _1499_.A0 (0.015:0.015:0.015) (0.014:0.014:0.014))
(INTERCONNECT input108.X _1410_.B2 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT input108.X INSDIODE2_12.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT input109.X _1435_.B2 (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT input11.X _2377_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input110.X _1459_.B2 (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT input110.X INSDIODE2_13.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT input111.X _1473_.B2 (0.016:0.016:0.016) (0.016:0.016:0.016))
(INTERCONNECT input112.X _1491_.B2 (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT input112.X INSDIODE2_60.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT input113.X _1508_.B2 (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT input113.X INSDIODE2_14.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT input114.X _1383_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT input115.X _1447_.B2 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT input115.X INSDIODE2_15.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT input116.X _1455_.B2 (0.016:0.016:0.016) (0.016:0.016:0.016))
(INTERCONNECT input117.X _1469_.B2 (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT input118.X _1501_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT input119.X _1485_.B2 (0.018:0.018:0.018) (0.017:0.017:0.017))
(INTERCONNECT input12.X _2234_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input120.X _1511_.B2 (0.015:0.015:0.015) (0.014:0.014:0.014))
(INTERCONNECT input121.X _1416_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input122.X _1430_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input123.X _1464_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input124.X _1480_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input125.X _2222_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input126.X _2305_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input127.X _2313_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input128.X _2321_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input129.X _2327_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input13.X _2384_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input130.X _2336_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input131.X _2343_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input132.X _2351_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input133.X _2359_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input134.X _2365_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input135.X _2374_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input136.X _2232_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input137.X _2381_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input138.X _2389_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input139.X _2397_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input14.X _2391_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input140.X _2403_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input141.X _2412_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input142.X _2419_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input143.X _2427_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input144.X _2435_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input145.X _2441_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input146.X _2447_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input147.X _2240_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input148.X _2453_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input149.X _2459_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input15.X _2399_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input150.X _2222_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input151.X _2232_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input152.X _2240_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input153.X _2246_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input154.X _2258_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input155.X _2266_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input156.X _2274_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input157.X _2283_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input158.X _2246_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input159.X _2289_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input16.X _2405_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input160.X _2298_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input161.X _2305_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input162.X _2313_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input163.X _2321_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input164.X _2327_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input165.X _2336_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input166.X _2343_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input167.X _2351_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input168.X _2359_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input169.X _2258_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input17.X _2415_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input170.X _2365_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input171.X _2374_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input172.X _2381_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input173.X _2389_.B2 (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT input174.X _2397_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input175.X _2403_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input176.X _2412_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input177.X _2419_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input178.X _2427_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input179.X _2435_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input18.X _2422_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input180.X _2266_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input181.X _2441_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input182.X _2447_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input183.X _2453_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input184.X _2459_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input185.X _2274_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input186.X _2283_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input187.X _2289_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input188.X _2298_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input189.X _1420_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input19.X _2429_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input190.X _1493_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input191.X _1506_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input192.X _1393_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input193.X _1443_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input194.X _1452_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input195.X _1471_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input196.X _1488_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input197.X _1513_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input198.X _1410_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input199.X _1435_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input2.X _2308_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input20.X _2437_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input200.X _1426_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input201.X _1459_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input202.X _1473_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input203.X _1491_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input204.X _1508_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input205.X _1383_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input206.X _1447_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input207.X _1455_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input208.X _1469_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input209.X _1485_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input21.X _2443_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input210.X _1511_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input211.X _1466_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input212.X _1420_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input213.X _1427_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input214.X _1466_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input215.X _1482_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input216.X _1499_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input217.X _1502_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input218.X _1405_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input219.X _1436_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input22.X _2449_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input220.X _1482_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input221.X _1456_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input222.X _1474_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input223.X _1492_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input224.X _1505_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input225.X _1392_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input226.X _1442_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input227.X _1453_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input228.X _1470_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input229.X _1487_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input23.X _2242_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input230.X _1512_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input231.X _1499_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input232.X _1409_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input233.X _1434_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input234.X _1458_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input235.X _1472_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input236.X _1490_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input237.X _1507_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input238.X _1380_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input239.X _1446_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input24.X _2455_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input240.X _1454_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input241.X _1468_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input242.X _1501_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input243.X _1484_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input244.X _1510_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input245.X _1406_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input246.X _1437_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input247.X _1457_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input248.X _1475_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input249.X _2486_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input25.X _2461_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input250.X _2488_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input251.X _2490_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input252.X _2493_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input253.X _2495_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input254.X _2497_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input255.X _2499_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input256.X _2501_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input257.X _2504_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input258.X _2506_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input259.X _2508_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input26.X _2226_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input260.X _2510_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input261.X _2512_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input262.X _2514_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input263.X _2468_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input264.X _2471_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input265.X _2473_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input266.X _2475_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input267.X _2477_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input268.X _2479_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input269.X _2482_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input27.X _2233_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input270.X _2484_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input271.X _2466_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input271.X _1581_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input272.X _1258_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input273.X _1289_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT input274.X _1523_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input275.X _1525_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input276.X _1527_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input277.X _1529_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input278.X _1531_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input279.X _1535_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input28.X _2241_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input280.X _1537_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input281.X _1539_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input282.X _1541_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input283.X _1261_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input284.X _1543_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input285.X _1547_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input286.X _1549_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input287.X _1551_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input288.X _1553_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input289.X _1555_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input29.X _2247_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input290.X _1559_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input291.X _1561_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input292.X _1563_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input293.X _1565_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input294.X _1264_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input295.X _1567_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input296.X _1569_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input297.X _1267_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input298.X _1270_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input299.X _1273_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input3.X _2315_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input30.X _2259_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input300.X _1278_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input301.X _1281_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input302.X _1283_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input303.X _1285_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input304.X _2465_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input304.X _2031_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT input304.X _1994_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT input304.X _1948_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT input304.X _1579_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT input305.X _1584_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input306.X _1586_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input307.X _1588_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input308.X _1590_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input309.X _2466_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input309.X _1581_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input31.X _2268_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input310.X _2561_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input310.X _2560_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input32.X _2275_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input33.X _2284_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input34.X _2248_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input35.X _2290_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input36.X _2299_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input37.X _2307_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input38.X _2314_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input39.X _2322_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input4.X _2323_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input40.X _2328_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input41.X _2337_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input42.X _2345_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input43.X _2352_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input44.X _2360_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input45.X _2262_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input46.X _2366_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input47.X _2375_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input48.X _2383_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input49.X _2390_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input5.X _2329_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input50.X _2398_.A1 (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT input51.X _2404_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input52.X _2413_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input53.X _2421_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input54.X _2428_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input55.X _2436_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input56.X _2269_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input57.X _2442_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input58.X _2448_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input59.X _2454_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT input6.X _2339_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input60.X _2460_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input61.X _2276_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input62.X _2285_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input63.X _2291_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input64.X _2301_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input65.X _1420_.A0 (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT input66.X _1497_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input67.X _1504_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input68.X _1415_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input69.X _1429_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input7.X _2346_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input70.X _1463_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input71.X _1479_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input72.X _1496_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input73.X _1503_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input74.X _1416_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input75.X _1430_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input76.X _1426_.B2 (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT input77.X _1464_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input78.X _1480_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input79.X _1497_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input8.X _2353_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input80.X _1504_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input81.X _1415_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input82.X _1429_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input83.X _1463_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input84.X _1479_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input85.X _1496_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input86.X _1503_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input87.X _1466_.A0 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT input88.X _1420_.A1 (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT input88.X INSDIODE2_49.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT input89.X _1427_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT input9.X _2361_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input90.X _1466_.A1 (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT input90.X INSDIODE2_50.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT input91.X _1482_.A1 (0.015:0.015:0.015) (0.015:0.015:0.015))
(INTERCONNECT input91.X INSDIODE2_51.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
(INTERCONNECT input92.X _1499_.A1 (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT input92.X INSDIODE2_52.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT input93.X _1502_.B2 (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT input94.X _1406_.B2 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT input95.X _1437_.B2 (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT input96.X _1482_.A0 (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT input97.X _1457_.B2 (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT input97.X INSDIODE2_53.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT input98.X _1475_.B2 (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT input98.X INSDIODE2_54.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT input99.X _1493_.B2 (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT input99.X INSDIODE2_55.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT output311.X sram0_addr0[0] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output312.X sram0_addr0[1] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output313.X sram0_addr0[2] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output314.X sram0_addr0[3] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output315.X sram0_addr0[4] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output316.X sram0_addr0[5] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output317.X sram0_addr0[6] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output318.X sram0_addr0[7] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output319.X sram0_addr0[8] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output320.X sram0_addr1[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output321.X sram0_addr1[1] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output322.X sram0_addr1[2] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output323.X sram0_addr1[3] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output324.X sram0_addr1[4] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output325.X sram0_addr1[5] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output326.X sram0_addr1[6] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output327.X sram0_addr1[7] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output328.X sram0_addr1[8] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output329.X sram0_clk0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output330.X sram0_clk1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output331.X sram0_csb0[0] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output332.X sram0_csb0[1] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output333.X sram0_csb1[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output334.X sram0_csb1[1] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output335.X sram0_din0[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output336.X sram0_din0[10] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output337.X sram0_din0[11] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output338.X sram0_din0[12] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output339.X sram0_din0[13] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output340.X sram0_din0[14] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output341.X sram0_din0[15] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output342.X sram0_din0[16] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output343.X sram0_din0[17] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output344.X sram0_din0[18] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output345.X sram0_din0[19] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output346.X sram0_din0[1] (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT output347.X sram0_din0[20] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output348.X sram0_din0[21] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output349.X sram0_din0[22] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output350.X sram0_din0[23] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output351.X sram0_din0[24] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output352.X sram0_din0[25] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output353.X sram0_din0[26] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output354.X sram0_din0[27] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output355.X sram0_din0[28] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output356.X sram0_din0[29] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output357.X sram0_din0[2] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output358.X sram0_din0[30] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output359.X sram0_din0[31] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output360.X sram0_din0[3] (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT output361.X sram0_din0[4] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output362.X sram0_din0[5] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output363.X sram0_din0[6] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output364.X sram0_din0[7] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output365.X sram0_din0[8] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output366.X sram0_din0[9] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output367.X sram0_web0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output368.X sram0_wmask0[0] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output369.X sram0_wmask0[1] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output370.X sram0_wmask0[2] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output371.X sram0_wmask0[3] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output372.X sram1_addr0[0] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output373.X sram1_addr0[1] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output374.X sram1_addr0[2] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output375.X sram1_addr0[3] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output376.X sram1_addr0[4] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output377.X sram1_addr0[5] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output378.X sram1_addr0[6] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output379.X sram1_addr0[7] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output380.X sram1_addr0[8] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output381.X sram1_addr1[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output382.X sram1_addr1[1] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output383.X sram1_addr1[2] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output384.X sram1_addr1[3] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output385.X sram1_addr1[4] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output386.X sram1_addr1[5] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output387.X sram1_addr1[6] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output388.X sram1_addr1[7] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output389.X sram1_addr1[8] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output390.X sram1_clk0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output391.X sram1_clk1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output392.X sram1_csb0[0] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output393.X sram1_csb0[1] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output394.X sram1_csb1[0] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output395.X sram1_csb1[1] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output396.X sram1_din0[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output397.X sram1_din0[10] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output398.X sram1_din0[11] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output399.X sram1_din0[12] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output400.X sram1_din0[13] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output401.X sram1_din0[14] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output402.X sram1_din0[15] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output403.X sram1_din0[16] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output404.X sram1_din0[17] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output405.X sram1_din0[18] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output406.X sram1_din0[19] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output407.X sram1_din0[1] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output408.X sram1_din0[20] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output409.X sram1_din0[21] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output410.X sram1_din0[22] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output411.X sram1_din0[23] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output412.X sram1_din0[24] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output413.X sram1_din0[25] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output414.X sram1_din0[26] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output415.X sram1_din0[27] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output416.X sram1_din0[28] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output417.X sram1_din0[29] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output418.X sram1_din0[2] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output419.X sram1_din0[30] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output420.X sram1_din0[31] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output421.X sram1_din0[3] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output422.X sram1_din0[4] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output423.X sram1_din0[5] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output424.X sram1_din0[6] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output425.X sram1_din0[7] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output426.X sram1_din0[8] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output427.X sram1_din0[9] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output428.X sram1_web0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output429.X sram1_wmask0[0] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output430.X sram1_wmask0[1] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output431.X sram1_wmask0[2] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output432.X sram1_wmask0[3] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output433.X vga_b[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output434.X vga_b[1] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output435.X vga_g[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output436.X vga_g[1] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output437.X vga_hsync (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output438.X vga_r[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output439.X vga_r[1] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output440.X vga_vsync (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output441.X wb_ack_o (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output442.X wb_data_o[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output443.X wb_data_o[10] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output444.X wb_data_o[11] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output445.X wb_data_o[12] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output446.X wb_data_o[13] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output447.X wb_data_o[14] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output448.X wb_data_o[15] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output449.X wb_data_o[16] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output450.X wb_data_o[17] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output451.X wb_data_o[18] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output452.X wb_data_o[19] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output453.X wb_data_o[1] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output454.X wb_data_o[20] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output455.X wb_data_o[21] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output456.X wb_data_o[22] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output457.X wb_data_o[23] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output458.X wb_data_o[24] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output459.X wb_data_o[25] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output460.X wb_data_o[26] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output461.X wb_data_o[27] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output462.X wb_data_o[28] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output463.X wb_data_o[29] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output464.X wb_data_o[2] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output465.X wb_data_o[30] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output466.X wb_data_o[31] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output467.X wb_data_o[3] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output468.X wb_data_o[4] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output469.X wb_data_o[5] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output470.X wb_data_o[6] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output471.X wb_data_o[7] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output472.X wb_data_o[8] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output473.X wb_data_o[9] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output474.X wb_stall_o (0.001:0.001:0.001) (0.001:0.001:0.001))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1251_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1252_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.064:0.064:0.064) (0.050:0.050:0.050))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1253_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.181:0.181:0.181) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1254_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.236:0.236:0.236) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1255_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.145:0.145:0.145) (0.151:0.151:0.151))
(IOPATH B X (0.186:0.186:0.186) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1256_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.214:0.215:0.215) (0.190:0.191:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _1257_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.247:0.247:0.247) (0.208:0.208:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_4")
(INSTANCE _1258_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.152:0.152:0.152) (0.139:0.139:0.139))
(IOPATH B Y (0.164:0.164:0.164) (0.130:0.130:0.130))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1259_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.108:0.110:0.112) (0.075:0.075:0.076))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1260_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.187:0.187:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_2")
(INSTANCE _1261_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.109:0.109:0.109) (0.104:0.104:0.104))
(IOPATH B Y (0.131:0.131:0.131) (0.111:0.111:0.111))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1262_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.177:0.178:0.178) (0.110:0.112:0.113))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1263_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.234:0.234:0.234) (0.206:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_4")
(INSTANCE _1264_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.147:0.147:0.147) (0.132:0.132:0.132))
(IOPATH B Y (0.164:0.164:0.164) (0.130:0.130:0.130))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1265_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.103:0.104:0.105) (0.072:0.072:0.073))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1266_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.196:0.196) (0.187:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_2")
(INSTANCE _1267_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.145:0.145:0.145) (0.133:0.133:0.133))
(IOPATH B Y (0.162:0.162:0.162) (0.146:0.146:0.146))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1268_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.186:0.186:0.187) (0.123:0.124:0.125))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_6")
(INSTANCE _1269_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.219:0.219:0.219) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_4")
(INSTANCE _1270_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.135:0.135:0.135) (0.122:0.122:0.122))
(IOPATH B Y (0.149:0.149:0.149) (0.118:0.118:0.118))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkinv_2")
(INSTANCE _1271_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.118:0.119:0.121) (0.133:0.134:0.135))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1272_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.219:0.219:0.219) (0.219:0.219:0.219))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_4")
(INSTANCE _1273_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.146:0.146:0.146) (0.134:0.134:0.134))
(IOPATH B Y (0.149:0.149:0.149) (0.126:0.126:0.126))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1274_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.085:0.087:0.090) (0.057:0.057:0.057))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_6")
(INSTANCE _1275_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.199:0.199) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1276_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.200:0.200:0.200) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
(INSTANCE _1277_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.234:0.234:0.234) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1278_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.200:0.200:0.200) (0.171:0.171:0.171))
(IOPATH B X (0.195:0.195:0.195) (0.196:0.196:0.196))
(IOPATH C X (0.197:0.197:0.197) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _1279_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.237:0.237:0.237) (0.210:0.210:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_6")
(INSTANCE _1280_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.185:0.185:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_2")
(INSTANCE _1281_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.138:0.138:0.138) (0.116:0.116:0.116))
(IOPATH B Y (0.149:0.149:0.149) (0.123:0.123:0.123))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_6")
(INSTANCE _1282_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.151:0.151:0.151) (0.090:0.090:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_2")
(INSTANCE _1283_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.129:0.129:0.129) (0.120:0.120:0.120))
(IOPATH B Y (0.130:0.131:0.131) (0.109:0.110:0.110))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_6")
(INSTANCE _1284_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.134:0.136:0.137) (0.081:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1285_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.221:0.221:0.221) (0.185:0.185:0.185))
(IOPATH B X (0.227:0.227:0.227) (0.208:0.208:0.208))
(IOPATH C X (0.228:0.228:0.228) (0.229:0.229:0.229))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1286_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.218:0.218:0.218) (0.183:0.183:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_6")
(INSTANCE _1287_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.200:0.200:0.200) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_12")
(INSTANCE _1288_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.240:0.240:0.240) (0.191:0.191:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_4")
(INSTANCE _1289_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.132:0.132:0.132) (0.105:0.105:0.105))
(IOPATH B Y (0.151:0.151:0.151) (0.127:0.127:0.127))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkinv_8")
(INSTANCE _1290_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.127:0.129:0.131) (0.133:0.134:0.135))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_4")
(INSTANCE _1291_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.275:0.275:0.275) (0.120:0.120:0.120))
(IOPATH A2 Y (0.256:0.256:0.256) (0.107:0.107:0.107))
(IOPATH B1 Y (0.141:0.141:0.141) (0.141:0.141:0.141))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkinv_8")
(INSTANCE _1292_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.121:0.127:0.133) (0.133:0.157:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _1293_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.108:0.108:0.108) (0.228:0.228:0.228))
(IOPATH B X (0.110:0.110:0.110) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1294_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.189:0.189:0.189) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_4")
(INSTANCE _1295_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.137:0.137:0.137) (0.123:0.123:0.123))
(IOPATH B Y (0.131:0.131:0.131) (0.107:0.107:0.107))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkinv_8")
(INSTANCE _1296_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.134:0.138:0.143) (0.139:0.140:0.141))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1297_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.208:0.208:0.208) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _1298_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.231:0.231:0.231) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _1299_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.209:0.209:0.209) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _1300_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.231:0.231:0.231) (0.208:0.208:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_2")
(INSTANCE _1301_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.270:0.270:0.270) (0.260:0.260:0.260))
(IOPATH B X (0.281:0.281:0.281) (0.280:0.280:0.280))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1302_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.227:0.227:0.227) (0.208:0.208:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1303_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.152:0.152:0.152) (0.149:0.149:0.149))
(IOPATH B X (0.155:0.155:0.155) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_8")
(INSTANCE _1304_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.212:0.212:0.212) (0.174:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkinv_4")
(INSTANCE _1305_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.140:0.140:0.140) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_2")
(INSTANCE _1306_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.254:0.254:0.254) (0.240:0.240:0.240))
(IOPATH B X (0.285:0.285:0.285) (0.283:0.283:0.283))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1307_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.239:0.239:0.239) (0.214:0.215:0.215))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_2")
(INSTANCE _1308_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.255:0.255:0.255) (0.239:0.239:0.239))
(IOPATH B X (0.278:0.278:0.278) (0.278:0.278:0.278))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1309_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.225:0.225:0.225) (0.207:0.207:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_2")
(INSTANCE _1310_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.253:0.253:0.253) (0.239:0.239:0.239))
(IOPATH B X (0.275:0.275:0.275) (0.278:0.278:0.278))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1311_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.220:0.220:0.220) (0.203:0.203:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_2")
(INSTANCE _1312_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.262:0.262:0.262) (0.243:0.243:0.243))
(IOPATH B X (0.283:0.283:0.283) (0.281:0.281:0.281))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1313_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.257:0.257:0.257) (0.224:0.224:0.225))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_2")
(INSTANCE _1314_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.239:0.239:0.239) (0.230:0.230:0.230))
(IOPATH B X (0.267:0.267:0.267) (0.271:0.271:0.271))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1315_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.215:0.215:0.215) (0.201:0.201:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1316_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.224:0.224:0.224) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1317_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.210:0.210:0.210) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_4")
(INSTANCE _1318_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.255:0.255:0.255) (0.291:0.291:0.291))
(IOPATH A2 X (0.244:0.244:0.244) (0.270:0.270:0.270))
(IOPATH B1 X (0.243:0.243:0.243) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1319_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.214:0.214:0.214) (0.178:0.180:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_8")
(INSTANCE _1320_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.290:0.290:0.290) (0.106:0.106:0.106))
(IOPATH B Y (0.268:0.268:0.268) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_8")
(INSTANCE _1321_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.229:0.229:0.229) (0.094:0.094:0.094))
(IOPATH B Y (0.205:0.207:0.209) (0.104:0.104:0.104))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _1322_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.122:0.122:0.122) (0.240:0.240:0.240))
(IOPATH B X (0.135:0.135:0.135) (0.213:0.216:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1323_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.079) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1324_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.234:0.234:0.235) (0.167:0.169:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_6")
(INSTANCE _1325_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.204:0.204:0.204) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_4")
(INSTANCE _1326_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.455:0.455:0.455) (0.300:0.300:0.300))
(IOPATH B X (0.364:0.364:0.364) (0.263:0.263:0.263))
(IOPATH C X (0.364:0.364:0.364) (0.276:0.276:0.276))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1327_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.236:0.236:0.236) (0.188:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_4")
(INSTANCE _1328_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.241:0.241:0.241) (0.118:0.118:0.118))
(IOPATH A2 Y (0.208:0.208:0.208) (0.098:0.098:0.098))
(IOPATH B1 Y (0.114:0.114:0.114) (0.106:0.106:0.106))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_4")
(INSTANCE _1329_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.507:0.507:0.507) (0.316:0.316:0.316))
(IOPATH B X (0.418:0.418:0.418) (0.281:0.281:0.281))
(IOPATH C X (0.415:0.415:0.415) (0.289:0.289:0.289))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1330_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.280:0.280:0.281) (0.223:0.224:0.225))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_4")
(INSTANCE _1331_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.214:0.214:0.214) (0.107:0.107:0.107))
(IOPATH A2 Y (0.177:0.177:0.177) (0.086:0.086:0.086))
(IOPATH B1 Y (0.095:0.095:0.095) (0.100:0.100:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_4")
(INSTANCE _1332_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.376:0.376:0.376) (0.245:0.245:0.245))
(IOPATH B X (0.364:0.364:0.364) (0.255:0.255:0.255))
(IOPATH C X (0.375:0.375:0.375) (0.277:0.277:0.277))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1333_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.260:0.260:0.260) (0.210:0.211:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_4")
(INSTANCE _1334_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.213:0.213:0.213) (0.106:0.106:0.106))
(IOPATH A2 Y (0.176:0.176:0.176) (0.085:0.085:0.085))
(IOPATH B1 Y (0.090:0.090:0.090) (0.096:0.096:0.096))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _1335_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.214:0.214:0.214) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_2")
(INSTANCE _1336_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.291:0.291:0.291) (0.278:0.278:0.278))
(IOPATH B X (0.229:0.229:0.229) (0.249:0.249:0.249))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1337_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.215:0.215:0.215) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1338_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.169:0.169:0.169) (0.311:0.311:0.311))
(IOPATH A1 X (0.191:0.191:0.191) (0.335:0.335:0.335))
(IOPATH S X (0.232:0.232:0.232) (0.363:0.363:0.363))
(IOPATH S X (0.180:0.180:0.180) (0.344:0.344:0.344))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1339_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.084:0.084) (0.096:0.096:0.096))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1340_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.164:0.164:0.164) (0.144:0.144:0.144))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1341_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.154:0.154:0.154) (0.293:0.293:0.293))
(IOPATH A1 X (0.148:0.148:0.148) (0.305:0.305:0.305))
(IOPATH S X (0.209:0.209:0.209) (0.339:0.339:0.339))
(IOPATH S X (0.157:0.157:0.157) (0.321:0.321:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1342_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.079) (0.090:0.090:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _1343_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.185:0.185:0.185) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1344_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.140:0.140:0.140) (0.282:0.282:0.282))
(IOPATH A1 X (0.159:0.159:0.159) (0.303:0.303:0.303))
(IOPATH S X (0.206:0.206:0.206) (0.335:0.335:0.335))
(IOPATH S X (0.154:0.154:0.154) (0.316:0.316:0.316))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1345_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.079:0.079) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1346_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.142:0.142:0.142) (0.283:0.283:0.283))
(IOPATH A1 X (0.150:0.150:0.150) (0.296:0.296:0.296))
(IOPATH S X (0.205:0.205:0.205) (0.334:0.334:0.334))
(IOPATH S X (0.153:0.153:0.153) (0.315:0.315:0.315))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1347_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.081:0.081) (0.091:0.091:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _1348_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.185:0.185:0.185) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1349_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.163:0.163:0.163) (0.301:0.301:0.301))
(IOPATH A1 X (0.166:0.166:0.166) (0.311:0.311:0.311))
(IOPATH S X (0.212:0.212:0.212) (0.342:0.342:0.342))
(IOPATH S X (0.160:0.160:0.160) (0.324:0.324:0.324))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1350_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.082) (0.092:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1351_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.208:0.208:0.208) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1352_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.150:0.150:0.150) (0.291:0.291:0.291))
(IOPATH A1 X (0.158:0.158:0.158) (0.305:0.305:0.305))
(IOPATH S X (0.209:0.209:0.209) (0.340:0.340:0.340))
(IOPATH S X (0.157:0.157:0.157) (0.321:0.321:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1353_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.083:0.084) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1354_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.154:0.154:0.154) (0.299:0.299:0.299))
(IOPATH A1 X (0.164:0.164:0.164) (0.313:0.313:0.313))
(IOPATH S X (0.220:0.220:0.220) (0.352:0.352:0.352))
(IOPATH S X (0.168:0.168:0.168) (0.333:0.333:0.333))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1355_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.086) (0.096:0.096:0.096))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _1356_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.193:0.193:0.193) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1357_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.193:0.193:0.193) (0.331:0.331:0.331))
(IOPATH A1 X (0.199:0.199:0.199) (0.344:0.344:0.344))
(IOPATH S X (0.241:0.241:0.241) (0.373:0.373:0.373))
(IOPATH S X (0.189:0.189:0.189) (0.354:0.354:0.354))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1358_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.089:0.089:0.089) (0.101:0.101:0.101))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1359_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.186:0.186:0.186) (0.327:0.327:0.327))
(IOPATH A1 X (0.179:0.179:0.179) (0.333:0.333:0.333))
(IOPATH S X (0.243:0.243:0.243) (0.375:0.375:0.375))
(IOPATH S X (0.191:0.191:0.191) (0.356:0.356:0.356))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1360_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.092:0.092:0.093) (0.103:0.103:0.104))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_2")
(INSTANCE _1361_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.239:0.239:0.239) (0.329:0.329:0.329))
(IOPATH A1 X (0.246:0.246:0.246) (0.336:0.336:0.336))
(IOPATH S X (0.311:0.311:0.311) (0.419:0.419:0.419))
(IOPATH S X (0.254:0.254:0.254) (0.347:0.347:0.347))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1362_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.104:0.104:0.104) (0.111:0.112:0.112))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_2")
(INSTANCE _1363_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.237:0.237:0.237) (0.327:0.327:0.327))
(IOPATH A1 X (0.232:0.232:0.232) (0.329:0.329:0.329))
(IOPATH S X (0.315:0.315:0.315) (0.417:0.417:0.417))
(IOPATH S X (0.252:0.252:0.252) (0.351:0.351:0.351))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1364_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.122:0.122:0.122) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _1365_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1366_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.184:0.184:0.184) (0.147:0.147:0.147))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1367_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.205:0.205:0.205) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _1368_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.216:0.216:0.216) (0.199:0.199:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _1369_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.221:0.221:0.221) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1370_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.217:0.217:0.217) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_2")
(INSTANCE _1371_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.160:0.160:0.160) (0.137:0.137:0.137))
(IOPATH B Y (0.170:0.170:0.170) (0.141:0.141:0.141))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _1372_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.324:0.324:0.324) (0.275:0.275:0.275))
(IOPATH B X (0.255:0.255:0.255) (0.232:0.232:0.232))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _1373_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.233:0.233:0.233) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1374_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.230:0.230:0.230) (0.187:0.187:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1375_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.102:0.102:0.102) (0.074:0.074:0.074))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _1376_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.301:0.301:0.301) (0.257:0.257:0.257))
(IOPATH B X (0.257:0.257:0.257) (0.238:0.238:0.238))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _1377_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.228:0.228:0.228) (0.210:0.210:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1378_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.242:0.242:0.242) (0.195:0.195:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_6")
(INSTANCE _1379_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.152:0.152:0.152) (0.105:0.105:0.105))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1380_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.188:0.188:0.188) (0.176:0.176:0.176))
(IOPATH B X (0.193:0.193:0.193) (0.198:0.198:0.198))
(IOPATH C X (0.205:0.205:0.205) (0.216:0.216:0.216))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1381_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.205:0.205:0.205) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1382_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.203:0.203) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1383_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.129:0.129:0.129) (0.198:0.198:0.198))
(IOPATH A2 X (0.152:0.152:0.152) (0.237:0.237:0.237))
(IOPATH B1 X (0.140:0.140:0.140) (0.187:0.187:0.187))
(IOPATH B2 X (0.155:0.155:0.155) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _1384_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.204:0.204:0.204) (0.098:0.098:0.098))
(IOPATH B Y (0.181:0.181:0.181) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1385_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.244:0.244:0.244) (0.201:0.201:0.201))
(IOPATH B X (0.253:0.253:0.254) (0.211:0.213:0.216))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _1386_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.275:0.275:0.275) (0.231:0.232:0.232))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1387_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.237:0.237:0.237) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _1388_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.123:0.124:0.124) (0.178:0.179:0.180))
(IOPATH A2 X (0.101:0.101:0.102) (0.160:0.160:0.160))
(IOPATH B1 X (0.142:0.142:0.142) (0.116:0.116:0.116))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1389_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3b_4")
(INSTANCE _1390_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.551:0.551:0.551) (0.121:0.121:0.121))
(IOPATH B Y (0.539:0.539:0.539) (0.114:0.114:0.114))
(IOPATH C_N Y (0.563:0.563:0.563) (0.217:0.217:0.217))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
(INSTANCE _1391_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.356:0.356:0.357) (0.222:0.224:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1392_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.219:0.219:0.219) (0.184:0.184:0.184))
(IOPATH B X (0.230:0.230:0.230) (0.211:0.211:0.211))
(IOPATH C X (0.212:0.212:0.212) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1393_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.173:0.173:0.173) (0.324:0.324:0.324))
(IOPATH A2 X (0.163:0.163:0.163) (0.356:0.356:0.356))
(IOPATH B1 X (0.157:0.157:0.157) (0.305:0.305:0.305))
(IOPATH B2 X (0.172:0.172:0.172) (0.336:0.336:0.336))
(IOPATH C1 X (0.127:0.127:0.127) (0.268:0.268:0.269))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1394_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_2")
(INSTANCE _1395_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.243:0.243:0.243) (0.105:0.105:0.105))
(IOPATH B Y (0.220:0.220:0.220) (0.098:0.098:0.098))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1396_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.234:0.234:0.234) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _1397_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.129:0.129:0.129) (0.172:0.172:0.172))
(IOPATH A2 X (0.101:0.102:0.103) (0.170:0.171:0.171))
(IOPATH B1 X (0.097:0.097:0.097) (0.162:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1398_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.207:0.207) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1399_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.202:0.202:0.202) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3b_4")
(INSTANCE _1400_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.381:0.381:0.381) (0.091:0.091:0.091))
(IOPATH B Y (0.364:0.364:0.364) (0.088:0.088:0.088))
(IOPATH C_N Y (0.385:0.385:0.385) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_6")
(INSTANCE _1401_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.240:0.240:0.241) (0.164:0.166:0.168))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _1402_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.244:0.244:0.244) (0.220:0.220:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _1403_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.232:0.232:0.232) (0.212:0.212:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1404_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1405_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.169:0.169:0.169))
(IOPATH B X (0.194:0.194:0.194) (0.187:0.187:0.187))
(IOPATH C X (0.171:0.171:0.171) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1406_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.179:0.179:0.179) (0.341:0.341:0.341))
(IOPATH A2 X (0.204:0.204:0.204) (0.381:0.381:0.381))
(IOPATH B1 X (0.196:0.196:0.196) (0.326:0.326:0.326))
(IOPATH B2 X (0.186:0.186:0.186) (0.360:0.360:0.360))
(IOPATH C1 X (0.131:0.131:0.131) (0.278:0.279:0.279))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_2")
(INSTANCE _1407_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.350:0.350:0.350) (0.289:0.289:0.289))
(IOPATH B X (0.304:0.304:0.304) (0.273:0.273:0.273))
(IOPATH C X (0.296:0.296:0.296) (0.277:0.277:0.277))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_6")
(INSTANCE _1408_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.218:0.218:0.218) (0.186:0.186:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1409_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.188:0.188:0.188) (0.165:0.165:0.165))
(IOPATH B X (0.187:0.187:0.187) (0.183:0.183:0.183))
(IOPATH C X (0.174:0.174:0.174) (0.185:0.185:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1410_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.223:0.223:0.223) (0.366:0.366:0.366))
(IOPATH A2 X (0.226:0.226:0.226) (0.400:0.400:0.400))
(IOPATH B1 X (0.217:0.217:0.217) (0.344:0.344:0.344))
(IOPATH B2 X (0.202:0.202:0.202) (0.366:0.366:0.366))
(IOPATH C1 X (0.148:0.149:0.149) (0.296:0.296:0.297))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1411_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.165:0.165:0.165) (0.218:0.218:0.218))
(IOPATH A2 X (0.145:0.146:0.147) (0.239:0.239:0.239))
(IOPATH B1 X (0.157:0.157:0.157) (0.192:0.192:0.192))
(IOPATH B2 X (0.141:0.142:0.142) (0.212:0.213:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _1412_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.112:0.113:0.113) (0.381:0.382:0.382))
(IOPATH B X (0.108:0.108:0.109) (0.357:0.357:0.358))
(IOPATH C X (0.113:0.114:0.114) (0.325:0.325:0.325))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1413_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.099:0.099:0.099) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_6")
(INSTANCE _1414_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.287:0.287:0.288) (0.189:0.191:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1415_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.161:0.161:0.161) (0.217:0.217:0.217))
(IOPATH A2 X (0.186:0.186:0.186) (0.266:0.266:0.266))
(IOPATH B1 X (0.174:0.174:0.174) (0.202:0.202:0.202))
(IOPATH B2 X (0.143:0.143:0.143) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_4")
(INSTANCE _1416_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.293:0.293:0.293) (0.483:0.483:0.483))
(IOPATH A2 X (0.302:0.302:0.302) (0.535:0.535:0.535))
(IOPATH B1 X (0.304:0.304:0.304) (0.464:0.464:0.464))
(IOPATH B2 X (0.286:0.286:0.286) (0.498:0.498:0.498))
(IOPATH C1 X (0.222:0.223:0.223) (0.431:0.432:0.432))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _1417_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.109:0.109:0.109) (0.235:0.235:0.235))
(IOPATH B X (0.132:0.132:0.133) (0.239:0.240:0.241))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1418_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.213:0.213:0.213) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1419_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux4_1")
(INSTANCE _1420_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.250:0.250:0.250) (0.501:0.501:0.501))
(IOPATH A1 X (0.261:0.261:0.261) (0.514:0.514:0.514))
(IOPATH A2 X (0.240:0.240:0.240) (0.490:0.490:0.490))
(IOPATH A3 X (0.241:0.241:0.241) (0.481:0.481:0.481))
(IOPATH S0 X (0.338:0.338:0.338) (0.565:0.565:0.565))
(IOPATH S0 X (0.240:0.240:0.240) (0.455:0.455:0.455))
(IOPATH S1 X (0.224:0.224:0.224) (0.291:0.291:0.291))
(IOPATH S1 X (0.168:0.168:0.168) (0.240:0.240:0.240))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_2")
(INSTANCE _1421_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.187:0.187:0.187) (0.080:0.080:0.080))
(IOPATH B Y (0.151:0.153:0.155) (0.084:0.084:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _1422_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.177:0.177:0.177) (0.094:0.094:0.094))
(IOPATH B Y (0.149:0.151:0.154) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1423_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.194:0.194:0.194) (0.152:0.153:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1424_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.204:0.205:0.205) (0.269:0.269:0.269))
(IOPATH A2 X (0.203:0.203:0.203) (0.279:0.279:0.279))
(IOPATH B1 X (0.194:0.194:0.195) (0.236:0.240:0.243))
(IOPATH B2 X (0.213:0.213:0.213) (0.258:0.258:0.258))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_6")
(INSTANCE _1425_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.210:0.210:0.210) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o22a_1")
(INSTANCE _1426_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.170:0.170:0.170) (0.224:0.224:0.224))
(IOPATH A2 X (0.159:0.159:0.159) (0.216:0.216:0.216))
(IOPATH B1 X (0.198:0.198:0.198) (0.216:0.216:0.216))
(IOPATH B2 X (0.175:0.175:0.175) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o22a_1")
(INSTANCE _1427_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.177:0.177:0.177) (0.227:0.227:0.227))
(IOPATH A2 X (0.175:0.175:0.176) (0.239:0.239:0.239))
(IOPATH B1 X (0.185:0.185:0.185) (0.210:0.210:0.210))
(IOPATH B2 X (0.177:0.177:0.177) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
(INSTANCE _1428_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.239:0.239:0.239) (0.208:0.209:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1429_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.166:0.166:0.166) (0.225:0.225:0.225))
(IOPATH A2 X (0.196:0.196:0.196) (0.271:0.271:0.271))
(IOPATH B1 X (0.193:0.193:0.193) (0.227:0.227:0.227))
(IOPATH B2 X (0.176:0.176:0.176) (0.225:0.225:0.225))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_4")
(INSTANCE _1430_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.294:0.294:0.294) (0.484:0.484:0.484))
(IOPATH A2 X (0.300:0.300:0.300) (0.534:0.534:0.534))
(IOPATH B1 X (0.302:0.302:0.302) (0.463:0.463:0.463))
(IOPATH B2 X (0.281:0.281:0.281) (0.495:0.495:0.495))
(IOPATH C1 X (0.230:0.230:0.230) (0.435:0.435:0.435))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
(INSTANCE _1431_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.239:0.239:0.239) (0.211:0.211:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1432_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.177:0.177:0.177) (0.144:0.144:0.144))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1433_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.187:0.187:0.187) (0.149:0.149:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1434_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.170:0.170:0.170))
(IOPATH B X (0.205:0.205:0.205) (0.193:0.193:0.193))
(IOPATH C X (0.187:0.187:0.187) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1435_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.217:0.217:0.217) (0.363:0.363:0.363))
(IOPATH A2 X (0.226:0.226:0.226) (0.401:0.401:0.401))
(IOPATH B1 X (0.220:0.220:0.220) (0.347:0.347:0.347))
(IOPATH B2 X (0.221:0.221:0.221) (0.378:0.378:0.378))
(IOPATH C1 X (0.161:0.161:0.161) (0.303:0.303:0.304))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1436_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.218:0.218:0.218) (0.182:0.182:0.182))
(IOPATH B X (0.225:0.225:0.225) (0.206:0.206:0.206))
(IOPATH C X (0.207:0.207:0.207) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1437_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.170:0.170:0.170) (0.333:0.333:0.333))
(IOPATH A2 X (0.194:0.194:0.194) (0.372:0.372:0.372))
(IOPATH B1 X (0.186:0.186:0.186) (0.316:0.316:0.316))
(IOPATH B2 X (0.181:0.181:0.181) (0.355:0.355:0.355))
(IOPATH C1 X (0.135:0.135:0.135) (0.275:0.275:0.276))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_6")
(INSTANCE _1438_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.268:0.268:0.268) (0.176:0.178:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1439_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.149:0.149:0.149) (0.213:0.213:0.213))
(IOPATH A2 X (0.141:0.142:0.143) (0.233:0.234:0.234))
(IOPATH B1 X (0.112:0.113:0.114) (0.174:0.174:0.175))
(IOPATH B2 X (0.148:0.148:0.148) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _1440_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.249:0.249:0.249) (0.223:0.223:0.223))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _1441_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.245:0.245:0.245) (0.219:0.219:0.219))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1442_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.175:0.175:0.175) (0.157:0.157:0.157))
(IOPATH B X (0.176:0.176:0.176) (0.176:0.176:0.176))
(IOPATH C X (0.152:0.152:0.152) (0.168:0.168:0.168))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1443_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.211:0.211:0.211) (0.353:0.353:0.353))
(IOPATH A2 X (0.209:0.209:0.209) (0.385:0.385:0.385))
(IOPATH B1 X (0.204:0.204:0.204) (0.331:0.331:0.331))
(IOPATH B2 X (0.184:0.184:0.184) (0.350:0.350:0.350))
(IOPATH C1 X (0.126:0.126:0.126) (0.278:0.278:0.279))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1444_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.191:0.191:0.191) (0.143:0.143:0.143))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1445_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.155:0.155:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1446_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.180:0.180:0.180) (0.160:0.160:0.160))
(IOPATH B X (0.183:0.183:0.183) (0.180:0.180:0.180))
(IOPATH C X (0.158:0.158:0.158) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1447_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.241:0.241:0.241) (0.379:0.379:0.379))
(IOPATH A2 X (0.244:0.244:0.244) (0.414:0.414:0.414))
(IOPATH B1 X (0.239:0.239:0.239) (0.359:0.359:0.359))
(IOPATH B2 X (0.220:0.220:0.220) (0.379:0.379:0.379))
(IOPATH C1 X (0.163:0.163:0.163) (0.307:0.308:0.308))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1448_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.189:0.189:0.189) (0.334:0.334:0.334))
(IOPATH A2 X (0.158:0.159:0.160) (0.360:0.361:0.361))
(IOPATH B1 X (0.166:0.167:0.168) (0.316:0.316:0.317))
(IOPATH B2 X (0.168:0.168:0.168) (0.341:0.341:0.341))
(IOPATH C1 X (0.142:0.142:0.142) (0.285:0.285:0.285))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _1449_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.129:0.130:0.130) (0.254:0.255:0.255))
(IOPATH B X (0.135:0.136:0.137) (0.245:0.245:0.245))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _1450_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.155:0.155:0.155) (0.196:0.196:0.196))
(IOPATH A2 X (0.136:0.137:0.137) (0.198:0.199:0.199))
(IOPATH B1 X (0.128:0.128:0.128) (0.114:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _1451_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.199:0.199:0.199) (0.219:0.219:0.219))
(IOPATH A2 X (0.194:0.194:0.195) (0.242:0.244:0.246))
(IOPATH A3 X (0.195:0.195:0.195) (0.251:0.253:0.254))
(IOPATH B1 X (0.140:0.140:0.141) (0.212:0.213:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1452_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.134:0.134:0.134) (0.199:0.199:0.199))
(IOPATH A2 X (0.162:0.162:0.162) (0.247:0.247:0.247))
(IOPATH B1 X (0.151:0.151:0.151) (0.188:0.188:0.188))
(IOPATH B2 X (0.160:0.160:0.160) (0.215:0.215:0.215))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _1453_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.161:0.161:0.161) (0.194:0.194:0.194))
(IOPATH A2 X (0.170:0.170:0.170) (0.219:0.219:0.219))
(IOPATH A3 X (0.175:0.175:0.175) (0.236:0.236:0.236))
(IOPATH B1 X (0.100:0.101:0.101) (0.185:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1454_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.215:0.215:0.215) (0.181:0.181:0.181))
(IOPATH B X (0.226:0.226:0.226) (0.209:0.209:0.209))
(IOPATH C X (0.211:0.211:0.211) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1455_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.142:0.142:0.142) (0.310:0.310:0.310))
(IOPATH A2 X (0.175:0.175:0.175) (0.369:0.369:0.369))
(IOPATH B1 X (0.173:0.173:0.173) (0.308:0.308:0.308))
(IOPATH B2 X (0.181:0.181:0.181) (0.343:0.343:0.343))
(IOPATH C1 X (0.126:0.126:0.126) (0.268:0.268:0.269))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1456_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.176:0.176:0.176) (0.157:0.157:0.157))
(IOPATH B X (0.179:0.179:0.179) (0.177:0.177:0.177))
(IOPATH C X (0.157:0.157:0.157) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1457_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.239:0.239:0.239) (0.377:0.377:0.377))
(IOPATH A2 X (0.239:0.239:0.239) (0.410:0.410:0.410))
(IOPATH B1 X (0.234:0.234:0.234) (0.355:0.355:0.355))
(IOPATH B2 X (0.215:0.215:0.215) (0.376:0.376:0.376))
(IOPATH C1 X (0.156:0.156:0.156) (0.303:0.303:0.304))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1458_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.240:0.240:0.240) (0.197:0.197:0.197))
(IOPATH B X (0.243:0.243:0.243) (0.218:0.218:0.218))
(IOPATH C X (0.214:0.214:0.214) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1459_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.179:0.179:0.179) (0.329:0.329:0.329))
(IOPATH A2 X (0.188:0.188:0.188) (0.367:0.367:0.367))
(IOPATH B1 X (0.182:0.182:0.182) (0.314:0.314:0.314))
(IOPATH B2 X (0.180:0.180:0.180) (0.343:0.343:0.343))
(IOPATH C1 X (0.138:0.138:0.138) (0.276:0.276:0.277))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1460_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.240:0.240:0.240) (0.233:0.234:0.235))
(IOPATH A2 X (0.181:0.181:0.182) (0.265:0.265:0.266))
(IOPATH B1 X (0.150:0.151:0.152) (0.203:0.203:0.204))
(IOPATH B2 X (0.182:0.182:0.182) (0.236:0.236:0.237))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _1461_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.133:0.133:0.133) (0.166:0.166:0.166))
(IOPATH A2 X (0.107:0.107:0.108) (0.174:0.175:0.175))
(IOPATH B1 X (0.088:0.089:0.089) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _1462_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.167:0.167:0.167) (0.294:0.294:0.294))
(IOPATH A2 X (0.136:0.137:0.137) (0.303:0.303:0.304))
(IOPATH B1 X (0.107:0.107:0.108) (0.276:0.276:0.277))
(IOPATH C1 X (0.135:0.135:0.135) (0.258:0.258:0.258))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1463_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.162:0.162:0.162) (0.217:0.217:0.217))
(IOPATH A2 X (0.185:0.185:0.185) (0.265:0.265:0.265))
(IOPATH B1 X (0.173:0.173:0.173) (0.201:0.201:0.201))
(IOPATH B2 X (0.141:0.141:0.141) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_4")
(INSTANCE _1464_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.273:0.273:0.273) (0.470:0.470:0.470))
(IOPATH A2 X (0.292:0.292:0.292) (0.529:0.529:0.529))
(IOPATH B1 X (0.283:0.283:0.283) (0.451:0.451:0.451))
(IOPATH B2 X (0.258:0.258:0.258) (0.480:0.480:0.480))
(IOPATH C1 X (0.202:0.202:0.203) (0.417:0.417:0.418))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _1465_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.135:0.135:0.135) (0.259:0.259:0.259))
(IOPATH B X (0.152:0.153:0.154) (0.260:0.261:0.262))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux4_1")
(INSTANCE _1466_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.241:0.241:0.241) (0.494:0.494:0.494))
(IOPATH A1 X (0.263:0.263:0.263) (0.513:0.513:0.513))
(IOPATH A2 X (0.232:0.232:0.232) (0.482:0.482:0.482))
(IOPATH A3 X (0.240:0.240:0.240) (0.479:0.479:0.479))
(IOPATH S0 X (0.335:0.335:0.335) (0.561:0.561:0.561))
(IOPATH S0 X (0.237:0.237:0.237) (0.451:0.451:0.451))
(IOPATH S1 X (0.221:0.221:0.221) (0.287:0.287:0.287))
(IOPATH S1 X (0.165:0.165:0.165) (0.237:0.237:0.237))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1467_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.190:0.191:0.192) (0.252:0.253:0.253))
(IOPATH A2 X (0.199:0.199:0.199) (0.277:0.277:0.278))
(IOPATH B1 X (0.178:0.179:0.179) (0.226:0.230:0.233))
(IOPATH B2 X (0.199:0.199:0.199) (0.249:0.249:0.249))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1468_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.167:0.167:0.167) (0.161:0.161:0.161))
(IOPATH B X (0.172:0.172:0.172) (0.182:0.182:0.182))
(IOPATH C X (0.180:0.180:0.180) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1469_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.149:0.149:0.149) (0.314:0.314:0.314))
(IOPATH A2 X (0.179:0.179:0.179) (0.372:0.372:0.372))
(IOPATH B1 X (0.177:0.177:0.177) (0.311:0.311:0.311))
(IOPATH B2 X (0.174:0.174:0.174) (0.339:0.339:0.339))
(IOPATH C1 X (0.112:0.112:0.112) (0.264:0.264:0.265))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1470_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.172:0.172:0.172))
(IOPATH B X (0.212:0.212:0.212) (0.199:0.199:0.199))
(IOPATH C X (0.190:0.190:0.190) (0.195:0.195:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1471_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.177:0.177:0.177) (0.327:0.327:0.327))
(IOPATH A2 X (0.177:0.177:0.177) (0.370:0.370:0.370))
(IOPATH B1 X (0.175:0.175:0.175) (0.309:0.309:0.309))
(IOPATH B2 X (0.180:0.180:0.180) (0.342:0.342:0.342))
(IOPATH C1 X (0.122:0.122:0.122) (0.266:0.267:0.267))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1472_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.179:0.179:0.179) (0.159:0.159:0.159))
(IOPATH B X (0.181:0.181:0.181) (0.179:0.179:0.179))
(IOPATH C X (0.151:0.151:0.151) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1473_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.253:0.253:0.253) (0.386:0.386:0.386))
(IOPATH A2 X (0.246:0.246:0.246) (0.415:0.415:0.415))
(IOPATH B1 X (0.240:0.240:0.240) (0.360:0.360:0.360))
(IOPATH B2 X (0.239:0.239:0.239) (0.391:0.391:0.391))
(IOPATH C1 X (0.163:0.163:0.164) (0.308:0.308:0.309))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1474_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.191:0.191:0.191) (0.166:0.166:0.166))
(IOPATH B X (0.199:0.199:0.199) (0.189:0.189:0.189))
(IOPATH C X (0.176:0.176:0.176) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1475_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.182:0.182:0.182) (0.330:0.330:0.330))
(IOPATH A2 X (0.185:0.185:0.185) (0.364:0.364:0.364))
(IOPATH B1 X (0.179:0.179:0.179) (0.311:0.311:0.311))
(IOPATH B2 X (0.170:0.170:0.170) (0.335:0.335:0.335))
(IOPATH C1 X (0.119:0.119:0.119) (0.265:0.266:0.266))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1476_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.175:0.175:0.175) (0.230:0.230:0.231))
(IOPATH A2 X (0.164:0.164:0.165) (0.251:0.252:0.252))
(IOPATH B1 X (0.128:0.129:0.130) (0.186:0.187:0.187))
(IOPATH B2 X (0.198:0.198:0.198) (0.207:0.209:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _1477_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.158:0.158:0.158) (0.284:0.284:0.284))
(IOPATH A2 X (0.127:0.127:0.128) (0.299:0.299:0.300))
(IOPATH B1 X (0.106:0.107:0.108) (0.272:0.272:0.272))
(IOPATH C1 X (0.126:0.126:0.126) (0.248:0.248:0.248))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _1478_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.152:0.152:0.152) (0.182:0.182:0.182))
(IOPATH A2 X (0.127:0.128:0.129) (0.191:0.192:0.192))
(IOPATH B1 X (0.097:0.098:0.098) (0.165:0.166:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1479_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.156:0.156:0.156) (0.216:0.216:0.216))
(IOPATH A2 X (0.191:0.191:0.191) (0.270:0.270:0.270))
(IOPATH B1 X (0.246:0.246:0.246) (0.210:0.212:0.214))
(IOPATH B2 X (0.157:0.157:0.157) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_4")
(INSTANCE _1480_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.280:0.280:0.280) (0.475:0.475:0.475))
(IOPATH A2 X (0.295:0.295:0.295) (0.532:0.532:0.532))
(IOPATH B1 X (0.278:0.278:0.278) (0.458:0.458:0.458))
(IOPATH B2 X (0.264:0.264:0.264) (0.485:0.485:0.485))
(IOPATH C1 X (0.207:0.209:0.210) (0.421:0.422:0.422))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _1481_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.132:0.132:0.132) (0.256:0.256:0.256))
(IOPATH B X (0.150:0.150:0.151) (0.255:0.256:0.257))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux4_1")
(INSTANCE _1482_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.259:0.259:0.259) (0.512:0.512:0.512))
(IOPATH A1 X (0.279:0.279:0.279) (0.531:0.531:0.531))
(IOPATH A2 X (0.242:0.242:0.242) (0.496:0.496:0.496))
(IOPATH A3 X (0.251:0.251:0.251) (0.492:0.492:0.492))
(IOPATH S0 X (0.347:0.347:0.347) (0.576:0.576:0.576))
(IOPATH S0 X (0.250:0.250:0.250) (0.466:0.466:0.466))
(IOPATH S1 X (0.233:0.233:0.233) (0.301:0.301:0.301))
(IOPATH S1 X (0.177:0.177:0.177) (0.250:0.250:0.250))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1483_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.195:0.196:0.196) (0.250:0.250:0.250))
(IOPATH A2 X (0.204:0.204:0.204) (0.280:0.280:0.280))
(IOPATH B1 X (0.188:0.189:0.189) (0.234:0.237:0.240))
(IOPATH B2 X (0.204:0.204:0.204) (0.253:0.253:0.253))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1484_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.221:0.221:0.221) (0.196:0.196:0.196))
(IOPATH B X (0.226:0.226:0.226) (0.219:0.219:0.219))
(IOPATH C X (0.223:0.223:0.223) (0.219:0.219:0.219))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1485_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.133:0.133:0.133) (0.198:0.198:0.198))
(IOPATH A2 X (0.149:0.149:0.149) (0.234:0.234:0.234))
(IOPATH B1 X (0.136:0.136:0.136) (0.184:0.184:0.184))
(IOPATH B2 X (0.162:0.162:0.162) (0.217:0.217:0.217))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _1486_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.140:0.140:0.140) (0.191:0.191:0.192))
(IOPATH A2 X (0.105:0.106:0.106) (0.164:0.164:0.164))
(IOPATH B1 X (0.140:0.140:0.140) (0.121:0.121:0.121))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1487_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.171:0.171:0.171))
(IOPATH B X (0.207:0.207:0.207) (0.196:0.196:0.196))
(IOPATH C X (0.191:0.191:0.191) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1488_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.186:0.186:0.186) (0.332:0.332:0.332))
(IOPATH A2 X (0.163:0.163:0.163) (0.357:0.357:0.357))
(IOPATH B1 X (0.158:0.158:0.158) (0.305:0.305:0.305))
(IOPATH B2 X (0.176:0.176:0.176) (0.339:0.339:0.339))
(IOPATH C1 X (0.118:0.119:0.119) (0.264:0.264:0.265))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _1489_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.138:0.138:0.138) (0.179:0.179:0.179))
(IOPATH A2 X (0.109:0.110:0.111) (0.178:0.178:0.179))
(IOPATH B1 X (0.105:0.105:0.105) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1490_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.191:0.191:0.191) (0.167:0.167:0.167))
(IOPATH B X (0.190:0.190:0.190) (0.185:0.185:0.185))
(IOPATH C X (0.179:0.179:0.179) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1491_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.212:0.212:0.212) (0.358:0.358:0.358))
(IOPATH A2 X (0.220:0.220:0.220) (0.395:0.395:0.395))
(IOPATH B1 X (0.211:0.211:0.211) (0.339:0.339:0.339))
(IOPATH B2 X (0.197:0.197:0.197) (0.362:0.362:0.362))
(IOPATH C1 X (0.144:0.144:0.144) (0.291:0.292:0.292))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1492_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.213:0.213:0.213) (0.181:0.181:0.181))
(IOPATH B X (0.212:0.212:0.212) (0.199:0.199:0.199))
(IOPATH C X (0.185:0.185:0.185) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1493_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.180:0.180:0.180) (0.329:0.329:0.329))
(IOPATH A2 X (0.162:0.162:0.162) (0.356:0.356:0.356))
(IOPATH B1 X (0.157:0.157:0.157) (0.304:0.304:0.304))
(IOPATH B2 X (0.174:0.174:0.174) (0.337:0.337:0.337))
(IOPATH C1 X (0.120:0.120:0.121) (0.264:0.265:0.265))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1494_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.161:0.161:0.161) (0.224:0.224:0.224))
(IOPATH A2 X (0.148:0.149:0.150) (0.241:0.242:0.242))
(IOPATH B1 X (0.121:0.122:0.123) (0.182:0.182:0.183))
(IOPATH B2 X (0.151:0.151:0.151) (0.206:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _1495_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.132:0.132:0.133) (0.403:0.403:0.404))
(IOPATH B X (0.129:0.129:0.129) (0.379:0.380:0.380))
(IOPATH C X (0.130:0.130:0.131) (0.345:0.345:0.345))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1496_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.154:0.154:0.154) (0.214:0.214:0.214))
(IOPATH A2 X (0.188:0.188:0.188) (0.267:0.267:0.267))
(IOPATH B1 X (0.242:0.242:0.243) (0.207:0.209:0.211))
(IOPATH B2 X (0.155:0.155:0.155) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_4")
(INSTANCE _1497_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.289:0.289:0.289) (0.481:0.481:0.481))
(IOPATH A2 X (0.300:0.300:0.300) (0.535:0.535:0.535))
(IOPATH B1 X (0.283:0.283:0.283) (0.461:0.461:0.461))
(IOPATH B2 X (0.273:0.273:0.273) (0.491:0.491:0.491))
(IOPATH C1 X (0.210:0.212:0.213) (0.424:0.425:0.425))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _1498_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.128:0.128:0.128) (0.253:0.253:0.253))
(IOPATH B X (0.147:0.147:0.148) (0.253:0.253:0.254))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux4_1")
(INSTANCE _1499_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.257:0.257:0.257) (0.505:0.505:0.505))
(IOPATH A1 X (0.263:0.263:0.263) (0.515:0.515:0.515))
(IOPATH A2 X (0.242:0.242:0.242) (0.491:0.491:0.491))
(IOPATH A3 X (0.237:0.237:0.237) (0.478:0.478:0.478))
(IOPATH S0 X (0.338:0.338:0.338) (0.564:0.564:0.564))
(IOPATH S0 X (0.239:0.239:0.239) (0.454:0.454:0.454))
(IOPATH S1 X (0.223:0.223:0.223) (0.289:0.289:0.289))
(IOPATH S1 X (0.167:0.167:0.167) (0.239:0.239:0.239))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1500_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.212:0.212:0.212) (0.274:0.274:0.274))
(IOPATH A2 X (0.209:0.209:0.209) (0.283:0.283:0.283))
(IOPATH B1 X (0.192:0.193:0.193) (0.235:0.239:0.242))
(IOPATH B2 X (0.212:0.212:0.212) (0.257:0.257:0.257))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o22a_1")
(INSTANCE _1501_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.181:0.181:0.181) (0.232:0.232:0.232))
(IOPATH A2 X (0.170:0.170:0.170) (0.225:0.225:0.225))
(IOPATH B1 X (0.209:0.209:0.209) (0.225:0.225:0.225))
(IOPATH B2 X (0.178:0.178:0.178) (0.209:0.209:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o22a_1")
(INSTANCE _1502_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.163:0.163:0.163) (0.216:0.216:0.216))
(IOPATH A2 X (0.154:0.154:0.155) (0.223:0.223:0.223))
(IOPATH B1 X (0.164:0.164:0.164) (0.191:0.191:0.191))
(IOPATH B2 X (0.157:0.157:0.157) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1503_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.154:0.154:0.154) (0.215:0.215:0.215))
(IOPATH A2 X (0.182:0.182:0.182) (0.260:0.260:0.260))
(IOPATH B1 X (0.180:0.180:0.180) (0.207:0.207:0.207))
(IOPATH B2 X (0.163:0.163:0.163) (0.215:0.215:0.215))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_4")
(INSTANCE _1504_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.305:0.305:0.305) (0.490:0.490:0.490))
(IOPATH A2 X (0.301:0.301:0.301) (0.535:0.535:0.535))
(IOPATH B1 X (0.303:0.303:0.303) (0.464:0.464:0.464))
(IOPATH B2 X (0.284:0.284:0.284) (0.497:0.497:0.497))
(IOPATH C1 X (0.225:0.225:0.226) (0.433:0.433:0.433))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1505_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.196:0.196) (0.169:0.169:0.169))
(IOPATH B X (0.204:0.204:0.204) (0.193:0.193:0.193))
(IOPATH C X (0.183:0.183:0.183) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1506_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.210:0.210:0.210) (0.356:0.356:0.356))
(IOPATH A2 X (0.216:0.216:0.216) (0.393:0.393:0.393))
(IOPATH B1 X (0.210:0.210:0.210) (0.339:0.339:0.339))
(IOPATH B2 X (0.213:0.213:0.213) (0.371:0.371:0.371))
(IOPATH C1 X (0.151:0.151:0.151) (0.294:0.295:0.295))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1507_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.207:0.207) (0.176:0.176:0.176))
(IOPATH B X (0.214:0.214:0.214) (0.200:0.200:0.200))
(IOPATH C X (0.202:0.202:0.202) (0.204:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1508_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.202:0.202:0.202) (0.346:0.346:0.346))
(IOPATH A2 X (0.200:0.200:0.200) (0.377:0.377:0.377))
(IOPATH B1 X (0.192:0.192:0.192) (0.322:0.322:0.322))
(IOPATH B2 X (0.184:0.184:0.184) (0.347:0.347:0.347))
(IOPATH C1 X (0.136:0.136:0.136) (0.278:0.279:0.279))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1509_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.164:0.164:0.164) (0.214:0.214:0.214))
(IOPATH A2 X (0.139:0.139:0.140) (0.232:0.232:0.233))
(IOPATH B1 X (0.116:0.117:0.118) (0.177:0.177:0.177))
(IOPATH B2 X (0.138:0.138:0.138) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1510_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.188:0.188:0.188) (0.166:0.166:0.166))
(IOPATH B X (0.190:0.190:0.190) (0.186:0.186:0.186))
(IOPATH C X (0.174:0.174:0.174) (0.185:0.185:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1511_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.211:0.211:0.211) (0.355:0.355:0.355))
(IOPATH A2 X (0.216:0.216:0.216) (0.396:0.396:0.397))
(IOPATH B1 X (0.208:0.208:0.208) (0.339:0.339:0.340))
(IOPATH B2 X (0.203:0.203:0.203) (0.364:0.364:0.364))
(IOPATH C1 X (0.138:0.138:0.138) (0.286:0.287:0.287))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1512_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.179:0.179:0.179) (0.159:0.159:0.159))
(IOPATH B X (0.181:0.181:0.181) (0.179:0.179:0.179))
(IOPATH C X (0.154:0.154:0.154) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1513_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.250:0.250:0.250) (0.384:0.384:0.384))
(IOPATH A2 X (0.249:0.249:0.249) (0.417:0.417:0.417))
(IOPATH B1 X (0.244:0.244:0.244) (0.362:0.362:0.362))
(IOPATH B2 X (0.233:0.233:0.233) (0.386:0.386:0.386))
(IOPATH C1 X (0.166:0.167:0.167) (0.310:0.311:0.311))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1514_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.161:0.161:0.161) (0.321:0.321:0.321))
(IOPATH A2 X (0.147:0.147:0.148) (0.348:0.348:0.349))
(IOPATH B1 X (0.154:0.154:0.155) (0.303:0.303:0.304))
(IOPATH B2 X (0.164:0.164:0.164) (0.326:0.326:0.326))
(IOPATH C1 X (0.148:0.149:0.149) (0.264:0.264:0.264))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _1515_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.128:0.129:0.129) (0.253:0.253:0.254))
(IOPATH B X (0.129:0.129:0.130) (0.239:0.240:0.240))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _1516_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.154:0.154:0.154) (0.196:0.196:0.196))
(IOPATH A2 X (0.136:0.137:0.137) (0.198:0.199:0.200))
(IOPATH B1 X (0.127:0.127:0.127) (0.113:0.113:0.113))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _1517_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.255:0.255:0.255) (0.238:0.239:0.239))
(IOPATH A2 X (0.243:0.243:0.244) (0.271:0.273:0.275))
(IOPATH A3 X (0.234:0.234:0.234) (0.271:0.272:0.274))
(IOPATH B1 X (0.185:0.185:0.186) (0.239:0.240:0.240))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1518_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.239:0.239:0.239) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _1519_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.237:0.237:0.237) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_6")
(INSTANCE _1520_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.207:0.207) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _1521_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.241:0.241:0.241) (0.206:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _1522_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.216:0.216:0.216) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_4")
(INSTANCE _1523_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.284:0.284:0.284) (0.209:0.209:0.209))
(IOPATH B X (0.278:0.278:0.278) (0.225:0.225:0.225))
(IOPATH C X (0.251:0.251:0.251) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1524_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.224:0.224:0.224) (0.199:0.200:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_4")
(INSTANCE _1525_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.288:0.288:0.288) (0.211:0.211:0.211))
(IOPATH B X (0.282:0.282:0.282) (0.227:0.227:0.227))
(IOPATH C X (0.250:0.250:0.250) (0.212:0.212:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1526_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.200:0.200:0.200) (0.185:0.186:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_4")
(INSTANCE _1527_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.301:0.301:0.301) (0.217:0.217:0.217))
(IOPATH B X (0.295:0.295:0.295) (0.233:0.233:0.233))
(IOPATH C X (0.264:0.264:0.264) (0.218:0.218:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1528_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.211:0.211:0.211) (0.193:0.194:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_4")
(INSTANCE _1529_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.285:0.285:0.285) (0.210:0.210:0.210))
(IOPATH B X (0.279:0.279:0.279) (0.225:0.225:0.225))
(IOPATH C X (0.246:0.246:0.246) (0.209:0.209:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1530_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.200) (0.185:0.186:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_4")
(INSTANCE _1531_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.296:0.296:0.296) (0.215:0.215:0.215))
(IOPATH B X (0.290:0.290:0.290) (0.231:0.231:0.231))
(IOPATH C X (0.263:0.263:0.263) (0.220:0.220:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1532_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.205:0.205:0.205) (0.189:0.190:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _1533_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.221:0.221:0.221) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _1534_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.223:0.223:0.223) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_4")
(INSTANCE _1535_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.269:0.269:0.269) (0.201:0.201:0.201))
(IOPATH B X (0.273:0.273:0.273) (0.223:0.223:0.223))
(IOPATH C X (0.244:0.244:0.244) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1536_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.183:0.184:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_4")
(INSTANCE _1537_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.267:0.267:0.267) (0.200:0.200:0.200))
(IOPATH B X (0.271:0.271:0.271) (0.222:0.222:0.222))
(IOPATH C X (0.243:0.243:0.243) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1538_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.222:0.222:0.222) (0.197:0.198:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_4")
(INSTANCE _1539_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.274:0.274:0.274) (0.203:0.203:0.203))
(IOPATH B X (0.278:0.278:0.278) (0.225:0.225:0.225))
(IOPATH C X (0.249:0.249:0.249) (0.212:0.212:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1540_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.200) (0.185:0.186:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_4")
(INSTANCE _1541_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.288:0.288:0.288) (0.210:0.210:0.210))
(IOPATH B X (0.292:0.292:0.292) (0.232:0.232:0.232))
(IOPATH C X (0.260:0.260:0.260) (0.217:0.217:0.217))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1542_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.227:0.228:0.228) (0.202:0.203:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_4")
(INSTANCE _1543_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.288:0.288:0.288) (0.210:0.210:0.210))
(IOPATH B X (0.292:0.292:0.292) (0.232:0.232:0.232))
(IOPATH C X (0.265:0.265:0.265) (0.221:0.221:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1544_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.207:0.207) (0.190:0.191:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _1545_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.226:0.226:0.226) (0.204:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _1546_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.224:0.224:0.224) (0.212:0.212:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_4")
(INSTANCE _1547_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.275:0.275:0.275) (0.204:0.204:0.204))
(IOPATH B X (0.277:0.277:0.277) (0.225:0.225:0.225))
(IOPATH C X (0.245:0.245:0.245) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1548_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.202:0.202:0.202) (0.186:0.187:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_4")
(INSTANCE _1549_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.293:0.293:0.293) (0.212:0.212:0.212))
(IOPATH B X (0.295:0.295:0.295) (0.234:0.234:0.234))
(IOPATH C X (0.262:0.262:0.262) (0.217:0.217:0.217))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1550_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.210:0.210:0.210) (0.192:0.193:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_4")
(INSTANCE _1551_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.276:0.276:0.276) (0.204:0.204:0.204))
(IOPATH B X (0.278:0.278:0.278) (0.226:0.226:0.226))
(IOPATH C X (0.240:0.240:0.240) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1552_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.183:0.184:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_4")
(INSTANCE _1553_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.276:0.276:0.276) (0.205:0.205:0.205))
(IOPATH B X (0.278:0.278:0.278) (0.226:0.226:0.226))
(IOPATH C X (0.243:0.243:0.243) (0.208:0.208:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1554_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.232:0.233:0.233) (0.202:0.203:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_4")
(INSTANCE _1555_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.275:0.275:0.275) (0.204:0.204:0.204))
(IOPATH B X (0.277:0.277:0.277) (0.225:0.225:0.225))
(IOPATH C X (0.245:0.245:0.245) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1556_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.229:0.229:0.229) (0.200:0.201:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _1557_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.227:0.227:0.227) (0.204:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _1558_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.217:0.217:0.217) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_4")
(INSTANCE _1559_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.273:0.273:0.273) (0.203:0.203:0.203))
(IOPATH B X (0.273:0.273:0.273) (0.222:0.222:0.222))
(IOPATH C X (0.245:0.245:0.245) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1560_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.231:0.232:0.232) (0.201:0.202:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_4")
(INSTANCE _1561_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.279:0.279:0.279) (0.206:0.206:0.206))
(IOPATH B X (0.278:0.278:0.278) (0.225:0.225:0.225))
(IOPATH C X (0.251:0.251:0.251) (0.213:0.213:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1562_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.244:0.245:0.245) (0.207:0.208:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_4")
(INSTANCE _1563_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.285:0.285:0.285) (0.208:0.208:0.208))
(IOPATH B X (0.284:0.284:0.284) (0.227:0.227:0.227))
(IOPATH C X (0.257:0.257:0.257) (0.216:0.216:0.216))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1564_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.188:0.189:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_4")
(INSTANCE _1565_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.288:0.288:0.288) (0.210:0.210:0.210))
(IOPATH B X (0.287:0.287:0.287) (0.229:0.229:0.229))
(IOPATH C X (0.259:0.259:0.259) (0.217:0.217:0.217))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1566_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.210:0.211:0.211) (0.191:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_4")
(INSTANCE _1567_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.281:0.281:0.281) (0.207:0.207:0.207))
(IOPATH B X (0.281:0.281:0.281) (0.226:0.226:0.226))
(IOPATH C X (0.256:0.256:0.256) (0.217:0.217:0.217))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1568_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.200:0.200:0.200) (0.185:0.185:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_4")
(INSTANCE _1569_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.268:0.268:0.268) (0.199:0.199:0.199))
(IOPATH B X (0.265:0.265:0.265) (0.227:0.227:0.227))
(IOPATH C X (0.262:0.262:0.262) (0.225:0.225:0.225))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1570_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.256:0.256:0.256) (0.210:0.211:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_4")
(INSTANCE _1571_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.145:0.145:0.145) (0.125:0.125:0.125))
(IOPATH B Y (0.160:0.160:0.160) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkinv_8")
(INSTANCE _1572_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.128:0.129:0.130) (0.135:0.136:0.137))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_4")
(INSTANCE _1573_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.130:0.130:0.130) (0.117:0.117:0.117))
(IOPATH B Y (0.129:0.129:0.129) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkinv_8")
(INSTANCE _1574_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.119:0.124:0.129) (0.126:0.127:0.128))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_2")
(INSTANCE _1575_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.235:0.235:0.235) (0.228:0.228:0.228))
(IOPATH B X (0.266:0.266:0.266) (0.270:0.270:0.270))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1576_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.264:0.264:0.264) (0.224:0.224:0.225))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_2")
(INSTANCE _1577_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.223:0.223:0.223) (0.221:0.221:0.221))
(IOPATH B X (0.245:0.245:0.245) (0.259:0.259:0.259))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1578_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.235:0.235:0.235) (0.208:0.208:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_6")
(INSTANCE _1579_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.150:0.150:0.150) (0.106:0.106:0.106))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_2")
(INSTANCE _1580_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.254:0.254:0.254) (0.104:0.104:0.104))
(IOPATH B Y (0.234:0.234:0.234) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_2")
(INSTANCE _1581_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.357:0.357:0.357) (0.262:0.262:0.262))
(IOPATH B X (0.378:0.378:0.378) (0.304:0.304:0.304))
(IOPATH C X (0.372:0.372:0.372) (0.318:0.318:0.318))
(IOPATH D X (0.394:0.394:0.394) (0.318:0.320:0.322))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _1582_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.268:0.269:0.269) (0.228:0.229:0.231))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1583_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.212:0.212:0.212) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1584_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.157:0.157:0.157) (0.297:0.297:0.297))
(IOPATH A1 X (0.168:0.168:0.168) (0.314:0.314:0.314))
(IOPATH S X (0.214:0.214:0.214) (0.345:0.345:0.345))
(IOPATH S X (0.162:0.162:0.162) (0.326:0.326:0.326))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1585_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.078:0.078) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1586_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.164:0.164:0.164) (0.298:0.298:0.298))
(IOPATH A1 X (0.131:0.131:0.131) (0.287:0.287:0.287))
(IOPATH S X (0.203:0.203:0.203) (0.332:0.332:0.332))
(IOPATH S X (0.151:0.151:0.151) (0.313:0.313:0.313))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1587_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.077:0.078) (0.089:0.089:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1588_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.136:0.136:0.136) (0.287:0.287:0.287))
(IOPATH A1 X (0.149:0.149:0.149) (0.301:0.301:0.301))
(IOPATH S X (0.212:0.212:0.212) (0.343:0.343:0.343))
(IOPATH S X (0.160:0.160:0.160) (0.325:0.325:0.325))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1589_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.079) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1590_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.138:0.138:0.138) (0.283:0.283:0.283))
(IOPATH A1 X (0.119:0.119:0.119) (0.285:0.285:0.285))
(IOPATH S X (0.206:0.206:0.206) (0.336:0.336:0.336))
(IOPATH S X (0.154:0.154:0.154) (0.317:0.317:0.317))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1591_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.077) (0.089:0.089:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _1592_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.123:0.123:0.123) (0.124:0.124:0.124))
(IOPATH A Y (0.151:0.151:0.151) (0.081:0.081:0.081))
(IOPATH B Y (0.132:0.132:0.132) (0.129:0.129:0.129))
(IOPATH B Y (0.139:0.139:0.139) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _1593_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.117:0.117:0.117) (0.119:0.119:0.119))
(IOPATH A Y (0.139:0.139:0.139) (0.075:0.075:0.075))
(IOPATH B Y (0.140:0.140:0.140) (0.141:0.141:0.141))
(IOPATH B Y (0.142:0.142:0.142) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _1594_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.121:0.121:0.121) (0.122:0.122:0.122))
(IOPATH A Y (0.148:0.148:0.148) (0.078:0.078:0.078))
(IOPATH B Y (0.116:0.116:0.116) (0.118:0.118:0.118))
(IOPATH B Y (0.127:0.127:0.127) (0.065:0.065:0.065))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _1595_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.121:0.121:0.121) (0.122:0.122:0.122))
(IOPATH A Y (0.151:0.151:0.151) (0.077:0.077:0.077))
(IOPATH B Y (0.132:0.132:0.132) (0.128:0.128:0.128))
(IOPATH B Y (0.140:0.140:0.140) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _1596_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.243:0.254:0.265) (0.185:0.187:0.188))
(IOPATH B X (0.252:0.261:0.269) (0.208:0.212:0.215))
(IOPATH C X (0.260:0.268:0.276) (0.225:0.227:0.229))
(IOPATH D X (0.266:0.274:0.282) (0.236:0.238:0.240))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1597_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.182:0.182:0.182) (0.171:0.171:0.171))
(IOPATH B X (0.176:0.176:0.176) (0.184:0.185:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1598_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.177:0.177:0.177) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1599_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.245:0.245:0.245) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _1600_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.159:0.159:0.159) (0.102:0.102:0.102))
(IOPATH A2 Y (0.142:0.142:0.143) (0.083:0.083:0.083))
(IOPATH B1 Y (0.106:0.106:0.106) (0.104:0.104:0.104))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _1601_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.133:0.133:0.134) (0.064:0.065:0.065))
(IOPATH B Y (0.117:0.121:0.126) (0.061:0.065:0.069))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1602_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.188:0.188:0.188) (0.163:0.163:0.163))
(IOPATH B X (0.204:0.204:0.204) (0.194:0.194:0.194))
(IOPATH C X (0.198:0.198:0.198) (0.203:0.205:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _1603_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.142:0.142:0.142) (0.087:0.087:0.087))
(IOPATH A2 Y (0.124:0.124:0.125) (0.066:0.066:0.067))
(IOPATH B1 Y (0.100:0.100:0.100) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _1604_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.107:0.108:0.109) (0.054:0.054:0.055))
(IOPATH B Y (0.089:0.094:0.100) (0.050:0.053:0.056))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _1605_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.280:0.280:0.280) (0.202:0.202:0.202))
(IOPATH B X (0.296:0.296:0.296) (0.233:0.233:0.233))
(IOPATH C X (0.310:0.310:0.310) (0.260:0.260:0.260))
(IOPATH D X (0.308:0.308:0.308) (0.265:0.266:0.267))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _1606_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.137:0.137:0.137) (0.081:0.081:0.081))
(IOPATH A2 Y (0.121:0.122:0.123) (0.064:0.064:0.064))
(IOPATH B1 Y (0.099:0.099:0.099) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _1607_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.101:0.102:0.103) (0.064:0.064:0.064))
(IOPATH B Y (0.068:0.073:0.079) (0.041:0.044:0.046))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1608_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.177:0.177:0.177) (0.167:0.167:0.167))
(IOPATH B X (0.180:0.180:0.180) (0.188:0.190:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _1609_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.141:0.141:0.141) (0.091:0.091:0.091))
(IOPATH A2 Y (0.129:0.130:0.131) (0.078:0.078:0.078))
(IOPATH B1 Y (0.094:0.094:0.094) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _1610_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.100:0.100:0.101) (0.053:0.053:0.053))
(IOPATH B Y (0.083:0.087:0.090) (0.045:0.048:0.050))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1611_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.190:0.190:0.190) (0.165:0.165:0.165))
(IOPATH B X (0.203:0.203:0.203) (0.193:0.193:0.193))
(IOPATH C X (0.205:0.205:0.205) (0.210:0.211:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _1612_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.138:0.138:0.138) (0.086:0.086:0.086))
(IOPATH A2 Y (0.119:0.119:0.119) (0.064:0.064:0.064))
(IOPATH B1 Y (0.090:0.090:0.090) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _1613_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.090:0.090:0.091) (0.049:0.049:0.049))
(IOPATH B Y (0.070:0.073:0.076) (0.042:0.044:0.046))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _1614_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.241:0.241:0.241) (0.182:0.182:0.182))
(IOPATH B X (0.260:0.260:0.260) (0.214:0.214:0.214))
(IOPATH C X (0.271:0.271:0.271) (0.238:0.238:0.238))
(IOPATH D X (0.276:0.276:0.276) (0.251:0.252:0.253))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _1615_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.131:0.131:0.131) (0.076:0.076:0.076))
(IOPATH A2 Y (0.117:0.117:0.118) (0.062:0.062:0.062))
(IOPATH B1 Y (0.090:0.090:0.090) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _1616_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.104:0.105:0.106) (0.063:0.063:0.063))
(IOPATH B Y (0.077:0.080:0.084) (0.045:0.047:0.050))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21boi_1")
(INSTANCE _1617_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.126:0.126:0.126) (0.073:0.073:0.073))
(IOPATH A2 Y (0.150:0.151:0.152) (0.082:0.082:0.082))
(IOPATH B1_N Y (0.174:0.174:0.174) (0.142:0.142:0.142))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _1618_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.130:0.130:0.130) (0.182:0.182:0.182))
(IOPATH A2 X (0.121:0.121:0.121) (0.170:0.171:0.172))
(IOPATH B1 X (0.122:0.126:0.129) (0.095:0.097:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _1619_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.130:0.130:0.130) (0.129:0.129:0.129))
(IOPATH A Y (0.163:0.163:0.163) (0.087:0.087:0.087))
(IOPATH B Y (0.126:0.126:0.126) (0.125:0.125:0.125))
(IOPATH B Y (0.142:0.142:0.142) (0.074:0.074:0.074))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _1620_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.126:0.126:0.126) (0.126:0.126:0.126))
(IOPATH A Y (0.156:0.156:0.156) (0.082:0.082:0.082))
(IOPATH B Y (0.137:0.137:0.137) (0.134:0.134:0.134))
(IOPATH B Y (0.147:0.147:0.147) (0.084:0.084:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _1621_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.118:0.118:0.118) (0.120:0.120:0.120))
(IOPATH A Y (0.143:0.143:0.143) (0.077:0.077:0.077))
(IOPATH B Y (0.123:0.123:0.123) (0.121:0.121:0.121))
(IOPATH B Y (0.127:0.127:0.127) (0.072:0.072:0.072))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _1622_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.124:0.124:0.124) (0.125:0.125:0.125))
(IOPATH A Y (0.146:0.146:0.146) (0.082:0.082:0.082))
(IOPATH B Y (0.135:0.135:0.135) (0.134:0.134:0.134))
(IOPATH B Y (0.137:0.137:0.137) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_2")
(INSTANCE _1623_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.293:0.305:0.317) (0.229:0.231:0.233))
(IOPATH B X (0.303:0.313:0.324) (0.257:0.259:0.261))
(IOPATH C X (0.307:0.315:0.323) (0.271:0.273:0.275))
(IOPATH D X (0.312:0.319:0.326) (0.281:0.283:0.286))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1624_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.228:0.228:0.228) (0.186:0.186:0.186))
(IOPATH B X (0.248:0.248:0.248) (0.221:0.221:0.221))
(IOPATH C X (0.242:0.242:0.242) (0.232:0.233:0.234))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1625_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1626_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.221:0.221:0.221) (0.183:0.184:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _1627_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.128:0.128:0.128) (0.163:0.163:0.163))
(IOPATH A2 X (0.130:0.130:0.130) (0.191:0.191:0.191))
(IOPATH B1 X (0.092:0.092:0.092) (0.149:0.149:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _1628_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.207:0.208:0.209) (0.187:0.187:0.187))
(IOPATH B X (0.168:0.168:0.168) (0.168:0.168:0.168))
(IOPATH C X (0.144:0.144:0.145) (0.161:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1629_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.080) (0.080:0.081:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1630_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.169:0.169) (0.161:0.161:0.161))
(IOPATH B X (0.167:0.167:0.167) (0.178:0.179:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _1631_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.150:0.150:0.150) (0.094:0.094:0.094))
(IOPATH A2 Y (0.135:0.136:0.136) (0.078:0.078:0.078))
(IOPATH B1 Y (0.093:0.093:0.093) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _1632_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.088:0.089:0.089) (0.047:0.047:0.047))
(IOPATH B Y (0.072:0.075:0.079) (0.042:0.045:0.048))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1633_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.207:0.207) (0.174:0.174:0.174))
(IOPATH B X (0.221:0.221:0.221) (0.203:0.203:0.203))
(IOPATH C X (0.219:0.219:0.219) (0.217:0.218:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _1634_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.136:0.136:0.136) (0.082:0.082:0.082))
(IOPATH A2 Y (0.118:0.118:0.119) (0.062:0.062:0.062))
(IOPATH B1 Y (0.088:0.088:0.088) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _1635_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.104:0.105:0.106) (0.060:0.060:0.060))
(IOPATH B Y (0.080:0.084:0.089) (0.046:0.049:0.052))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1636_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.141:0.141:0.141) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _1637_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.115:0.115:0.115) (0.149:0.149:0.149))
(IOPATH A2 X (0.114:0.114:0.114) (0.169:0.170:0.170))
(IOPATH B1 X (0.095:0.095:0.095) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ba_1")
(INSTANCE _1638_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.138:0.138:0.138) (0.181:0.181:0.181))
(IOPATH A2 X (0.121:0.121:0.121) (0.165:0.166:0.167))
(IOPATH B1_N X (0.193:0.193:0.194) (0.127:0.127:0.127))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1639_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.216:0.216:0.216) (0.197:0.197:0.197))
(IOPATH B X (0.218:0.218:0.218) (0.210:0.211:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_2")
(INSTANCE _1640_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.229:0.229:0.230) (0.111:0.111:0.111))
(IOPATH A2 Y (0.234:0.235:0.236) (0.107:0.107:0.107))
(IOPATH B1 Y (0.204:0.204:0.204) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1641_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.224:0.224:0.224) (0.169:0.173:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _1642_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.146:0.146:0.146) (0.087:0.087:0.087))
(IOPATH A2 Y (0.138:0.138:0.138) (0.076:0.076:0.076))
(IOPATH B1 Y (0.094:0.094:0.094) (0.084:0.084:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _1643_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.107:0.108:0.108) (0.066:0.066:0.066))
(IOPATH B Y (0.080:0.082:0.085) (0.045:0.047:0.050))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _1644_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.143:0.143:0.143) (0.085:0.085:0.085))
(IOPATH A2 Y (0.125:0.125:0.125) (0.074:0.074:0.074))
(IOPATH B1 Y (0.085:0.085:0.085) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _1645_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.138:0.138:0.138) (0.082:0.082:0.082))
(IOPATH A2 Y (0.151:0.151:0.151) (0.087:0.087:0.087))
(IOPATH B1 Y (0.111:0.114:0.117) (0.046:0.049:0.051))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1646_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.164:0.164:0.164) (0.158:0.158:0.158))
(IOPATH B X (0.167:0.167:0.167) (0.178:0.178:0.178))
(IOPATH C X (0.170:0.170:0.170) (0.187:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _1647_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.148:0.148:0.148) (0.185:0.185:0.185))
(IOPATH A2 X (0.155:0.155:0.155) (0.217:0.217:0.217))
(IOPATH A3 X (0.158:0.158:0.158) (0.233:0.233:0.233))
(IOPATH B1 X (0.112:0.112:0.112) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _1648_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.198:0.199:0.200) (0.169:0.169:0.169))
(IOPATH B X (0.173:0.173:0.173) (0.182:0.182:0.182))
(IOPATH C X (0.154:0.154:0.154) (0.169:0.169:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1649_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.080:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_2")
(INSTANCE _1650_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.259:0.259:0.259) (0.103:0.103:0.103))
(IOPATH B Y (0.225:0.225:0.225) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _1651_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.261:0.264:0.267) (0.135:0.135:0.135))
(IOPATH B Y (0.244:0.246:0.248) (0.146:0.146:0.147))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1652_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.234:0.234:0.234) (0.176:0.178:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _1653_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.226:0.230:0.234) (0.231:0.231:0.231))
(IOPATH B X (0.165:0.165:0.165) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ba_1")
(INSTANCE _1654_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.156:0.156:0.156) (0.195:0.195:0.195))
(IOPATH A2 X (0.128:0.128:0.128) (0.178:0.178:0.178))
(IOPATH B1_N X (0.208:0.208:0.208) (0.148:0.148:0.148))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _1655_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.136:0.136:0.136) (0.141:0.141:0.141))
(IOPATH A Y (0.180:0.180:0.180) (0.090:0.090:0.090))
(IOPATH B Y (0.127:0.127:0.127) (0.128:0.128:0.128))
(IOPATH B Y (0.151:0.151:0.151) (0.073:0.073:0.073))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _1656_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.102:0.104:0.106) (0.084:0.084:0.084))
(IOPATH B Y (0.081:0.083:0.084) (0.041:0.049:0.056))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _1657_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.149:0.149:0.149) (0.179:0.179:0.179))
(IOPATH A2 X (0.136:0.136:0.136) (0.193:0.193:0.193))
(IOPATH B1 X (0.116:0.116:0.116) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand3_1")
(INSTANCE _1658_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.089:0.089:0.089) (0.098:0.098:0.098))
(IOPATH B Y (0.096:0.096:0.096) (0.089:0.089:0.089))
(IOPATH C Y (0.098:0.098:0.098) (0.100:0.100:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1659_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.202:0.202:0.202) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _1660_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.169:0.169:0.169) (0.231:0.231:0.231))
(IOPATH A2 X (0.153:0.153:0.153) (0.236:0.236:0.237))
(IOPATH A3 X (0.159:0.160:0.161) (0.256:0.260:0.264))
(IOPATH B1 X (0.139:0.139:0.139) (0.215:0.215:0.215))
(IOPATH B2 X (0.149:0.149:0.149) (0.224:0.224:0.224))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _1661_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.307:0.307:0.307) (0.216:0.216:0.216))
(IOPATH B X (0.305:0.305:0.305) (0.235:0.235:0.235))
(IOPATH C X (0.310:0.310:0.310) (0.250:0.250:0.250))
(IOPATH D X (0.333:0.333:0.333) (0.277:0.277:0.277))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1662_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.061:0.062:0.063) (0.042:0.042:0.042))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _1663_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.185:0.185:0.185) (0.204:0.204:0.204))
(IOPATH A2 X (0.172:0.172:0.172) (0.228:0.228:0.228))
(IOPATH A3 X (0.184:0.184:0.184) (0.241:0.241:0.241))
(IOPATH B1 X (0.136:0.136:0.136) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _1664_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.165:0.165:0.165) (0.227:0.227:0.227))
(IOPATH A2 X (0.144:0.144:0.144) (0.232:0.232:0.232))
(IOPATH A3 X (0.157:0.157:0.158) (0.247:0.248:0.249))
(IOPATH B1 X (0.134:0.134:0.134) (0.211:0.211:0.211))
(IOPATH B2 X (0.138:0.138:0.138) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _1665_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.134:0.134:0.134) (0.241:0.241:0.241))
(IOPATH B X (0.138:0.138:0.138) (0.229:0.230:0.231))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _1666_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.116:0.116:0.116) (0.118:0.118:0.118))
(IOPATH B Y (0.130:0.131:0.132) (0.126:0.126:0.126))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _1667_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.169:0.169:0.169) (0.231:0.231:0.231))
(IOPATH A2 X (0.153:0.153:0.153) (0.242:0.242:0.242))
(IOPATH A3 X (0.169:0.169:0.170) (0.269:0.269:0.269))
(IOPATH B1 X (0.139:0.139:0.139) (0.215:0.215:0.215))
(IOPATH B2 X (0.149:0.149:0.149) (0.225:0.225:0.225))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _1668_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.163:0.163:0.163) (0.082:0.082:0.082))
(IOPATH B Y (0.144:0.145:0.145) (0.064:0.065:0.066))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _1669_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.138:0.138:0.138) (0.086:0.086:0.086))
(IOPATH A2 Y (0.143:0.145:0.147) (0.087:0.087:0.087))
(IOPATH B1 Y (0.123:0.126:0.128) (0.073:0.074:0.074))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _1670_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.138:0.138:0.138) (0.187:0.187:0.187))
(IOPATH A2 X (0.120:0.120:0.120) (0.159:0.161:0.163))
(IOPATH B1 X (0.121:0.124:0.127) (0.098:0.103:0.108))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_2")
(INSTANCE _1671_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.278:0.278:0.278) (0.216:0.216:0.216))
(IOPATH B X (0.315:0.315:0.315) (0.264:0.264:0.264))
(IOPATH C X (0.311:0.311:0.311) (0.275:0.275:0.275))
(IOPATH D X (0.331:0.331:0.331) (0.304:0.305:0.307))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1672_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.053:0.054:0.055) (0.041:0.041:0.041))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _1673_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.160:0.160:0.160) (0.185:0.185:0.185))
(IOPATH A2 X (0.159:0.159:0.159) (0.210:0.210:0.210))
(IOPATH A3 X (0.171:0.171:0.171) (0.233:0.234:0.235))
(IOPATH B1 X (0.112:0.112:0.112) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _1674_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.167:0.167:0.167) (0.229:0.229:0.229))
(IOPATH A2 X (0.145:0.145:0.145) (0.231:0.231:0.231))
(IOPATH A3 X (0.153:0.153:0.154) (0.243:0.244:0.245))
(IOPATH B1 X (0.136:0.136:0.136) (0.213:0.213:0.213))
(IOPATH B2 X (0.136:0.136:0.136) (0.213:0.213:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _1675_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.081:0.081:0.081) (0.082:0.082:0.082))
(IOPATH B Y (0.082:0.083:0.085) (0.077:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _1676_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.132:0.132:0.132) (0.238:0.238:0.238))
(IOPATH B X (0.120:0.120:0.120) (0.213:0.214:0.215))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _1677_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.205:0.205:0.205) (0.231:0.233:0.236))
(IOPATH A2 X (0.149:0.149:0.150) (0.236:0.239:0.242))
(IOPATH A3 X (0.150:0.151:0.151) (0.248:0.248:0.248))
(IOPATH B1 X (0.134:0.134:0.134) (0.211:0.211:0.211))
(IOPATH B2 X (0.145:0.145:0.145) (0.221:0.221:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand3_1")
(INSTANCE _1678_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.117:0.117:0.117) (0.123:0.123:0.123))
(IOPATH B Y (0.137:0.137:0.137) (0.138:0.138:0.138))
(IOPATH C Y (0.142:0.143:0.145) (0.149:0.149:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _1679_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.199:0.199:0.199) (0.202:0.205:0.207))
(IOPATH A2 X (0.170:0.172:0.173) (0.233:0.234:0.234))
(IOPATH B1 X (0.152:0.152:0.152) (0.187:0.190:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _1680_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.155:0.155:0.155) (0.182:0.182:0.182))
(IOPATH A2 X (0.185:0.185:0.185) (0.215:0.218:0.220))
(IOPATH A3 X (0.151:0.151:0.151) (0.216:0.217:0.218))
(IOPATH B1 X (0.100:0.100:0.100) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1681_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.128:0.128:0.129) (0.138:0.139:0.139))
(IOPATH B X (0.114:0.115:0.116) (0.144:0.145:0.146))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1682_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _1683_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.120:0.120:0.120) (0.509:0.509:0.509))
(IOPATH B X (0.129:0.129:0.129) (0.504:0.504:0.504))
(IOPATH C X (0.154:0.154:0.154) (0.458:0.460:0.462))
(IOPATH D X (0.118:0.119:0.121) (0.409:0.409:0.409))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21bo_1")
(INSTANCE _1684_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.124:0.124:0.124) (0.172:0.172:0.172))
(IOPATH A2 X (0.119:0.120:0.120) (0.195:0.195:0.195))
(IOPATH B1_N X (0.190:0.190:0.190) (0.191:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_1")
(INSTANCE _1685_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.173:0.173:0.173) (0.405:0.408:0.411))
(IOPATH B X (0.150:0.152:0.153) (0.401:0.401:0.402))
(IOPATH C_N X (0.189:0.189:0.189) (0.385:0.385:0.385))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _1686_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.160:0.160:0.160) (0.076:0.076:0.076))
(IOPATH A X (0.157:0.157:0.157) (0.159:0.159:0.159))
(IOPATH B X (0.157:0.158:0.158) (0.070:0.070:0.070))
(IOPATH B X (0.160:0.160:0.161) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _1687_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.104:0.106:0.108) (0.085:0.085:0.085))
(IOPATH B Y (0.081:0.083:0.085) (0.054:0.055:0.056))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _1688_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.204:0.204:0.204) (0.187:0.187:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _1689_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.152:0.152:0.152) (0.255:0.255:0.255))
(IOPATH B X (0.148:0.148:0.148) (0.247:0.247:0.247))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _1690_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.109:0.109:0.109) (0.069:0.069:0.069))
(IOPATH B Y (0.084:0.084:0.084) (0.042:0.042:0.042))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _1691_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.140:0.140:0.140) (0.090:0.090:0.090))
(IOPATH A2 Y (0.157:0.157:0.157) (0.094:0.094:0.094))
(IOPATH B1 Y (0.120:0.120:0.120) (0.045:0.045:0.045))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _1692_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.145:0.145:0.145) (0.193:0.193:0.193))
(IOPATH A2 X (0.128:0.128:0.128) (0.177:0.177:0.177))
(IOPATH B1 X (0.118:0.120:0.123) (0.089:0.096:0.102))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _1693_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.127:0.127:0.127) (0.075:0.075:0.075))
(IOPATH B Y (0.122:0.122:0.122) (0.066:0.066:0.066))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand3_1")
(INSTANCE _1694_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.117:0.117:0.117) (0.133:0.133:0.133))
(IOPATH B Y (0.132:0.132:0.132) (0.138:0.138:0.138))
(IOPATH C Y (0.123:0.123:0.123) (0.129:0.129:0.129))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _1695_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.131:0.131:0.131) (0.164:0.164:0.164))
(IOPATH A2 X (0.136:0.136:0.136) (0.184:0.184:0.184))
(IOPATH B1 X (0.097:0.097:0.097) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1696_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.154:0.155:0.155) (0.140:0.141:0.142))
(IOPATH B X (0.158:0.160:0.161) (0.182:0.185:0.187))
(IOPATH C X (0.146:0.146:0.146) (0.167:0.167:0.168))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1697_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.080:0.080:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1698_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.058:0.058:0.058) (0.047:0.047:0.047))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _1699_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.048:0.048:0.048) (0.041:0.041:0.041))
(IOPATH B Y (0.083:0.085:0.088) (0.059:0.061:0.062))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _1700_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.213:0.213:0.213))
(IOPATH B X (0.103:0.104:0.106) (0.218:0.220:0.222))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1701_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.162:0.163:0.163) (0.146:0.147:0.147))
(IOPATH B X (0.149:0.151:0.153) (0.158:0.160:0.161))
(IOPATH C X (0.155:0.155:0.155) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1702_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.082:0.082:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1703_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.212:0.212:0.212) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1704_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.218:0.218:0.218) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _1705_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.187:0.187:0.187) (0.093:0.093:0.093))
(IOPATH A X (0.186:0.186:0.186) (0.172:0.172:0.172))
(IOPATH B X (0.182:0.182:0.182) (0.098:0.098:0.098))
(IOPATH B X (0.193:0.193:0.193) (0.168:0.168:0.168))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _1706_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.165:0.165:0.165) (0.087:0.087:0.087))
(IOPATH A X (0.163:0.163:0.163) (0.167:0.167:0.167))
(IOPATH B X (0.147:0.147:0.147) (0.088:0.088:0.088))
(IOPATH B X (0.169:0.169:0.169) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1707_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.079:0.079:0.079) (0.064:0.064:0.064))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1708_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.171:0.171:0.171) (0.159:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkinv_2")
(INSTANCE _1709_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.102:0.102:0.102) (0.125:0.125:0.125))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _1710_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.169:0.169) (0.091:0.091:0.091))
(IOPATH A X (0.166:0.166:0.166) (0.171:0.171:0.171))
(IOPATH B X (0.149:0.149:0.149) (0.091:0.091:0.091))
(IOPATH B X (0.169:0.169:0.169) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1711_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.185:0.185:0.185) (0.337:0.337:0.337))
(IOPATH A2 X (0.189:0.189:0.189) (0.381:0.381:0.381))
(IOPATH B1 X (0.174:0.174:0.174) (0.334:0.334:0.334))
(IOPATH B2 X (0.179:0.179:0.179) (0.343:0.343:0.343))
(IOPATH C1 X (0.146:0.149:0.151) (0.279:0.283:0.287))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1712_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.196:0.196) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _1713_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.145:0.145:0.145) (0.073:0.073:0.073))
(IOPATH A X (0.142:0.142:0.142) (0.156:0.156:0.156))
(IOPATH B X (0.137:0.137:0.137) (0.085:0.085:0.085))
(IOPATH B X (0.157:0.157:0.157) (0.149:0.149:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1714_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.214:0.214:0.214) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _1715_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.141:0.141:0.141) (0.075:0.075:0.075))
(IOPATH A X (0.139:0.139:0.139) (0.156:0.156:0.156))
(IOPATH B X (0.127:0.127:0.127) (0.078:0.078:0.078))
(IOPATH B X (0.147:0.147:0.147) (0.143:0.143:0.143))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1716_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.056:0.056:0.056) (0.039:0.039:0.039))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _1717_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.202:0.202:0.202) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1718_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.234:0.234:0.234) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1719_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.081:0.081:0.081) (0.056:0.056:0.056))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1720_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.089:0.089:0.089) (0.073:0.073:0.073))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1721_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.208:0.208:0.208) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1722_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.063:0.063:0.063) (0.051:0.051:0.051))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1723_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.170:0.170:0.170) (0.221:0.221:0.221))
(IOPATH A2 X (0.143:0.143:0.143) (0.229:0.229:0.229))
(IOPATH B1 X (0.153:0.153:0.153) (0.191:0.191:0.191))
(IOPATH B2 X (0.121:0.121:0.121) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1724_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.126:0.126:0.126) (0.302:0.302:0.302))
(IOPATH A2 X (0.161:0.161:0.161) (0.346:0.346:0.346))
(IOPATH B1 X (0.168:0.168:0.168) (0.302:0.302:0.302))
(IOPATH B2 X (0.140:0.140:0.140) (0.310:0.310:0.310))
(IOPATH C1 X (0.100:0.100:0.101) (0.254:0.254:0.255))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1725_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.243:0.243:0.243) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _1726_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.141:0.141:0.141) (0.138:0.138:0.138))
(IOPATH A Y (0.180:0.180:0.180) (0.096:0.096:0.096))
(IOPATH B Y (0.153:0.153:0.153) (0.148:0.148:0.148))
(IOPATH B Y (0.173:0.173:0.173) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _1727_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.207:0.207:0.207) (0.266:0.266:0.266))
(IOPATH A2 X (0.213:0.213:0.213) (0.267:0.267:0.267))
(IOPATH B1 X (0.216:0.216:0.216) (0.254:0.254:0.254))
(IOPATH B2 X (0.206:0.206:0.206) (0.224:0.224:0.224))
(IOPATH C1 X (0.185:0.198:0.211) (0.128:0.130:0.133))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4b_1")
(INSTANCE _1728_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.126:0.129:0.131) (0.520:0.523:0.526))
(IOPATH B X (0.122:0.125:0.128) (0.503:0.505:0.507))
(IOPATH C X (0.104:0.105:0.106) (0.471:0.472:0.472))
(IOPATH D_N X (0.165:0.168:0.170) (0.443:0.443:0.443))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _1729_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.123:0.123:0.123) (0.123:0.123:0.123))
(IOPATH A Y (0.151:0.151:0.151) (0.080:0.080:0.080))
(IOPATH B Y (0.131:0.131:0.131) (0.127:0.127:0.127))
(IOPATH B Y (0.138:0.138:0.138) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1730_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.113:0.113:0.113) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o22a_1")
(INSTANCE _1731_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.200:0.200:0.200) (0.244:0.244:0.244))
(IOPATH A2 X (0.177:0.177:0.177) (0.230:0.230:0.230))
(IOPATH B1 X (0.194:0.194:0.194) (0.227:0.227:0.227))
(IOPATH B2 X (0.177:0.177:0.177) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkinv_2")
(INSTANCE _1732_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.103:0.103:0.103) (0.133:0.133:0.133))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1733_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.069:0.069:0.069) (0.056:0.056:0.056))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1734_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.088:0.088:0.088) (0.070:0.070:0.070))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkinv_2")
(INSTANCE _1735_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.105:0.105:0.105) (0.137:0.137:0.137))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _1736_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.225:0.225:0.225) (0.130:0.130:0.130))
(IOPATH A2 Y (0.226:0.226:0.226) (0.098:0.098:0.098))
(IOPATH B1 Y (0.203:0.203:0.203) (0.090:0.090:0.090))
(IOPATH B2 Y (0.207:0.207:0.207) (0.105:0.105:0.105))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _1737_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.242:0.242:0.242) (0.293:0.293:0.293))
(IOPATH A2 X (0.228:0.228:0.228) (0.290:0.290:0.290))
(IOPATH B1 X (0.247:0.247:0.247) (0.270:0.270:0.270))
(IOPATH B2 X (0.209:0.209:0.209) (0.236:0.236:0.236))
(IOPATH C1 X (0.248:0.250:0.252) (0.156:0.159:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1738_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.106:0.106:0.106) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _1739_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.175:0.175:0.175) (0.081:0.081:0.081))
(IOPATH A X (0.171:0.171:0.171) (0.164:0.164:0.164))
(IOPATH B X (0.172:0.172:0.172) (0.092:0.092:0.092))
(IOPATH B X (0.186:0.186:0.186) (0.162:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1740_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.157:0.157:0.157) (0.309:0.309:0.309))
(IOPATH A2 X (0.141:0.141:0.141) (0.337:0.337:0.337))
(IOPATH B1 X (0.136:0.136:0.136) (0.299:0.299:0.299))
(IOPATH B2 X (0.146:0.146:0.146) (0.312:0.312:0.312))
(IOPATH C1 X (0.121:0.122:0.124) (0.248:0.250:0.253))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _1741_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.131:0.131:0.131) (0.130:0.130:0.130))
(IOPATH A Y (0.165:0.165:0.165) (0.087:0.087:0.087))
(IOPATH B Y (0.139:0.139:0.139) (0.134:0.134:0.134))
(IOPATH B Y (0.152:0.152:0.152) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _1742_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.198:0.198:0.198) (0.260:0.260:0.260))
(IOPATH A2 X (0.179:0.179:0.179) (0.256:0.256:0.256))
(IOPATH B1 X (0.184:0.184:0.184) (0.241:0.241:0.241))
(IOPATH B2 X (0.188:0.188:0.188) (0.208:0.208:0.208))
(IOPATH C1 X (0.158:0.170:0.182) (0.109:0.111:0.113))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o2bb2a_1")
(INSTANCE _1743_)
(DELAY
(ABSOLUTE
(IOPATH A1_N X (0.237:0.237:0.237) (0.222:0.222:0.222))
(IOPATH A2_N X (0.235:0.235:0.235) (0.224:0.224:0.224))
(IOPATH B1 X (0.146:0.146:0.146) (0.285:0.285:0.285))
(IOPATH B2 X (0.148:0.148:0.148) (0.278:0.278:0.278))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1744_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.072:0.072:0.072) (0.059:0.059:0.059))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o22a_1")
(INSTANCE _1745_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.160:0.160:0.160) (0.213:0.213:0.213))
(IOPATH A2 X (0.120:0.120:0.120) (0.188:0.188:0.188))
(IOPATH B1 X (0.157:0.157:0.157) (0.180:0.180:0.180))
(IOPATH B2 X (0.106:0.106:0.106) (0.145:0.145:0.145))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4b_1")
(INSTANCE _1746_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.306:0.306:0.307) (0.221:0.222:0.223))
(IOPATH B X (0.246:0.246:0.246) (0.205:0.207:0.210))
(IOPATH C X (0.251:0.251:0.251) (0.220:0.223:0.226))
(IOPATH D X (0.257:0.257:0.257) (0.234:0.235:0.235))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a41o_1")
(INSTANCE _1747_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.163:0.173:0.183) (0.183:0.185:0.187))
(IOPATH A2 X (0.186:0.186:0.186) (0.224:0.226:0.228))
(IOPATH A3 X (0.194:0.194:0.194) (0.243:0.246:0.249))
(IOPATH A4 X (0.205:0.205:0.205) (0.258:0.259:0.259))
(IOPATH B1 X (0.137:0.137:0.137) (0.230:0.230:0.230))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o41a_1")
(INSTANCE _1748_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.182:0.184:0.185) (0.423:0.427:0.430))
(IOPATH A2 X (0.166:0.168:0.170) (0.405:0.409:0.413))
(IOPATH A3 X (0.149:0.150:0.151) (0.381:0.381:0.382))
(IOPATH A4 X (0.128:0.128:0.129) (0.330:0.330:0.330))
(IOPATH B1 X (0.150:0.150:0.151) (0.113:0.114:0.115))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _1749_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.196:0.196) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _1750_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.254:0.255:0.256) (0.235:0.235:0.235))
(IOPATH B X (0.192:0.192:0.192) (0.208:0.208:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _1751_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.203:0.203:0.203) (0.201:0.201:0.201))
(IOPATH B X (0.134:0.134:0.134) (0.162:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1752_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.077) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _1753_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.151:0.151:0.151) (0.098:0.098:0.098))
(IOPATH A2 Y (0.146:0.146:0.146) (0.089:0.089:0.089))
(IOPATH B1 Y (0.087:0.087:0.087) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _1754_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.135:0.135:0.135) (0.088:0.088:0.088))
(IOPATH A2 Y (0.160:0.160:0.160) (0.100:0.100:0.100))
(IOPATH B1 Y (0.112:0.113:0.113) (0.046:0.049:0.052))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand3_1")
(INSTANCE _1755_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.122:0.122:0.122) (0.139:0.139:0.139))
(IOPATH B Y (0.146:0.146:0.146) (0.154:0.154:0.154))
(IOPATH C Y (0.126:0.126:0.126) (0.133:0.133:0.133))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _1756_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.133:0.133:0.133) (0.166:0.166:0.166))
(IOPATH A2 X (0.147:0.147:0.147) (0.194:0.194:0.194))
(IOPATH B1 X (0.099:0.099:0.099) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1757_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.155:0.155:0.155) (0.147:0.147:0.147))
(IOPATH B X (0.155:0.157:0.159) (0.182:0.184:0.187))
(IOPATH C X (0.142:0.142:0.142) (0.164:0.165:0.165))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1758_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.080) (0.080:0.081:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1759_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.058:0.058:0.058) (0.047:0.047:0.047))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _1760_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.048:0.048:0.048) (0.040:0.040:0.040))
(IOPATH B Y (0.085:0.088:0.090) (0.061:0.062:0.064))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _1761_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.210:0.210:0.210))
(IOPATH B X (0.102:0.104:0.106) (0.217:0.219:0.222))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1762_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.159:0.159:0.159) (0.149:0.149:0.149))
(IOPATH B X (0.139:0.141:0.143) (0.151:0.153:0.154))
(IOPATH C X (0.145:0.145:0.145) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1763_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.079:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _1764_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.152:0.152:0.152) (0.073:0.073:0.073))
(IOPATH A X (0.149:0.149:0.149) (0.157:0.157:0.157))
(IOPATH B X (0.146:0.146:0.146) (0.088:0.088:0.088))
(IOPATH B X (0.166:0.166:0.166) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1765_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.198:0.198:0.198) (0.341:0.341:0.341))
(IOPATH A2 X (0.159:0.159:0.159) (0.355:0.355:0.355))
(IOPATH B1 X (0.162:0.162:0.162) (0.324:0.324:0.324))
(IOPATH B2 X (0.166:0.166:0.166) (0.333:0.333:0.333))
(IOPATH C1 X (0.133:0.136:0.138) (0.268:0.271:0.275))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1766_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.064:0.064:0.064) (0.053:0.053:0.053))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _1767_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.096:0.096:0.096))
(IOPATH A X (0.197:0.197:0.197) (0.175:0.175:0.175))
(IOPATH B X (0.193:0.193:0.193) (0.112:0.112:0.112))
(IOPATH B X (0.211:0.211:0.211) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1768_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.172:0.172:0.172) (0.318:0.318:0.318))
(IOPATH A2 X (0.146:0.146:0.146) (0.341:0.341:0.341))
(IOPATH B1 X (0.159:0.159:0.159) (0.295:0.295:0.295))
(IOPATH B2 X (0.125:0.125:0.125) (0.304:0.304:0.304))
(IOPATH C1 X (0.129:0.130:0.132) (0.252:0.257:0.262))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _1769_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.161:0.161:0.161) (0.152:0.152:0.152))
(IOPATH A Y (0.228:0.228:0.228) (0.108:0.108:0.108))
(IOPATH B Y (0.170:0.170:0.170) (0.166:0.166:0.166))
(IOPATH B Y (0.225:0.225:0.225) (0.109:0.109:0.109))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221ai_1")
(INSTANCE _1770_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.198:0.198:0.198) (0.149:0.149:0.149))
(IOPATH A2 Y (0.164:0.164:0.164) (0.107:0.107:0.107))
(IOPATH B1 Y (0.163:0.163:0.163) (0.119:0.119:0.119))
(IOPATH B2 Y (0.154:0.154:0.154) (0.122:0.122:0.122))
(IOPATH C1 Y (0.074:0.076:0.079) (0.112:0.129:0.147))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _1771_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.212:0.212:0.212) (0.115:0.115:0.115))
(IOPATH A X (0.208:0.208:0.208) (0.190:0.190:0.190))
(IOPATH B X (0.184:0.184:0.184) (0.104:0.104:0.104))
(IOPATH B X (0.205:0.205:0.205) (0.163:0.163:0.163))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _1772_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.160:0.160:0.160) (0.088:0.088:0.088))
(IOPATH A X (0.157:0.157:0.157) (0.170:0.170:0.170))
(IOPATH B X (0.133:0.133:0.133) (0.082:0.082:0.082))
(IOPATH B X (0.155:0.155:0.155) (0.144:0.144:0.144))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _1773_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.142:0.142:0.142) (0.073:0.073:0.073))
(IOPATH A X (0.140:0.140:0.140) (0.155:0.155:0.155))
(IOPATH B X (0.138:0.138:0.138) (0.087:0.087:0.087))
(IOPATH B X (0.157:0.157:0.157) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _1774_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.207:0.207) (0.096:0.096:0.096))
(IOPATH A X (0.204:0.204:0.204) (0.176:0.176:0.176))
(IOPATH B X (0.199:0.199:0.199) (0.111:0.111:0.111))
(IOPATH B X (0.219:0.219:0.219) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _1775_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.154:0.155:0.157) (0.538:0.542:0.545))
(IOPATH B X (0.134:0.137:0.140) (0.518:0.520:0.522))
(IOPATH C X (0.130:0.132:0.135) (0.484:0.487:0.490))
(IOPATH D X (0.150:0.151:0.153) (0.419:0.423:0.427))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_2")
(INSTANCE _1776_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.182:0.183:0.184) (0.764:0.764:0.764))
(IOPATH B X (0.174:0.175:0.176) (0.742:0.742:0.743))
(IOPATH C X (0.184:0.198:0.212) (0.714:0.718:0.722))
(IOPATH D X (0.176:0.176:0.177) (0.653:0.653:0.653))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkinv_2")
(INSTANCE _1777_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.139:0.139:0.140) (0.123:0.124:0.124))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1778_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.082:0.082:0.082) (0.062:0.062:0.062))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1779_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.223:0.223:0.223) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _1780_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.158:0.158:0.158) (0.149:0.149:0.149))
(IOPATH A Y (0.215:0.215:0.215) (0.108:0.108:0.108))
(IOPATH B Y (0.167:0.167:0.167) (0.157:0.157:0.157))
(IOPATH B Y (0.205:0.205:0.205) (0.109:0.109:0.109))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221ai_2")
(INSTANCE _1781_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.211:0.211:0.211) (0.124:0.124:0.124))
(IOPATH A2 Y (0.211:0.211:0.211) (0.135:0.135:0.135))
(IOPATH B1 Y (0.209:0.209:0.209) (0.121:0.121:0.121))
(IOPATH B2 Y (0.171:0.171:0.171) (0.124:0.124:0.124))
(IOPATH C1 Y (0.080:0.082:0.084) (0.109:0.125:0.142))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a2bb2o_1")
(INSTANCE _1782_)
(DELAY
(ABSOLUTE
(IOPATH A1_N X (0.250:0.250:0.250) (0.288:0.288:0.288))
(IOPATH A2_N X (0.237:0.237:0.237) (0.276:0.276:0.276))
(IOPATH B1 X (0.155:0.155:0.155) (0.280:0.280:0.280))
(IOPATH B2 X (0.123:0.123:0.123) (0.231:0.231:0.231))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1783_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.065:0.065:0.065) (0.048:0.048:0.048))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o22ai_1")
(INSTANCE _1784_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.177:0.177:0.177) (0.107:0.107:0.107))
(IOPATH A2 Y (0.175:0.175:0.175) (0.084:0.084:0.084))
(IOPATH B1 Y (0.148:0.148:0.148) (0.100:0.100:0.100))
(IOPATH B2 Y (0.125:0.125:0.125) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1785_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.190:0.190:0.190) (0.336:0.336:0.336))
(IOPATH A2 X (0.161:0.161:0.161) (0.357:0.357:0.357))
(IOPATH B1 X (0.181:0.181:0.181) (0.315:0.315:0.315))
(IOPATH B2 X (0.140:0.140:0.140) (0.323:0.323:0.323))
(IOPATH C1 X (0.140:0.140:0.140) (0.268:0.271:0.273))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1786_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.056:0.056:0.056) (0.038:0.038:0.038))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1787_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.167:0.167:0.167) (0.219:0.219:0.219))
(IOPATH A2 X (0.139:0.139:0.139) (0.230:0.230:0.230))
(IOPATH B1 X (0.114:0.114:0.114) (0.172:0.172:0.172))
(IOPATH B2 X (0.159:0.159:0.159) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1788_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.161:0.161:0.161) (0.312:0.312:0.312))
(IOPATH A2 X (0.146:0.146:0.146) (0.356:0.356:0.356))
(IOPATH B1 X (0.139:0.139:0.139) (0.302:0.302:0.302))
(IOPATH B2 X (0.152:0.152:0.152) (0.316:0.316:0.316))
(IOPATH C1 X (0.096:0.097:0.097) (0.250:0.250:0.250))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1789_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.068:0.068:0.068) (0.055:0.055:0.055))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1790_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.058:0.058:0.058) (0.044:0.044:0.044))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a2bb2o_1")
(INSTANCE _1791_)
(DELAY
(ABSOLUTE
(IOPATH A1_N X (0.275:0.275:0.275) (0.310:0.310:0.310))
(IOPATH A2_N X (0.249:0.249:0.249) (0.288:0.288:0.288))
(IOPATH B1 X (0.133:0.133:0.133) (0.278:0.278:0.278))
(IOPATH B2 X (0.160:0.160:0.160) (0.257:0.257:0.257))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1792_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.135:0.135:0.135) (0.310:0.310:0.310))
(IOPATH A2 X (0.181:0.181:0.181) (0.363:0.363:0.363))
(IOPATH B1 X (0.155:0.155:0.155) (0.316:0.316:0.316))
(IOPATH B2 X (0.166:0.166:0.166) (0.330:0.330:0.330))
(IOPATH C1 X (0.108:0.108:0.109) (0.264:0.265:0.265))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1793_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.159:0.159:0.159) (0.312:0.312:0.312))
(IOPATH A2 X (0.149:0.149:0.149) (0.343:0.343:0.343))
(IOPATH B1 X (0.161:0.161:0.161) (0.297:0.297:0.297))
(IOPATH B2 X (0.127:0.127:0.127) (0.308:0.308:0.308))
(IOPATH C1 X (0.098:0.099:0.100) (0.255:0.256:0.256))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _1794_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.120:0.121:0.121) (0.548:0.548:0.549))
(IOPATH B X (0.129:0.130:0.131) (0.535:0.535:0.535))
(IOPATH C X (0.119:0.120:0.121) (0.498:0.498:0.499))
(IOPATH D X (0.116:0.118:0.119) (0.430:0.430:0.430))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o22a_1")
(INSTANCE _1795_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.262:0.262:0.262) (0.285:0.285:0.285))
(IOPATH A2 X (0.223:0.223:0.223) (0.271:0.271:0.271))
(IOPATH B1 X (0.225:0.241:0.257) (0.256:0.259:0.262))
(IOPATH B2 X (0.206:0.206:0.206) (0.246:0.247:0.247))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1796_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.063:0.063:0.063) (0.052:0.052:0.052))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1797_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.202:0.202:0.202) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1798_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.193:0.193:0.193) (0.162:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1799_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.076:0.076:0.076) (0.064:0.064:0.064))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_2")
(INSTANCE _1800_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.220:0.220:0.220) (0.112:0.112:0.112))
(IOPATH A X (0.205:0.205:0.205) (0.198:0.198:0.198))
(IOPATH B X (0.194:0.194:0.194) (0.109:0.109:0.109))
(IOPATH B X (0.211:0.211:0.211) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1801_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.137:0.137:0.137) (0.310:0.310:0.310))
(IOPATH A2 X (0.170:0.170:0.170) (0.364:0.364:0.364))
(IOPATH B1 X (0.159:0.159:0.159) (0.306:0.306:0.306))
(IOPATH B2 X (0.140:0.140:0.140) (0.319:0.319:0.319))
(IOPATH C1 X (0.140:0.142:0.144) (0.263:0.268:0.273))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1802_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.068:0.068:0.068) (0.056:0.056:0.056))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1803_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.092:0.092:0.092) (0.077:0.077:0.077))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1804_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.186:0.186:0.186) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1805_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.073:0.073:0.073) (0.059:0.059:0.059))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1806_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.066:0.066:0.066) (0.051:0.051:0.051))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1807_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.148:0.148:0.148) (0.215:0.215:0.215))
(IOPATH A2 X (0.178:0.178:0.178) (0.250:0.250:0.250))
(IOPATH B1 X (0.131:0.131:0.131) (0.187:0.187:0.187))
(IOPATH B2 X (0.171:0.171:0.171) (0.223:0.223:0.223))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1808_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.139:0.139:0.139) (0.311:0.311:0.311))
(IOPATH A2 X (0.174:0.174:0.174) (0.357:0.357:0.357))
(IOPATH B1 X (0.165:0.165:0.165) (0.311:0.311:0.311))
(IOPATH B2 X (0.154:0.154:0.154) (0.322:0.322:0.322))
(IOPATH C1 X (0.111:0.111:0.112) (0.263:0.263:0.263))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1809_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.117:0.117:0.117) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1810_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.173:0.173:0.173) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1811_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.069:0.069:0.069) (0.056:0.056:0.056))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1812_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.179:0.179:0.179) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a2bb2o_1")
(INSTANCE _1813_)
(DELAY
(ABSOLUTE
(IOPATH A1_N X (0.271:0.271:0.271) (0.308:0.308:0.308))
(IOPATH A2_N X (0.262:0.262:0.262) (0.300:0.300:0.300))
(IOPATH B1 X (0.166:0.166:0.166) (0.304:0.304:0.304))
(IOPATH B2 X (0.154:0.154:0.154) (0.255:0.255:0.255))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1814_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.182:0.182:0.182) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a2bb2o_1")
(INSTANCE _1815_)
(DELAY
(ABSOLUTE
(IOPATH A1_N X (0.269:0.269:0.269) (0.306:0.306:0.306))
(IOPATH A2_N X (0.262:0.262:0.262) (0.296:0.296:0.296))
(IOPATH B1 X (0.148:0.148:0.148) (0.286:0.286:0.286))
(IOPATH B2 X (0.152:0.152:0.152) (0.251:0.251:0.251))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1816_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.065:0.065:0.065) (0.054:0.054:0.054))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1817_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.213:0.213:0.213) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1818_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.065:0.065:0.065) (0.053:0.053:0.053))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1819_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.088:0.088:0.088) (0.072:0.072:0.072))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1820_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.208:0.208:0.208) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1821_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.221:0.221:0.221) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1822_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.067:0.067:0.067) (0.055:0.055:0.055))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1823_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.148:0.148:0.148) (0.206:0.206:0.206))
(IOPATH A2 X (0.166:0.166:0.166) (0.241:0.241:0.241))
(IOPATH B1 X (0.148:0.148:0.148) (0.196:0.196:0.196))
(IOPATH B2 X (0.120:0.120:0.120) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1824_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.163:0.163:0.163) (0.319:0.319:0.319))
(IOPATH A2 X (0.184:0.184:0.184) (0.365:0.365:0.365))
(IOPATH B1 X (0.133:0.133:0.133) (0.293:0.293:0.293))
(IOPATH B2 X (0.161:0.161:0.161) (0.327:0.327:0.327))
(IOPATH C1 X (0.106:0.106:0.107) (0.262:0.262:0.262))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _1825_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.106:0.107:0.108) (0.524:0.524:0.524))
(IOPATH B X (0.111:0.111:0.111) (0.507:0.507:0.508))
(IOPATH C X (0.104:0.104:0.104) (0.472:0.472:0.472))
(IOPATH D X (0.102:0.103:0.105) (0.405:0.405:0.405))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o22a_1")
(INSTANCE _1826_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.152:0.152:0.152) (0.215:0.215:0.215))
(IOPATH A2 X (0.167:0.167:0.167) (0.228:0.228:0.228))
(IOPATH B1 X (0.174:0.174:0.174) (0.209:0.209:0.209))
(IOPATH B2 X (0.147:0.147:0.147) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1827_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.213:0.213:0.213) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1828_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.193:0.193:0.193) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _1829_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.105:0.105:0.105) (0.056:0.056:0.056))
(IOPATH B Y (0.100:0.100:0.100) (0.054:0.054:0.054))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1830_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.127:0.127:0.127) (0.133:0.133:0.133))
(IOPATH B X (0.135:0.135:0.135) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o22a_1")
(INSTANCE _1831_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.201:0.201:0.201) (0.249:0.249:0.249))
(IOPATH A2 X (0.211:0.211:0.211) (0.257:0.257:0.257))
(IOPATH B1 X (0.200:0.201:0.201) (0.220:0.220:0.220))
(IOPATH B2 X (0.174:0.174:0.174) (0.201:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211ai_1")
(INSTANCE _1832_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.204:0.204:0.204) (0.120:0.120:0.120))
(IOPATH A2 Y (0.207:0.207:0.207) (0.113:0.113:0.113))
(IOPATH B1 Y (0.106:0.108:0.110) (0.102:0.102:0.102))
(IOPATH C1 Y (0.110:0.112:0.114) (0.114:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkinv_2")
(INSTANCE _1833_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.103:0.103:0.103) (0.134:0.134:0.134))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o22a_1")
(INSTANCE _1834_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.178:0.178:0.178) (0.228:0.228:0.228))
(IOPATH A2 X (0.137:0.137:0.137) (0.216:0.216:0.216))
(IOPATH B1 X (0.173:0.173:0.173) (0.195:0.195:0.195))
(IOPATH B2 X (0.134:0.134:0.134) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _1835_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.192:0.192:0.192) (0.255:0.255:0.255))
(IOPATH A2 X (0.202:0.202:0.202) (0.252:0.252:0.252))
(IOPATH B1 X (0.191:0.191:0.191) (0.232:0.232:0.232))
(IOPATH B2 X (0.214:0.214:0.214) (0.227:0.227:0.227))
(IOPATH C1 X (0.158:0.158:0.159) (0.110:0.111:0.113))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1836_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.061:0.061:0.061) (0.043:0.043:0.043))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1837_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.081:0.081:0.081) (0.066:0.066:0.066))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o22a_1")
(INSTANCE _1838_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.171:0.171:0.171) (0.223:0.223:0.223))
(IOPATH A2 X (0.136:0.136:0.136) (0.200:0.200:0.200))
(IOPATH B1 X (0.167:0.167:0.167) (0.203:0.203:0.203))
(IOPATH B2 X (0.143:0.143:0.143) (0.168:0.168:0.168))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _1839_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.170:0.170:0.170) (0.246:0.246:0.246))
(IOPATH A2 X (0.191:0.191:0.191) (0.253:0.253:0.253))
(IOPATH B1 X (0.198:0.198:0.198) (0.239:0.239:0.239))
(IOPATH B2 X (0.196:0.196:0.196) (0.214:0.214:0.214))
(IOPATH C1 X (0.156:0.156:0.156) (0.109:0.110:0.112))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1840_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.171:0.171:0.171) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1841_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.158:0.158:0.158) (0.213:0.213:0.213))
(IOPATH A2 X (0.155:0.155:0.155) (0.241:0.241:0.241))
(IOPATH B1 X (0.129:0.129:0.129) (0.195:0.195:0.195))
(IOPATH B2 X (0.158:0.158:0.158) (0.213:0.213:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221oi_1")
(INSTANCE _1842_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.267:0.267:0.267) (0.121:0.121:0.121))
(IOPATH A2 Y (0.280:0.280:0.280) (0.092:0.092:0.092))
(IOPATH B1 Y (0.243:0.243:0.243) (0.093:0.093:0.093))
(IOPATH B2 Y (0.262:0.262:0.262) (0.097:0.097:0.097))
(IOPATH C1 Y (0.190:0.190:0.190) (0.041:0.041:0.042))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1843_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.107:0.107:0.107) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1844_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.188:0.188:0.188) (0.159:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1845_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.084:0.084:0.084) (0.068:0.068:0.068))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1846_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.082:0.082:0.082) (0.068:0.068:0.068))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o2bb2a_1")
(INSTANCE _1847_)
(DELAY
(ABSOLUTE
(IOPATH A1_N X (0.259:0.259:0.259) (0.239:0.239:0.239))
(IOPATH A2_N X (0.243:0.243:0.243) (0.226:0.226:0.226))
(IOPATH B1 X (0.162:0.162:0.162) (0.305:0.305:0.305))
(IOPATH B2 X (0.182:0.182:0.182) (0.309:0.309:0.309))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _1848_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.224:0.224:0.224) (0.281:0.281:0.281))
(IOPATH A2 X (0.196:0.196:0.196) (0.251:0.251:0.251))
(IOPATH B1 X (0.206:0.206:0.206) (0.246:0.246:0.246))
(IOPATH B2 X (0.185:0.185:0.185) (0.212:0.212:0.212))
(IOPATH C1 X (0.178:0.178:0.178) (0.124:0.127:0.130))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1849_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.173:0.173:0.174) (0.157:0.159:0.162))
(IOPATH B X (0.219:0.221:0.223) (0.179:0.190:0.201))
(IOPATH C X (0.190:0.190:0.190) (0.197:0.199:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1850_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.057:0.057:0.057) (0.046:0.046:0.046))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1851_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.061:0.061:0.061) (0.050:0.050:0.050))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1852_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.072:0.072:0.072) (0.056:0.056:0.056))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _1853_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.135:0.135:0.135) (0.135:0.135:0.135))
(IOPATH A Y (0.165:0.165:0.165) (0.092:0.092:0.092))
(IOPATH B Y (0.134:0.134:0.134) (0.130:0.130:0.130))
(IOPATH B Y (0.144:0.144:0.144) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _1854_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.183:0.183:0.183) (0.257:0.257:0.257))
(IOPATH A2 X (0.205:0.205:0.205) (0.253:0.253:0.253))
(IOPATH B1 X (0.205:0.205:0.205) (0.245:0.245:0.245))
(IOPATH B2 X (0.188:0.188:0.188) (0.210:0.210:0.210))
(IOPATH C1 X (0.165:0.177:0.188) (0.114:0.116:0.119))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _1855_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.181:0.181:0.181) (0.251:0.251:0.251))
(IOPATH A2 X (0.205:0.205:0.205) (0.253:0.253:0.253))
(IOPATH B1 X (0.204:0.204:0.204) (0.244:0.244:0.244))
(IOPATH B2 X (0.170:0.170:0.170) (0.202:0.202:0.202))
(IOPATH C1 X (0.168:0.168:0.168) (0.113:0.115:0.118))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _1856_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.147:0.148:0.148) (0.180:0.182:0.184))
(IOPATH A2 X (0.166:0.166:0.166) (0.219:0.219:0.220))
(IOPATH A3 X (0.160:0.160:0.160) (0.222:0.225:0.227))
(IOPATH B1 X (0.142:0.142:0.142) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o31a_1")
(INSTANCE _1857_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.141:0.142:0.143) (0.302:0.303:0.303))
(IOPATH A2 X (0.136:0.136:0.137) (0.297:0.297:0.297))
(IOPATH A3 X (0.137:0.146:0.155) (0.252:0.255:0.258))
(IOPATH B1 X (0.141:0.141:0.142) (0.107:0.108:0.109))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _1858_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.119:0.119:0.119) (0.067:0.067:0.067))
(IOPATH B Y (0.104:0.104:0.104) (0.050:0.050:0.050))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1859_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.198) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _1860_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.166:0.166:0.166) (0.097:0.097:0.097))
(IOPATH A2 Y (0.148:0.148:0.148) (0.086:0.086:0.086))
(IOPATH B1 Y (0.106:0.106:0.106) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _1861_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.130:0.130:0.130) (0.078:0.078:0.078))
(IOPATH A2 Y (0.142:0.142:0.142) (0.085:0.085:0.085))
(IOPATH B1 Y (0.103:0.105:0.107) (0.046:0.048:0.051))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1862_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.222:0.222:0.222) (0.187:0.187:0.187))
(IOPATH B X (0.220:0.220:0.220) (0.204:0.204:0.204))
(IOPATH C X (0.219:0.219:0.219) (0.219:0.219:0.219))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _1863_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.162:0.162:0.162) (0.091:0.091:0.091))
(IOPATH A2 Y (0.175:0.175:0.175) (0.098:0.098:0.098))
(IOPATH B1 Y (0.148:0.148:0.148) (0.067:0.067:0.067))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_1")
(INSTANCE _1864_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.187:0.187:0.187) (0.062:0.062:0.062))
(IOPATH B Y (0.160:0.160:0.161) (0.059:0.059:0.059))
(IOPATH C Y (0.123:0.124:0.125) (0.056:0.058:0.059))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _1865_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.277:0.277:0.277) (0.200:0.200:0.200))
(IOPATH B X (0.306:0.306:0.306) (0.238:0.238:0.238))
(IOPATH C X (0.292:0.292:0.292) (0.240:0.240:0.240))
(IOPATH D X (0.316:0.316:0.316) (0.269:0.269:0.269))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _1866_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.143:0.143:0.143) (0.092:0.092:0.092))
(IOPATH A2 Y (0.124:0.124:0.125) (0.069:0.069:0.069))
(IOPATH B1 Y (0.097:0.097:0.097) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _1867_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.108:0.109:0.109) (0.068:0.068:0.068))
(IOPATH B Y (0.073:0.076:0.080) (0.043:0.045:0.048))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _1868_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.150:0.150:0.150) (0.097:0.097:0.097))
(IOPATH A2 Y (0.137:0.138:0.139) (0.083:0.083:0.083))
(IOPATH B1 Y (0.097:0.097:0.097) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _1869_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.128:0.128:0.128) (0.084:0.084:0.084))
(IOPATH A2 Y (0.146:0.147:0.148) (0.090:0.090:0.090))
(IOPATH B1 Y (0.103:0.104:0.106) (0.044:0.046:0.049))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1870_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.236:0.236:0.236) (0.189:0.189:0.189))
(IOPATH B X (0.272:0.272:0.272) (0.232:0.232:0.232))
(IOPATH C X (0.273:0.273:0.274) (0.250:0.251:0.252))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _1871_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.140:0.140:0.140) (0.088:0.088:0.088))
(IOPATH A2 Y (0.158:0.159:0.160) (0.093:0.093:0.093))
(IOPATH B1 Y (0.129:0.129:0.129) (0.060:0.060:0.060))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_1")
(INSTANCE _1872_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.181:0.181:0.181) (0.061:0.061:0.061))
(IOPATH B Y (0.165:0.165:0.166) (0.070:0.070:0.070))
(IOPATH C Y (0.116:0.118:0.119) (0.049:0.051:0.053))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1873_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.227:0.227:0.227) (0.193:0.193:0.193))
(IOPATH B X (0.233:0.233:0.233) (0.219:0.219:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _1874_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.160:0.160:0.160) (0.103:0.103:0.103))
(IOPATH A2 Y (0.145:0.146:0.146) (0.086:0.086:0.086))
(IOPATH B1 Y (0.104:0.104:0.104) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _1875_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.110:0.110:0.110) (0.068:0.068:0.068))
(IOPATH B Y (0.083:0.085:0.087) (0.048:0.051:0.054))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _1876_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.145:0.145:0.145) (0.091:0.091:0.091))
(IOPATH A2 Y (0.134:0.135:0.135) (0.079:0.079:0.079))
(IOPATH B1 Y (0.125:0.125:0.126) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _1877_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.123:0.123:0.123) (0.079:0.079:0.079))
(IOPATH A2 Y (0.144:0.144:0.144) (0.085:0.085:0.085))
(IOPATH B1 Y (0.103:0.104:0.106) (0.047:0.048:0.049))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _1878_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.156:0.156:0.156) (0.184:0.184:0.184))
(IOPATH A2 X (0.153:0.153:0.153) (0.207:0.207:0.207))
(IOPATH A3 X (0.157:0.157:0.157) (0.222:0.223:0.223))
(IOPATH B1 X (0.118:0.118:0.118) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1879_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.202:0.202:0.202) (0.173:0.173:0.173))
(IOPATH B X (0.215:0.215:0.215) (0.200:0.200:0.200))
(IOPATH C X (0.208:0.208:0.208) (0.212:0.212:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1880_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.056:0.056:0.057) (0.043:0.043:0.043))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1881_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.160:0.160:0.160) (0.190:0.191:0.191))
(IOPATH B X (0.140:0.140:0.140) (0.152:0.153:0.153))
(IOPATH C X (0.145:0.145:0.145) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1882_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.079:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _1883_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.124:0.124:0.124) (0.232:0.232:0.232))
(IOPATH B X (0.103:0.103:0.103) (0.202:0.202:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _1884_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.157:0.157:0.157) (0.238:0.238:0.238))
(IOPATH A2 X (0.121:0.121:0.121) (0.190:0.190:0.190))
(IOPATH B1 X (0.131:0.131:0.131) (0.117:0.117:0.117))
(IOPATH C1 X (0.152:0.152:0.152) (0.124:0.124:0.124))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1885_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.143:0.143:0.143) (0.204:0.204:0.204))
(IOPATH A2 X (0.130:0.130:0.130) (0.222:0.222:0.222))
(IOPATH B1 X (0.116:0.116:0.116) (0.174:0.174:0.174))
(IOPATH B2 X (0.140:0.140:0.140) (0.199:0.199:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o22a_1")
(INSTANCE _1886_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.164:0.164:0.164) (0.217:0.217:0.217))
(IOPATH A2 X (0.134:0.134:0.134) (0.196:0.196:0.196))
(IOPATH B1 X (0.127:0.127:0.127) (0.173:0.173:0.173))
(IOPATH B2 X (0.131:0.131:0.131) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2b_1")
(INSTANCE _1887_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.093:0.093:0.093) (0.218:0.219:0.219))
(IOPATH B_N X (0.148:0.149:0.150) (0.224:0.224:0.224))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1888_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.062:0.062:0.062) (0.044:0.044:0.044))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1889_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.171:0.171:0.171) (0.223:0.223:0.223))
(IOPATH A2 X (0.157:0.157:0.157) (0.243:0.243:0.243))
(IOPATH B1 X (0.148:0.148:0.148) (0.200:0.200:0.200))
(IOPATH B2 X (0.185:0.185:0.185) (0.234:0.234:0.234))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1890_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.129:0.129:0.129) (0.306:0.306:0.306))
(IOPATH A2 X (0.168:0.168:0.168) (0.362:0.362:0.362))
(IOPATH B1 X (0.128:0.128:0.128) (0.286:0.286:0.286))
(IOPATH B2 X (0.155:0.155:0.155) (0.321:0.321:0.321))
(IOPATH C1 X (0.108:0.108:0.109) (0.259:0.259:0.259))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1891_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.082:0.082:0.082) (0.057:0.057:0.057))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1892_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.175:0.175:0.175) (0.225:0.225:0.225))
(IOPATH A2 X (0.147:0.147:0.147) (0.249:0.249:0.249))
(IOPATH B1 X (0.121:0.121:0.121) (0.176:0.176:0.176))
(IOPATH B2 X (0.155:0.155:0.155) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1893_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.183:0.183:0.183) (0.332:0.332:0.332))
(IOPATH A2 X (0.163:0.163:0.163) (0.357:0.357:0.357))
(IOPATH B1 X (0.179:0.179:0.179) (0.312:0.312:0.312))
(IOPATH B2 X (0.153:0.153:0.153) (0.324:0.324:0.324))
(IOPATH C1 X (0.112:0.113:0.113) (0.267:0.267:0.267))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _1894_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.093:0.093:0.093) (0.359:0.359:0.359))
(IOPATH B X (0.092:0.093:0.094) (0.336:0.336:0.337))
(IOPATH C X (0.094:0.095:0.096) (0.301:0.301:0.301))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _1895_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.248:0.248:0.248) (0.119:0.119:0.119))
(IOPATH A X (0.247:0.247:0.247) (0.193:0.193:0.193))
(IOPATH B X (0.230:0.230:0.230) (0.120:0.120:0.120))
(IOPATH B X (0.251:0.251:0.251) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o22a_1")
(INSTANCE _1896_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.167:0.167:0.167) (0.219:0.219:0.219))
(IOPATH A2 X (0.126:0.126:0.126) (0.192:0.192:0.192))
(IOPATH B1 X (0.169:0.169:0.169) (0.191:0.191:0.191))
(IOPATH B2 X (0.136:0.136:0.136) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _1897_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.196:0.196:0.196) (0.259:0.259:0.259))
(IOPATH A2 X (0.171:0.171:0.171) (0.234:0.234:0.234))
(IOPATH B1 X (0.177:0.177:0.177) (0.226:0.226:0.226))
(IOPATH B2 X (0.210:0.210:0.210) (0.223:0.223:0.223))
(IOPATH C1 X (0.151:0.152:0.152) (0.105:0.106:0.107))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1898_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.055:0.055:0.055) (0.040:0.040:0.040))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o22a_1")
(INSTANCE _1899_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.179:0.179:0.179) (0.229:0.229:0.229))
(IOPATH A2 X (0.160:0.160:0.160) (0.222:0.222:0.222))
(IOPATH B1 X (0.175:0.175:0.175) (0.198:0.198:0.198))
(IOPATH B2 X (0.134:0.134:0.134) (0.163:0.163:0.163))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _1900_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.166:0.166:0.166) (0.242:0.242:0.242))
(IOPATH A2 X (0.190:0.190:0.190) (0.241:0.241:0.241))
(IOPATH B1 X (0.170:0.170:0.170) (0.217:0.217:0.217))
(IOPATH B2 X (0.179:0.179:0.179) (0.201:0.201:0.201))
(IOPATH C1 X (0.154:0.155:0.155) (0.107:0.109:0.110))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4bb_2")
(INSTANCE _1901_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.163:0.163:0.163) (0.719:0.719:0.719))
(IOPATH B X (0.226:0.228:0.230) (0.695:0.699:0.703))
(IOPATH C_N X (0.232:0.235:0.237) (0.690:0.691:0.691))
(IOPATH D_N X (0.220:0.223:0.225) (0.608:0.608:0.608))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1902_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.193:0.193:0.193) (0.205:0.205:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1903_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.077:0.077:0.077) (0.054:0.054:0.054))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1904_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.093:0.093:0.093) (0.077:0.077:0.077))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a2bb2o_1")
(INSTANCE _1905_)
(DELAY
(ABSOLUTE
(IOPATH A1_N X (0.264:0.264:0.264) (0.302:0.302:0.302))
(IOPATH A2_N X (0.258:0.258:0.258) (0.295:0.295:0.295))
(IOPATH B1 X (0.142:0.142:0.142) (0.296:0.296:0.296))
(IOPATH B2 X (0.159:0.159:0.159) (0.256:0.256:0.256))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1906_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.162:0.162:0.162) (0.313:0.313:0.313))
(IOPATH A2 X (0.159:0.159:0.159) (0.351:0.351:0.351))
(IOPATH B1 X (0.161:0.161:0.161) (0.305:0.305:0.305))
(IOPATH B2 X (0.181:0.181:0.181) (0.338:0.338:0.338))
(IOPATH C1 X (0.099:0.099:0.100) (0.254:0.254:0.255))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1907_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.160:0.160:0.160) (0.322:0.322:0.322))
(IOPATH A2 X (0.187:0.187:0.187) (0.369:0.369:0.369))
(IOPATH B1 X (0.200:0.200:0.200) (0.327:0.327:0.327))
(IOPATH B2 X (0.155:0.155:0.155) (0.333:0.333:0.333))
(IOPATH C1 X (0.113:0.114:0.115) (0.273:0.273:0.274))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1908_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.087:0.087:0.087) (0.069:0.069:0.069))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _1909_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.180:0.180:0.180) (0.098:0.098:0.098))
(IOPATH A X (0.176:0.176:0.176) (0.177:0.177:0.177))
(IOPATH B X (0.157:0.157:0.157) (0.085:0.085:0.085))
(IOPATH B X (0.171:0.171:0.171) (0.155:0.155:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1910_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.154:0.154:0.154) (0.319:0.319:0.319))
(IOPATH A2 X (0.167:0.167:0.167) (0.361:0.361:0.361))
(IOPATH B1 X (0.162:0.162:0.162) (0.309:0.309:0.309))
(IOPATH B2 X (0.172:0.172:0.172) (0.336:0.336:0.336))
(IOPATH C1 X (0.134:0.136:0.137) (0.265:0.268:0.271))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1911_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.053:0.053:0.053) (0.042:0.042:0.042))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _1912_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.170:0.170:0.170) (0.082:0.082:0.082))
(IOPATH A X (0.167:0.167:0.167) (0.164:0.164:0.164))
(IOPATH B X (0.169:0.169:0.169) (0.092:0.092:0.092))
(IOPATH B X (0.180:0.180:0.180) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1913_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.161:0.161:0.161) (0.315:0.315:0.315))
(IOPATH A2 X (0.178:0.178:0.178) (0.359:0.359:0.359))
(IOPATH B1 X (0.156:0.156:0.156) (0.303:0.303:0.303))
(IOPATH B2 X (0.129:0.129:0.129) (0.308:0.308:0.308))
(IOPATH C1 X (0.127:0.129:0.131) (0.257:0.260:0.263))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1914_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.071:0.071:0.071) (0.047:0.047:0.047))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a2bb2o_1")
(INSTANCE _1915_)
(DELAY
(ABSOLUTE
(IOPATH A1_N X (0.271:0.271:0.271) (0.308:0.308:0.308))
(IOPATH A2_N X (0.270:0.270:0.270) (0.311:0.311:0.311))
(IOPATH B1 X (0.168:0.168:0.168) (0.305:0.305:0.305))
(IOPATH B2 X (0.149:0.149:0.149) (0.253:0.253:0.253))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1916_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.178:0.178:0.178) (0.325:0.325:0.325))
(IOPATH A2 X (0.174:0.174:0.174) (0.357:0.357:0.357))
(IOPATH B1 X (0.169:0.169:0.169) (0.303:0.303:0.303))
(IOPATH B2 X (0.131:0.131:0.131) (0.315:0.315:0.315))
(IOPATH C1 X (0.107:0.107:0.107) (0.261:0.262:0.262))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o22a_1")
(INSTANCE _1917_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.149:0.149:0.149) (0.211:0.211:0.211))
(IOPATH A2 X (0.158:0.158:0.158) (0.209:0.209:0.209))
(IOPATH B1 X (0.168:0.168:0.168) (0.192:0.192:0.192))
(IOPATH B2 X (0.127:0.127:0.127) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _1918_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.198:0.198:0.198) (0.261:0.261:0.261))
(IOPATH A2 X (0.191:0.191:0.191) (0.243:0.243:0.243))
(IOPATH B1 X (0.171:0.171:0.171) (0.231:0.231:0.231))
(IOPATH B2 X (0.183:0.183:0.183) (0.204:0.204:0.204))
(IOPATH C1 X (0.148:0.148:0.148) (0.103:0.104:0.106))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4b_1")
(INSTANCE _1919_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.139:0.140:0.141) (0.567:0.567:0.568))
(IOPATH B X (0.136:0.137:0.138) (0.548:0.548:0.549))
(IOPATH C X (0.133:0.134:0.135) (0.514:0.514:0.514))
(IOPATH D_N X (0.185:0.187:0.190) (0.478:0.478:0.478))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o2bb2a_1")
(INSTANCE _1920_)
(DELAY
(ABSOLUTE
(IOPATH A1_N X (0.298:0.298:0.298) (0.271:0.271:0.271))
(IOPATH A2_N X (0.291:0.291:0.291) (0.267:0.267:0.267))
(IOPATH B1 X (0.193:0.193:0.194) (0.334:0.335:0.335))
(IOPATH B2 X (0.188:0.188:0.188) (0.345:0.345:0.345))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1921_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.240:0.240:0.240) (0.213:0.213:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1922_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.184:0.185:0.186) (0.165:0.170:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4b_2")
(INSTANCE _1923_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.214:0.214:0.214) (0.760:0.760:0.760))
(IOPATH B X (0.188:0.188:0.188) (0.718:0.718:0.718))
(IOPATH C X (0.195:0.195:0.195) (0.696:0.696:0.696))
(IOPATH D_N X (0.279:0.279:0.279) (0.686:0.686:0.686))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1924_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.188:0.188:0.188) (0.162:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o22a_1")
(INSTANCE _1925_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.179:0.179:0.179) (0.228:0.228:0.228))
(IOPATH A2 X (0.160:0.160:0.160) (0.218:0.218:0.218))
(IOPATH B1 X (0.167:0.167:0.167) (0.192:0.192:0.192))
(IOPATH B2 X (0.156:0.156:0.156) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_2")
(INSTANCE _1926_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.204:0.204:0.204) (0.740:0.740:0.740))
(IOPATH B X (0.172:0.193:0.214) (0.711:0.715:0.719))
(IOPATH C X (0.167:0.167:0.168) (0.700:0.700:0.701))
(IOPATH D X (0.142:0.143:0.143) (0.599:0.599:0.600))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _1927_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.125:0.125:0.125) (0.528:0.528:0.528))
(IOPATH B X (0.134:0.134:0.134) (0.513:0.513:0.513))
(IOPATH C X (0.132:0.132:0.132) (0.480:0.480:0.480))
(IOPATH D X (0.124:0.124:0.124) (0.410:0.410:0.410))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_4")
(INSTANCE _1928_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.183:0.183:0.183) (0.601:0.601:0.601))
(IOPATH B X (0.180:0.180:0.180) (0.580:0.580:0.580))
(IOPATH C X (0.180:0.180:0.180) (0.549:0.549:0.549))
(IOPATH D X (0.182:0.182:0.182) (0.488:0.488:0.488))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4b_2")
(INSTANCE _1929_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.190:0.190:0.190) (0.764:0.764:0.764))
(IOPATH B X (0.197:0.197:0.197) (0.733:0.733:0.733))
(IOPATH C X (0.201:0.201:0.201) (0.711:0.711:0.711))
(IOPATH D_N X (0.269:0.269:0.269) (0.691:0.691:0.691))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _1930_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.129:0.129:0.129) (0.514:0.514:0.514))
(IOPATH B X (0.125:0.125:0.125) (0.495:0.495:0.495))
(IOPATH C X (0.124:0.124:0.124) (0.463:0.463:0.463))
(IOPATH D X (0.132:0.132:0.132) (0.401:0.401:0.401))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _1931_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.148:0.148:0.148) (0.427:0.428:0.428))
(IOPATH B X (0.155:0.155:0.156) (0.418:0.418:0.418))
(IOPATH C X (0.119:0.119:0.120) (0.350:0.350:0.350))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_2")
(INSTANCE _1932_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.212:0.212:0.212) (0.723:0.724:0.725))
(IOPATH B X (0.151:0.151:0.152) (0.727:0.727:0.728))
(IOPATH C X (0.141:0.141:0.141) (0.679:0.679:0.679))
(IOPATH D X (0.148:0.148:0.148) (0.609:0.609:0.609))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _1933_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.165:0.165:0.165) (0.276:0.276:0.276))
(IOPATH B X (0.145:0.146:0.146) (0.278:0.278:0.278))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1934_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.136:0.136:0.136) (0.281:0.281:0.282))
(IOPATH A1 X (0.128:0.128:0.128) (0.286:0.286:0.286))
(IOPATH S X (0.205:0.205:0.205) (0.324:0.324:0.324))
(IOPATH S X (0.141:0.141:0.141) (0.315:0.315:0.315))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1935_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.157:0.157:0.157) (0.156:0.156:0.156))
(IOPATH B X (0.122:0.123:0.123) (0.159:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1936_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.083:0.083) (0.083:0.084:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1937_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.136:0.136:0.136) (0.278:0.278:0.278))
(IOPATH A1 X (0.121:0.121:0.121) (0.279:0.279:0.279))
(IOPATH S X (0.200:0.200:0.200) (0.318:0.318:0.318))
(IOPATH S X (0.136:0.136:0.136) (0.309:0.309:0.309))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1938_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.158:0.158:0.158) (0.157:0.157:0.157))
(IOPATH B X (0.122:0.122:0.123) (0.159:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1939_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.082:0.082) (0.082:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1940_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.204:0.205:0.206) (0.183:0.185:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_4")
(INSTANCE _1941_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.198:0.198) (0.449:0.449:0.449))
(IOPATH B X (0.211:0.211:0.211) (0.438:0.438:0.438))
(IOPATH C X (0.196:0.196:0.196) (0.426:0.426:0.427))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _1942_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.157:0.157:0.157) (0.263:0.263:0.263))
(IOPATH B X (0.156:0.156:0.156) (0.247:0.247:0.247))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_2")
(INSTANCE _1943_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.249:0.249:0.249) (0.768:0.769:0.770))
(IOPATH B X (0.180:0.181:0.181) (0.757:0.757:0.758))
(IOPATH C X (0.197:0.197:0.197) (0.734:0.734:0.734))
(IOPATH D X (0.191:0.191:0.191) (0.649:0.649:0.649))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor4_1")
(INSTANCE _1944_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.467:0.467:0.467) (0.102:0.102:0.102))
(IOPATH B Y (0.470:0.471:0.471) (0.077:0.077:0.078))
(IOPATH C Y (0.428:0.428:0.428) (0.085:0.085:0.085))
(IOPATH D Y (0.390:0.391:0.391) (0.089:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _1945_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.248:0.248:0.248) (0.181:0.184:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1946_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.196:0.196) (0.163:0.163:0.163))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _1947_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.199:0.199:0.199) (0.197:0.197:0.197))
(IOPATH B X (0.126:0.126:0.126) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1948_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.238:0.238:0.238) (0.195:0.195:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1949_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.220:0.220:0.220) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _1950_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.138:0.138:0.138) (0.257:0.257:0.258))
(IOPATH A2 X (0.130:0.130:0.130) (0.293:0.293:0.293))
(IOPATH B1 X (0.086:0.086:0.086) (0.253:0.253:0.253))
(IOPATH C1 X (0.111:0.111:0.111) (0.227:0.227:0.227))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1951_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.239:0.239:0.239) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _1952_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.141:0.141:0.141) (0.402:0.402:0.403))
(IOPATH B X (0.167:0.167:0.167) (0.393:0.393:0.393))
(IOPATH C X (0.132:0.133:0.133) (0.370:0.371:0.371))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1953_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.202:0.202:0.202) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1954_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.186:0.186:0.186) (0.168:0.168:0.168))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _1955_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.157:0.157:0.157) (0.216:0.216:0.216))
(IOPATH A2 X (0.141:0.141:0.141) (0.209:0.209:0.209))
(IOPATH B1 X (0.143:0.143:0.143) (0.139:0.139:0.139))
(IOPATH C1 X (0.147:0.147:0.147) (0.117:0.117:0.117))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1956_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.257:0.257:0.258) (0.156:0.159:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _1957_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.209:0.209:0.209) (0.205:0.205:0.205))
(IOPATH B X (0.140:0.140:0.140) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _1958_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.110:0.111:0.111) (0.247:0.247:0.247))
(IOPATH A2 X (0.134:0.134:0.134) (0.297:0.297:0.297))
(IOPATH B1 X (0.092:0.092:0.092) (0.258:0.258:0.258))
(IOPATH C1 X (0.113:0.113:0.113) (0.229:0.229:0.229))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _1959_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.080:0.081:0.083) (0.068:0.069:0.069))
(IOPATH B Y (0.082:0.082:0.082) (0.071:0.071:0.071))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _1960_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.154:0.154:0.154) (0.214:0.214:0.214))
(IOPATH A2 X (0.139:0.139:0.139) (0.207:0.207:0.207))
(IOPATH B1 X (0.132:0.132:0.132) (0.114:0.115:0.117))
(IOPATH C1 X (0.145:0.145:0.145) (0.115:0.115:0.115))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _1961_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.204:0.204:0.204) (0.201:0.201:0.201))
(IOPATH B X (0.134:0.134:0.134) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _1962_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.145:0.145:0.145) (0.267:0.267:0.267))
(IOPATH A2 X (0.133:0.133:0.133) (0.295:0.295:0.295))
(IOPATH B1 X (0.088:0.088:0.088) (0.255:0.255:0.255))
(IOPATH C1 X (0.112:0.112:0.112) (0.227:0.227:0.227))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _1963_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.094:0.095:0.096) (0.080:0.080:0.081))
(IOPATH B Y (0.089:0.089:0.089) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _1964_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.164:0.164:0.164) (0.223:0.223:0.223))
(IOPATH A2 X (0.149:0.149:0.149) (0.216:0.216:0.216))
(IOPATH B1 X (0.144:0.145:0.145) (0.124:0.127:0.129))
(IOPATH C1 X (0.154:0.154:0.154) (0.123:0.123:0.123))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _1965_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.192:0.195:0.198) (0.238:0.239:0.239))
(IOPATH B X (0.140:0.140:0.140) (0.162:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _1966_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.145:0.145:0.145) (0.262:0.262:0.263))
(IOPATH A2 X (0.130:0.130:0.130) (0.292:0.292:0.292))
(IOPATH B1 X (0.085:0.085:0.085) (0.251:0.251:0.251))
(IOPATH C1 X (0.109:0.109:0.109) (0.224:0.224:0.224))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _1967_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.088:0.090:0.092) (0.074:0.074:0.074))
(IOPATH B Y (0.082:0.082:0.082) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _1968_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.164:0.164:0.164) (0.223:0.223:0.223))
(IOPATH A2 X (0.147:0.147:0.147) (0.214:0.214:0.214))
(IOPATH B1 X (0.141:0.141:0.141) (0.123:0.124:0.125))
(IOPATH C1 X (0.153:0.153:0.153) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _1969_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.194:0.194:0.195) (0.321:0.322:0.322))
(IOPATH B X (0.173:0.173:0.173) (0.263:0.264:0.265))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1970_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.220:0.220:0.220) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4b_2")
(INSTANCE _1971_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.179:0.179:0.179) (0.747:0.751:0.754))
(IOPATH B X (0.171:0.171:0.172) (0.712:0.712:0.712))
(IOPATH C X (0.177:0.177:0.178) (0.705:0.706:0.706))
(IOPATH D_N X (0.253:0.257:0.262) (0.657:0.658:0.658))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _1972_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.210:0.210:0.210) (0.228:0.228:0.228))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1973_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.214:0.214:0.214) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_1")
(INSTANCE _1974_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.335:0.335:0.335) (0.100:0.100:0.100))
(IOPATH B Y (0.328:0.328:0.328) (0.103:0.103:0.103))
(IOPATH C Y (0.285:0.285:0.285) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _1975_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.263:0.263:0.263) (0.198:0.199:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _1976_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.077:0.079:0.081) (0.068:0.068:0.069))
(IOPATH B Y (0.088:0.088:0.088) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _1977_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.185:0.185:0.185) (0.239:0.239:0.239))
(IOPATH A2 X (0.154:0.154:0.154) (0.214:0.214:0.214))
(IOPATH B1 X (0.131:0.131:0.132) (0.116:0.117:0.118))
(IOPATH C1 X (0.144:0.144:0.144) (0.115:0.115:0.115))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _1978_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.105:0.105:0.105) (0.049:0.049:0.049))
(IOPATH B Y (0.105:0.105:0.105) (0.062:0.062:0.062))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _1979_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.135:0.135:0.135) (0.256:0.256:0.256))
(IOPATH A2 X (0.150:0.150:0.150) (0.301:0.301:0.301))
(IOPATH B1 X (0.100:0.100:0.101) (0.253:0.256:0.259))
(IOPATH C1 X (0.112:0.112:0.112) (0.228:0.228:0.228))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _1980_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.190:0.192:0.193) (0.222:0.223:0.223))
(IOPATH B X (0.146:0.146:0.146) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1981_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.202:0.202:0.202) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _1982_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.129:0.129:0.129) (0.252:0.252:0.253))
(IOPATH A2 X (0.147:0.147:0.147) (0.297:0.297:0.297))
(IOPATH B1 X (0.083:0.083:0.084) (0.250:0.250:0.250))
(IOPATH C1 X (0.105:0.105:0.105) (0.226:0.226:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_4")
(INSTANCE _1983_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.209:0.210:0.211) (0.470:0.471:0.472))
(IOPATH B X (0.209:0.209:0.209) (0.448:0.448:0.448))
(IOPATH C X (0.221:0.221:0.221) (0.408:0.408:0.408))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _1984_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.222:0.222:0.222) (0.219:0.219:0.219))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _1985_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.049:0.049:0.049) (0.043:0.043:0.043))
(IOPATH B Y (0.087:0.087:0.087) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1986_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.229:0.229:0.229) (0.199:0.199:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _1987_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.170:0.170:0.170) (0.227:0.227:0.227))
(IOPATH A2 X (0.159:0.159:0.159) (0.219:0.219:0.219))
(IOPATH B1 X (0.133:0.135:0.137) (0.113:0.117:0.121))
(IOPATH C1 X (0.164:0.164:0.164) (0.123:0.123:0.123))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _1988_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.055:0.055:0.055) (0.056:0.056:0.056))
(IOPATH B Y (0.089:0.089:0.089) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _1989_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.170:0.170:0.170) (0.236:0.236:0.236))
(IOPATH A2 X (0.156:0.156:0.156) (0.216:0.216:0.216))
(IOPATH B1 X (0.131:0.133:0.134) (0.113:0.116:0.119))
(IOPATH C1 X (0.160:0.160:0.160) (0.120:0.120:0.120))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_2")
(INSTANCE _1990_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.333:0.333:0.333) (0.105:0.105:0.105))
(IOPATH B Y (0.316:0.316:0.316) (0.097:0.097:0.097))
(IOPATH C Y (0.264:0.264:0.264) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _1991_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.085:0.087:0.089) (0.071:0.072:0.072))
(IOPATH B Y (0.072:0.076:0.079) (0.100:0.100:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _1992_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.168:0.168:0.168) (0.226:0.226:0.226))
(IOPATH A2 X (0.181:0.181:0.182) (0.206:0.210:0.213))
(IOPATH B1 X (0.141:0.141:0.142) (0.125:0.129:0.133))
(IOPATH C1 X (0.168:0.168:0.168) (0.126:0.126:0.126))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1993_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.241:0.241:0.241) (0.160:0.163:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1994_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.246:0.246:0.246) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _1995_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.137:0.137:0.137) (0.168:0.168:0.168))
(IOPATH A2 X (0.151:0.151:0.151) (0.197:0.197:0.197))
(IOPATH B1 X (0.112:0.112:0.112) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _1996_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.127:0.127:0.127) (0.161:0.161:0.161))
(IOPATH A2 X (0.124:0.124:0.124) (0.184:0.184:0.184))
(IOPATH B1 X (0.072:0.072:0.072) (0.140:0.141:0.141))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1997_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.235:0.235:0.235) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _1998_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.142:0.142:0.142) (0.172:0.172:0.172))
(IOPATH A2 X (0.131:0.131:0.131) (0.201:0.201:0.201))
(IOPATH B1 X (0.112:0.112:0.112) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _1999_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.130:0.130:0.130) (0.162:0.162:0.162))
(IOPATH A2 X (0.129:0.129:0.129) (0.189:0.189:0.189))
(IOPATH B1 X (0.076:0.077:0.077) (0.145:0.145:0.146))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2000_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.130:0.130:0.130) (0.161:0.161:0.161))
(IOPATH A2 X (0.127:0.127:0.127) (0.198:0.198:0.198))
(IOPATH B1 X (0.108:0.108:0.108) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2001_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.125:0.125:0.125) (0.160:0.160:0.160))
(IOPATH A2 X (0.125:0.125:0.125) (0.185:0.185:0.185))
(IOPATH B1 X (0.071:0.071:0.072) (0.140:0.141:0.141))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2002_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.125:0.125:0.125) (0.158:0.158:0.158))
(IOPATH A2 X (0.130:0.131:0.131) (0.201:0.201:0.201))
(IOPATH B1 X (0.111:0.111:0.111) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2003_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.125:0.125:0.125) (0.161:0.161:0.161))
(IOPATH A2 X (0.125:0.125:0.125) (0.185:0.185:0.185))
(IOPATH B1 X (0.073:0.073:0.073) (0.142:0.142:0.142))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2004_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.123:0.123:0.123) (0.157:0.157:0.157))
(IOPATH A2 X (0.130:0.130:0.130) (0.201:0.201:0.201))
(IOPATH B1 X (0.111:0.111:0.111) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2005_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.125:0.125:0.125) (0.161:0.161:0.161))
(IOPATH A2 X (0.125:0.125:0.125) (0.186:0.186:0.186))
(IOPATH B1 X (0.073:0.073:0.073) (0.142:0.142:0.142))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_4")
(INSTANCE _2006_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.220:0.220:0.220) (0.476:0.476:0.476))
(IOPATH B X (0.206:0.206:0.207) (0.472:0.472:0.473))
(IOPATH C_N X (0.318:0.318:0.318) (0.460:0.460:0.460))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_1")
(INSTANCE _2007_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.353:0.353:0.353) (0.104:0.104:0.104))
(IOPATH B Y (0.343:0.343:0.343) (0.117:0.117:0.117))
(IOPATH C Y (0.311:0.311:0.311) (0.094:0.095:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2008_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.233:0.233:0.233) (0.152:0.155:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2009_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.077:0.079:0.081) (0.068:0.069:0.069))
(IOPATH B Y (0.078:0.078:0.078) (0.068:0.068:0.068))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2010_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.176:0.176:0.176) (0.232:0.232:0.232))
(IOPATH A2 X (0.143:0.143:0.143) (0.210:0.210:0.210))
(IOPATH B1 X (0.137:0.137:0.137) (0.117:0.119:0.121))
(IOPATH C1 X (0.164:0.164:0.164) (0.123:0.123:0.123))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _2011_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.190:0.194:0.198) (0.224:0.224:0.224))
(IOPATH B X (0.135:0.135:0.135) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2012_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.132:0.132:0.132) (0.252:0.252:0.252))
(IOPATH A2 X (0.126:0.126:0.126) (0.288:0.288:0.288))
(IOPATH B1 X (0.083:0.083:0.083) (0.250:0.250:0.250))
(IOPATH C1 X (0.105:0.105:0.105) (0.226:0.226:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2013_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.102:0.102:0.102) (0.045:0.045:0.045))
(IOPATH B Y (0.097:0.097:0.097) (0.052:0.052:0.052))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2014_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.128:0.128:0.128) (0.251:0.252:0.252))
(IOPATH A2 X (0.125:0.125:0.125) (0.288:0.288:0.288))
(IOPATH B1 X (0.096:0.096:0.097) (0.248:0.249:0.250))
(IOPATH C1 X (0.105:0.105:0.105) (0.226:0.226:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_2")
(INSTANCE _2015_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.352:0.352:0.352) (0.109:0.109:0.109))
(IOPATH B Y (0.328:0.328:0.328) (0.094:0.094:0.094))
(IOPATH C Y (0.291:0.291:0.291) (0.086:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2016_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.274:0.274:0.274) (0.180:0.185:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _2017_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.247:0.248:0.249) (0.228:0.228:0.228))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2018_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.092:0.092:0.092) (0.081:0.081:0.081))
(IOPATH B Y (0.077:0.081:0.086) (0.109:0.109:0.109))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2019_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.156:0.156:0.156) (0.216:0.216:0.216))
(IOPATH A2 X (0.149:0.149:0.149) (0.210:0.210:0.210))
(IOPATH B1 X (0.135:0.135:0.135) (0.122:0.126:0.130))
(IOPATH C1 X (0.160:0.160:0.160) (0.120:0.120:0.120))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_4")
(INSTANCE _2020_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.209:0.209:0.209) (0.469:0.469:0.469))
(IOPATH B X (0.193:0.193:0.193) (0.467:0.467:0.467))
(IOPATH C X (0.203:0.203:0.203) (0.417:0.417:0.417))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2021_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.189:0.189:0.189) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2022_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.121:0.121:0.121) (0.154:0.154:0.154))
(IOPATH A2 X (0.129:0.129:0.129) (0.186:0.186:0.186))
(IOPATH B1 X (0.106:0.106:0.106) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2023_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.122:0.122:0.122) (0.156:0.156:0.156))
(IOPATH A2 X (0.133:0.133:0.133) (0.184:0.184:0.184))
(IOPATH B1 X (0.071:0.071:0.072) (0.141:0.141:0.141))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2024_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.054:0.054:0.054) (0.047:0.047:0.047))
(IOPATH B Y (0.083:0.083:0.083) (0.071:0.071:0.071))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2025_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2026_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.175:0.175:0.175) (0.229:0.229:0.229))
(IOPATH A2 X (0.144:0.144:0.144) (0.212:0.212:0.212))
(IOPATH B1 X (0.132:0.134:0.135) (0.112:0.114:0.116))
(IOPATH C1 X (0.152:0.152:0.152) (0.123:0.123:0.123))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2027_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.088:0.089:0.091) (0.076:0.077:0.077))
(IOPATH B Y (0.078:0.082:0.087) (0.111:0.111:0.111))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2028_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.167:0.167:0.167) (0.225:0.225:0.225))
(IOPATH A2 X (0.186:0.186:0.186) (0.208:0.212:0.216))
(IOPATH B1 X (0.145:0.145:0.146) (0.128:0.133:0.139))
(IOPATH C1 X (0.160:0.160:0.160) (0.129:0.129:0.129))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2029_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.055:0.055:0.055) (0.049:0.049:0.049))
(IOPATH B Y (0.083:0.083:0.083) (0.071:0.071:0.071))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2030_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.175:0.175:0.175) (0.230:0.230:0.230))
(IOPATH A2 X (0.149:0.149:0.149) (0.217:0.217:0.217))
(IOPATH B1 X (0.138:0.140:0.141) (0.117:0.119:0.120))
(IOPATH C1 X (0.158:0.158:0.158) (0.127:0.127:0.127))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2031_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.231:0.231:0.231) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2032_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.203:0.203) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2033_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.129:0.129:0.129) (0.159:0.159:0.159))
(IOPATH A2 X (0.126:0.126:0.126) (0.197:0.197:0.197))
(IOPATH B1 X (0.099:0.099:0.099) (0.162:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2034_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.123:0.123:0.123) (0.159:0.159:0.159))
(IOPATH A2 X (0.130:0.130:0.130) (0.182:0.182:0.182))
(IOPATH B1 X (0.068:0.068:0.069) (0.138:0.138:0.139))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2035_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.118:0.118:0.118) (0.153:0.153:0.153))
(IOPATH A2 X (0.129:0.129:0.129) (0.199:0.199:0.200))
(IOPATH B1 X (0.102:0.102:0.102) (0.165:0.165:0.165))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2036_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.124:0.124:0.124) (0.160:0.160:0.160))
(IOPATH A2 X (0.131:0.131:0.131) (0.183:0.183:0.183))
(IOPATH B1 X (0.070:0.071:0.071) (0.140:0.140:0.140))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2037_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.122:0.122:0.122) (0.155:0.155:0.155))
(IOPATH A2 X (0.130:0.130:0.130) (0.200:0.200:0.200))
(IOPATH B1 X (0.103:0.103:0.103) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2038_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.131:0.131:0.131) (0.166:0.166:0.166))
(IOPATH A2 X (0.138:0.138:0.138) (0.189:0.189:0.189))
(IOPATH B1 X (0.077:0.077:0.078) (0.146:0.147:0.147))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_1")
(INSTANCE _2039_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.177:0.177:0.178) (0.461:0.461:0.461))
(IOPATH B X (0.179:0.179:0.179) (0.409:0.409:0.409))
(IOPATH C_N X (0.229:0.229:0.229) (0.423:0.423:0.423))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2040_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.203:0.203) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_1")
(INSTANCE _2041_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.249:0.249:0.249) (0.084:0.084:0.084))
(IOPATH B Y (0.239:0.239:0.239) (0.092:0.092:0.092))
(IOPATH C Y (0.204:0.204:0.204) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2042_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.242:0.242:0.242) (0.191:0.193:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2043_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.076:0.078:0.080) (0.066:0.067:0.067))
(IOPATH B Y (0.086:0.086:0.086) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2044_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.173:0.173:0.173) (0.229:0.229:0.229))
(IOPATH A2 X (0.155:0.155:0.155) (0.215:0.215:0.215))
(IOPATH B1 X (0.133:0.133:0.134) (0.117:0.118:0.119))
(IOPATH C1 X (0.151:0.151:0.151) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _2045_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.066:0.066:0.066) (0.045:0.045:0.045))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2046_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.096:0.096:0.096) (0.037:0.037:0.037))
(IOPATH B Y (0.102:0.102:0.102) (0.060:0.060:0.060))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2047_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.132:0.132:0.132) (0.252:0.252:0.252))
(IOPATH A2 X (0.145:0.145:0.145) (0.296:0.296:0.296))
(IOPATH B1 X (0.095:0.096:0.097) (0.247:0.251:0.255))
(IOPATH C1 X (0.105:0.105:0.105) (0.226:0.226:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _2048_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.194:0.196:0.199) (0.213:0.213:0.214))
(IOPATH B X (0.147:0.147:0.147) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2049_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.130:0.130:0.130) (0.253:0.253:0.254))
(IOPATH A2 X (0.147:0.147:0.147) (0.297:0.297:0.297))
(IOPATH B1 X (0.087:0.088:0.088) (0.253:0.253:0.253))
(IOPATH C1 X (0.106:0.106:0.106) (0.228:0.228:0.228))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_2")
(INSTANCE _2050_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.307:0.307:0.307) (0.099:0.099:0.099))
(IOPATH B Y (0.283:0.283:0.283) (0.085:0.085:0.085))
(IOPATH C Y (0.242:0.242:0.242) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2051_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.102:0.102:0.102) (0.049:0.049:0.049))
(IOPATH B Y (0.089:0.092:0.095) (0.071:0.071:0.071))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2052_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.232:0.232:0.232) (0.204:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2053_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.146:0.146:0.146) (0.267:0.267:0.267))
(IOPATH A2 X (0.176:0.176:0.176) (0.294:0.297:0.299))
(IOPATH B1 X (0.107:0.107:0.107) (0.261:0.267:0.272))
(IOPATH C1 X (0.122:0.122:0.122) (0.239:0.239:0.239))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2054_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.265:0.265:0.265) (0.180:0.183:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_4")
(INSTANCE _2055_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.213:0.214:0.215) (0.474:0.475:0.476))
(IOPATH B X (0.193:0.193:0.193) (0.467:0.467:0.467))
(IOPATH C X (0.199:0.200:0.200) (0.416:0.416:0.416))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2056_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2057_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.124:0.124:0.124) (0.156:0.156:0.156))
(IOPATH A2 X (0.135:0.135:0.135) (0.194:0.194:0.194))
(IOPATH B1 X (0.101:0.101:0.101) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2058_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.120:0.120:0.120) (0.154:0.154:0.154))
(IOPATH A2 X (0.131:0.131:0.131) (0.182:0.182:0.182))
(IOPATH B1 X (0.069:0.070:0.070) (0.139:0.139:0.140))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2059_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.053:0.053:0.053) (0.046:0.046:0.046))
(IOPATH B Y (0.090:0.090:0.090) (0.075:0.075:0.075))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2060_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.176:0.176:0.176) (0.230:0.230:0.230))
(IOPATH A2 X (0.149:0.149:0.149) (0.219:0.219:0.219))
(IOPATH B1 X (0.133:0.135:0.137) (0.113:0.116:0.118))
(IOPATH C1 X (0.153:0.153:0.153) (0.123:0.123:0.123))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2061_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.079:0.080:0.082) (0.067:0.068:0.068))
(IOPATH B Y (0.069:0.072:0.075) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2062_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.198:0.198) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2063_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.179:0.179:0.179) (0.234:0.234:0.234))
(IOPATH A2 X (0.152:0.152:0.152) (0.213:0.213:0.213))
(IOPATH B1 X (0.135:0.135:0.136) (0.119:0.122:0.126))
(IOPATH C1 X (0.153:0.153:0.153) (0.124:0.124:0.124))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2064_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.052:0.052:0.052) (0.045:0.045:0.045))
(IOPATH B Y (0.090:0.090:0.090) (0.075:0.075:0.075))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2065_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.176:0.176:0.176) (0.235:0.235:0.235))
(IOPATH A2 X (0.149:0.149:0.149) (0.220:0.220:0.220))
(IOPATH B1 X (0.134:0.136:0.137) (0.113:0.116:0.119))
(IOPATH C1 X (0.154:0.154:0.154) (0.124:0.124:0.124))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2066_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.131:0.131:0.131) (0.162:0.162:0.162))
(IOPATH A2 X (0.129:0.129:0.129) (0.200:0.200:0.200))
(IOPATH B1 X (0.102:0.102:0.102) (0.165:0.165:0.165))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2067_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.124:0.124:0.124) (0.160:0.160:0.160))
(IOPATH A2 X (0.131:0.131:0.131) (0.183:0.183:0.183))
(IOPATH B1 X (0.070:0.070:0.071) (0.140:0.140:0.140))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2068_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.193:0.193:0.193) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2069_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.141:0.141:0.141) (0.172:0.172:0.172))
(IOPATH A2 X (0.131:0.131:0.131) (0.201:0.201:0.201))
(IOPATH B1 X (0.100:0.100:0.100) (0.162:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2070_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.125:0.125:0.125) (0.161:0.161:0.161))
(IOPATH A2 X (0.132:0.132:0.132) (0.184:0.184:0.184))
(IOPATH B1 X (0.071:0.072:0.072) (0.141:0.141:0.141))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2071_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.144:0.144:0.144) (0.174:0.174:0.174))
(IOPATH A2 X (0.132:0.132:0.132) (0.203:0.203:0.203))
(IOPATH B1 X (0.102:0.102:0.102) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2072_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.125:0.125:0.125) (0.161:0.161:0.161))
(IOPATH A2 X (0.133:0.133:0.133) (0.184:0.184:0.184))
(IOPATH B1 X (0.073:0.073:0.074) (0.142:0.142:0.142))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4bb_1")
(INSTANCE _2073_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.299:0.299:0.299) (0.210:0.210:0.210))
(IOPATH B_N X (0.342:0.342:0.342) (0.245:0.245:0.245))
(IOPATH C X (0.233:0.247:0.261) (0.220:0.226:0.231))
(IOPATH D X (0.235:0.236:0.236) (0.233:0.238:0.242))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _2074_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.122:0.122:0.122) (0.068:0.068:0.068))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _2075_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.212:0.212:0.212) (0.192:0.192:0.192))
(IOPATH B X (0.218:0.218:0.218) (0.215:0.215:0.215))
(IOPATH C X (0.201:0.201:0.201) (0.204:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _2076_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.187:0.187:0.187) (0.187:0.187:0.188))
(IOPATH B X (0.184:0.184:0.184) (0.180:0.182:0.183))
(IOPATH C X (0.189:0.189:0.189) (0.198:0.199:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2077_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.229:0.229:0.229) (0.200:0.201:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2078_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.116:0.116:0.116) (0.057:0.057:0.057))
(IOPATH B Y (0.126:0.126:0.126) (0.075:0.075:0.075))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2079_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.128:0.128:0.128) (0.271:0.271:0.272))
(IOPATH A2 X (0.153:0.153:0.153) (0.302:0.302:0.302))
(IOPATH B1 X (0.105:0.105:0.105) (0.252:0.256:0.260))
(IOPATH C1 X (0.113:0.113:0.113) (0.227:0.227:0.227))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2080_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand3_4")
(INSTANCE _2081_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.124:0.124:0.124) (0.132:0.132:0.132))
(IOPATH B Y (0.145:0.145:0.145) (0.138:0.138:0.138))
(IOPATH C Y (0.125:0.125:0.125) (0.124:0.124:0.124))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _2082_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.154:0.154:0.154) (0.547:0.547:0.547))
(IOPATH B X (0.148:0.148:0.148) (0.533:0.533:0.533))
(IOPATH C X (0.141:0.141:0.141) (0.495:0.495:0.495))
(IOPATH D X (0.127:0.131:0.135) (0.434:0.435:0.436))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2083_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.182:0.182:0.182) (0.236:0.236:0.236))
(IOPATH A2 X (0.158:0.158:0.158) (0.218:0.218:0.218))
(IOPATH B1 X (0.134:0.134:0.134) (0.138:0.139:0.139))
(IOPATH C1 X (0.149:0.149:0.149) (0.120:0.120:0.120))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2084_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.242:0.243:0.243) (0.208:0.210:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2085_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.081:0.081:0.081) (0.081:0.081:0.081))
(IOPATH B Y (0.095:0.095:0.095) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2086_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.165:0.165:0.165) (0.223:0.223:0.223))
(IOPATH A2 X (0.162:0.162:0.162) (0.222:0.222:0.222))
(IOPATH B1 X (0.135:0.136:0.137) (0.123:0.124:0.125))
(IOPATH C1 X (0.153:0.153:0.153) (0.123:0.123:0.123))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _2087_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.162:0.162:0.162) (0.174:0.174:0.174))
(IOPATH B X (0.160:0.161:0.161) (0.165:0.166:0.167))
(IOPATH C X (0.166:0.166:0.166) (0.183:0.184:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2088_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.196:0.196) (0.170:0.171:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2089_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.089:0.089:0.089) (0.078:0.078:0.078))
(IOPATH B Y (0.086:0.086:0.086) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2090_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.171:0.171:0.171) (0.227:0.227:0.227))
(IOPATH A2 X (0.149:0.149:0.149) (0.210:0.210:0.210))
(IOPATH B1 X (0.135:0.135:0.135) (0.119:0.120:0.122))
(IOPATH C1 X (0.151:0.151:0.151) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_4")
(INSTANCE _2091_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.194:0.194:0.194) (0.455:0.455:0.455))
(IOPATH B X (0.212:0.212:0.212) (0.437:0.437:0.437))
(IOPATH C X (0.180:0.184:0.188) (0.403:0.404:0.406))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2092_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.170:0.170:0.170) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _2093_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.046:0.046:0.046) (0.036:0.036:0.036))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2094_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.048:0.048:0.048) (0.039:0.039:0.039))
(IOPATH B Y (0.076:0.076:0.076) (0.065:0.065:0.065))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2095_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.211:0.211:0.211) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2096_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.170:0.170:0.170) (0.237:0.237:0.237))
(IOPATH A2 X (0.139:0.139:0.139) (0.207:0.207:0.207))
(IOPATH B1 X (0.131:0.132:0.133) (0.110:0.112:0.113))
(IOPATH C1 X (0.155:0.155:0.155) (0.115:0.115:0.115))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2097_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.085:0.087:0.089) (0.071:0.072:0.072))
(IOPATH B Y (0.084:0.084:0.084) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2098_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.164:0.164:0.164) (0.222:0.222:0.222))
(IOPATH A2 X (0.151:0.151:0.151) (0.212:0.212:0.212))
(IOPATH B1 X (0.136:0.136:0.137) (0.120:0.120:0.121))
(IOPATH C1 X (0.157:0.157:0.157) (0.117:0.117:0.117))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2099_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.207:0.207) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2100_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.141:0.141:0.141) (0.172:0.172:0.172))
(IOPATH A2 X (0.126:0.126:0.126) (0.184:0.184:0.184))
(IOPATH B1 X (0.099:0.099:0.099) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2101_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.127:0.127:0.127) (0.161:0.161:0.161))
(IOPATH A2 X (0.125:0.125:0.125) (0.185:0.185:0.185))
(IOPATH B1 X (0.070:0.071:0.071) (0.140:0.140:0.140))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2102_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.132:0.132:0.132) (0.162:0.162:0.162))
(IOPATH A2 X (0.126:0.126:0.126) (0.184:0.184:0.184))
(IOPATH B1 X (0.099:0.099:0.099) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2103_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.130:0.130:0.130) (0.162:0.162:0.162))
(IOPATH A2 X (0.129:0.129:0.129) (0.189:0.189:0.189))
(IOPATH B1 X (0.074:0.074:0.075) (0.144:0.144:0.144))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2104_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.136:0.136:0.136) (0.167:0.167:0.167))
(IOPATH A2 X (0.126:0.126:0.126) (0.184:0.184:0.184))
(IOPATH B1 X (0.099:0.099:0.099) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2105_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.125:0.125:0.125) (0.161:0.161:0.161))
(IOPATH A2 X (0.126:0.126:0.126) (0.186:0.186:0.186))
(IOPATH B1 X (0.071:0.072:0.072) (0.141:0.141:0.142))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2106_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2107_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.124:0.124:0.124) (0.157:0.157:0.157))
(IOPATH A2 X (0.123:0.123:0.123) (0.195:0.195:0.196))
(IOPATH B1 X (0.103:0.103:0.103) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2108_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.123:0.123:0.123) (0.159:0.159:0.159))
(IOPATH A2 X (0.123:0.123:0.123) (0.184:0.184:0.184))
(IOPATH B1 X (0.069:0.070:0.070) (0.139:0.139:0.139))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2109_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.136:0.136:0.136) (0.167:0.167:0.167))
(IOPATH A2 X (0.124:0.124:0.124) (0.196:0.196:0.196))
(IOPATH B1 X (0.104:0.104:0.104) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2110_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.123:0.123:0.123) (0.160:0.160:0.160))
(IOPATH A2 X (0.124:0.124:0.124) (0.185:0.185:0.185))
(IOPATH B1 X (0.071:0.071:0.071) (0.140:0.140:0.141))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_2")
(INSTANCE _2111_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.217:0.217:0.217) (0.755:0.755:0.755))
(IOPATH B X (0.178:0.179:0.180) (0.727:0.730:0.733))
(IOPATH C X (0.173:0.173:0.173) (0.699:0.699:0.700))
(IOPATH D X (0.178:0.179:0.179) (0.650:0.650:0.650))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2112_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.191:0.191:0.191) (0.199:0.199:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_2")
(INSTANCE _2113_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.309:0.309:0.309) (0.090:0.090:0.090))
(IOPATH B Y (0.291:0.291:0.291) (0.090:0.090:0.090))
(IOPATH C Y (0.240:0.240:0.240) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2114_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.097:0.097:0.097) (0.040:0.040:0.040))
(IOPATH B Y (0.087:0.089:0.090) (0.070:0.070:0.070))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2115_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.133:0.133:0.133) (0.253:0.253:0.253))
(IOPATH A2 X (0.166:0.166:0.166) (0.283:0.284:0.285))
(IOPATH B1 X (0.097:0.097:0.097) (0.249:0.255:0.261))
(IOPATH C1 X (0.113:0.113:0.113) (0.228:0.228:0.228))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2116_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.077:0.077:0.077) (0.075:0.075:0.075))
(IOPATH B Y (0.068:0.069:0.071) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2117_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.169:0.169:0.169) (0.226:0.226:0.226))
(IOPATH A2 X (0.173:0.173:0.173) (0.202:0.203:0.204))
(IOPATH B1 X (0.135:0.135:0.136) (0.124:0.125:0.126))
(IOPATH C1 X (0.157:0.157:0.157) (0.117:0.117:0.117))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2118_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.204:0.204:0.205) (0.181:0.182:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2119_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.187:0.188:0.188) (0.197:0.197:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_2")
(INSTANCE _2120_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.376:0.376:0.376) (0.100:0.100:0.100))
(IOPATH B Y (0.361:0.361:0.361) (0.105:0.105:0.105))
(IOPATH C Y (0.310:0.310:0.310) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2121_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.235:0.235:0.235) (0.151:0.153:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2122_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.084:0.084:0.084) (0.072:0.072:0.072))
(IOPATH B Y (0.076:0.076:0.076) (0.066:0.066:0.066))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2123_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.163:0.163:0.163) (0.220:0.220:0.220))
(IOPATH A2 X (0.138:0.138:0.138) (0.205:0.205:0.205))
(IOPATH B1 X (0.132:0.132:0.132) (0.113:0.116:0.119))
(IOPATH C1 X (0.153:0.153:0.153) (0.114:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2124_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.254:0.255:0.255) (0.167:0.168:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_4")
(INSTANCE _2125_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.209:0.209:0.209) (0.468:0.468:0.468))
(IOPATH B X (0.216:0.216:0.216) (0.454:0.454:0.454))
(IOPATH C X (0.208:0.208:0.208) (0.410:0.410:0.410))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2126_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.119:0.119:0.119) (0.155:0.155:0.155))
(IOPATH A2 X (0.133:0.133:0.133) (0.203:0.203:0.203))
(IOPATH B1 X (0.106:0.106:0.106) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2127_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.126:0.126:0.126) (0.160:0.160:0.160))
(IOPATH A2 X (0.132:0.132:0.132) (0.192:0.192:0.192))
(IOPATH B1 X (0.078:0.078:0.078) (0.147:0.147:0.147))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2128_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.087:0.089:0.092) (0.074:0.074:0.074))
(IOPATH B Y (0.081:0.081:0.081) (0.071:0.071:0.071))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2129_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.172:0.172:0.172) (0.229:0.229:0.229))
(IOPATH A2 X (0.148:0.148:0.148) (0.215:0.215:0.215))
(IOPATH B1 X (0.144:0.145:0.145) (0.123:0.125:0.128))
(IOPATH C1 X (0.164:0.164:0.164) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2130_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.138:0.138:0.138) (0.169:0.169:0.169))
(IOPATH A2 X (0.126:0.126:0.126) (0.197:0.197:0.197))
(IOPATH B1 X (0.100:0.100:0.100) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2131_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.127:0.127:0.127) (0.161:0.161:0.161))
(IOPATH A2 X (0.126:0.126:0.126) (0.186:0.186:0.186))
(IOPATH B1 X (0.069:0.070:0.070) (0.139:0.140:0.140))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2132_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.099:0.100:0.100) (0.082:0.083:0.083))
(IOPATH B Y (0.083:0.085:0.086) (0.116:0.116:0.116))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _2133_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.225:0.225:0.225) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2134_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.218:0.218:0.218) (0.185:0.185:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2135_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.166:0.166:0.166) (0.223:0.223:0.223))
(IOPATH A2 X (0.140:0.140:0.140) (0.206:0.206:0.206))
(IOPATH B1 X (0.137:0.137:0.138) (0.124:0.129:0.134))
(IOPATH C1 X (0.157:0.157:0.157) (0.128:0.128:0.128))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2136_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.140:0.140:0.140) (0.171:0.171:0.171))
(IOPATH A2 X (0.129:0.129:0.129) (0.199:0.199:0.199))
(IOPATH B1 X (0.103:0.103:0.103) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2137_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.101:0.101:0.101) (0.148:0.148:0.149))
(IOPATH A2 X (0.125:0.125:0.125) (0.185:0.185:0.185))
(IOPATH B1 X (0.070:0.070:0.070) (0.139:0.139:0.140))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2138_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.203:0.203) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2139_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.126:0.126:0.126) (0.158:0.158:0.158))
(IOPATH A2 X (0.129:0.130:0.130) (0.200:0.200:0.200))
(IOPATH B1 X (0.102:0.102:0.102) (0.165:0.165:0.165))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2140_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.134:0.134:0.134) (0.168:0.168:0.168))
(IOPATH A2 X (0.127:0.127:0.127) (0.188:0.188:0.188))
(IOPATH B1 X (0.072:0.072:0.073) (0.142:0.142:0.142))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2141_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.137:0.137:0.137) (0.168:0.168:0.168))
(IOPATH A2 X (0.130:0.130:0.130) (0.200:0.200:0.201))
(IOPATH B1 X (0.103:0.103:0.103) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2142_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.107:0.107:0.107) (0.152:0.152:0.153))
(IOPATH A2 X (0.128:0.128:0.128) (0.189:0.189:0.189))
(IOPATH B1 X (0.073:0.074:0.074) (0.143:0.143:0.143))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_2")
(INSTANCE _2143_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.326:0.326:0.326) (0.093:0.093:0.093))
(IOPATH B Y (0.310:0.310:0.310) (0.086:0.086:0.086))
(IOPATH C Y (0.257:0.257:0.257) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2144_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.105:0.105:0.105) (0.042:0.042:0.042))
(IOPATH B Y (0.097:0.099:0.100) (0.077:0.077:0.077))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2145_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.142:0.142:0.142) (0.262:0.262:0.262))
(IOPATH A2 X (0.171:0.171:0.171) (0.285:0.287:0.288))
(IOPATH B1 X (0.102:0.103:0.103) (0.251:0.258:0.265))
(IOPATH C1 X (0.114:0.114:0.114) (0.229:0.229:0.229))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2146_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.078:0.078:0.078) (0.077:0.077:0.077))
(IOPATH B Y (0.069:0.071:0.073) (0.095:0.095:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2147_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.160:0.160:0.160) (0.218:0.218:0.218))
(IOPATH A2 X (0.173:0.173:0.173) (0.199:0.201:0.202))
(IOPATH B1 X (0.133:0.133:0.133) (0.122:0.124:0.125))
(IOPATH C1 X (0.156:0.156:0.156) (0.128:0.128:0.128))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_2")
(INSTANCE _2148_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.316:0.316:0.316) (0.090:0.090:0.090))
(IOPATH B Y (0.304:0.304:0.304) (0.086:0.086:0.086))
(IOPATH C Y (0.250:0.250:0.250) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2149_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.234:0.234:0.234) (0.156:0.157:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2150_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.192:0.192:0.192) (0.168:0.168:0.168))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2151_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.233:0.233:0.233) (0.155:0.156:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2152_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.080:0.080:0.080) (0.068:0.068:0.068))
(IOPATH B Y (0.078:0.078:0.078) (0.067:0.067:0.067))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2153_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.164:0.164:0.164) (0.222:0.222:0.222))
(IOPATH A2 X (0.146:0.146:0.146) (0.214:0.214:0.214))
(IOPATH B1 X (0.137:0.137:0.137) (0.116:0.119:0.121))
(IOPATH C1 X (0.160:0.160:0.160) (0.131:0.131:0.131))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _2154_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.191:0.192:0.193) (0.223:0.223:0.223))
(IOPATH B X (0.139:0.139:0.139) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2155_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.139:0.139:0.139) (0.268:0.268:0.268))
(IOPATH A2 X (0.129:0.129:0.129) (0.291:0.291:0.291))
(IOPATH B1 X (0.085:0.085:0.085) (0.250:0.250:0.251))
(IOPATH C1 X (0.111:0.111:0.111) (0.226:0.226:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2156_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.088:0.090:0.092) (0.074:0.075:0.075))
(IOPATH B Y (0.086:0.086:0.086) (0.075:0.075:0.075))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2157_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.170:0.170:0.170) (0.227:0.227:0.227))
(IOPATH A2 X (0.142:0.142:0.142) (0.210:0.210:0.210))
(IOPATH B1 X (0.134:0.135:0.135) (0.116:0.118:0.120))
(IOPATH C1 X (0.156:0.156:0.156) (0.128:0.128:0.128))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2158_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.098:0.098:0.098) (0.051:0.051:0.051))
(IOPATH B Y (0.099:0.099:0.099) (0.054:0.054:0.054))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2159_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.120:0.120:0.120) (0.267:0.267:0.267))
(IOPATH A2 X (0.129:0.129:0.129) (0.291:0.291:0.291))
(IOPATH B1 X (0.096:0.096:0.096) (0.249:0.250:0.251))
(IOPATH C1 X (0.114:0.114:0.114) (0.228:0.228:0.228))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _2160_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.197:0.198:0.199) (0.227:0.227:0.227))
(IOPATH B X (0.147:0.147:0.147) (0.168:0.168:0.168))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2161_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.143:0.143:0.143) (0.264:0.264:0.265))
(IOPATH A2 X (0.130:0.130:0.130) (0.293:0.293:0.293))
(IOPATH B1 X (0.088:0.088:0.088) (0.253:0.253:0.253))
(IOPATH C1 X (0.115:0.115:0.115) (0.229:0.229:0.229))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2162_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.088:0.089:0.090) (0.073:0.074:0.074))
(IOPATH B Y (0.084:0.084:0.084) (0.073:0.073:0.073))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2163_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.153:0.153:0.153) (0.213:0.213:0.213))
(IOPATH A2 X (0.140:0.140:0.140) (0.208:0.208:0.208))
(IOPATH B1 X (0.132:0.132:0.132) (0.114:0.116:0.119))
(IOPATH C1 X (0.154:0.154:0.154) (0.126:0.126:0.126))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2164_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.073:0.073:0.074) (0.061:0.062:0.063))
(IOPATH B Y (0.082:0.082:0.082) (0.070:0.070:0.070))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2165_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.224:0.224:0.224) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2166_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.180:0.180:0.180) (0.235:0.235:0.235))
(IOPATH A2 X (0.149:0.149:0.149) (0.217:0.217:0.217))
(IOPATH B1 X (0.139:0.140:0.141) (0.120:0.121:0.122))
(IOPATH C1 X (0.164:0.164:0.164) (0.123:0.123:0.123))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2167_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.089:0.091:0.093) (0.074:0.075:0.075))
(IOPATH B Y (0.085:0.085:0.085) (0.073:0.073:0.073))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2168_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.166:0.166:0.166) (0.225:0.225:0.225))
(IOPATH A2 X (0.149:0.149:0.149) (0.217:0.217:0.217))
(IOPATH B1 X (0.141:0.142:0.142) (0.121:0.124:0.126))
(IOPATH C1 X (0.164:0.164:0.164) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_2")
(INSTANCE _2169_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.352:0.352:0.352) (0.098:0.098:0.098))
(IOPATH B Y (0.332:0.332:0.332) (0.097:0.097:0.097))
(IOPATH C Y (0.284:0.284:0.284) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2170_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.105:0.105:0.105) (0.043:0.043:0.043))
(IOPATH B Y (0.098:0.099:0.101) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2171_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.146:0.146:0.146) (0.266:0.266:0.266))
(IOPATH A2 X (0.179:0.179:0.179) (0.291:0.293:0.294))
(IOPATH B1 X (0.105:0.105:0.105) (0.256:0.263:0.271))
(IOPATH C1 X (0.120:0.120:0.120) (0.236:0.236:0.236))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2172_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.074:0.074:0.074) (0.071:0.071:0.071))
(IOPATH B Y (0.068:0.069:0.071) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2173_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.161:0.161:0.161) (0.219:0.219:0.219))
(IOPATH A2 X (0.175:0.175:0.175) (0.200:0.202:0.203))
(IOPATH B1 X (0.131:0.131:0.131) (0.120:0.122:0.124))
(IOPATH C1 X (0.156:0.156:0.156) (0.117:0.117:0.117))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_1")
(INSTANCE _2174_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.386:0.386:0.386) (0.127:0.127:0.127))
(IOPATH B Y (0.375:0.375:0.375) (0.110:0.110:0.110))
(IOPATH C Y (0.335:0.335:0.335) (0.105:0.105:0.105))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2175_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.267:0.267:0.267) (0.177:0.180:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2176_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.242:0.242:0.242) (0.158:0.161:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2177_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.088:0.088:0.088) (0.077:0.077:0.077))
(IOPATH B Y (0.082:0.082:0.082) (0.072:0.072:0.072))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2178_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.161:0.161:0.161) (0.219:0.219:0.219))
(IOPATH A2 X (0.146:0.146:0.146) (0.217:0.217:0.217))
(IOPATH B1 X (0.134:0.134:0.134) (0.115:0.118:0.121))
(IOPATH C1 X (0.156:0.156:0.156) (0.117:0.117:0.117))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_2")
(INSTANCE _2179_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.568:0.568:0.568))
(IOPATH B X (0.203:0.203:0.203) (0.545:0.545:0.545))
(IOPATH C X (0.182:0.182:0.182) (0.523:0.523:0.524))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2180_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.179:0.179:0.179) (0.182:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2181_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.127:0.127:0.127) (0.160:0.160:0.160))
(IOPATH A2 X (0.130:0.130:0.130) (0.188:0.188:0.188))
(IOPATH B1 X (0.105:0.105:0.105) (0.168:0.168:0.168))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2182_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.126:0.126:0.126) (0.160:0.160:0.160))
(IOPATH A2 X (0.135:0.135:0.135) (0.195:0.195:0.195))
(IOPATH B1 X (0.077:0.078:0.078) (0.146:0.147:0.147))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2183_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.056:0.056:0.056) (0.049:0.049:0.049))
(IOPATH B Y (0.078:0.078:0.078) (0.067:0.067:0.067))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2184_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.174:0.174:0.174) (0.228:0.228:0.228))
(IOPATH A2 X (0.139:0.139:0.139) (0.207:0.207:0.207))
(IOPATH B1 X (0.131:0.132:0.134) (0.111:0.113:0.114))
(IOPATH C1 X (0.157:0.157:0.157) (0.117:0.117:0.117))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2185_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.117:0.117:0.117) (0.154:0.154:0.154))
(IOPATH A2 X (0.129:0.129:0.129) (0.186:0.186:0.186))
(IOPATH B1 X (0.104:0.104:0.104) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2186_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.126:0.126:0.126) (0.160:0.160:0.160))
(IOPATH A2 X (0.127:0.127:0.127) (0.188:0.188:0.188))
(IOPATH B1 X (0.070:0.070:0.070) (0.139:0.139:0.139))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2187_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.129:0.129:0.129) (0.160:0.160:0.160))
(IOPATH A2 X (0.124:0.124:0.124) (0.182:0.182:0.182))
(IOPATH B1 X (0.099:0.099:0.099) (0.162:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2188_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.129:0.129:0.129) (0.161:0.161:0.161))
(IOPATH A2 X (0.132:0.132:0.132) (0.193:0.193:0.193))
(IOPATH B1 X (0.072:0.072:0.073) (0.142:0.142:0.143))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2189_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2190_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.135:0.135:0.135) (0.166:0.166:0.166))
(IOPATH A2 X (0.133:0.133:0.133) (0.210:0.210:0.210))
(IOPATH B1 X (0.104:0.104:0.104) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2191_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.101:0.101:0.101) (0.148:0.148:0.148))
(IOPATH A2 X (0.127:0.127:0.127) (0.189:0.189:0.189))
(IOPATH B1 X (0.071:0.072:0.072) (0.140:0.140:0.141))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2192_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.076:0.076:0.077) (0.065:0.066:0.067))
(IOPATH B Y (0.082:0.082:0.082) (0.071:0.071:0.071))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2193_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.194:0.194:0.194) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2194_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.155:0.155:0.155) (0.215:0.215:0.215))
(IOPATH A2 X (0.139:0.139:0.139) (0.206:0.206:0.206))
(IOPATH B1 X (0.132:0.133:0.133) (0.114:0.115:0.116))
(IOPATH C1 X (0.146:0.146:0.146) (0.117:0.117:0.117))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2195_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.087:0.089:0.091) (0.072:0.072:0.072))
(IOPATH B Y (0.079:0.079:0.079) (0.069:0.069:0.069))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2196_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.170:0.170:0.170) (0.226:0.226:0.226))
(IOPATH A2 X (0.140:0.140:0.140) (0.207:0.207:0.207))
(IOPATH B1 X (0.133:0.133:0.134) (0.114:0.117:0.119))
(IOPATH C1 X (0.147:0.147:0.147) (0.117:0.117:0.117))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_2")
(INSTANCE _2197_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.378:0.378:0.378) (0.103:0.103:0.103))
(IOPATH B Y (0.362:0.363:0.365) (0.086:0.091:0.095))
(IOPATH C Y (0.309:0.309:0.309) (0.095:0.095:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2198_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.106:0.106:0.106) (0.043:0.043:0.043))
(IOPATH B Y (0.100:0.102:0.104) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2199_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.139:0.139:0.139) (0.259:0.259:0.259))
(IOPATH A2 X (0.176:0.176:0.176) (0.284:0.286:0.288))
(IOPATH B1 X (0.100:0.100:0.100) (0.248:0.257:0.265))
(IOPATH C1 X (0.114:0.114:0.114) (0.228:0.228:0.228))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2200_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.077:0.077:0.077) (0.075:0.075:0.075))
(IOPATH B Y (0.071:0.073:0.075) (0.098:0.098:0.098))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2201_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.163:0.163:0.163) (0.222:0.222:0.222))
(IOPATH A2 X (0.187:0.187:0.187) (0.208:0.210:0.212))
(IOPATH B1 X (0.140:0.140:0.140) (0.128:0.131:0.134))
(IOPATH C1 X (0.154:0.154:0.154) (0.123:0.123:0.123))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_2")
(INSTANCE _2202_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.374:0.374:0.374) (0.100:0.100:0.100))
(IOPATH B Y (0.361:0.363:0.364) (0.087:0.091:0.096))
(IOPATH C Y (0.308:0.308:0.308) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2203_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.241:0.241:0.241) (0.154:0.156:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2204_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.078:0.078:0.079) (0.067:0.067:0.068))
(IOPATH B Y (0.079:0.079:0.079) (0.068:0.068:0.068))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2205_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.175:0.175:0.175) (0.231:0.231:0.231))
(IOPATH A2 X (0.144:0.144:0.144) (0.212:0.212:0.212))
(IOPATH B1 X (0.136:0.137:0.137) (0.117:0.119:0.120))
(IOPATH C1 X (0.151:0.151:0.151) (0.120:0.120:0.120))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2206_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.247:0.247:0.247) (0.160:0.162:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_4")
(INSTANCE _2207_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.196:0.196) (0.456:0.456:0.456))
(IOPATH B X (0.184:0.189:0.193) (0.444:0.445:0.447))
(IOPATH C X (0.195:0.195:0.195) (0.398:0.398:0.398))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2208_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.140:0.140:0.140) (0.171:0.171:0.171))
(IOPATH A2 X (0.123:0.123:0.123) (0.195:0.195:0.195))
(IOPATH B1 X (0.099:0.099:0.099) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2209_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.128:0.128:0.128) (0.173:0.173:0.173))
(IOPATH A2 X (0.123:0.123:0.123) (0.183:0.183:0.183))
(IOPATH B1 X (0.070:0.070:0.071) (0.140:0.140:0.140))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2210_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.141:0.141:0.141) (0.172:0.172:0.172))
(IOPATH A2 X (0.126:0.126:0.126) (0.198:0.198:0.198))
(IOPATH B1 X (0.102:0.102:0.102) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2211_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.136:0.136:0.136) (0.168:0.168:0.168))
(IOPATH A2 X (0.128:0.128:0.128) (0.188:0.188:0.188))
(IOPATH B1 X (0.076:0.076:0.077) (0.145:0.145:0.146))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2212_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.124:0.124:0.124) (0.158:0.158:0.158))
(IOPATH A2 X (0.129:0.129:0.129) (0.200:0.200:0.200))
(IOPATH B1 X (0.105:0.105:0.105) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2213_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.119:0.119:0.119) (0.178:0.179:0.179))
(IOPATH A2 X (0.131:0.131:0.131) (0.190:0.190:0.190))
(IOPATH B1 X (0.080:0.080:0.080) (0.148:0.148:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2214_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.089:0.090:0.091) (0.072:0.073:0.073))
(IOPATH B Y (0.080:0.080:0.080) (0.070:0.070:0.070))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2215_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.160:0.160:0.160) (0.220:0.220:0.220))
(IOPATH A2 X (0.147:0.147:0.147) (0.214:0.214:0.214))
(IOPATH B1 X (0.140:0.141:0.141) (0.120:0.123:0.125))
(IOPATH C1 X (0.154:0.154:0.154) (0.123:0.123:0.123))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2216_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.089:0.090:0.091) (0.075:0.075:0.076))
(IOPATH B Y (0.075:0.077:0.079) (0.106:0.107:0.107))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2217_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.152:0.152:0.152) (0.213:0.213:0.213))
(IOPATH A2 X (0.140:0.140:0.140) (0.208:0.208:0.208))
(IOPATH B1 X (0.133:0.134:0.134) (0.120:0.125:0.130))
(IOPATH C1 X (0.160:0.160:0.160) (0.119:0.119:0.119))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2218_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.149:0.149:0.149) (0.179:0.179:0.179))
(IOPATH A2 X (0.129:0.129:0.129) (0.200:0.200:0.200))
(IOPATH B1 X (0.105:0.105:0.105) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2219_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.135:0.135:0.135) (0.168:0.168:0.168))
(IOPATH A2 X (0.125:0.125:0.125) (0.185:0.185:0.185))
(IOPATH B1 X (0.075:0.075:0.076) (0.143:0.143:0.144))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2220_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.127:0.127:0.127) (0.159:0.159:0.159))
(IOPATH A2 X (0.126:0.126:0.126) (0.198:0.198:0.198))
(IOPATH B1 X (0.111:0.111:0.111) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2221_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.104:0.104:0.104) (0.149:0.150:0.150))
(IOPATH A2 X (0.123:0.123:0.123) (0.183:0.183:0.183))
(IOPATH B1 X (0.071:0.072:0.072) (0.140:0.140:0.141))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_4")
(INSTANCE _2222_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.249:0.249:0.249) (0.320:0.320:0.320))
(IOPATH A2 X (0.279:0.279:0.279) (0.350:0.350:0.350))
(IOPATH B1 X (0.255:0.255:0.255) (0.309:0.309:0.309))
(IOPATH B2 X (0.264:0.264:0.264) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_4")
(INSTANCE _2223_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.396:0.396:0.396) (0.161:0.161:0.161))
(IOPATH A2 Y (0.372:0.372:0.372) (0.152:0.152:0.152))
(IOPATH B1 Y (0.181:0.181:0.181) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2224_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.227:0.243:0.259) (0.226:0.226:0.227))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2225_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.221:0.222:0.222) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2226_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.133:0.133:0.133) (0.263:0.263:0.263))
(IOPATH A2 X (0.141:0.141:0.141) (0.303:0.303:0.303))
(IOPATH B1 X (0.132:0.132:0.132) (0.286:0.286:0.287))
(IOPATH C1 X (0.116:0.116:0.116) (0.230:0.230:0.230))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2227_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.123:0.123:0.123) (0.244:0.244:0.244))
(IOPATH B X (0.152:0.152:0.152) (0.252:0.252:0.252))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2228_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.221:0.221:0.221) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2229_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.175:0.175:0.175) (0.222:0.222:0.222))
(IOPATH A2 X (0.149:0.149:0.149) (0.237:0.238:0.238))
(IOPATH A3 X (0.164:0.164:0.164) (0.260:0.260:0.260))
(IOPATH B1 X (0.140:0.140:0.140) (0.211:0.211:0.211))
(IOPATH B2 X (0.151:0.151:0.151) (0.224:0.224:0.224))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2230_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.151:0.151:0.151) (0.151:0.151:0.151))
(IOPATH B X (0.119:0.119:0.120) (0.148:0.149:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2231_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.075) (0.077:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_4")
(INSTANCE _2232_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.217:0.217:0.217) (0.300:0.300:0.300))
(IOPATH A2 X (0.242:0.242:0.242) (0.327:0.327:0.327))
(IOPATH B1 X (0.218:0.218:0.218) (0.286:0.286:0.286))
(IOPATH B2 X (0.237:0.237:0.237) (0.306:0.306:0.306))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2233_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.140:0.140:0.140) (0.270:0.270:0.270))
(IOPATH A2 X (0.144:0.144:0.144) (0.306:0.306:0.306))
(IOPATH B1 X (0.124:0.124:0.125) (0.283:0.284:0.284))
(IOPATH C1 X (0.118:0.118:0.118) (0.234:0.234:0.234))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2234_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.119:0.119:0.119) (0.239:0.239:0.239))
(IOPATH B X (0.144:0.144:0.144) (0.245:0.245:0.245))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2235_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.173:0.173:0.173) (0.221:0.221:0.221))
(IOPATH A2 X (0.147:0.148:0.148) (0.236:0.237:0.238))
(IOPATH A3 X (0.160:0.160:0.160) (0.256:0.256:0.256))
(IOPATH B1 X (0.138:0.138:0.138) (0.209:0.209:0.209))
(IOPATH B2 X (0.149:0.149:0.149) (0.222:0.222:0.222))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2236_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.146:0.146:0.146) (0.148:0.148:0.148))
(IOPATH B X (0.114:0.115:0.115) (0.144:0.145:0.146))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2237_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.074:0.074:0.074) (0.077:0.077:0.077))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2238_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.203:0.203) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2239_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.233:0.233:0.233) (0.212:0.212:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_4")
(INSTANCE _2240_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.224:0.224:0.224) (0.306:0.306:0.306))
(IOPATH A2 X (0.249:0.249:0.249) (0.333:0.333:0.333))
(IOPATH B1 X (0.225:0.225:0.225) (0.292:0.292:0.292))
(IOPATH B2 X (0.216:0.216:0.216) (0.296:0.296:0.296))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2241_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.139:0.139:0.139) (0.269:0.269:0.269))
(IOPATH A2 X (0.143:0.143:0.143) (0.305:0.305:0.305))
(IOPATH B1 X (0.124:0.124:0.125) (0.282:0.283:0.283))
(IOPATH C1 X (0.118:0.118:0.118) (0.232:0.232:0.232))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2242_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.130:0.130:0.130) (0.251:0.251:0.251))
(IOPATH B X (0.160:0.160:0.160) (0.259:0.259:0.259))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2243_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.191:0.191:0.191) (0.237:0.237:0.237))
(IOPATH A2 X (0.150:0.151:0.151) (0.239:0.239:0.240))
(IOPATH A3 X (0.168:0.168:0.169) (0.263:0.263:0.263))
(IOPATH B1 X (0.142:0.142:0.142) (0.212:0.212:0.212))
(IOPATH B2 X (0.152:0.152:0.152) (0.225:0.225:0.225))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2244_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.145:0.145:0.145) (0.148:0.148:0.148))
(IOPATH B X (0.117:0.117:0.117) (0.146:0.147:0.147))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2245_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.077) (0.078:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_4")
(INSTANCE _2246_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.216:0.216:0.216) (0.301:0.301:0.301))
(IOPATH A2 X (0.246:0.246:0.246) (0.331:0.331:0.331))
(IOPATH B1 X (0.222:0.222:0.222) (0.290:0.290:0.290))
(IOPATH B2 X (0.212:0.212:0.212) (0.294:0.294:0.294))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2247_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.137:0.137:0.137) (0.266:0.266:0.266))
(IOPATH A2 X (0.142:0.142:0.142) (0.304:0.304:0.304))
(IOPATH B1 X (0.122:0.122:0.123) (0.280:0.280:0.281))
(IOPATH C1 X (0.117:0.117:0.117) (0.231:0.231:0.231))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2248_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.152:0.152:0.152) (0.264:0.264:0.264))
(IOPATH B X (0.175:0.175:0.175) (0.269:0.269:0.269))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2249_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.190:0.190:0.190) (0.236:0.236:0.236))
(IOPATH A2 X (0.150:0.150:0.151) (0.238:0.239:0.239))
(IOPATH A3 X (0.173:0.173:0.173) (0.267:0.267:0.267))
(IOPATH B1 X (0.142:0.142:0.142) (0.212:0.212:0.212))
(IOPATH B2 X (0.151:0.151:0.151) (0.224:0.224:0.224))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2250_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.145:0.145:0.145) (0.148:0.148:0.148))
(IOPATH B X (0.116:0.117:0.117) (0.146:0.147:0.147))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2251_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.082:0.082:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2252_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.245:0.245:0.245) (0.216:0.216:0.217))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2253_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.233:0.233:0.233) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2254_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.250:0.250:0.250) (0.202:0.202:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2255_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.224:0.224:0.224) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2256_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.245:0.245:0.245) (0.199:0.199:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2257_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.220:0.220:0.220) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_4")
(INSTANCE _2258_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.252:0.252:0.252) (0.326:0.326:0.326))
(IOPATH A2 X (0.241:0.241:0.241) (0.327:0.327:0.327))
(IOPATH B1 X (0.221:0.221:0.221) (0.297:0.297:0.297))
(IOPATH B2 X (0.208:0.208:0.208) (0.281:0.281:0.281))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2259_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.215:0.215:0.215) (0.324:0.324:0.324))
(IOPATH A2 X (0.228:0.228:0.228) (0.368:0.368:0.368))
(IOPATH B1 X (0.196:0.196:0.196) (0.346:0.346:0.347))
(IOPATH C1 X (0.190:0.190:0.190) (0.296:0.296:0.296))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2260_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.232:0.232:0.232) (0.208:0.210:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2261_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.171:0.171:0.171) (0.140:0.140:0.140))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2262_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.161:0.161:0.161) (0.271:0.271:0.271))
(IOPATH B X (0.187:0.187:0.187) (0.265:0.265:0.265))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2263_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.189:0.189:0.189) (0.235:0.235:0.235))
(IOPATH A2 X (0.176:0.176:0.177) (0.260:0.260:0.261))
(IOPATH A3 X (0.176:0.176:0.176) (0.270:0.270:0.270))
(IOPATH B1 X (0.140:0.140:0.140) (0.210:0.210:0.210))
(IOPATH B2 X (0.150:0.150:0.150) (0.223:0.223:0.223))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2264_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.142:0.142:0.142) (0.145:0.145:0.145))
(IOPATH B X (0.113:0.114:0.114) (0.143:0.144:0.145))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2265_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.076) (0.078:0.078:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_4")
(INSTANCE _2266_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.223:0.223:0.223) (0.308:0.308:0.308))
(IOPATH A2 X (0.212:0.212:0.212) (0.308:0.308:0.308))
(IOPATH B1 X (0.191:0.191:0.191) (0.277:0.277:0.277))
(IOPATH B2 X (0.176:0.176:0.176) (0.261:0.261:0.261))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2267_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.229:0.229:0.229) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2268_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.247:0.247:0.247) (0.348:0.348:0.348))
(IOPATH A2 X (0.237:0.237:0.237) (0.374:0.374:0.374))
(IOPATH B1 X (0.193:0.193:0.194) (0.343:0.344:0.344))
(IOPATH C1 X (0.207:0.207:0.207) (0.308:0.308:0.308))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2269_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.208:0.208:0.208) (0.301:0.301:0.301))
(IOPATH B X (0.226:0.226:0.226) (0.291:0.291:0.291))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2270_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.227:0.227:0.227) (0.199:0.199:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2271_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.191:0.191:0.191) (0.237:0.237:0.237))
(IOPATH A2 X (0.181:0.182:0.182) (0.264:0.265:0.266))
(IOPATH A3 X (0.189:0.189:0.189) (0.284:0.284:0.284))
(IOPATH B1 X (0.145:0.145:0.145) (0.212:0.212:0.212))
(IOPATH B2 X (0.154:0.154:0.154) (0.226:0.226:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2272_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.147:0.147:0.147) (0.149:0.149:0.149))
(IOPATH B X (0.119:0.119:0.120) (0.148:0.149:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2273_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.079:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_4")
(INSTANCE _2274_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.220:0.220:0.220) (0.305:0.305:0.305))
(IOPATH A2 X (0.209:0.209:0.209) (0.306:0.306:0.306))
(IOPATH B1 X (0.188:0.188:0.188) (0.276:0.276:0.276))
(IOPATH B2 X (0.174:0.174:0.174) (0.259:0.259:0.259))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2275_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.215:0.215:0.215) (0.324:0.324:0.324))
(IOPATH A2 X (0.230:0.230:0.230) (0.369:0.369:0.369))
(IOPATH B1 X (0.184:0.185:0.185) (0.337:0.338:0.338))
(IOPATH C1 X (0.201:0.201:0.201) (0.303:0.303:0.303))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2276_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.161:0.161:0.161) (0.271:0.271:0.271))
(IOPATH B X (0.187:0.187:0.187) (0.265:0.265:0.265))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2277_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.196:0.196:0.196) (0.241:0.241:0.241))
(IOPATH A2 X (0.184:0.184:0.184) (0.266:0.267:0.267))
(IOPATH A3 X (0.183:0.183:0.183) (0.276:0.276:0.276))
(IOPATH B1 X (0.149:0.149:0.149) (0.216:0.216:0.216))
(IOPATH B2 X (0.158:0.158:0.158) (0.230:0.230:0.230))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2278_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.150:0.150:0.150) (0.151:0.151:0.151))
(IOPATH B X (0.123:0.123:0.123) (0.151:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2279_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.077) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2280_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.214:0.214:0.214) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2281_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.194:0.194:0.194) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2282_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.229:0.229:0.229) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_4")
(INSTANCE _2283_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.220:0.220:0.220) (0.306:0.306:0.306))
(IOPATH A2 X (0.204:0.204:0.204) (0.303:0.303:0.303))
(IOPATH B1 X (0.184:0.184:0.184) (0.273:0.273:0.273))
(IOPATH B2 X (0.171:0.171:0.171) (0.257:0.257:0.257))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2284_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.223:0.223:0.223) (0.330:0.330:0.330))
(IOPATH A2 X (0.234:0.234:0.234) (0.373:0.373:0.373))
(IOPATH B1 X (0.187:0.188:0.188) (0.340:0.340:0.340))
(IOPATH C1 X (0.205:0.205:0.205) (0.306:0.306:0.306))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2285_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.170:0.170:0.170) (0.277:0.277:0.277))
(IOPATH B X (0.195:0.195:0.195) (0.271:0.271:0.271))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2286_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.193:0.193:0.193) (0.238:0.238:0.238))
(IOPATH A2 X (0.184:0.184:0.185) (0.266:0.267:0.268))
(IOPATH A3 X (0.184:0.184:0.184) (0.277:0.277:0.277))
(IOPATH B1 X (0.149:0.149:0.149) (0.216:0.216:0.216))
(IOPATH B2 X (0.157:0.157:0.157) (0.229:0.229:0.229))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2287_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.142:0.142:0.142) (0.145:0.145:0.145))
(IOPATH B X (0.117:0.117:0.118) (0.146:0.147:0.148))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2288_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.077) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_4")
(INSTANCE _2289_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.217:0.217:0.217) (0.303:0.303:0.303))
(IOPATH A2 X (0.209:0.209:0.209) (0.306:0.306:0.306))
(IOPATH B1 X (0.188:0.188:0.188) (0.276:0.276:0.276))
(IOPATH B2 X (0.174:0.174:0.174) (0.260:0.260:0.260))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2290_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.215:0.215:0.215) (0.324:0.324:0.324))
(IOPATH A2 X (0.224:0.224:0.224) (0.365:0.365:0.365))
(IOPATH B1 X (0.179:0.180:0.180) (0.333:0.334:0.334))
(IOPATH C1 X (0.195:0.195:0.195) (0.299:0.299:0.299))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2291_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.173:0.173:0.173) (0.279:0.279:0.279))
(IOPATH B X (0.197:0.197:0.197) (0.272:0.272:0.272))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2292_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.189:0.189:0.189) (0.236:0.236:0.236))
(IOPATH A2 X (0.177:0.177:0.178) (0.260:0.261:0.262))
(IOPATH A3 X (0.181:0.181:0.181) (0.275:0.275:0.275))
(IOPATH B1 X (0.143:0.143:0.143) (0.214:0.214:0.214))
(IOPATH B2 X (0.154:0.154:0.154) (0.226:0.226:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2293_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.144:0.144:0.144) (0.146:0.146:0.146))
(IOPATH B X (0.118:0.119:0.119) (0.147:0.148:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2294_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.084:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2295_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.233:0.233:0.233) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2296_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.218:0.218:0.218) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2297_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.220:0.220:0.220) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_4")
(INSTANCE _2298_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.268:0.268:0.268) (0.336:0.336:0.336))
(IOPATH A2 X (0.259:0.259:0.259) (0.338:0.338:0.338))
(IOPATH B1 X (0.240:0.240:0.240) (0.310:0.310:0.310))
(IOPATH B2 X (0.225:0.225:0.225) (0.292:0.292:0.292))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2299_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.217:0.217:0.217) (0.326:0.326:0.326))
(IOPATH A2 X (0.222:0.222:0.222) (0.363:0.363:0.363))
(IOPATH B1 X (0.197:0.197:0.197) (0.345:0.346:0.346))
(IOPATH C1 X (0.193:0.193:0.193) (0.297:0.297:0.297))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2300_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.178:0.178:0.178) (0.143:0.144:0.144))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2301_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.145:0.145:0.145) (0.260:0.260:0.260))
(IOPATH B X (0.171:0.171:0.171) (0.255:0.255:0.255))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2302_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.189:0.189:0.189) (0.236:0.236:0.236))
(IOPATH A2 X (0.176:0.177:0.177) (0.260:0.260:0.261))
(IOPATH A3 X (0.172:0.172:0.172) (0.266:0.266:0.266))
(IOPATH B1 X (0.147:0.147:0.147) (0.214:0.214:0.214))
(IOPATH B2 X (0.154:0.154:0.154) (0.226:0.226:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2303_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.145:0.145:0.145) (0.148:0.148:0.148))
(IOPATH B X (0.120:0.120:0.121) (0.149:0.149:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2304_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.076) (0.078:0.078:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_4")
(INSTANCE _2305_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.286:0.286:0.286) (0.347:0.347:0.347))
(IOPATH A2 X (0.267:0.267:0.267) (0.343:0.343:0.343))
(IOPATH B1 X (0.249:0.249:0.249) (0.315:0.315:0.315))
(IOPATH B2 X (0.230:0.230:0.230) (0.296:0.296:0.296))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2306_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.214:0.214:0.214) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2307_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.197:0.197:0.197) (0.311:0.311:0.311))
(IOPATH A2 X (0.212:0.212:0.212) (0.356:0.356:0.356))
(IOPATH B1 X (0.188:0.188:0.188) (0.338:0.338:0.339))
(IOPATH C1 X (0.173:0.173:0.173) (0.290:0.290:0.290))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2308_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.143:0.143:0.143) (0.258:0.258:0.258))
(IOPATH B X (0.169:0.169:0.169) (0.254:0.254:0.254))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2309_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.222:0.222:0.222) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2310_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.181:0.181:0.181) (0.229:0.229:0.229))
(IOPATH A2 X (0.164:0.164:0.165) (0.250:0.250:0.251))
(IOPATH A3 X (0.163:0.163:0.163) (0.259:0.259:0.259))
(IOPATH B1 X (0.139:0.139:0.139) (0.208:0.208:0.208))
(IOPATH B2 X (0.144:0.144:0.144) (0.219:0.219:0.219))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2311_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.151:0.151:0.151) (0.151:0.151:0.151))
(IOPATH B X (0.123:0.123:0.124) (0.151:0.151:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2312_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.088:0.088:0.088) (0.086:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_4")
(INSTANCE _2313_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.241:0.241:0.241) (0.319:0.319:0.319))
(IOPATH A2 X (0.229:0.229:0.229) (0.319:0.319:0.319))
(IOPATH B1 X (0.210:0.210:0.210) (0.290:0.290:0.290))
(IOPATH B2 X (0.193:0.193:0.193) (0.272:0.272:0.272))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2314_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.230:0.230:0.230) (0.335:0.335:0.335))
(IOPATH A2 X (0.243:0.243:0.243) (0.379:0.379:0.379))
(IOPATH B1 X (0.208:0.209:0.209) (0.354:0.355:0.355))
(IOPATH C1 X (0.204:0.204:0.204) (0.313:0.313:0.313))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2315_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.152:0.152:0.152) (0.265:0.265:0.265))
(IOPATH B X (0.179:0.179:0.179) (0.260:0.260:0.260))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2316_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.187:0.187:0.187) (0.234:0.234:0.234))
(IOPATH A2 X (0.182:0.182:0.182) (0.265:0.266:0.266))
(IOPATH A3 X (0.173:0.173:0.173) (0.267:0.267:0.267))
(IOPATH B1 X (0.146:0.146:0.146) (0.213:0.213:0.213))
(IOPATH B2 X (0.151:0.151:0.151) (0.224:0.224:0.224))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2317_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.144:0.144:0.144) (0.147:0.147:0.147))
(IOPATH B X (0.118:0.119:0.119) (0.147:0.148:0.148))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2318_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.080:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2319_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.194:0.194:0.194) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2320_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.210:0.210:0.210) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_4")
(INSTANCE _2321_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.219:0.219:0.219) (0.305:0.305:0.305))
(IOPATH A2 X (0.206:0.206:0.206) (0.304:0.304:0.304))
(IOPATH B1 X (0.188:0.188:0.188) (0.275:0.275:0.275))
(IOPATH B2 X (0.170:0.170:0.170) (0.257:0.257:0.257))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2322_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.203:0.203:0.203) (0.315:0.315:0.315))
(IOPATH A2 X (0.218:0.218:0.218) (0.361:0.361:0.361))
(IOPATH B1 X (0.173:0.173:0.174) (0.329:0.329:0.330))
(IOPATH C1 X (0.179:0.179:0.179) (0.295:0.295:0.295))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2323_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.148:0.148:0.148) (0.263:0.263:0.263))
(IOPATH B X (0.178:0.178:0.178) (0.260:0.260:0.260))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2324_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.183:0.183:0.183) (0.231:0.231:0.231))
(IOPATH A2 X (0.176:0.176:0.176) (0.259:0.260:0.260))
(IOPATH A3 X (0.175:0.175:0.175) (0.269:0.269:0.269))
(IOPATH B1 X (0.147:0.147:0.147) (0.214:0.214:0.214))
(IOPATH B2 X (0.154:0.154:0.154) (0.226:0.226:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2325_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.145:0.145:0.145) (0.148:0.148:0.148))
(IOPATH B X (0.120:0.120:0.121) (0.149:0.149:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2326_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.084:0.084:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_4")
(INSTANCE _2327_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.233:0.233:0.233) (0.313:0.313:0.313))
(IOPATH A2 X (0.226:0.226:0.226) (0.318:0.318:0.318))
(IOPATH B1 X (0.208:0.208:0.208) (0.289:0.289:0.289))
(IOPATH B2 X (0.190:0.190:0.190) (0.271:0.271:0.271))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2328_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.195:0.195:0.195) (0.310:0.310:0.310))
(IOPATH A2 X (0.210:0.210:0.210) (0.355:0.355:0.355))
(IOPATH B1 X (0.174:0.174:0.174) (0.328:0.329:0.329))
(IOPATH C1 X (0.171:0.171:0.171) (0.289:0.289:0.289))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2329_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.123:0.123:0.123) (0.244:0.244:0.244))
(IOPATH B X (0.153:0.153:0.153) (0.241:0.241:0.241))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2330_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.185:0.185:0.185) (0.233:0.233:0.233))
(IOPATH A2 X (0.174:0.175:0.175) (0.258:0.259:0.259))
(IOPATH A3 X (0.169:0.169:0.169) (0.264:0.264:0.264))
(IOPATH B1 X (0.151:0.151:0.151) (0.218:0.218:0.218))
(IOPATH B2 X (0.156:0.156:0.156) (0.228:0.228:0.228))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2331_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.146:0.146:0.146) (0.148:0.148:0.148))
(IOPATH B X (0.121:0.121:0.122) (0.150:0.150:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2332_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.081) (0.081:0.081:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2333_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.248:0.248:0.248) (0.216:0.217:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2334_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.261:0.261:0.261) (0.213:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2335_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.250:0.250:0.250) (0.200:0.201:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_4")
(INSTANCE _2336_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.201:0.201:0.201) (0.290:0.290:0.290))
(IOPATH A2 X (0.210:0.210:0.210) (0.307:0.307:0.307))
(IOPATH B1 X (0.192:0.192:0.192) (0.277:0.277:0.277))
(IOPATH B2 X (0.197:0.197:0.197) (0.278:0.278:0.278))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2337_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.151:0.151:0.151) (0.282:0.282:0.282))
(IOPATH A2 X (0.180:0.180:0.180) (0.329:0.329:0.329))
(IOPATH B1 X (0.137:0.137:0.137) (0.298:0.298:0.299))
(IOPATH C1 X (0.140:0.140:0.140) (0.263:0.263:0.263))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2338_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.172:0.172:0.172) (0.139:0.141:0.142))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2339_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.129:0.129:0.129) (0.250:0.250:0.250))
(IOPATH B X (0.158:0.158:0.158) (0.246:0.246:0.246))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2340_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.199:0.199:0.199) (0.242:0.242:0.242))
(IOPATH A2 X (0.175:0.176:0.176) (0.259:0.259:0.260))
(IOPATH A3 X (0.185:0.185:0.185) (0.275:0.275:0.275))
(IOPATH B1 X (0.166:0.166:0.166) (0.229:0.229:0.229))
(IOPATH B2 X (0.169:0.169:0.169) (0.237:0.237:0.237))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2341_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.150:0.150:0.150) (0.151:0.151:0.151))
(IOPATH B X (0.129:0.130:0.130) (0.156:0.156:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2342_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.084:0.084) (0.083:0.084:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_4")
(INSTANCE _2343_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.228:0.228:0.228) (0.307:0.307:0.307))
(IOPATH A2 X (0.241:0.241:0.241) (0.326:0.326:0.326))
(IOPATH B1 X (0.222:0.222:0.222) (0.297:0.297:0.297))
(IOPATH B2 X (0.231:0.231:0.231) (0.300:0.300:0.300))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2344_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.235:0.251:0.266) (0.228:0.228:0.229))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2345_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.158:0.158:0.158) (0.288:0.288:0.288))
(IOPATH A2 X (0.182:0.182:0.182) (0.331:0.331:0.331))
(IOPATH B1 X (0.150:0.150:0.150) (0.309:0.310:0.310))
(IOPATH C1 X (0.152:0.152:0.152) (0.264:0.264:0.264))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2346_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.147:0.147:0.147) (0.262:0.262:0.262))
(IOPATH B X (0.176:0.176:0.176) (0.258:0.258:0.258))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2347_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.214:0.214:0.214) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2348_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.190:0.190:0.190) (0.236:0.236:0.236))
(IOPATH A2 X (0.167:0.168:0.168) (0.253:0.253:0.254))
(IOPATH A3 X (0.181:0.181:0.182) (0.274:0.274:0.274))
(IOPATH B1 X (0.155:0.155:0.155) (0.221:0.221:0.221))
(IOPATH B2 X (0.157:0.157:0.157) (0.229:0.229:0.229))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2349_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.146:0.146:0.146) (0.148:0.148:0.148))
(IOPATH B X (0.122:0.123:0.123) (0.151:0.151:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2350_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.080) (0.080:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_4")
(INSTANCE _2351_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.211:0.211:0.211) (0.297:0.297:0.297))
(IOPATH A2 X (0.228:0.228:0.228) (0.319:0.319:0.319))
(IOPATH B1 X (0.210:0.210:0.210) (0.289:0.289:0.289))
(IOPATH B2 X (0.221:0.221:0.221) (0.295:0.295:0.295))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2352_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.154:0.154:0.154) (0.284:0.284:0.284))
(IOPATH A2 X (0.175:0.175:0.175) (0.325:0.325:0.325))
(IOPATH B1 X (0.139:0.140:0.140) (0.298:0.299:0.299))
(IOPATH C1 X (0.145:0.145:0.145) (0.257:0.257:0.257))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2353_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.125:0.125:0.125) (0.246:0.246:0.246))
(IOPATH B X (0.153:0.153:0.153) (0.241:0.241:0.241))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2354_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.177:0.177:0.177) (0.226:0.226:0.226))
(IOPATH A2 X (0.152:0.152:0.153) (0.240:0.241:0.242))
(IOPATH A3 X (0.161:0.161:0.161) (0.257:0.257:0.257))
(IOPATH B1 X (0.144:0.144:0.144) (0.212:0.212:0.212))
(IOPATH B2 X (0.145:0.145:0.145) (0.219:0.219:0.219))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2355_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.144:0.144:0.144) (0.146:0.146:0.146))
(IOPATH B X (0.116:0.117:0.117) (0.146:0.146:0.147))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2356_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.081:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2357_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.203:0.203) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2358_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.184:0.184:0.184) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_4")
(INSTANCE _2359_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.205:0.205:0.205) (0.293:0.293:0.293))
(IOPATH A2 X (0.223:0.223:0.223) (0.315:0.315:0.315))
(IOPATH B1 X (0.205:0.205:0.205) (0.286:0.286:0.286))
(IOPATH B2 X (0.217:0.217:0.217) (0.293:0.293:0.293))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2360_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.150:0.150:0.150) (0.280:0.280:0.280))
(IOPATH A2 X (0.171:0.171:0.171) (0.321:0.321:0.321))
(IOPATH B1 X (0.134:0.134:0.135) (0.293:0.294:0.294))
(IOPATH C1 X (0.141:0.141:0.142) (0.254:0.254:0.254))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2361_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.120:0.120:0.120) (0.241:0.241:0.241))
(IOPATH B X (0.148:0.148:0.148) (0.237:0.237:0.237))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2362_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.156:0.156:0.156) (0.219:0.219:0.219))
(IOPATH A2 X (0.146:0.147:0.148) (0.236:0.237:0.237))
(IOPATH A3 X (0.156:0.156:0.156) (0.253:0.253:0.253))
(IOPATH B1 X (0.148:0.148:0.148) (0.215:0.215:0.215))
(IOPATH B2 X (0.141:0.141:0.141) (0.216:0.216:0.216))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2363_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.148:0.148:0.148) (0.150:0.150:0.150))
(IOPATH B X (0.117:0.117:0.118) (0.146:0.147:0.147))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2364_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.079:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_4")
(INSTANCE _2365_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.226:0.226:0.226) (0.308:0.308:0.308))
(IOPATH A2 X (0.248:0.248:0.248) (0.331:0.331:0.331))
(IOPATH B1 X (0.229:0.229:0.229) (0.303:0.303:0.303))
(IOPATH B2 X (0.241:0.241:0.241) (0.308:0.308:0.308))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2366_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.143:0.143:0.143) (0.273:0.273:0.273))
(IOPATH A2 X (0.161:0.161:0.161) (0.312:0.312:0.312))
(IOPATH B1 X (0.132:0.132:0.132) (0.288:0.289:0.289))
(IOPATH C1 X (0.132:0.132:0.132) (0.244:0.244:0.244))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2367_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.251:0.251:0.251))
(IOPATH B X (0.158:0.158:0.158) (0.246:0.246:0.246))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2368_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.164:0.164:0.164) (0.225:0.225:0.225))
(IOPATH A2 X (0.151:0.152:0.152) (0.239:0.240:0.241))
(IOPATH A3 X (0.167:0.167:0.167) (0.262:0.262:0.262))
(IOPATH B1 X (0.157:0.157:0.157) (0.222:0.222:0.222))
(IOPATH B2 X (0.149:0.149:0.149) (0.222:0.222:0.222))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2369_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.147:0.147:0.147) (0.149:0.149:0.149))
(IOPATH B X (0.118:0.118:0.118) (0.147:0.148:0.148))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2370_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.078) (0.079:0.079:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2371_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.251:0.251:0.251) (0.218:0.219:0.219))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2372_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.264:0.264:0.264) (0.211:0.212:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2373_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.251:0.251:0.251) (0.201:0.201:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_4")
(INSTANCE _2374_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.215:0.215:0.215) (0.299:0.299:0.299))
(IOPATH A2 X (0.224:0.224:0.224) (0.326:0.326:0.326))
(IOPATH B1 X (0.203:0.203:0.203) (0.284:0.284:0.284))
(IOPATH B2 X (0.214:0.214:0.214) (0.290:0.290:0.290))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2375_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.165:0.165:0.165) (0.294:0.294:0.294))
(IOPATH A2 X (0.185:0.185:0.185) (0.334:0.334:0.334))
(IOPATH B1 X (0.146:0.147:0.147) (0.305:0.306:0.306))
(IOPATH C1 X (0.154:0.154:0.154) (0.266:0.266:0.266))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2376_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.173:0.173:0.173) (0.140:0.141:0.143))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2377_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.124:0.124:0.124) (0.245:0.245:0.245))
(IOPATH B X (0.152:0.152:0.152) (0.241:0.241:0.241))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2378_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.158:0.158:0.158) (0.221:0.221:0.221))
(IOPATH A2 X (0.154:0.154:0.155) (0.243:0.243:0.244))
(IOPATH A3 X (0.159:0.159:0.159) (0.256:0.256:0.256))
(IOPATH B1 X (0.150:0.150:0.150) (0.217:0.217:0.217))
(IOPATH B2 X (0.143:0.143:0.143) (0.218:0.218:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2379_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.159:0.159:0.159) (0.158:0.158:0.158))
(IOPATH B X (0.129:0.129:0.129) (0.155:0.155:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2380_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.083:0.083) (0.083:0.083:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_4")
(INSTANCE _2381_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.244:0.244:0.244) (0.319:0.319:0.319))
(IOPATH A2 X (0.253:0.253:0.253) (0.346:0.346:0.346))
(IOPATH B1 X (0.232:0.232:0.232) (0.305:0.305:0.305))
(IOPATH B2 X (0.243:0.243:0.243) (0.310:0.310:0.310))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2382_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.237:0.253:0.268) (0.229:0.229:0.230))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2383_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.152:0.152:0.152) (0.281:0.281:0.281))
(IOPATH A2 X (0.171:0.171:0.171) (0.320:0.320:0.320))
(IOPATH B1 X (0.141:0.141:0.141) (0.297:0.297:0.298))
(IOPATH C1 X (0.141:0.141:0.141) (0.253:0.253:0.253))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2384_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.132:0.132:0.132) (0.251:0.251:0.251))
(IOPATH B X (0.158:0.158:0.158) (0.246:0.246:0.246))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2385_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.218:0.218:0.218) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2386_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.157:0.157:0.157) (0.220:0.220:0.220))
(IOPATH A2 X (0.147:0.148:0.148) (0.236:0.237:0.238))
(IOPATH A3 X (0.160:0.160:0.160) (0.256:0.256:0.256))
(IOPATH B1 X (0.143:0.143:0.143) (0.211:0.211:0.211))
(IOPATH B2 X (0.143:0.143:0.143) (0.218:0.218:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2387_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.152:0.152:0.152) (0.153:0.153:0.153))
(IOPATH B X (0.121:0.121:0.122) (0.149:0.150:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2388_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.080) (0.080:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_4")
(INSTANCE _2389_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.196:0.196:0.196) (0.287:0.287:0.287))
(IOPATH A2 X (0.214:0.214:0.214) (0.319:0.319:0.319))
(IOPATH B1 X (0.193:0.193:0.193) (0.277:0.277:0.277))
(IOPATH B2 X (0.206:0.206:0.206) (0.285:0.285:0.285))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2390_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.150:0.150:0.150) (0.280:0.280:0.280))
(IOPATH A2 X (0.170:0.170:0.170) (0.320:0.320:0.320))
(IOPATH B1 X (0.128:0.128:0.128) (0.289:0.289:0.290))
(IOPATH C1 X (0.140:0.140:0.140) (0.252:0.252:0.252))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2391_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.152:0.152:0.152) (0.264:0.264:0.264))
(IOPATH B X (0.176:0.176:0.176) (0.258:0.258:0.258))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2392_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.169:0.169:0.169) (0.230:0.230:0.230))
(IOPATH A2 X (0.160:0.160:0.161) (0.246:0.247:0.248))
(IOPATH A3 X (0.179:0.179:0.179) (0.271:0.271:0.272))
(IOPATH B1 X (0.160:0.160:0.160) (0.225:0.225:0.225))
(IOPATH B2 X (0.156:0.156:0.156) (0.228:0.228:0.228))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2393_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.147:0.147:0.147) (0.149:0.149:0.149))
(IOPATH B X (0.119:0.120:0.120) (0.148:0.149:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2394_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.083) (0.082:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2395_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.179:0.179:0.179) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2396_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.248:0.248:0.248) (0.201:0.203:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_4")
(INSTANCE _2397_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.234:0.234:0.234) (0.312:0.312:0.312))
(IOPATH A2 X (0.248:0.248:0.248) (0.342:0.342:0.342))
(IOPATH B1 X (0.227:0.227:0.227) (0.301:0.301:0.301))
(IOPATH B2 X (0.236:0.236:0.236) (0.304:0.304:0.304))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2398_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.159:0.159:0.159) (0.289:0.289:0.289))
(IOPATH A2 X (0.174:0.174:0.174) (0.323:0.323:0.323))
(IOPATH B1 X (0.142:0.142:0.143) (0.301:0.301:0.302))
(IOPATH C1 X (0.144:0.144:0.144) (0.256:0.256:0.256))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2399_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.276:0.276:0.276))
(IOPATH B X (0.197:0.197:0.197) (0.272:0.272:0.272))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2400_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.177:0.177:0.177) (0.226:0.226:0.226))
(IOPATH A2 X (0.150:0.150:0.151) (0.239:0.239:0.240))
(IOPATH A3 X (0.173:0.174:0.174) (0.269:0.269:0.269))
(IOPATH B1 X (0.148:0.148:0.148) (0.215:0.215:0.215))
(IOPATH B2 X (0.145:0.145:0.145) (0.219:0.219:0.219))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2401_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.152:0.152:0.152) (0.150:0.150:0.150))
(IOPATH B X (0.124:0.125:0.125) (0.152:0.152:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2402_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.083:0.083) (0.083:0.083:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_4")
(INSTANCE _2403_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.222:0.222:0.222) (0.305:0.305:0.305))
(IOPATH A2 X (0.242:0.242:0.242) (0.338:0.338:0.338))
(IOPATH B1 X (0.220:0.220:0.220) (0.297:0.297:0.297))
(IOPATH B2 X (0.227:0.227:0.227) (0.299:0.299:0.299))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2404_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.144:0.144:0.144) (0.274:0.274:0.274))
(IOPATH A2 X (0.161:0.161:0.161) (0.312:0.312:0.312))
(IOPATH B1 X (0.128:0.128:0.128) (0.287:0.287:0.288))
(IOPATH C1 X (0.132:0.132:0.132) (0.244:0.244:0.244))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2405_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.139:0.139:0.139) (0.255:0.255:0.255))
(IOPATH B X (0.161:0.161:0.161) (0.248:0.248:0.248))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2406_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.190:0.190:0.190) (0.236:0.236:0.236))
(IOPATH A2 X (0.159:0.159:0.160) (0.245:0.246:0.247))
(IOPATH A3 X (0.176:0.176:0.176) (0.269:0.269:0.269))
(IOPATH B1 X (0.166:0.166:0.166) (0.229:0.229:0.229))
(IOPATH B2 X (0.158:0.158:0.158) (0.230:0.230:0.230))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2407_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.141:0.141:0.141) (0.143:0.143:0.143))
(IOPATH B X (0.117:0.118:0.118) (0.147:0.148:0.148))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2408_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2409_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.242:0.242:0.242) (0.213:0.214:0.215))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2410_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.273:0.273:0.273) (0.220:0.220:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2411_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.259:0.259:0.259) (0.207:0.208:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_4")
(INSTANCE _2412_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.249:0.249:0.249) (0.321:0.321:0.321))
(IOPATH A2 X (0.269:0.269:0.269) (0.344:0.344:0.344))
(IOPATH B1 X (0.249:0.249:0.249) (0.316:0.316:0.316))
(IOPATH B2 X (0.254:0.254:0.254) (0.314:0.314:0.314))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2413_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.159:0.159:0.159) (0.289:0.289:0.289))
(IOPATH A2 X (0.172:0.172:0.172) (0.323:0.323:0.323))
(IOPATH B1 X (0.150:0.150:0.150) (0.305:0.305:0.306))
(IOPATH C1 X (0.145:0.145:0.145) (0.257:0.257:0.257))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2414_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.164:0.164:0.164) (0.135:0.136:0.138))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2415_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.172:0.172:0.172) (0.278:0.278:0.278))
(IOPATH B X (0.197:0.197:0.197) (0.272:0.272:0.272))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2416_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.195:0.195:0.195) (0.239:0.239:0.239))
(IOPATH A2 X (0.168:0.169:0.169) (0.253:0.254:0.254))
(IOPATH A3 X (0.192:0.192:0.192) (0.283:0.283:0.283))
(IOPATH B1 X (0.162:0.162:0.162) (0.226:0.226:0.226))
(IOPATH B2 X (0.162:0.162:0.162) (0.233:0.233:0.233))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2417_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.144:0.144:0.144) (0.145:0.145:0.145))
(IOPATH B X (0.122:0.123:0.123) (0.150:0.151:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2418_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.082:0.082:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_4")
(INSTANCE _2419_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.178:0.178:0.178) (0.275:0.275:0.275))
(IOPATH A2 X (0.199:0.199:0.199) (0.300:0.300:0.300))
(IOPATH B1 X (0.179:0.179:0.179) (0.270:0.270:0.270))
(IOPATH B2 X (0.182:0.182:0.182) (0.268:0.268:0.268))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2420_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.243:0.259:0.274) (0.232:0.233:0.233))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2421_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.155:0.155:0.155) (0.284:0.284:0.284))
(IOPATH A2 X (0.171:0.171:0.171) (0.322:0.322:0.322))
(IOPATH B1 X (0.123:0.123:0.123) (0.288:0.288:0.288))
(IOPATH C1 X (0.146:0.146:0.146) (0.258:0.258:0.258))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2422_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.185:0.185:0.185) (0.288:0.288:0.288))
(IOPATH B X (0.211:0.211:0.211) (0.281:0.281:0.281))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2423_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.221:0.221:0.221) (0.206:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2424_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.190:0.190:0.190) (0.236:0.236:0.236))
(IOPATH A2 X (0.163:0.164:0.164) (0.249:0.250:0.251))
(IOPATH A3 X (0.192:0.192:0.192) (0.284:0.284:0.285))
(IOPATH B1 X (0.162:0.162:0.162) (0.226:0.226:0.226))
(IOPATH B2 X (0.159:0.159:0.159) (0.230:0.230:0.230))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2425_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.160:0.160:0.160) (0.156:0.156:0.156))
(IOPATH B X (0.136:0.137:0.137) (0.160:0.161:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2426_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.084:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_4")
(INSTANCE _2427_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.206:0.206:0.206) (0.294:0.294:0.294))
(IOPATH A2 X (0.212:0.212:0.212) (0.308:0.308:0.308))
(IOPATH B1 X (0.192:0.192:0.192) (0.278:0.278:0.278))
(IOPATH B2 X (0.200:0.200:0.200) (0.281:0.281:0.281))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2428_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.154:0.154:0.154) (0.284:0.284:0.284))
(IOPATH A2 X (0.167:0.167:0.167) (0.318:0.318:0.318))
(IOPATH B1 X (0.125:0.126:0.126) (0.287:0.287:0.288))
(IOPATH C1 X (0.142:0.142:0.142) (0.254:0.254:0.254))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2429_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.164:0.164:0.164) (0.273:0.273:0.273))
(IOPATH B X (0.190:0.190:0.190) (0.267:0.267:0.267))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2430_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.188:0.188:0.188) (0.235:0.235:0.235))
(IOPATH A2 X (0.159:0.160:0.160) (0.246:0.247:0.248))
(IOPATH A3 X (0.183:0.183:0.183) (0.276:0.276:0.276))
(IOPATH B1 X (0.162:0.162:0.162) (0.226:0.226:0.226))
(IOPATH B2 X (0.156:0.156:0.156) (0.228:0.228:0.228))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2431_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.150:0.150:0.150) (0.149:0.149:0.149))
(IOPATH B X (0.126:0.126:0.126) (0.153:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2432_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.080:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2433_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.185:0.185:0.185) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2434_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.254:0.254:0.255) (0.205:0.207:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_4")
(INSTANCE _2435_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.221:0.221:0.221) (0.303:0.303:0.303))
(IOPATH A2 X (0.231:0.231:0.231) (0.320:0.320:0.320))
(IOPATH B1 X (0.211:0.211:0.211) (0.291:0.291:0.291))
(IOPATH B2 X (0.221:0.221:0.221) (0.294:0.294:0.294))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2436_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.171:0.171:0.171) (0.300:0.300:0.300))
(IOPATH A2 X (0.184:0.184:0.184) (0.334:0.334:0.334))
(IOPATH B1 X (0.151:0.151:0.151) (0.310:0.310:0.311))
(IOPATH C1 X (0.158:0.159:0.159) (0.270:0.270:0.270))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2437_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.228:0.228:0.228) (0.315:0.315:0.315))
(IOPATH B X (0.248:0.248:0.248) (0.306:0.306:0.306))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2438_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.181:0.181:0.181) (0.229:0.229:0.229))
(IOPATH A2 X (0.157:0.157:0.158) (0.245:0.246:0.246))
(IOPATH A3 X (0.191:0.191:0.191) (0.285:0.285:0.285))
(IOPATH B1 X (0.152:0.152:0.152) (0.219:0.219:0.219))
(IOPATH B2 X (0.147:0.147:0.147) (0.221:0.221:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2439_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.146:0.146:0.146) (0.147:0.147:0.147))
(IOPATH B X (0.117:0.118:0.118) (0.146:0.147:0.148))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2440_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.079:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_4")
(INSTANCE _2441_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.202:0.202:0.202) (0.290:0.290:0.290))
(IOPATH A2 X (0.216:0.216:0.216) (0.311:0.311:0.311))
(IOPATH B1 X (0.196:0.196:0.196) (0.281:0.281:0.281))
(IOPATH B2 X (0.197:0.197:0.197) (0.278:0.278:0.278))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2442_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.156:0.156:0.156) (0.286:0.286:0.286))
(IOPATH A2 X (0.166:0.166:0.166) (0.317:0.317:0.317))
(IOPATH B1 X (0.126:0.127:0.127) (0.287:0.288:0.288))
(IOPATH C1 X (0.141:0.141:0.141) (0.253:0.253:0.253))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2443_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.191:0.191:0.191) (0.290:0.290:0.290))
(IOPATH B X (0.210:0.210:0.210) (0.280:0.280:0.280))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2444_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.185:0.185:0.185) (0.232:0.232:0.232))
(IOPATH A2 X (0.153:0.154:0.154) (0.241:0.242:0.243))
(IOPATH A3 X (0.183:0.183:0.183) (0.278:0.278:0.278))
(IOPATH B1 X (0.150:0.150:0.150) (0.217:0.217:0.217))
(IOPATH B2 X (0.151:0.151:0.151) (0.224:0.224:0.224))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2445_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.145:0.145:0.145) (0.146:0.146:0.146))
(IOPATH B X (0.117:0.117:0.117) (0.146:0.147:0.147))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2446_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.079:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_4")
(INSTANCE _2447_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.178:0.178:0.178) (0.276:0.276:0.276))
(IOPATH A2 X (0.197:0.197:0.197) (0.307:0.307:0.307))
(IOPATH B1 X (0.179:0.179:0.179) (0.269:0.269:0.269))
(IOPATH B2 X (0.186:0.186:0.186) (0.270:0.270:0.270))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2448_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.166:0.166:0.166) (0.295:0.295:0.295))
(IOPATH A2 X (0.181:0.181:0.181) (0.331:0.331:0.331))
(IOPATH B1 X (0.134:0.134:0.134) (0.297:0.298:0.298))
(IOPATH C1 X (0.155:0.155:0.155) (0.266:0.267:0.267))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_2")
(INSTANCE _2449_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.152:0.152:0.152) (0.339:0.339:0.339))
(IOPATH B X (0.198:0.198:0.198) (0.344:0.344:0.344))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2450_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.187:0.187:0.187) (0.234:0.234:0.234))
(IOPATH A2 X (0.161:0.162:0.162) (0.248:0.249:0.249))
(IOPATH A3 X (0.170:0.170:0.170) (0.271:0.271:0.271))
(IOPATH B1 X (0.161:0.161:0.161) (0.226:0.226:0.226))
(IOPATH B2 X (0.153:0.153:0.153) (0.226:0.226:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2451_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.145:0.145:0.145) (0.146:0.146:0.146))
(IOPATH B X (0.118:0.118:0.118) (0.147:0.148:0.148))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2452_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.083:0.083) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_4")
(INSTANCE _2453_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.184:0.184:0.184) (0.279:0.279:0.279))
(IOPATH A2 X (0.194:0.194:0.194) (0.305:0.305:0.305))
(IOPATH B1 X (0.177:0.177:0.177) (0.267:0.267:0.267))
(IOPATH B2 X (0.181:0.181:0.181) (0.267:0.267:0.267))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2454_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.148:0.148:0.148) (0.278:0.278:0.278))
(IOPATH A2 X (0.171:0.171:0.171) (0.322:0.322:0.322))
(IOPATH B1 X (0.123:0.123:0.123) (0.287:0.288:0.288))
(IOPATH C1 X (0.141:0.141:0.141) (0.254:0.254:0.254))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2455_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.222:0.222:0.222) (0.312:0.312:0.312))
(IOPATH B X (0.262:0.262:0.262) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2456_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.189:0.189:0.189) (0.235:0.235:0.235))
(IOPATH A2 X (0.159:0.159:0.160) (0.246:0.247:0.247))
(IOPATH A3 X (0.198:0.198:0.198) (0.291:0.291:0.291))
(IOPATH B1 X (0.157:0.157:0.157) (0.223:0.223:0.223))
(IOPATH B2 X (0.146:0.146:0.146) (0.220:0.220:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2457_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.148:0.148:0.148) (0.148:0.148:0.148))
(IOPATH B X (0.120:0.121:0.122) (0.149:0.150:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2458_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_4")
(INSTANCE _2459_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.216:0.216:0.216) (0.299:0.299:0.299))
(IOPATH A2 X (0.223:0.223:0.223) (0.324:0.324:0.324))
(IOPATH B1 X (0.205:0.205:0.205) (0.286:0.286:0.286))
(IOPATH B2 X (0.209:0.209:0.209) (0.285:0.285:0.285))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2460_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.153:0.153:0.153) (0.283:0.283:0.283))
(IOPATH A2 X (0.165:0.165:0.165) (0.315:0.315:0.315))
(IOPATH B1 X (0.130:0.130:0.130) (0.289:0.290:0.290))
(IOPATH C1 X (0.134:0.134:0.134) (0.248:0.248:0.248))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2461_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.180:0.180:0.180) (0.284:0.284:0.284))
(IOPATH B X (0.220:0.220:0.220) (0.292:0.292:0.292))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2462_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.187:0.187:0.187) (0.234:0.234:0.234))
(IOPATH A2 X (0.155:0.156:0.156) (0.243:0.244:0.244))
(IOPATH A3 X (0.185:0.185:0.185) (0.278:0.278:0.278))
(IOPATH B1 X (0.167:0.167:0.167) (0.231:0.231:0.231))
(IOPATH B2 X (0.145:0.145:0.145) (0.220:0.220:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2463_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.151:0.151:0.151) (0.151:0.151:0.151))
(IOPATH B X (0.123:0.124:0.124) (0.152:0.152:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2464_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.084:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2465_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.241:0.241:0.241) (0.121:0.121:0.121))
(IOPATH B Y (0.220:0.223:0.226) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a41o_1")
(INSTANCE _2466_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.240:0.240:0.240) (0.230:0.230:0.230))
(IOPATH A2 X (0.243:0.243:0.243) (0.256:0.256:0.256))
(IOPATH A3 X (0.257:0.257:0.257) (0.287:0.287:0.287))
(IOPATH A4 X (0.255:0.255:0.255) (0.293:0.293:0.293))
(IOPATH B1 X (0.195:0.195:0.195) (0.258:0.260:0.261))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _2467_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.137:0.137:0.137) (0.187:0.187:0.187))
(IOPATH A2 X (0.143:0.143:0.143) (0.168:0.170:0.171))
(IOPATH B1 X (0.131:0.131:0.132) (0.109:0.111:0.112))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2468_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.146:0.146:0.146) (0.294:0.294:0.294))
(IOPATH A1 X (0.149:0.149:0.149) (0.295:0.295:0.295))
(IOPATH S X (0.201:0.201:0.201) (0.330:0.330:0.330))
(IOPATH S X (0.149:0.149:0.149) (0.311:0.311:0.311))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2469_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.084:0.085) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2470_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.213:0.213:0.213) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2471_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.151:0.151:0.151) (0.299:0.299:0.299))
(IOPATH A1 X (0.146:0.146:0.146) (0.294:0.294:0.294))
(IOPATH S X (0.216:0.216:0.216) (0.334:0.334:0.334))
(IOPATH S X (0.153:0.153:0.153) (0.324:0.324:0.324))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2472_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.078) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2473_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.170:0.170:0.170) (0.303:0.303:0.303))
(IOPATH A1 X (0.122:0.122:0.122) (0.285:0.285:0.285))
(IOPATH S X (0.217:0.217:0.217) (0.335:0.335:0.335))
(IOPATH S X (0.155:0.155:0.155) (0.325:0.325:0.325))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2474_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.079) (0.090:0.090:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2475_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.167:0.167:0.167) (0.300:0.300:0.300))
(IOPATH A1 X (0.119:0.119:0.119) (0.282:0.282:0.282))
(IOPATH S X (0.214:0.214:0.214) (0.331:0.331:0.331))
(IOPATH S X (0.151:0.151:0.151) (0.321:0.321:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2476_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.074:0.075:0.076) (0.087:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2477_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.132:0.132:0.132) (0.279:0.279:0.279))
(IOPATH A1 X (0.119:0.119:0.119) (0.284:0.284:0.284))
(IOPATH S X (0.216:0.216:0.216) (0.335:0.335:0.335))
(IOPATH S X (0.154:0.154:0.154) (0.324:0.324:0.324))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2478_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.079:0.079) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2479_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.152:0.152:0.152) (0.296:0.296:0.296))
(IOPATH A1 X (0.132:0.132:0.132) (0.298:0.298:0.298))
(IOPATH S X (0.229:0.229:0.229) (0.348:0.348:0.348))
(IOPATH S X (0.166:0.166:0.166) (0.338:0.338:0.338))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2480_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.090:0.090:0.090) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2481_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.218:0.218:0.218) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2482_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.139:0.139:0.139) (0.282:0.282:0.282))
(IOPATH A1 X (0.118:0.118:0.118) (0.283:0.283:0.283))
(IOPATH S X (0.218:0.218:0.218) (0.335:0.335:0.335))
(IOPATH S X (0.155:0.155:0.155) (0.325:0.325:0.325))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2483_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.074:0.074:0.075) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2484_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.136:0.136:0.136) (0.279:0.279:0.279))
(IOPATH A1 X (0.131:0.131:0.131) (0.287:0.287:0.287))
(IOPATH S X (0.217:0.217:0.217) (0.334:0.334:0.334))
(IOPATH S X (0.154:0.154:0.154) (0.325:0.325:0.325))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2485_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.077:0.077) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2486_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.130:0.130:0.130) (0.278:0.278:0.278))
(IOPATH A1 X (0.142:0.142:0.142) (0.292:0.292:0.292))
(IOPATH S X (0.218:0.218:0.218) (0.335:0.335:0.335))
(IOPATH S X (0.155:0.155:0.155) (0.326:0.326:0.326))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2487_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.081:0.081) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2488_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.146:0.146:0.146) (0.283:0.283:0.283))
(IOPATH A1 X (0.142:0.142:0.142) (0.289:0.289:0.289))
(IOPATH S X (0.213:0.213:0.213) (0.329:0.329:0.329))
(IOPATH S X (0.150:0.150:0.150) (0.319:0.319:0.319))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2489_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.073:0.074:0.074) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2490_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.154:0.154:0.154) (0.292:0.292:0.292))
(IOPATH A1 X (0.143:0.143:0.143) (0.293:0.293:0.293))
(IOPATH S X (0.219:0.219:0.219) (0.336:0.336:0.336))
(IOPATH S X (0.156:0.156:0.156) (0.327:0.327:0.327))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2491_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.076:0.077) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2492_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.209:0.209:0.209) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2493_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.141:0.141:0.141) (0.281:0.281:0.281))
(IOPATH A1 X (0.116:0.116:0.116) (0.281:0.281:0.281))
(IOPATH S X (0.212:0.212:0.212) (0.331:0.331:0.331))
(IOPATH S X (0.150:0.150:0.150) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2494_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.078) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2495_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.147:0.147:0.147) (0.287:0.287:0.287))
(IOPATH A1 X (0.120:0.120:0.120) (0.285:0.285:0.285))
(IOPATH S X (0.216:0.216:0.216) (0.335:0.335:0.335))
(IOPATH S X (0.154:0.154:0.154) (0.324:0.324:0.324))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2496_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.077) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2497_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.154:0.154:0.154) (0.290:0.290:0.290))
(IOPATH A1 X (0.115:0.115:0.115) (0.279:0.279:0.279))
(IOPATH S X (0.211:0.211:0.211) (0.330:0.330:0.330))
(IOPATH S X (0.149:0.149:0.149) (0.319:0.319:0.319))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2498_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.079:0.079) (0.090:0.090:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2499_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.121:0.121:0.121) (0.270:0.270:0.270))
(IOPATH A1 X (0.116:0.116:0.116) (0.278:0.278:0.278))
(IOPATH S X (0.210:0.210:0.210) (0.327:0.327:0.327))
(IOPATH S X (0.148:0.148:0.148) (0.317:0.317:0.317))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2500_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.077) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2501_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.117:0.117:0.117) (0.270:0.270:0.270))
(IOPATH A1 X (0.116:0.116:0.116) (0.279:0.279:0.279))
(IOPATH S X (0.211:0.211:0.211) (0.329:0.329:0.329))
(IOPATH S X (0.149:0.149:0.149) (0.318:0.318:0.318))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2502_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.078) (0.089:0.089:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2503_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.226:0.226:0.226) (0.189:0.190:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2504_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.125:0.125:0.125) (0.273:0.273:0.273))
(IOPATH A1 X (0.117:0.117:0.117) (0.280:0.280:0.280))
(IOPATH S X (0.214:0.214:0.214) (0.331:0.331:0.331))
(IOPATH S X (0.151:0.151:0.151) (0.321:0.321:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2505_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.081) (0.091:0.091:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2506_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.131:0.131:0.131) (0.277:0.277:0.277))
(IOPATH A1 X (0.123:0.123:0.123) (0.284:0.284:0.284))
(IOPATH S X (0.215:0.215:0.215) (0.333:0.333:0.333))
(IOPATH S X (0.153:0.153:0.153) (0.323:0.323:0.323))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2507_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.079:0.079) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2508_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.128:0.128:0.128) (0.277:0.277:0.277))
(IOPATH A1 X (0.124:0.124:0.124) (0.286:0.286:0.286))
(IOPATH S X (0.217:0.217:0.217) (0.335:0.335:0.335))
(IOPATH S X (0.155:0.155:0.155) (0.325:0.325:0.325))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2509_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.078) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2510_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.137:0.137:0.137) (0.288:0.288:0.288))
(IOPATH A1 X (0.134:0.134:0.134) (0.297:0.297:0.297))
(IOPATH S X (0.227:0.227:0.227) (0.346:0.346:0.346))
(IOPATH S X (0.164:0.164:0.164) (0.336:0.336:0.336))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2511_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2512_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.126:0.126:0.126) (0.273:0.273:0.273))
(IOPATH A1 X (0.119:0.119:0.119) (0.281:0.281:0.281))
(IOPATH S X (0.213:0.213:0.213) (0.331:0.331:0.331))
(IOPATH S X (0.151:0.151:0.151) (0.321:0.321:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2513_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.076) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2514_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.132:0.132:0.132) (0.277:0.277:0.277))
(IOPATH A1 X (0.122:0.122:0.122) (0.283:0.283:0.283))
(IOPATH S X (0.217:0.217:0.217) (0.333:0.333:0.333))
(IOPATH S X (0.154:0.154:0.154) (0.324:0.324:0.324))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2515_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.072:0.073:0.073) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2516_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.130:0.130:0.130) (0.141:0.141:0.141))
(IOPATH B X (0.143:0.143:0.143) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2517_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.077) (0.079:0.079:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2518_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.105:0.105:0.105) (0.094:0.094:0.094))
(IOPATH B Y (0.103:0.103:0.103) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2519_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.117:0.117:0.117) (0.234:0.234:0.234))
(IOPATH B X (0.106:0.106:0.106) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _2520_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.171:0.171:0.171) (0.165:0.165:0.165))
(IOPATH B X (0.158:0.158:0.158) (0.170:0.172:0.174))
(IOPATH C X (0.151:0.151:0.151) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2521_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.085:0.085) (0.084:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2522_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.190:0.190:0.190) (0.223:0.224:0.224))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2523_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.136:0.136:0.136) (0.252:0.252:0.252))
(IOPATH B X (0.117:0.117:0.118) (0.223:0.225:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2524_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.070:0.070:0.070) (0.062:0.062:0.062))
(IOPATH B Y (0.067:0.069:0.071) (0.055:0.055:0.055))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _2525_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.175:0.175:0.175) (0.158:0.158:0.158))
(IOPATH B X (0.152:0.152:0.152) (0.168:0.168:0.168))
(IOPATH C X (0.152:0.152:0.152) (0.171:0.174:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2526_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.082:0.083:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _2527_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.256:0.256:0.256) (0.191:0.191:0.191))
(IOPATH B X (0.267:0.267:0.267) (0.219:0.219:0.219))
(IOPATH C X (0.266:0.266:0.266) (0.232:0.232:0.232))
(IOPATH D X (0.271:0.271:0.271) (0.245:0.245:0.245))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkinv_2")
(INSTANCE _2528_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.041:0.041:0.042) (0.051:0.051:0.051))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2529_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.067:0.067:0.067) (0.064:0.064:0.064))
(IOPATH B Y (0.063:0.063:0.064) (0.049:0.049:0.049))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _2530_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.172:0.172:0.172) (0.157:0.157:0.157))
(IOPATH B X (0.138:0.138:0.138) (0.154:0.154:0.154))
(IOPATH C X (0.149:0.149:0.149) (0.167:0.172:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2531_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.080) (0.080:0.081:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2532_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.229:0.229:0.229) (0.206:0.206:0.206))
(IOPATH B X (0.222:0.222:0.222) (0.206:0.207:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkinv_2")
(INSTANCE _2533_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.047:0.047:0.047) (0.058:0.058:0.058))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2534_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.120:0.120:0.120) (0.237:0.237:0.237))
(IOPATH B X (0.111:0.111:0.111) (0.203:0.204:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _2535_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.178:0.178:0.178) (0.161:0.161:0.161))
(IOPATH B X (0.145:0.145:0.145) (0.161:0.161:0.161))
(IOPATH C X (0.153:0.154:0.154) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2536_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.080:0.080:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2537_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.120:0.120:0.120) (0.109:0.109:0.109))
(IOPATH B Y (0.119:0.120:0.120) (0.113:0.113:0.113))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2538_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.123:0.123:0.123) (0.242:0.242:0.242))
(IOPATH B X (0.123:0.123:0.123) (0.216:0.217:0.217))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _2539_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.170:0.170:0.170) (0.155:0.155:0.155))
(IOPATH B X (0.158:0.158:0.159) (0.174:0.174:0.175))
(IOPATH C X (0.148:0.148:0.148) (0.175:0.175:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2540_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.082:0.082) (0.081:0.082:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2541_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.242:0.242:0.242) (0.106:0.106:0.106))
(IOPATH B Y (0.220:0.220:0.220) (0.085:0.085:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkinv_2")
(INSTANCE _2542_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.045:0.047:0.048) (0.074:0.074:0.074))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2543_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.079:0.079:0.079) (0.069:0.069:0.069))
(IOPATH B Y (0.079:0.079:0.080) (0.063:0.063:0.064))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _2544_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.177:0.177:0.177) (0.160:0.160:0.160))
(IOPATH B X (0.143:0.143:0.144) (0.167:0.167:0.167))
(IOPATH C X (0.156:0.156:0.156) (0.175:0.178:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2545_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.082:0.083:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2546_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.113:0.113:0.113) (0.231:0.231:0.231))
(IOPATH B X (0.133:0.133:0.133) (0.203:0.205:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2547_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.110:0.110:0.110) (0.100:0.100:0.100))
(IOPATH B Y (0.106:0.108:0.111) (0.128:0.128:0.128))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _2548_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.154:0.154:0.155) (0.184:0.184:0.185))
(IOPATH B X (0.138:0.138:0.139) (0.157:0.157:0.157))
(IOPATH C X (0.157:0.157:0.158) (0.186:0.189:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2549_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.077) (0.079:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _2550_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.239:0.239:0.239) (0.195:0.195:0.195))
(IOPATH B X (0.253:0.253:0.253) (0.213:0.213:0.213))
(IOPATH C X (0.247:0.247:0.247) (0.232:0.232:0.232))
(IOPATH D X (0.256:0.256:0.256) (0.238:0.239:0.239))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2551_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.118:0.118:0.118) (0.234:0.234:0.234))
(IOPATH B X (0.110:0.110:0.110) (0.205:0.206:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2552_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.151:0.151:0.151) (0.219:0.219:0.219))
(IOPATH A2 X (0.144:0.144:0.145) (0.216:0.219:0.222))
(IOPATH B1 X (0.141:0.141:0.141) (0.126:0.126:0.126))
(IOPATH C1 X (0.162:0.162:0.162) (0.131:0.131:0.131))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2553_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.159:0.159:0.159) (0.163:0.163:0.163))
(IOPATH B X (0.156:0.156:0.156) (0.169:0.171:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2554_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.135:0.135:0.135) (0.240:0.240:0.240))
(IOPATH B X (0.094:0.094:0.094) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2555_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.110:0.110:0.110) (0.112:0.112:0.112))
(IOPATH B Y (0.088:0.088:0.088) (0.076:0.076:0.076))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _2556_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.158:0.158:0.158) (0.187:0.187:0.187))
(IOPATH B X (0.142:0.142:0.143) (0.160:0.160:0.160))
(IOPATH C X (0.158:0.158:0.158) (0.180:0.187:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2557_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.080:0.081:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _2558_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.158:0.158:0.158) (0.192:0.192:0.192))
(IOPATH A2 X (0.189:0.189:0.189) (0.235:0.235:0.235))
(IOPATH A3 X (0.161:0.161:0.161) (0.222:0.223:0.224))
(IOPATH B1 X (0.132:0.132:0.132) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2559_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.172:0.172:0.172) (0.239:0.239:0.239))
(IOPATH A2 X (0.135:0.135:0.135) (0.203:0.209:0.215))
(IOPATH B1 X (0.139:0.139:0.140) (0.117:0.118:0.119))
(IOPATH C1 X (0.156:0.156:0.156) (0.126:0.126:0.126))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _2560_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.148:0.148:0.148) (0.196:0.196:0.196))
(IOPATH A2 X (0.128:0.128:0.128) (0.178:0.178:0.178))
(IOPATH B1 X (0.128:0.129:0.129) (0.107:0.108:0.109))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21boi_1")
(INSTANCE _2561_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.146:0.146:0.146) (0.094:0.094:0.094))
(IOPATH A2 Y (0.150:0.152:0.153) (0.109:0.109:0.109))
(IOPATH B1_N Y (0.175:0.175:0.176) (0.138:0.139:0.140))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2562_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.373:0.373:0.373) (0.333:0.333:0.333))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2563_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.426:0.426:0.426) (0.362:0.362:0.362))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2564_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.330:0.330:0.330) (0.311:0.311:0.311))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2565_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.348:0.348:0.348) (0.322:0.322:0.322))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2566_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.479:0.479:0.479) (0.421:0.421:0.421))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2567_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.456:0.456:0.456) (0.407:0.407:0.407))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
(SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2568_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.462:0.462:0.462) (0.413:0.413:0.413))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2569_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.470:0.470:0.470) (0.417:0.417:0.417))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2570_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.480:0.480:0.480) (0.421:0.421:0.421))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2571_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.462:0.462:0.462) (0.412:0.412:0.412))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2572_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.468:0.468:0.468) (0.415:0.415:0.415))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2573_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.445:0.445:0.445) (0.404:0.404:0.404))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2574_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.456:0.456:0.456) (0.410:0.410:0.410))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2575_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.388:0.388:0.388) (0.339:0.339:0.339))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2576_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.349:0.349:0.349) (0.318:0.318:0.318))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(HOLD (negedge D) (posedge CLK) (-0.053:-0.053:-0.053))
(SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061))
(SETUP (negedge D) (posedge CLK) (0.110:0.110:0.110))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2577_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.402:0.402:0.402) (0.350:0.350:0.350))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(HOLD (negedge D) (posedge CLK) (-0.049:-0.050:-0.051))
(SETUP (posedge D) (posedge CLK) (0.070:0.070:0.070))
(SETUP (negedge D) (posedge CLK) (0.106:0.107:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2578_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.366:0.366:0.366) (0.331:0.331:0.331))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.046:-0.047))
(SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062))
(SETUP (negedge D) (posedge CLK) (0.102:0.103:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2579_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.349:0.349:0.349) (0.322:0.322:0.322))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.034:-0.034))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.047:-0.051))
(SETUP (posedge D) (posedge CLK) (0.056:0.057:0.057))
(SETUP (negedge D) (posedge CLK) (0.100:0.104:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2580_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.395:0.395:0.395) (0.346:0.346:0.346))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.045:-0.046))
(SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060))
(SETUP (negedge D) (posedge CLK) (0.101:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2581_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.368:0.368:0.368) (0.332:0.332:0.332))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.044:-0.045))
(SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
(SETUP (negedge D) (posedge CLK) (0.100:0.101:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2582_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.346:0.346:0.346) (0.321:0.321:0.321))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.046:-0.048))
(SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
(SETUP (negedge D) (posedge CLK) (0.099:0.102:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2583_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.354:0.354:0.354) (0.325:0.325:0.325))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.045:-0.046))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.101:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2584_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.355:0.355:0.355) (0.322:0.322:0.322))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2585_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.379:0.379:0.379) (0.335:0.335:0.335))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.048:-0.049))
(SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
(SETUP (negedge D) (posedge CLK) (0.103:0.104:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2586_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.353:0.353:0.353) (0.322:0.322:0.322))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.049:-0.050))
(SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062))
(SETUP (negedge D) (posedge CLK) (0.103:0.105:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2587_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.359:0.359:0.359) (0.325:0.325:0.325))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.048:-0.049))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.103:0.104:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2588_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.344:0.344:0.344) (0.316:0.316:0.316))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.050:-0.054))
(SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062))
(SETUP (negedge D) (posedge CLK) (0.104:0.107:0.110))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2589_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.376:0.376:0.376) (0.332:0.332:0.332))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.041:-0.043:-0.045))
(HOLD (negedge D) (posedge CLK) (-0.050:-0.054:-0.058))
(SETUP (posedge D) (posedge CLK) (0.065:0.067:0.069))
(SETUP (negedge D) (posedge CLK) (0.107:0.111:0.116))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2590_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.386:0.386:0.386) (0.336:0.336:0.336))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2591_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.348:0.348:0.348) (0.319:0.319:0.319))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.048:-0.049))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.054))
(SETUP (negedge D) (posedge CLK) (0.104:0.105:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2592_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.317:0.317:0.317) (0.301:0.301:0.301))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.053:-0.061))
(SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061))
(SETUP (negedge D) (posedge CLK) (0.101:0.110:0.118))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2593_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.321:0.321:0.321) (0.306:0.306:0.306))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2594_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.382:0.382:0.382) (0.339:0.339:0.339))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2595_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.317:0.317:0.317) (0.303:0.303:0.303))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.049:-0.050))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.105:0.106:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2596_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.384:0.384:0.384) (0.339:0.339:0.339))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.045:-0.046))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.101:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2597_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.368:0.368:0.368) (0.332:0.332:0.332))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.047:-0.048))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.053))
(SETUP (negedge D) (posedge CLK) (0.103:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2598_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.330:0.330:0.330) (0.311:0.311:0.311))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.048:-0.049))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.054))
(SETUP (negedge D) (posedge CLK) (0.104:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2599_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.348:0.348:0.348) (0.320:0.320:0.320))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2600_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.353:0.353:0.353) (0.321:0.321:0.321))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.052))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.108:0.108:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2601_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.410:0.410:0.410) (0.385:0.385:0.385))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.008:-0.008:-0.008))
(HOLD (negedge D) (posedge CLK) (0.001:-0.004:-0.010))
(SETUP (posedge D) (posedge CLK) (0.029:0.029:0.029))
(SETUP (negedge D) (posedge CLK) (0.054:0.059:0.065))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2602_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.345:0.345:0.345) (0.320:0.320:0.320))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.048:-0.052))
(SETUP (posedge D) (posedge CLK) (0.058:0.059:0.059))
(SETUP (negedge D) (posedge CLK) (0.099:0.104:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2603_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.410:0.410:0.410) (0.352:0.352:0.352))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.045:-0.046))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.101:0.102:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2604_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.387:0.387:0.387) (0.342:0.342:0.342))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2605_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.359:0.359:0.359) (0.326:0.326:0.326))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2606_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.440:0.440:0.440) (0.398:0.398:0.398))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.037))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.050:-0.056))
(SETUP (posedge D) (posedge CLK) (0.065:0.066:0.067))
(SETUP (negedge D) (posedge CLK) (0.111:0.117:0.123))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2607_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.334:0.334:0.334) (0.311:0.311:0.311))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2608_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.435:0.435:0.435) (0.366:0.366:0.366))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.038:-0.040:-0.042))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.052:-0.057))
(SETUP (posedge D) (posedge CLK) (0.062:0.064:0.066))
(SETUP (negedge D) (posedge CLK) (0.103:0.109:0.114))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2609_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.378:0.378:0.378) (0.336:0.336:0.336))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2610_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.371:0.371:0.371) (0.333:0.333:0.333))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2611_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.346:0.346:0.346) (0.319:0.319:0.319))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.053:-0.053:-0.053))
(HOLD (negedge D) (posedge CLK) (-0.071:-0.074:-0.076))
(SETUP (posedge D) (posedge CLK) (0.078:0.078:0.078))
(SETUP (negedge D) (posedge CLK) (0.129:0.132:0.134))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2612_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.434:0.434:0.434) (0.396:0.396:0.396))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.036))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.046:-0.050))
(SETUP (posedge D) (posedge CLK) (0.064:0.065:0.065))
(SETUP (negedge D) (posedge CLK) (0.108:0.113:0.117))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2613_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.319:0.319:0.319) (0.302:0.302:0.302))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.040))
(HOLD (negedge D) (posedge CLK) (-0.049:-0.051:-0.054))
(SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063))
(SETUP (negedge D) (posedge CLK) (0.106:0.108:0.110))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _2614_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.382:0.382:0.382) (0.348:0.348:0.348))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.038:-0.040:-0.042))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.046:-0.049))
(SETUP (posedge D) (posedge CLK) (0.067:0.069:0.071))
(SETUP (negedge D) (posedge CLK) (0.110:0.113:0.116))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2615_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.314:0.314:0.314) (0.300:0.300:0.300))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.050:-0.051:-0.051))
(HOLD (negedge D) (posedge CLK) (-0.050:-0.051:-0.052))
(SETUP (posedge D) (posedge CLK) (0.075:0.075:0.075))
(SETUP (negedge D) (posedge CLK) (0.107:0.108:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _2616_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.399:0.399:0.399) (0.356:0.356:0.356))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.043:-0.047))
(SETUP (posedge D) (posedge CLK) (0.064:0.064:0.065))
(SETUP (negedge D) (posedge CLK) (0.105:0.109:0.114))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2617_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.317:0.317:0.317) (0.301:0.301:0.301))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.038:-0.040:-0.042))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.053:-0.059))
(SETUP (posedge D) (posedge CLK) (0.061:0.063:0.065))
(SETUP (negedge D) (posedge CLK) (0.105:0.110:0.116))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _2618_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.416:0.416:0.416) (0.368:0.368:0.368))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.042:-0.045))
(SETUP (posedge D) (posedge CLK) (0.078:0.078:0.078))
(SETUP (negedge D) (posedge CLK) (0.105:0.109:0.112))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2619_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.381:0.381:0.381) (0.337:0.337:0.337))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.049:-0.052))
(SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060))
(SETUP (negedge D) (posedge CLK) (0.102:0.105:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2620_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.378:0.378:0.378) (0.334:0.334:0.334))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.037:-0.039:-0.041))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.052:-0.055))
(SETUP (posedge D) (posedge CLK) (0.061:0.063:0.065))
(SETUP (negedge D) (posedge CLK) (0.105:0.108:0.112))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2621_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.409:0.409:0.409) (0.351:0.351:0.351))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2622_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.341:0.341:0.341) (0.315:0.315:0.315))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.046:-0.048))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.052))
(SETUP (negedge D) (posedge CLK) (0.101:0.103:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2623_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.337:0.337:0.337) (0.314:0.314:0.314))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.042:-0.042:-0.043))
(HOLD (negedge D) (posedge CLK) (-0.061:-0.064:-0.067))
(SETUP (posedge D) (posedge CLK) (0.066:0.066:0.067))
(SETUP (negedge D) (posedge CLK) (0.119:0.122:0.124))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2624_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.330:0.330:0.330) (0.309:0.309:0.309))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2625_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.325:0.325:0.325) (0.306:0.306:0.306))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2626_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.341:0.341:0.341) (0.316:0.316:0.316))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.052))
(SETUP (posedge D) (posedge CLK) (0.050:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.107:0.108:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2627_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.350:0.350:0.350) (0.320:0.320:0.320))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.047:-0.049))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.102:0.104:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2628_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.349:0.349:0.349) (0.320:0.320:0.320))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.052:-0.053:-0.053))
(SETUP (posedge D) (posedge CLK) (0.051:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.109:0.110:0.110))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2629_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.347:0.347:0.347) (0.319:0.319:0.319))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.047:-0.049))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.052))
(SETUP (negedge D) (posedge CLK) (0.101:0.103:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2630_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.349:0.349:0.349) (0.321:0.321:0.321))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.052))
(SETUP (posedge D) (posedge CLK) (0.050:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.108:0.108:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2631_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.349:0.349:0.349) (0.321:0.321:0.321))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.048:-0.050))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.103:0.105:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2632_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.368:0.368:0.368) (0.331:0.331:0.331))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.050:-0.051:-0.051))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.051))
(SETUP (negedge D) (posedge CLK) (0.107:0.107:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2633_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.355:0.355:0.355) (0.324:0.324:0.324))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.048:-0.050))
(SETUP (posedge D) (posedge CLK) (0.052:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.103:0.105:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2634_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.452:0.452:0.452) (0.374:0.374:0.374))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.047:-0.049))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.101:0.103:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2635_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.390:0.390:0.390) (0.342:0.342:0.342))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.051:-0.052:-0.053))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.052))
(SETUP (negedge D) (posedge CLK) (0.108:0.109:0.110))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2636_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.405:0.405:0.405) (0.350:0.350:0.350))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.052))
(SETUP (posedge D) (posedge CLK) (0.050:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.108:0.108:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2637_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.332:0.332:0.332) (0.309:0.309:0.309))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.050:-0.051))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.104:0.106:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2638_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.320:0.320:0.320) (0.305:0.305:0.305))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.044:-0.046))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.099:0.101:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2639_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.366:0.366:0.366) (0.331:0.331:0.331))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.046:-0.048))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.101:0.103:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2640_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.383:0.383:0.383) (0.338:0.338:0.338))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.047))
(SETUP (posedge D) (posedge CLK) (0.050:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2641_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.401:0.401:0.401) (0.347:0.347:0.347))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.051:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.104:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2642_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.370:0.370:0.370) (0.331:0.331:0.331))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.049))
(SETUP (posedge D) (posedge CLK) (0.051:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.104:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2643_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.350:0.350:0.350) (0.321:0.321:0.321))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.050:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2644_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.344:0.344:0.344) (0.316:0.316:0.316))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.049))
(SETUP (posedge D) (posedge CLK) (0.051:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2645_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.405:0.405:0.405) (0.352:0.352:0.352))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.046:-0.047))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.102:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2646_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.374:0.374:0.374) (0.336:0.336:0.336))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.049:-0.049))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.050))
(SETUP (negedge D) (posedge CLK) (0.105:0.106:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2647_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.369:0.369:0.369) (0.332:0.332:0.332))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.049:-0.049))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.105:0.105:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2648_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.351:0.351:0.351) (0.322:0.322:0.322))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.047:-0.049))
(SETUP (posedge D) (posedge CLK) (0.051:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.101:0.103:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2649_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.352:0.352:0.352) (0.321:0.321:0.321))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2650_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.359:0.359:0.359) (0.327:0.327:0.327))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.045:-0.047))
(SETUP (posedge D) (posedge CLK) (0.050:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.101:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2651_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.353:0.353:0.353) (0.322:0.322:0.322))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.049:-0.051))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.104:0.106:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2652_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.357:0.357:0.357) (0.326:0.326:0.326))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.046:-0.048))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.101:0.103:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2653_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.375:0.375:0.375) (0.331:0.331:0.331))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.052))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2654_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.339:0.339:0.339) (0.313:0.313:0.313))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.051:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.104:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2655_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.346:0.346:0.346) (0.317:0.317:0.317))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.054))
(SETUP (negedge D) (posedge CLK) (0.106:0.107:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2656_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.402:0.402:0.402) (0.348:0.348:0.348))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.047:-0.049))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.102:0.104:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2657_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.436:0.436:0.436) (0.366:0.366:0.366))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.050:-0.051:-0.052))
(SETUP (posedge D) (posedge CLK) (0.050:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.107:0.108:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2658_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.382:0.382:0.382) (0.338:0.338:0.338))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.051:-0.052:-0.052))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.052))
(SETUP (negedge D) (posedge CLK) (0.108:0.109:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2659_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.358:0.358:0.358) (0.327:0.327:0.327))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.051:-0.052:-0.053))
(SETUP (posedge D) (posedge CLK) (0.051:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.108:0.109:0.110))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2660_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.353:0.353:0.353) (0.321:0.321:0.321))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.051:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.104:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2661_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.352:0.352:0.352) (0.322:0.322:0.322))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.047:-0.049))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.102:0.104:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2662_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.420:0.420:0.420) (0.360:0.360:0.360))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.045:-0.047))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.102:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2663_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.351:0.351:0.351) (0.323:0.323:0.323))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.045:-0.047))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.102:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2664_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.371:0.371:0.371) (0.330:0.330:0.330))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.053))
(SETUP (negedge D) (posedge CLK) (0.105:0.106:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2665_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.405:0.405:0.405) (0.348:0.348:0.348))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.051:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.104:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2666_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.408:0.408:0.408) (0.350:0.350:0.350))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.051:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.104:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2667_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.324:0.324:0.324) (0.307:0.307:0.307))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.049:-0.050))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.050))
(SETUP (negedge D) (posedge CLK) (0.105:0.106:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2668_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.446:0.446:0.446) (0.373:0.373:0.373))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.044:-0.046))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.098:0.100:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2669_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.370:0.370:0.370) (0.331:0.331:0.331))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.048:-0.049))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.102:0.104:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2670_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.400:0.400:0.400) (0.349:0.349:0.349))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.044:-0.046))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.099:0.101:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2671_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.359:0.359:0.359) (0.324:0.324:0.324))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.049:-0.051))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.103:0.105:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2672_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.363:0.363:0.363) (0.325:0.325:0.325))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.049:-0.051))
(SETUP (posedge D) (posedge CLK) (0.053:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.104:0.106:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2673_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.408:0.408:0.408) (0.349:0.349:0.349))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.050))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.053))
(SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2674_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.376:0.376:0.376) (0.333:0.333:0.333))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.049:-0.049))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.053))
(SETUP (negedge D) (posedge CLK) (0.105:0.106:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2675_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.392:0.392:0.392) (0.341:0.341:0.341))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.051:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.104:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2676_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.352:0.352:0.352) (0.320:0.320:0.320))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.052))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2677_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.387:0.387:0.387) (0.339:0.339:0.339))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.051:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.104:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2678_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.379:0.379:0.379) (0.335:0.335:0.335))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.051:-0.052:-0.053))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.052))
(SETUP (negedge D) (posedge CLK) (0.108:0.109:0.110))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2679_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.385:0.385:0.385) (0.342:0.342:0.342))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.045:-0.047))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.102:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2680_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.373:0.373:0.373) (0.331:0.331:0.331))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.047:-0.049))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.102:0.104:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2681_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.333:0.333:0.333) (0.310:0.310:0.310))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.049:-0.050:-0.050))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.054))
(SETUP (negedge D) (posedge CLK) (0.106:0.107:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2682_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.372:0.372:0.372) (0.335:0.335:0.335))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.047:-0.049))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.102:0.104:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2683_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.406:0.406:0.406) (0.348:0.348:0.348))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.051:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.104:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2684_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.376:0.376:0.376) (0.334:0.334:0.334))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.048:-0.049))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.102:0.104:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2685_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.406:0.406:0.406) (0.350:0.350:0.350))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.050:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2686_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.359:0.359:0.359) (0.325:0.325:0.325))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.048))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.052))
(SETUP (negedge D) (posedge CLK) (0.103:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2687_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.391:0.391:0.391) (0.342:0.342:0.342))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.048))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.052))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2688_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.359:0.359:0.359) (0.325:0.325:0.325))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.052:-0.053:-0.053))
(SETUP (posedge D) (posedge CLK) (0.051:0.052:0.053))
(SETUP (negedge D) (posedge CLK) (0.109:0.109:0.110))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2689_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.357:0.357:0.357) (0.323:0.323:0.323))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.048:-0.050))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.103:0.105:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2690_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.359:0.359:0.359) (0.325:0.325:0.325))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.049:-0.051))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.104:0.106:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2691_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.363:0.363:0.363) (0.328:0.328:0.328))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.051:-0.052:-0.052))
(SETUP (posedge D) (posedge CLK) (0.050:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.108:0.108:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2692_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.395:0.395:0.395) (0.344:0.344:0.344))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.047:-0.049))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.102:0.104:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2693_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.316:0.316:0.316) (0.301:0.301:0.301))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.051:-0.052:-0.052))
(SETUP (posedge D) (posedge CLK) (0.050:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.108:0.108:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2694_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.372:0.372:0.372) (0.333:0.333:0.333))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.051:-0.052:-0.053))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.052))
(SETUP (negedge D) (posedge CLK) (0.108:0.109:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2695_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.346:0.346:0.346) (0.318:0.318:0.318))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.047:-0.049))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.102:0.104:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2696_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.397:0.397:0.397) (0.344:0.344:0.344))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.050:-0.051:-0.053))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.106:0.108:0.110))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2697_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.355:0.355:0.355) (0.321:0.321:0.321))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.049:-0.051:-0.053))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.106:0.108:0.110))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2698_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.375:0.375:0.375) (0.333:0.333:0.333))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.054:-0.054:-0.055))
(SETUP (posedge D) (posedge CLK) (0.052:0.053:0.054))
(SETUP (negedge D) (posedge CLK) (0.111:0.111:0.112))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2699_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.366:0.366:0.366) (0.328:0.328:0.328))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.048:-0.050))
(SETUP (posedge D) (posedge CLK) (0.052:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.102:0.104:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2700_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.368:0.368:0.368) (0.330:0.330:0.330))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.046:-0.048))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.101:0.103:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2701_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.353:0.353:0.353) (0.321:0.321:0.321))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.049:-0.050:-0.050))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.106:0.106:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2702_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.370:0.370:0.370) (0.331:0.331:0.331))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.048:-0.050))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.053))
(SETUP (negedge D) (posedge CLK) (0.102:0.104:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2703_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.333:0.333:0.333) (0.310:0.310:0.310))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.048))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.052))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2704_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.374:0.374:0.374) (0.332:0.332:0.332))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.049:-0.049))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.053))
(SETUP (negedge D) (posedge CLK) (0.105:0.105:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2705_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.374:0.374:0.374) (0.333:0.333:0.333))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.047))
(SETUP (posedge D) (posedge CLK) (0.050:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2706_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.348:0.348:0.348) (0.319:0.319:0.319))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.047:-0.049))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.102:0.104:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2707_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.394:0.394:0.394) (0.343:0.343:0.343))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.048:-0.049))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.102:0.104:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2708_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.360:0.360:0.360) (0.324:0.324:0.324))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.052:-0.052:-0.053))
(SETUP (posedge D) (posedge CLK) (0.051:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.108:0.109:0.110))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2709_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.351:0.351:0.351) (0.322:0.322:0.322))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.048:-0.050))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.103:0.105:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2710_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.395:0.395:0.395) (0.344:0.344:0.344))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.050:-0.051))
(SETUP (posedge D) (posedge CLK) (0.053:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.104:0.106:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2711_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.409:0.409:0.409) (0.352:0.352:0.352))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.047))
(SETUP (posedge D) (posedge CLK) (0.050:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2712_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.404:0.404:0.404) (0.349:0.349:0.349))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.104:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2713_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.343:0.343:0.343) (0.316:0.316:0.316))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.049:-0.049))
(SETUP (posedge D) (posedge CLK) (0.052:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.105:0.106:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2714_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.339:0.339:0.339) (0.313:0.313:0.313))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.049:-0.050:-0.052))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.105:0.107:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2715_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.338:0.338:0.338) (0.312:0.312:0.312))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.049:-0.050))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.103:0.105:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2716_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.418:0.418:0.418) (0.357:0.357:0.357))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.048))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.052))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2717_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.354:0.354:0.354) (0.323:0.323:0.323))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.047))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.051))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2718_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.416:0.416:0.416) (0.384:0.384:0.384))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
(SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2719_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.415:0.415:0.415) (0.383:0.383:0.383))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2720_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.418:0.418:0.418) (0.385:0.385:0.385))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2721_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.424:0.424:0.424) (0.390:0.390:0.390))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2722_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.422:0.422:0.422) (0.389:0.389:0.389))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2723_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.431:0.431:0.431) (0.395:0.395:0.395))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2724_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.426:0.426:0.426) (0.391:0.391:0.391))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
(SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2725_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.431:0.431:0.431) (0.393:0.393:0.393))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2726_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.423:0.423:0.423) (0.387:0.387:0.387))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062))
(SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2727_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.435:0.435:0.435) (0.395:0.395:0.395))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2728_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.436:0.436:0.436) (0.395:0.395:0.395))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062))
(SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2729_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.438:0.438:0.438) (0.397:0.397:0.397))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
(SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2730_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.434:0.434:0.434) (0.395:0.395:0.395))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062))
(SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2731_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.441:0.441:0.441) (0.398:0.398:0.398))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2732_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.447:0.447:0.447) (0.400:0.400:0.400))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2733_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.439:0.439:0.439) (0.396:0.396:0.396))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2734_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.441:0.441:0.441) (0.396:0.396:0.396))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061))
(SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2735_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.468:0.468:0.468) (0.408:0.408:0.408))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2736_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.468:0.468:0.468) (0.408:0.408:0.408))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2737_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.467:0.467:0.467) (0.409:0.409:0.409))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2738_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.450:0.450:0.450) (0.402:0.402:0.402))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
(SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2739_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.462:0.462:0.462) (0.406:0.406:0.406))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061))
(SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2740_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.461:0.461:0.461) (0.406:0.406:0.406))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2741_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.478:0.478:0.478) (0.414:0.414:0.414))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2742_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.450:0.450:0.450) (0.403:0.403:0.403))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2743_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.466:0.466:0.466) (0.409:0.409:0.409))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2744_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.471:0.471:0.471) (0.411:0.411:0.411))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2745_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.470:0.470:0.470) (0.410:0.410:0.410))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2746_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.451:0.451:0.451) (0.402:0.402:0.402))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2747_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.473:0.473:0.473) (0.410:0.410:0.410))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061))
(SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2748_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.460:0.460:0.460) (0.405:0.405:0.405))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2749_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.495:0.495:0.495) (0.420:0.420:0.420))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061))
(SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2750_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.291:0.291:0.291) (0.282:0.282:0.282))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.076:-0.076:-0.076))
(HOLD (negedge D) (posedge CLK) (-0.074:-0.075:-0.076))
(SETUP (posedge D) (posedge CLK) (0.103:0.103:0.103))
(SETUP (negedge D) (posedge CLK) (0.132:0.133:0.135))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2751_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.374:0.374:0.374) (0.335:0.335:0.335))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.046:-0.047))
(SETUP (posedge D) (posedge CLK) (0.051:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.101:0.102:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2752_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.370:0.370:0.370) (0.330:0.330:0.330))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2753_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.318:0.318:0.318) (0.303:0.303:0.303))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2754_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.438:0.438:0.438) (0.368:0.368:0.368))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2755_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.436:0.436:0.436) (0.364:0.364:0.364))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2756_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.334:0.334:0.334) (0.311:0.311:0.311))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2757_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.351:0.351:0.351) (0.320:0.320:0.320))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
(SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2758_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.355:0.355:0.355) (0.323:0.323:0.323))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2759_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.347:0.347:0.347) (0.320:0.320:0.320))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2760_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.334:0.334:0.334) (0.312:0.312:0.312))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2761_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.352:0.352:0.352) (0.321:0.321:0.321))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2762_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.371:0.371:0.371) (0.331:0.331:0.331))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2763_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.365:0.365:0.365) (0.330:0.330:0.330))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2764_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.372:0.372:0.372) (0.334:0.334:0.334))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2765_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.408:0.408:0.408) (0.354:0.354:0.354))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2766_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.330:0.330:0.330) (0.312:0.312:0.312))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2767_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.319:0.319:0.319) (0.305:0.305:0.305))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2768_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.328:0.328:0.328) (0.308:0.308:0.308))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2769_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.339:0.339:0.339) (0.315:0.315:0.315))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2770_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.326:0.326:0.326) (0.306:0.306:0.306))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2771_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.325:0.325:0.325) (0.305:0.305:0.305))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2772_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.330:0.330:0.330) (0.309:0.309:0.309))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2773_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.341:0.341:0.341) (0.315:0.315:0.315))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2774_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.383:0.383:0.383) (0.337:0.337:0.337))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _2775_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.406:0.406:0.406) (0.361:0.361:0.361))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _2776_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.394:0.394:0.394) (0.353:0.353:0.353))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
(SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _2777_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.401:0.401:0.401) (0.357:0.357:0.357))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
(SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2778_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.386:0.386:0.386) (0.337:0.337:0.337))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2779_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.361:0.361:0.361) (0.326:0.326:0.326))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _2780_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.408:0.408:0.408) (0.363:0.363:0.363))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2781_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.352:0.352:0.352) (0.321:0.321:0.321))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _2782_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.389:0.389:0.389) (0.352:0.352:0.352))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.044:-0.045))
(SETUP (posedge D) (posedge CLK) (0.059:0.060:0.060))
(SETUP (negedge D) (posedge CLK) (0.108:0.110:0.112))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2783_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.439:0.439:0.439) (0.366:0.366:0.366))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _2784_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.412:0.412:0.412) (0.363:0.363:0.363))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.042:-0.044))
(SETUP (posedge D) (posedge CLK) (0.058:0.058:0.059))
(SETUP (negedge D) (posedge CLK) (0.107:0.108:0.110))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2785_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.325:0.325:0.325) (0.306:0.306:0.306))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.047:-0.048))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.102:0.103:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2786_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.317:0.317:0.317) (0.301:0.301:0.301))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.042:-0.044:-0.046))
(HOLD (negedge D) (posedge CLK) (-0.051:-0.057:-0.063))
(SETUP (posedge D) (posedge CLK) (0.066:0.068:0.070))
(SETUP (negedge D) (posedge CLK) (0.108:0.114:0.120))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2788_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.091:0.091:0.091) (0.109:0.109:0.109))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2789_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.090:0.090:0.090) (0.109:0.109:0.109))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2790_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.107:0.107:0.107) (0.103:0.103:0.103))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2791_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.107:0.107:0.107) (0.104:0.104:0.104))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2792_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.105:0.105:0.105) (0.125:0.125:0.125))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2793_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.096:0.096:0.096) (0.116:0.120:0.123))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2794_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.108:0.108:0.108) (0.103:0.103:0.103))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2795_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.099:0.099:0.099) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2796_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.106:0.106:0.106) (0.101:0.101:0.101))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2797_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.105:0.105:0.105) (0.103:0.103:0.103))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2798_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.102:0.102:0.102) (0.098:0.098:0.098))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2799_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.130:0.130:0.130) (0.124:0.124:0.124))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2800_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.116:0.116:0.116) (0.114:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2801_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.120:0.120:0.120) (0.116:0.116:0.116))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2802_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.124:0.124:0.124) (0.119:0.119:0.119))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2803_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.117:0.117:0.117) (0.116:0.116:0.116))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2804_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.117:0.117:0.117) (0.114:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2805_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.110:0.110:0.110) (0.110:0.110:0.110))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2806_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.105:0.105:0.105) (0.104:0.104:0.104))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2807_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.109:0.109:0.109) (0.108:0.108:0.108))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2808_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.105:0.105:0.105))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2809_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.100:0.100:0.100) (0.117:0.117:0.117))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2810_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.217:0.217:0.217) (0.185:0.185:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2811_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.179:0.179:0.179) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2812_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.230:0.230:0.230) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2813_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.198:0.198) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _2814_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.242:0.242:0.242) (0.209:0.209:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2815_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.209:0.209:0.209) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2816_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.187:0.187:0.187) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2817_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.204:0.204:0.204) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2818_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.187:0.187:0.187) (0.168:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2819_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.217:0.217:0.217) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2820_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.102:0.102:0.102) (0.118:0.119:0.119))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2821_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.104:0.104:0.104) (0.100:0.100:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2822_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.097:0.097:0.097) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2823_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.099:0.099:0.099) (0.096:0.096:0.096))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2824_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.098:0.098:0.098) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2825_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.098:0.098:0.098) (0.095:0.095:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2826_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.099:0.099:0.099) (0.095:0.095:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2827_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.108:0.108:0.108) (0.103:0.103:0.103))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2828_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.105:0.105:0.105) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2829_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.102:0.102:0.102) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2830_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.105:0.105:0.105) (0.100:0.100:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2831_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.098:0.098:0.098) (0.095:0.095:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2832_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.104:0.104:0.104) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2833_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.097:0.097:0.097) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2834_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.110:0.110:0.110) (0.106:0.106:0.106))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2835_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.103:0.103:0.103) (0.101:0.101:0.101))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2836_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.113:0.113:0.113) (0.107:0.107:0.107))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2837_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.107:0.107:0.107) (0.105:0.105:0.105))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2838_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.111:0.111:0.111) (0.104:0.104:0.104))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2839_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.108:0.108:0.108) (0.103:0.103:0.103))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2840_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.100:0.100:0.100) (0.096:0.096:0.096))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2841_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.124:0.124:0.124) (0.119:0.119:0.119))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2842_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.107:0.107:0.107) (0.132:0.132:0.132))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2843_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.102:0.102:0.102) (0.121:0.121:0.121))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2844_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.097:0.097:0.097) (0.115:0.115:0.115))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2845_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.116:0.116:0.116) (0.113:0.113:0.113))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2846_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.105:0.105:0.105) (0.103:0.103:0.103))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_0_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.208:0.208:0.208) (0.195:0.195:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
(INSTANCE clkbuf_1_0_0_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.163:0.163:0.163) (0.163:0.163:0.163))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
(INSTANCE clkbuf_1_1_0_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.148:0.148:0.148) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
(INSTANCE clkbuf_2_0_0_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.365:0.365:0.365) (0.280:0.280:0.280))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
(INSTANCE clkbuf_2_1_0_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.307:0.307:0.307) (0.249:0.249:0.249))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
(INSTANCE clkbuf_2_2_0_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.250:0.250:0.250) (0.215:0.215:0.215))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
(INSTANCE clkbuf_2_3_0_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.292:0.292:0.292) (0.239:0.239:0.239))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_0_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.191:0.191:0.191) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_10_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.190:0.190:0.190) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_11_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.176:0.176:0.176) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_12_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.174:0.174:0.174) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_13_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.169:0.169) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_14_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.181:0.181:0.181) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_15_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.169:0.169) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_16_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.175:0.175:0.175) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_17_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.176:0.176:0.176) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_18_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.187:0.187:0.187) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_1_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_20_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.189:0.189:0.189) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_22_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_23_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.216:0.216:0.216) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_24_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.198:0.198) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_25_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.194:0.194:0.194) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_26_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.194:0.194:0.194) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_28_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.194:0.194:0.194) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_29_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.214:0.214:0.214) (0.209:0.209:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_2_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.198:0.198) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_30_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.219:0.219:0.219) (0.222:0.222:0.222))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_31_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.217:0.217:0.217) (0.221:0.221:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_32_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.207:0.207) (0.204:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_33_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.210:0.210:0.210) (0.206:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_34_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.211:0.211:0.211) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_35_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.216:0.216:0.216) (0.220:0.220:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_36_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.207:0.207) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_37_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.217:0.217:0.217) (0.221:0.221:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_38_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.215:0.215:0.215) (0.220:0.220:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_39_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.214:0.214:0.214) (0.219:0.219:0.219))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_3_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.215:0.215:0.215) (0.220:0.220:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_40_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.223:0.223:0.223) (0.225:0.225:0.225))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_41_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.204:0.204:0.204) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_42_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.113:0.113:0.113) (0.131:0.131:0.131))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_43_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.209:0.209:0.209) (0.215:0.215:0.215))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_44_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.214:0.214:0.214) (0.219:0.219:0.219))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_45_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.207:0.207) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_46_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.212:0.212:0.212) (0.218:0.218:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_47_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.223:0.223:0.223) (0.226:0.226:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_4_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.211:0.211:0.211) (0.206:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_5_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.191:0.191:0.191) (0.191:0.191:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_6_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_7_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.190:0.190:0.190) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_8_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.176:0.176:0.176) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_9_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_1_0_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.213:0.213:0.213) (0.218:0.218:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_2_0_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.194:0.194:0.194) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_2_1_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.112:0.112:0.112) (0.131:0.131:0.131))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_3_0_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.191:0.191:0.191) (0.191:0.191:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_3_1_wb_clk_i)
(DELAY
(ABSOLUTE
(IOPATH A X (0.112:0.112:0.112) (0.131:0.131:0.131))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input1)
(DELAY
(ABSOLUTE
(IOPATH A X (0.071:0.071:0.071) (0.071:0.071:0.071))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input10)
(DELAY
(ABSOLUTE
(IOPATH A X (0.071:0.071:0.071) (0.070:0.070:0.070))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input100)
(DELAY
(ABSOLUTE
(IOPATH A X (0.212:0.212:0.212) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input101)
(DELAY
(ABSOLUTE
(IOPATH A X (0.193:0.193:0.193) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input102)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.163:0.163:0.163))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input103)
(DELAY
(ABSOLUTE
(IOPATH A X (0.216:0.216:0.216) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input104)
(DELAY
(ABSOLUTE
(IOPATH A X (0.209:0.209:0.209) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input105)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input106)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input107)
(DELAY
(ABSOLUTE
(IOPATH A X (0.210:0.210:0.210) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input108)
(DELAY
(ABSOLUTE
(IOPATH A X (0.166:0.166:0.166) (0.162:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input109)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input11)
(DELAY
(ABSOLUTE
(IOPATH A X (0.070:0.070:0.070) (0.070:0.070:0.070))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input110)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input111)
(DELAY
(ABSOLUTE
(IOPATH A X (0.217:0.217:0.217) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input112)
(DELAY
(ABSOLUTE
(IOPATH A X (0.170:0.170:0.170) (0.163:0.163:0.163))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input113)
(DELAY
(ABSOLUTE
(IOPATH A X (0.190:0.190:0.190) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input114)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input115)
(DELAY
(ABSOLUTE
(IOPATH A X (0.172:0.172:0.172) (0.165:0.165:0.165))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input116)
(DELAY
(ABSOLUTE
(IOPATH A X (0.215:0.215:0.215) (0.185:0.185:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input117)
(DELAY
(ABSOLUTE
(IOPATH A X (0.186:0.186:0.186) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE input118)
(DELAY
(ABSOLUTE
(IOPATH A X (0.188:0.188:0.188) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input119)
(DELAY
(ABSOLUTE
(IOPATH A X (0.227:0.227:0.227) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input12)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.075:0.075:0.075))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input120)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.198:0.198) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input121)
(DELAY
(ABSOLUTE
(IOPATH A X (0.121:0.121:0.121) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input122)
(DELAY
(ABSOLUTE
(IOPATH A X (0.127:0.127:0.127) (0.102:0.102:0.102))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input123)
(DELAY
(ABSOLUTE
(IOPATH A X (0.122:0.122:0.122) (0.100:0.100:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input124)
(DELAY
(ABSOLUTE
(IOPATH A X (0.130:0.130:0.130) (0.104:0.104:0.104))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input125)
(DELAY
(ABSOLUTE
(IOPATH A X (0.097:0.097:0.097) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input126)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.207:0.207) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input127)
(DELAY
(ABSOLUTE
(IOPATH A X (0.192:0.192:0.192) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input128)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input129)
(DELAY
(ABSOLUTE
(IOPATH A X (0.177:0.177:0.177) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input13)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.076) (0.074:0.074:0.074))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input130)
(DELAY
(ABSOLUTE
(IOPATH A X (0.125:0.125:0.125) (0.101:0.101:0.101))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input131)
(DELAY
(ABSOLUTE
(IOPATH A X (0.118:0.118:0.118) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input132)
(DELAY
(ABSOLUTE
(IOPATH A X (0.108:0.108:0.108) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input133)
(DELAY
(ABSOLUTE
(IOPATH A X (0.106:0.106:0.106) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input134)
(DELAY
(ABSOLUTE
(IOPATH A X (0.099:0.099:0.099) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input135)
(DELAY
(ABSOLUTE
(IOPATH A X (0.132:0.132:0.132) (0.105:0.105:0.105))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input136)
(DELAY
(ABSOLUTE
(IOPATH A X (0.108:0.108:0.108) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input137)
(DELAY
(ABSOLUTE
(IOPATH A X (0.132:0.132:0.132) (0.105:0.105:0.105))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input138)
(DELAY
(ABSOLUTE
(IOPATH A X (0.114:0.114:0.114) (0.095:0.095:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input139)
(DELAY
(ABSOLUTE
(IOPATH A X (0.123:0.123:0.123) (0.100:0.100:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input14)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.076:0.076:0.076))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input140)
(DELAY
(ABSOLUTE
(IOPATH A X (0.112:0.112:0.112) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input141)
(DELAY
(ABSOLUTE
(IOPATH A X (0.111:0.111:0.111) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input142)
(DELAY
(ABSOLUTE
(IOPATH A X (0.112:0.112:0.112) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input143)
(DELAY
(ABSOLUTE
(IOPATH A X (0.149:0.149:0.149) (0.114:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input144)
(DELAY
(ABSOLUTE
(IOPATH A X (0.137:0.137:0.137) (0.108:0.108:0.108))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input145)
(DELAY
(ABSOLUTE
(IOPATH A X (0.128:0.128:0.128) (0.103:0.103:0.103))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input146)
(DELAY
(ABSOLUTE
(IOPATH A X (0.107:0.107:0.107) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input147)
(DELAY
(ABSOLUTE
(IOPATH A X (0.107:0.107:0.107) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input148)
(DELAY
(ABSOLUTE
(IOPATH A X (0.123:0.123:0.123) (0.100:0.100:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input149)
(DELAY
(ABSOLUTE
(IOPATH A X (0.130:0.130:0.130) (0.104:0.104:0.104))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input15)
(DELAY
(ABSOLUTE
(IOPATH A X (0.069:0.069:0.069) (0.069:0.069:0.069))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input150)
(DELAY
(ABSOLUTE
(IOPATH A X (0.186:0.186:0.186) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input151)
(DELAY
(ABSOLUTE
(IOPATH A X (0.219:0.219:0.219) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input152)
(DELAY
(ABSOLUTE
(IOPATH A X (0.148:0.148:0.148) (0.132:0.132:0.132))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input153)
(DELAY
(ABSOLUTE
(IOPATH A X (0.148:0.148:0.148) (0.132:0.132:0.132))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input154)
(DELAY
(ABSOLUTE
(IOPATH A X (0.117:0.117:0.117) (0.095:0.095:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input155)
(DELAY
(ABSOLUTE
(IOPATH A X (0.111:0.111:0.111) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input156)
(DELAY
(ABSOLUTE
(IOPATH A X (0.110:0.110:0.110) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input157)
(DELAY
(ABSOLUTE
(IOPATH A X (0.113:0.113:0.113) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input158)
(DELAY
(ABSOLUTE
(IOPATH A X (0.098:0.098:0.098) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input159)
(DELAY
(ABSOLUTE
(IOPATH A X (0.111:0.111:0.111) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input16)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input160)
(DELAY
(ABSOLUTE
(IOPATH A X (0.109:0.109:0.109) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input161)
(DELAY
(ABSOLUTE
(IOPATH A X (0.100:0.100:0.100) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input162)
(DELAY
(ABSOLUTE
(IOPATH A X (0.102:0.102:0.102) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input163)
(DELAY
(ABSOLUTE
(IOPATH A X (0.102:0.102:0.102) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input164)
(DELAY
(ABSOLUTE
(IOPATH A X (0.102:0.102:0.102) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input165)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.165:0.165:0.165))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input166)
(DELAY
(ABSOLUTE
(IOPATH A X (0.180:0.180:0.180) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input167)
(DELAY
(ABSOLUTE
(IOPATH A X (0.188:0.188:0.188) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input168)
(DELAY
(ABSOLUTE
(IOPATH A X (0.193:0.193:0.193) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input169)
(DELAY
(ABSOLUTE
(IOPATH A X (0.192:0.192:0.192) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input17)
(DELAY
(ABSOLUTE
(IOPATH A X (0.071:0.071:0.071) (0.071:0.071:0.071))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input170)
(DELAY
(ABSOLUTE
(IOPATH A X (0.190:0.190:0.190) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input171)
(DELAY
(ABSOLUTE
(IOPATH A X (0.190:0.190:0.190) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input172)
(DELAY
(ABSOLUTE
(IOPATH A X (0.189:0.189:0.189) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input173)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.196:0.196) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input174)
(DELAY
(ABSOLUTE
(IOPATH A X (0.181:0.181:0.181) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input175)
(DELAY
(ABSOLUTE
(IOPATH A X (0.175:0.175:0.175) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input176)
(DELAY
(ABSOLUTE
(IOPATH A X (0.177:0.177:0.177) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input177)
(DELAY
(ABSOLUTE
(IOPATH A X (0.172:0.172:0.172) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input178)
(DELAY
(ABSOLUTE
(IOPATH A X (0.190:0.190:0.190) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input179)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input18)
(DELAY
(ABSOLUTE
(IOPATH A X (0.069:0.069:0.069) (0.069:0.069:0.069))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input180)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input181)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.165:0.165:0.165))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input182)
(DELAY
(ABSOLUTE
(IOPATH A X (0.174:0.174:0.174) (0.168:0.168:0.168))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input183)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input184)
(DELAY
(ABSOLUTE
(IOPATH A X (0.167:0.167:0.167) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input185)
(DELAY
(ABSOLUTE
(IOPATH A X (0.194:0.194:0.194) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input186)
(DELAY
(ABSOLUTE
(IOPATH A X (0.208:0.208:0.208) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input187)
(DELAY
(ABSOLUTE
(IOPATH A X (0.186:0.186:0.186) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input188)
(DELAY
(ABSOLUTE
(IOPATH A X (0.184:0.184:0.184) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input189)
(DELAY
(ABSOLUTE
(IOPATH A X (0.123:0.123:0.123) (0.101:0.101:0.101))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input19)
(DELAY
(ABSOLUTE
(IOPATH A X (0.069:0.069:0.069) (0.069:0.069:0.069))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input190)
(DELAY
(ABSOLUTE
(IOPATH A X (0.170:0.170:0.170) (0.168:0.168:0.168))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input191)
(DELAY
(ABSOLUTE
(IOPATH A X (0.153:0.153:0.153) (0.117:0.117:0.117))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input192)
(DELAY
(ABSOLUTE
(IOPATH A X (0.144:0.144:0.144) (0.112:0.112:0.112))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input193)
(DELAY
(ABSOLUTE
(IOPATH A X (0.192:0.192:0.192) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input194)
(DELAY
(ABSOLUTE
(IOPATH A X (0.093:0.093:0.093) (0.084:0.084:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input195)
(DELAY
(ABSOLUTE
(IOPATH A X (0.148:0.148:0.148) (0.114:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input196)
(DELAY
(ABSOLUTE
(IOPATH A X (0.174:0.174:0.174) (0.128:0.128:0.128))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input197)
(DELAY
(ABSOLUTE
(IOPATH A X (0.189:0.189:0.189) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input198)
(DELAY
(ABSOLUTE
(IOPATH A X (0.177:0.177:0.177) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input199)
(DELAY
(ABSOLUTE
(IOPATH A X (0.146:0.146:0.146) (0.113:0.113:0.113))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.075) (0.073:0.073:0.073))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input20)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.080) (0.076:0.076:0.076))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input200)
(DELAY
(ABSOLUTE
(IOPATH A X (0.088:0.088:0.088) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input201)
(DELAY
(ABSOLUTE
(IOPATH A X (0.147:0.147:0.147) (0.113:0.113:0.113))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input202)
(DELAY
(ABSOLUTE
(IOPATH A X (0.204:0.204:0.204) (0.187:0.187:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input203)
(DELAY
(ABSOLUTE
(IOPATH A X (0.166:0.166:0.166) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input204)
(DELAY
(ABSOLUTE
(IOPATH A X (0.187:0.187:0.187) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input205)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.077:0.077:0.077))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input206)
(DELAY
(ABSOLUTE
(IOPATH A X (0.179:0.179:0.179) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input207)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.083:0.083) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input208)
(DELAY
(ABSOLUTE
(IOPATH A X (0.088:0.088:0.088) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input209)
(DELAY
(ABSOLUTE
(IOPATH A X (0.096:0.096:0.096) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input21)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.083:0.083) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input210)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.125:0.125:0.125))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input211)
(DELAY
(ABSOLUTE
(IOPATH A X (0.108:0.108:0.108) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input212)
(DELAY
(ABSOLUTE
(IOPATH A X (0.139:0.139:0.139) (0.110:0.110:0.110))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input213)
(DELAY
(ABSOLUTE
(IOPATH A X (0.119:0.119:0.119) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input214)
(DELAY
(ABSOLUTE
(IOPATH A X (0.145:0.145:0.145) (0.113:0.113:0.113))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input215)
(DELAY
(ABSOLUTE
(IOPATH A X (0.142:0.142:0.142) (0.111:0.111:0.111))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input216)
(DELAY
(ABSOLUTE
(IOPATH A X (0.133:0.133:0.133) (0.107:0.107:0.107))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input217)
(DELAY
(ABSOLUTE
(IOPATH A X (0.140:0.140:0.140) (0.110:0.110:0.110))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input218)
(DELAY
(ABSOLUTE
(IOPATH A X (0.089:0.089:0.089) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input219)
(DELAY
(ABSOLUTE
(IOPATH A X (0.096:0.096:0.096) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input22)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.076) (0.074:0.074:0.074))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input220)
(DELAY
(ABSOLUTE
(IOPATH A X (0.105:0.105:0.105) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input221)
(DELAY
(ABSOLUTE
(IOPATH A X (0.103:0.103:0.103) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input222)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input223)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.077:0.077:0.077))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input224)
(DELAY
(ABSOLUTE
(IOPATH A X (0.090:0.090:0.090) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input225)
(DELAY
(ABSOLUTE
(IOPATH A X (0.114:0.114:0.114) (0.096:0.096:0.096))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input226)
(DELAY
(ABSOLUTE
(IOPATH A X (0.090:0.090:0.090) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input227)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.169:0.169) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input228)
(DELAY
(ABSOLUTE
(IOPATH A X (0.099:0.099:0.099) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input229)
(DELAY
(ABSOLUTE
(IOPATH A X (0.112:0.112:0.112) (0.095:0.095:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input23)
(DELAY
(ABSOLUTE
(IOPATH A X (0.069:0.069:0.069) (0.069:0.069:0.069))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input230)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.077:0.077:0.077))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input231)
(DELAY
(ABSOLUTE
(IOPATH A X (0.129:0.129:0.129) (0.104:0.104:0.104))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input232)
(DELAY
(ABSOLUTE
(IOPATH A X (0.116:0.116:0.116) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input233)
(DELAY
(ABSOLUTE
(IOPATH A X (0.094:0.094:0.094) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input234)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.076) (0.074:0.074:0.074))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input235)
(DELAY
(ABSOLUTE
(IOPATH A X (0.073:0.073:0.073) (0.072:0.072:0.072))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input236)
(DELAY
(ABSOLUTE
(IOPATH A X (0.124:0.124:0.124) (0.101:0.101:0.101))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input237)
(DELAY
(ABSOLUTE
(IOPATH A X (0.111:0.111:0.111) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input238)
(DELAY
(ABSOLUTE
(IOPATH A X (0.190:0.190:0.190) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input239)
(DELAY
(ABSOLUTE
(IOPATH A X (0.088:0.088:0.088) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input24)
(DELAY
(ABSOLUTE
(IOPATH A X (0.071:0.071:0.071) (0.071:0.071:0.071))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input240)
(DELAY
(ABSOLUTE
(IOPATH A X (0.120:0.120:0.120) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input241)
(DELAY
(ABSOLUTE
(IOPATH A X (0.176:0.176:0.176) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input242)
(DELAY
(ABSOLUTE
(IOPATH A X (0.089:0.089:0.089) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input243)
(DELAY
(ABSOLUTE
(IOPATH A X (0.129:0.129:0.129) (0.104:0.104:0.104))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input244)
(DELAY
(ABSOLUTE
(IOPATH A X (0.115:0.115:0.115) (0.096:0.096:0.096))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input245)
(DELAY
(ABSOLUTE
(IOPATH A X (0.142:0.142:0.142) (0.130:0.130:0.130))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input246)
(DELAY
(ABSOLUTE
(IOPATH A X (0.145:0.145:0.145) (0.132:0.132:0.132))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input247)
(DELAY
(ABSOLUTE
(IOPATH A X (0.188:0.188:0.188) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input248)
(DELAY
(ABSOLUTE
(IOPATH A X (0.160:0.160:0.160) (0.121:0.121:0.121))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input249)
(DELAY
(ABSOLUTE
(IOPATH A X (0.121:0.121:0.121) (0.100:0.100:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input25)
(DELAY
(ABSOLUTE
(IOPATH A X (0.072:0.072:0.072) (0.071:0.071:0.071))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input250)
(DELAY
(ABSOLUTE
(IOPATH A X (0.133:0.133:0.133) (0.106:0.106:0.106))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input251)
(DELAY
(ABSOLUTE
(IOPATH A X (0.121:0.121:0.121) (0.100:0.100:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input252)
(DELAY
(ABSOLUTE
(IOPATH A X (0.072:0.072:0.072) (0.071:0.071:0.071))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input253)
(DELAY
(ABSOLUTE
(IOPATH A X (0.071:0.071:0.071) (0.071:0.071:0.071))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input254)
(DELAY
(ABSOLUTE
(IOPATH A X (0.071:0.071:0.071) (0.071:0.071:0.071))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input255)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.076) (0.074:0.074:0.074))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input256)
(DELAY
(ABSOLUTE
(IOPATH A X (0.074:0.074:0.074) (0.073:0.073:0.073))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input257)
(DELAY
(ABSOLUTE
(IOPATH A X (0.073:0.073:0.073) (0.072:0.072:0.072))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input258)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input259)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.084:0.084) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input26)
(DELAY
(ABSOLUTE
(IOPATH A X (0.145:0.145:0.145) (0.132:0.132:0.132))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input260)
(DELAY
(ABSOLUTE
(IOPATH A X (0.087:0.087:0.087) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input261)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.077:0.077:0.077))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input262)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.083:0.083) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input263)
(DELAY
(ABSOLUTE
(IOPATH A X (0.146:0.146:0.146) (0.113:0.113:0.113))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input264)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.105:0.105:0.105))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input265)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.077) (0.075:0.075:0.075))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input266)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.077:0.077:0.077))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input267)
(DELAY
(ABSOLUTE
(IOPATH A X (0.074:0.074:0.074) (0.072:0.072:0.072))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input268)
(DELAY
(ABSOLUTE
(IOPATH A X (0.073:0.073:0.073) (0.072:0.072:0.072))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input269)
(DELAY
(ABSOLUTE
(IOPATH A X (0.070:0.070:0.070) (0.070:0.070:0.070))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input27)
(DELAY
(ABSOLUTE
(IOPATH A X (0.155:0.155:0.155) (0.139:0.139:0.139))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input270)
(DELAY
(ABSOLUTE
(IOPATH A X (0.099:0.099:0.099) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input271)
(DELAY
(ABSOLUTE
(IOPATH A X (0.175:0.175:0.175) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input272)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.169:0.169) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input273)
(DELAY
(ABSOLUTE
(IOPATH A X (0.144:0.144:0.144) (0.130:0.130:0.130))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input274)
(DELAY
(ABSOLUTE
(IOPATH A X (0.096:0.096:0.096) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input275)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.077:0.077:0.077))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input276)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input277)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.077) (0.074:0.074:0.074))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input278)
(DELAY
(ABSOLUTE
(IOPATH A X (0.096:0.096:0.096) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input279)
(DELAY
(ABSOLUTE
(IOPATH A X (0.101:0.101:0.101) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input28)
(DELAY
(ABSOLUTE
(IOPATH A X (0.156:0.156:0.156) (0.140:0.140:0.140))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input280)
(DELAY
(ABSOLUTE
(IOPATH A X (0.102:0.102:0.102) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input281)
(DELAY
(ABSOLUTE
(IOPATH A X (0.096:0.096:0.096) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input282)
(DELAY
(ABSOLUTE
(IOPATH A X (0.089:0.089:0.089) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input283)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.105:0.105:0.105))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input284)
(DELAY
(ABSOLUTE
(IOPATH A X (0.103:0.103:0.103) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input285)
(DELAY
(ABSOLUTE
(IOPATH A X (0.091:0.091:0.091) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input286)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.086:0.086) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input287)
(DELAY
(ABSOLUTE
(IOPATH A X (0.074:0.074:0.074) (0.072:0.072:0.072))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input288)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.080) (0.076:0.076:0.076))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input289)
(DELAY
(ABSOLUTE
(IOPATH A X (0.091:0.091:0.091) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input29)
(DELAY
(ABSOLUTE
(IOPATH A X (0.151:0.151:0.151) (0.136:0.136:0.136))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input290)
(DELAY
(ABSOLUTE
(IOPATH A X (0.094:0.094:0.094) (0.084:0.084:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input291)
(DELAY
(ABSOLUTE
(IOPATH A X (0.094:0.094:0.094) (0.084:0.084:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input292)
(DELAY
(ABSOLUTE
(IOPATH A X (0.096:0.096:0.096) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input293)
(DELAY
(ABSOLUTE
(IOPATH A X (0.092:0.092:0.092) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input294)
(DELAY
(ABSOLUTE
(IOPATH A X (0.152:0.152:0.152) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input295)
(DELAY
(ABSOLUTE
(IOPATH A X (0.104:0.104:0.104) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input296)
(DELAY
(ABSOLUTE
(IOPATH A X (0.133:0.133:0.133) (0.106:0.106:0.106))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input297)
(DELAY
(ABSOLUTE
(IOPATH A X (0.129:0.129:0.129) (0.103:0.103:0.103))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input298)
(DELAY
(ABSOLUTE
(IOPATH A X (0.158:0.158:0.158) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input299)
(DELAY
(ABSOLUTE
(IOPATH A X (0.181:0.181:0.181) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input3)
(DELAY
(ABSOLUTE
(IOPATH A X (0.074:0.074:0.074) (0.073:0.073:0.073))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input30)
(DELAY
(ABSOLUTE
(IOPATH A X (0.144:0.144:0.144) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input300)
(DELAY
(ABSOLUTE
(IOPATH A X (0.145:0.145:0.145) (0.113:0.113:0.113))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input301)
(DELAY
(ABSOLUTE
(IOPATH A X (0.151:0.151:0.151) (0.136:0.136:0.136))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input302)
(DELAY
(ABSOLUTE
(IOPATH A X (0.141:0.141:0.141) (0.110:0.110:0.110))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input303)
(DELAY
(ABSOLUTE
(IOPATH A X (0.177:0.177:0.177) (0.130:0.130:0.130))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input304)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input305)
(DELAY
(ABSOLUTE
(IOPATH A X (0.162:0.162:0.162) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input306)
(DELAY
(ABSOLUTE
(IOPATH A X (0.099:0.099:0.099) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input307)
(DELAY
(ABSOLUTE
(IOPATH A X (0.117:0.117:0.117) (0.098:0.098:0.098))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input308)
(DELAY
(ABSOLUTE
(IOPATH A X (0.068:0.068:0.068) (0.068:0.068:0.068))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input309)
(DELAY
(ABSOLUTE
(IOPATH A X (0.138:0.138:0.138) (0.109:0.109:0.109))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input31)
(DELAY
(ABSOLUTE
(IOPATH A X (0.211:0.211:0.211) (0.191:0.191:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input310)
(DELAY
(ABSOLUTE
(IOPATH A X (0.188:0.188:0.188) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input32)
(DELAY
(ABSOLUTE
(IOPATH A X (0.138:0.138:0.138) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input33)
(DELAY
(ABSOLUTE
(IOPATH A X (0.148:0.148:0.148) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input34)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.084:0.084) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input35)
(DELAY
(ABSOLUTE
(IOPATH A X (0.155:0.155:0.155) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input36)
(DELAY
(ABSOLUTE
(IOPATH A X (0.167:0.167:0.167) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input37)
(DELAY
(ABSOLUTE
(IOPATH A X (0.139:0.139:0.139) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input38)
(DELAY
(ABSOLUTE
(IOPATH A X (0.144:0.144:0.144) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input39)
(DELAY
(ABSOLUTE
(IOPATH A X (0.136:0.136:0.136) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input4)
(DELAY
(ABSOLUTE
(IOPATH A X (0.067:0.067:0.067) (0.068:0.068:0.068))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input40)
(DELAY
(ABSOLUTE
(IOPATH A X (0.139:0.139:0.139) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input41)
(DELAY
(ABSOLUTE
(IOPATH A X (0.130:0.130:0.130) (0.120:0.120:0.120))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input42)
(DELAY
(ABSOLUTE
(IOPATH A X (0.140:0.140:0.140) (0.128:0.128:0.128))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input43)
(DELAY
(ABSOLUTE
(IOPATH A X (0.145:0.145:0.145) (0.132:0.132:0.132))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input44)
(DELAY
(ABSOLUTE
(IOPATH A X (0.145:0.145:0.145) (0.132:0.132:0.132))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input45)
(DELAY
(ABSOLUTE
(IOPATH A X (0.074:0.074:0.074) (0.073:0.073:0.073))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input46)
(DELAY
(ABSOLUTE
(IOPATH A X (0.150:0.150:0.150) (0.135:0.135:0.135))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input47)
(DELAY
(ABSOLUTE
(IOPATH A X (0.150:0.150:0.150) (0.136:0.136:0.136))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input48)
(DELAY
(ABSOLUTE
(IOPATH A X (0.151:0.151:0.151) (0.136:0.136:0.136))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input49)
(DELAY
(ABSOLUTE
(IOPATH A X (0.149:0.149:0.149) (0.135:0.135:0.135))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input5)
(DELAY
(ABSOLUTE
(IOPATH A X (0.068:0.068:0.068) (0.069:0.069:0.069))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input50)
(DELAY
(ABSOLUTE
(IOPATH A X (0.162:0.162:0.162) (0.144:0.144:0.144))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input51)
(DELAY
(ABSOLUTE
(IOPATH A X (0.156:0.156:0.156) (0.140:0.140:0.140))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input52)
(DELAY
(ABSOLUTE
(IOPATH A X (0.161:0.161:0.161) (0.143:0.143:0.143))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input53)
(DELAY
(ABSOLUTE
(IOPATH A X (0.154:0.154:0.154) (0.138:0.138:0.138))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input54)
(DELAY
(ABSOLUTE
(IOPATH A X (0.160:0.160:0.160) (0.143:0.143:0.143))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input55)
(DELAY
(ABSOLUTE
(IOPATH A X (0.161:0.161:0.161) (0.143:0.143:0.143))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input56)
(DELAY
(ABSOLUTE
(IOPATH A X (0.090:0.090:0.090) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input57)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.169:0.169) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input58)
(DELAY
(ABSOLUTE
(IOPATH A X (0.159:0.159:0.159) (0.142:0.142:0.142))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input59)
(DELAY
(ABSOLUTE
(IOPATH A X (0.140:0.140:0.140) (0.127:0.127:0.127))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input6)
(DELAY
(ABSOLUTE
(IOPATH A X (0.068:0.068:0.068) (0.068:0.068:0.068))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input60)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.169:0.169) (0.149:0.149:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input61)
(DELAY
(ABSOLUTE
(IOPATH A X (0.071:0.071:0.071) (0.071:0.071:0.071))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input62)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.075) (0.073:0.073:0.073))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input63)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.075) (0.073:0.073:0.073))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input64)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.076) (0.074:0.074:0.074))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input65)
(DELAY
(ABSOLUTE
(IOPATH A X (0.192:0.192:0.192) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input66)
(DELAY
(ABSOLUTE
(IOPATH A X (0.140:0.140:0.140) (0.109:0.109:0.109))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input67)
(DELAY
(ABSOLUTE
(IOPATH A X (0.146:0.146:0.146) (0.112:0.112:0.112))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input68)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.076:0.076:0.076))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input69)
(DELAY
(ABSOLUTE
(IOPATH A X (0.114:0.114:0.114) (0.095:0.095:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input7)
(DELAY
(ABSOLUTE
(IOPATH A X (0.067:0.067:0.067) (0.068:0.068:0.068))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input70)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.076:0.076:0.076))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input71)
(DELAY
(ABSOLUTE
(IOPATH A X (0.104:0.104:0.104) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input72)
(DELAY
(ABSOLUTE
(IOPATH A X (0.108:0.108:0.108) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input73)
(DELAY
(ABSOLUTE
(IOPATH A X (0.118:0.118:0.118) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input74)
(DELAY
(ABSOLUTE
(IOPATH A X (0.113:0.113:0.113) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input75)
(DELAY
(ABSOLUTE
(IOPATH A X (0.106:0.106:0.106) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE input76)
(DELAY
(ABSOLUTE
(IOPATH A X (0.211:0.211:0.211) (0.191:0.191:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input77)
(DELAY
(ABSOLUTE
(IOPATH A X (0.098:0.098:0.098) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input78)
(DELAY
(ABSOLUTE
(IOPATH A X (0.103:0.103:0.103) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input79)
(DELAY
(ABSOLUTE
(IOPATH A X (0.113:0.113:0.113) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input8)
(DELAY
(ABSOLUTE
(IOPATH A X (0.069:0.069:0.069) (0.069:0.069:0.069))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input80)
(DELAY
(ABSOLUTE
(IOPATH A X (0.110:0.110:0.110) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input81)
(DELAY
(ABSOLUTE
(IOPATH A X (0.106:0.106:0.106) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input82)
(DELAY
(ABSOLUTE
(IOPATH A X (0.074:0.074:0.074) (0.073:0.073:0.073))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input83)
(DELAY
(ABSOLUTE
(IOPATH A X (0.109:0.109:0.109) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input84)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.084:0.084) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input85)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.086:0.086) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input86)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.075:0.075:0.075))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input87)
(DELAY
(ABSOLUTE
(IOPATH A X (0.178:0.178:0.178) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input88)
(DELAY
(ABSOLUTE
(IOPATH A X (0.190:0.190:0.190) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input89)
(DELAY
(ABSOLUTE
(IOPATH A X (0.215:0.215:0.215) (0.187:0.187:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input9)
(DELAY
(ABSOLUTE
(IOPATH A X (0.070:0.070:0.070) (0.070:0.070:0.070))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input90)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input91)
(DELAY
(ABSOLUTE
(IOPATH A X (0.210:0.210:0.210) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input92)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.196:0.196) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input93)
(DELAY
(ABSOLUTE
(IOPATH A X (0.217:0.217:0.217) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE input94)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.207:0.207) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE input95)
(DELAY
(ABSOLUTE
(IOPATH A X (0.221:0.221:0.221) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input96)
(DELAY
(ABSOLUTE
(IOPATH A X (0.192:0.192:0.192) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input97)
(DELAY
(ABSOLUTE
(IOPATH A X (0.173:0.173:0.173) (0.165:0.165:0.165))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input98)
(DELAY
(ABSOLUTE
(IOPATH A X (0.182:0.182:0.182) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input99)
(DELAY
(ABSOLUTE
(IOPATH A X (0.200:0.200:0.200) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output311)
(DELAY
(ABSOLUTE
(IOPATH A X (0.231:0.231:0.231) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output312)
(DELAY
(ABSOLUTE
(IOPATH A X (0.244:0.244:0.244) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output313)
(DELAY
(ABSOLUTE
(IOPATH A X (0.230:0.230:0.230) (0.219:0.219:0.219))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output314)
(DELAY
(ABSOLUTE
(IOPATH A X (0.225:0.225:0.225) (0.213:0.218:0.222))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output315)
(DELAY
(ABSOLUTE
(IOPATH A X (0.236:0.236:0.236) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output316)
(DELAY
(ABSOLUTE
(IOPATH A X (0.231:0.231:0.231) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output317)
(DELAY
(ABSOLUTE
(IOPATH A X (0.230:0.230:0.230) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output318)
(DELAY
(ABSOLUTE
(IOPATH A X (0.242:0.242:0.242) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output319)
(DELAY
(ABSOLUTE
(IOPATH A X (0.229:0.229:0.229) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output320)
(DELAY
(ABSOLUTE
(IOPATH A X (0.261:0.261:0.261) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output321)
(DELAY
(ABSOLUTE
(IOPATH A X (0.256:0.256:0.256) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output322)
(DELAY
(ABSOLUTE
(IOPATH A X (0.247:0.247:0.247) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output323)
(DELAY
(ABSOLUTE
(IOPATH A X (0.256:0.256:0.256) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output324)
(DELAY
(ABSOLUTE
(IOPATH A X (0.256:0.256:0.256) (0.212:0.212:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output325)
(DELAY
(ABSOLUTE
(IOPATH A X (0.247:0.247:0.247) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output326)
(DELAY
(ABSOLUTE
(IOPATH A X (0.249:0.249:0.249) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output327)
(DELAY
(ABSOLUTE
(IOPATH A X (0.240:0.240:0.240) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output328)
(DELAY
(ABSOLUTE
(IOPATH A X (0.243:0.243:0.243) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE output329)
(DELAY
(ABSOLUTE
(IOPATH A X (0.327:0.327:0.327) (0.215:0.215:0.215))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE output330)
(DELAY
(ABSOLUTE
(IOPATH A X (0.352:0.352:0.352) (0.228:0.228:0.228))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output331)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output332)
(DELAY
(ABSOLUTE
(IOPATH A X (0.218:0.234:0.250) (0.192:0.194:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output333)
(DELAY
(ABSOLUTE
(IOPATH A X (0.258:0.258:0.258) (0.208:0.208:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output334)
(DELAY
(ABSOLUTE
(IOPATH A X (0.245:0.245:0.245) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output335)
(DELAY
(ABSOLUTE
(IOPATH A X (0.233:0.233:0.233) (0.195:0.195:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output336)
(DELAY
(ABSOLUTE
(IOPATH A X (0.225:0.225:0.225) (0.212:0.212:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output337)
(DELAY
(ABSOLUTE
(IOPATH A X (0.236:0.236:0.236) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output338)
(DELAY
(ABSOLUTE
(IOPATH A X (0.227:0.227:0.227) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output339)
(DELAY
(ABSOLUTE
(IOPATH A X (0.229:0.229:0.229) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output340)
(DELAY
(ABSOLUTE
(IOPATH A X (0.227:0.227:0.227) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output341)
(DELAY
(ABSOLUTE
(IOPATH A X (0.227:0.227:0.227) (0.191:0.191:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output342)
(DELAY
(ABSOLUTE
(IOPATH A X (0.229:0.229:0.229) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output343)
(DELAY
(ABSOLUTE
(IOPATH A X (0.238:0.238:0.238) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output344)
(DELAY
(ABSOLUTE
(IOPATH A X (0.228:0.228:0.228) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output345)
(DELAY
(ABSOLUTE
(IOPATH A X (0.237:0.237:0.237) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output346)
(DELAY
(ABSOLUTE
(IOPATH A X (0.233:0.233:0.233) (0.195:0.195:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output347)
(DELAY
(ABSOLUTE
(IOPATH A X (0.229:0.229:0.229) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output348)
(DELAY
(ABSOLUTE
(IOPATH A X (0.230:0.230:0.230) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output349)
(DELAY
(ABSOLUTE
(IOPATH A X (0.230:0.230:0.230) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output350)
(DELAY
(ABSOLUTE
(IOPATH A X (0.228:0.228:0.228) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output351)
(DELAY
(ABSOLUTE
(IOPATH A X (0.242:0.242:0.242) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output352)
(DELAY
(ABSOLUTE
(IOPATH A X (0.240:0.240:0.240) (0.199:0.199:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output353)
(DELAY
(ABSOLUTE
(IOPATH A X (0.243:0.243:0.243) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output354)
(DELAY
(ABSOLUTE
(IOPATH A X (0.246:0.246:0.246) (0.204:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output355)
(DELAY
(ABSOLUTE
(IOPATH A X (0.231:0.231:0.231) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output356)
(DELAY
(ABSOLUTE
(IOPATH A X (0.233:0.233:0.233) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output357)
(DELAY
(ABSOLUTE
(IOPATH A X (0.233:0.233:0.233) (0.195:0.195:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output358)
(DELAY
(ABSOLUTE
(IOPATH A X (0.229:0.229:0.229) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output359)
(DELAY
(ABSOLUTE
(IOPATH A X (0.254:0.254:0.254) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output360)
(DELAY
(ABSOLUTE
(IOPATH A X (0.235:0.235:0.235) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output361)
(DELAY
(ABSOLUTE
(IOPATH A X (0.238:0.238:0.238) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output362)
(DELAY
(ABSOLUTE
(IOPATH A X (0.243:0.243:0.243) (0.199:0.199:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output363)
(DELAY
(ABSOLUTE
(IOPATH A X (0.228:0.228:0.228) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output364)
(DELAY
(ABSOLUTE
(IOPATH A X (0.234:0.234:0.234) (0.195:0.195:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output365)
(DELAY
(ABSOLUTE
(IOPATH A X (0.227:0.227:0.227) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output366)
(DELAY
(ABSOLUTE
(IOPATH A X (0.230:0.230:0.230) (0.191:0.191:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output367)
(DELAY
(ABSOLUTE
(IOPATH A X (0.227:0.227:0.227) (0.226:0.226:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output368)
(DELAY
(ABSOLUTE
(IOPATH A X (0.222:0.222:0.222) (0.212:0.213:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output369)
(DELAY
(ABSOLUTE
(IOPATH A X (0.224:0.224:0.224) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output370)
(DELAY
(ABSOLUTE
(IOPATH A X (0.249:0.249:0.249) (0.204:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output371)
(DELAY
(ABSOLUTE
(IOPATH A X (0.240:0.240:0.240) (0.199:0.199:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output372)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output373)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output374)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.198:0.198) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output375)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.196:0.196) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output376)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output377)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.196:0.196) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output378)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output379)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output380)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.198:0.198) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output381)
(DELAY
(ABSOLUTE
(IOPATH A X (0.212:0.212:0.212) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output382)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output383)
(DELAY
(ABSOLUTE
(IOPATH A X (0.209:0.209:0.209) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output384)
(DELAY
(ABSOLUTE
(IOPATH A X (0.205:0.205:0.205) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output385)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output386)
(DELAY
(ABSOLUTE
(IOPATH A X (0.200:0.200:0.200) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output387)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.198:0.198) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output388)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.196:0.196) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output389)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE output390)
(DELAY
(ABSOLUTE
(IOPATH A X (0.325:0.325:0.325) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE output391)
(DELAY
(ABSOLUTE
(IOPATH A X (0.358:0.358:0.358) (0.231:0.231:0.231))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output392)
(DELAY
(ABSOLUTE
(IOPATH A X (0.211:0.225:0.239) (0.186:0.189:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output393)
(DELAY
(ABSOLUTE
(IOPATH A X (0.210:0.224:0.238) (0.185:0.188:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output394)
(DELAY
(ABSOLUTE
(IOPATH A X (0.225:0.225:0.225) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output395)
(DELAY
(ABSOLUTE
(IOPATH A X (0.245:0.246:0.246) (0.219:0.220:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output396)
(DELAY
(ABSOLUTE
(IOPATH A X (0.235:0.235:0.235) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output397)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.198:0.198) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output398)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output399)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output400)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.196:0.196) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output401)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.196:0.196) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output402)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output403)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.196:0.196) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output404)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output405)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output406)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.196:0.196) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output407)
(DELAY
(ABSOLUTE
(IOPATH A X (0.218:0.218:0.218) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output408)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output409)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.196:0.196) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output410)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.198:0.198) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output411)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.196:0.196) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output412)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.198:0.198) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output413)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.196:0.196) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output414)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output415)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output416)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output417)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.203:0.203) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output418)
(DELAY
(ABSOLUTE
(IOPATH A X (0.240:0.240:0.240) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output419)
(DELAY
(ABSOLUTE
(IOPATH A X (0.200:0.200:0.200) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output420)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output421)
(DELAY
(ABSOLUTE
(IOPATH A X (0.225:0.225:0.225) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output422)
(DELAY
(ABSOLUTE
(IOPATH A X (0.231:0.231:0.231) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output423)
(DELAY
(ABSOLUTE
(IOPATH A X (0.230:0.230:0.230) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output424)
(DELAY
(ABSOLUTE
(IOPATH A X (0.225:0.225:0.225) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output425)
(DELAY
(ABSOLUTE
(IOPATH A X (0.229:0.229:0.229) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output426)
(DELAY
(ABSOLUTE
(IOPATH A X (0.225:0.225:0.225) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output427)
(DELAY
(ABSOLUTE
(IOPATH A X (0.236:0.236:0.236) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output428)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output429)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output430)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output431)
(DELAY
(ABSOLUTE
(IOPATH A X (0.200:0.200:0.200) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output432)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output433)
(DELAY
(ABSOLUTE
(IOPATH A X (0.234:0.234:0.234) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output434)
(DELAY
(ABSOLUTE
(IOPATH A X (0.249:0.249:0.249) (0.208:0.209:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output435)
(DELAY
(ABSOLUTE
(IOPATH A X (0.229:0.229:0.229) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output436)
(DELAY
(ABSOLUTE
(IOPATH A X (0.237:0.237:0.237) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output437)
(DELAY
(ABSOLUTE
(IOPATH A X (0.240:0.240:0.240) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output438)
(DELAY
(ABSOLUTE
(IOPATH A X (0.236:0.236:0.236) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output439)
(DELAY
(ABSOLUTE
(IOPATH A X (0.236:0.237:0.238) (0.198:0.198:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output440)
(DELAY
(ABSOLUTE
(IOPATH A X (0.244:0.244:0.244) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output441)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output442)
(DELAY
(ABSOLUTE
(IOPATH A X (0.236:0.236:0.236) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output443)
(DELAY
(ABSOLUTE
(IOPATH A X (0.243:0.243:0.243) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output444)
(DELAY
(ABSOLUTE
(IOPATH A X (0.242:0.242:0.242) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output445)
(DELAY
(ABSOLUTE
(IOPATH A X (0.240:0.240:0.240) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output446)
(DELAY
(ABSOLUTE
(IOPATH A X (0.244:0.244:0.244) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output447)
(DELAY
(ABSOLUTE
(IOPATH A X (0.248:0.248:0.248) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output448)
(DELAY
(ABSOLUTE
(IOPATH A X (0.243:0.243:0.243) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output449)
(DELAY
(ABSOLUTE
(IOPATH A X (0.245:0.245:0.245) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output450)
(DELAY
(ABSOLUTE
(IOPATH A X (0.255:0.255:0.255) (0.213:0.213:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output451)
(DELAY
(ABSOLUTE
(IOPATH A X (0.258:0.258:0.258) (0.215:0.215:0.215))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output452)
(DELAY
(ABSOLUTE
(IOPATH A X (0.259:0.259:0.259) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output453)
(DELAY
(ABSOLUTE
(IOPATH A X (0.234:0.234:0.234) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output454)
(DELAY
(ABSOLUTE
(IOPATH A X (0.247:0.247:0.247) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output455)
(DELAY
(ABSOLUTE
(IOPATH A X (0.253:0.253:0.253) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output456)
(DELAY
(ABSOLUTE
(IOPATH A X (0.254:0.254:0.254) (0.212:0.212:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output457)
(DELAY
(ABSOLUTE
(IOPATH A X (0.258:0.258:0.258) (0.215:0.215:0.215))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output458)
(DELAY
(ABSOLUTE
(IOPATH A X (0.247:0.247:0.247) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output459)
(DELAY
(ABSOLUTE
(IOPATH A X (0.254:0.254:0.254) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output460)
(DELAY
(ABSOLUTE
(IOPATH A X (0.256:0.256:0.256) (0.213:0.213:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output461)
(DELAY
(ABSOLUTE
(IOPATH A X (0.256:0.256:0.256) (0.213:0.213:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output462)
(DELAY
(ABSOLUTE
(IOPATH A X (0.248:0.248:0.248) (0.208:0.208:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output463)
(DELAY
(ABSOLUTE
(IOPATH A X (0.259:0.259:0.259) (0.217:0.217:0.217))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output464)
(DELAY
(ABSOLUTE
(IOPATH A X (0.237:0.237:0.237) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output465)
(DELAY
(ABSOLUTE
(IOPATH A X (0.252:0.252:0.252) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output466)
(DELAY
(ABSOLUTE
(IOPATH A X (0.265:0.265:0.265) (0.221:0.221:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output467)
(DELAY
(ABSOLUTE
(IOPATH A X (0.235:0.235:0.235) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output468)
(DELAY
(ABSOLUTE
(IOPATH A X (0.236:0.236:0.236) (0.199:0.199:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output469)
(DELAY
(ABSOLUTE
(IOPATH A X (0.238:0.238:0.238) (0.199:0.199:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output470)
(DELAY
(ABSOLUTE
(IOPATH A X (0.237:0.237:0.237) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output471)
(DELAY
(ABSOLUTE
(IOPATH A X (0.239:0.239:0.239) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output472)
(DELAY
(ABSOLUTE
(IOPATH A X (0.236:0.236:0.236) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output473)
(DELAY
(ABSOLUTE
(IOPATH A X (0.241:0.241:0.241) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output474)
(DELAY
(ABSOLUTE
(IOPATH A X (0.232:0.232:0.232) (0.192:0.192:0.192))
)
)
)
)