blob: d2fe36d3683b63a95aa81ea86ad8187fad39a233 [file] [log] [blame]
(DELAYFILE
(SDFVERSION "3.0")
(DESIGN "Flash")
(DATE "Sun May 22 10:37:00 2022")
(VENDOR "Parallax")
(PROGRAM "STA")
(VERSION "2.3.1")
(DIVIDER .)
(VOLTAGE 1.800::1.800)
(PROCESS "1.000::1.000")
(TEMPERATURE 25.000::25.000)
(TIMESCALE 1ns)
(CELL
(CELLTYPE "Flash")
(INSTANCE)
(DELAY
(ABSOLUTE
(INTERCONNECT sram_dout1[0] input1.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT sram_dout1[10] input2.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[11] input3.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT sram_dout1[12] input4.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[13] input5.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[14] input6.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[15] input7.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[16] input8.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[17] input9.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[18] input10.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT sram_dout1[19] input11.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[1] input12.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT sram_dout1[20] input13.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[21] input14.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[22] input15.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[23] input16.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[24] input17.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT sram_dout1[25] input18.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[26] input19.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[27] input20.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[28] input21.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[29] input22.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[2] input23.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[30] input24.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT sram_dout1[31] input25.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT sram_dout1[3] input26.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[4] input27.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[5] input28.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT sram_dout1[6] input29.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT sram_dout1[7] input30.A (0.013:0.013:0.013) (0.006:0.006:0.006))
(INTERCONNECT sram_dout1[8] input31.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[9] input32.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[10] input33.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[11] input34.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT wb_adr_i[12] input35.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[13] input36.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[14] input37.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[15] input38.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[16] input39.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[17] input40.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[18] input41.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT wb_adr_i[19] input42.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[20] input43.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT wb_adr_i[21] input44.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT wb_adr_i[22] input45.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[23] input46.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT wb_adr_i[2] input47.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT wb_adr_i[3] input48.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[4] input49.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[5] input50.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[6] input51.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT wb_adr_i[7] input52.A (0.013:0.013:0.013) (0.006:0.006:0.006))
(INTERCONNECT wb_adr_i[8] input53.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT wb_adr_i[9] input54.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT wb_clk_i input55.A (0.038:0.038:0.038) (0.017:0.017:0.017))
(INTERCONNECT wb_cyc_i input56.A (0.027:0.027:0.027) (0.012:0.012:0.012))
(INTERCONNECT wb_rst_i input57.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT wb_stb_i input58.A (0.026:0.026:0.026) (0.012:0.012:0.012))
(INTERCONNECT wb_we_i input59.A (0.023:0.023:0.023) (0.010:0.010:0.010))
(INTERCONNECT Flash_106.LO sram_din0[28] (0.000:0.000:0.000))
(INTERCONNECT Flash_107.LO sram_din0[29] (0.000:0.000:0.000))
(INTERCONNECT Flash_108.LO sram_din0[30] (0.000:0.000:0.000))
(INTERCONNECT Flash_109.LO sram_din0[31] (0.000:0.000:0.000))
(INTERCONNECT Flash_110.LO wb_error_o (0.000:0.000:0.000))
(INTERCONNECT Flash_111.LO flash_csb (0.000:0.000:0.000))
(INTERCONNECT Flash_112.LO flash_io0_write (0.000:0.000:0.000))
(INTERCONNECT Flash_113.LO flash_io1_we (0.000:0.000:0.000))
(INTERCONNECT Flash_114.LO flash_io1_write (0.000:0.000:0.000))
(INTERCONNECT Flash_115.LO flash_sck (0.000:0.000:0.000))
(INTERCONNECT Flash_116.LO sram_addr0[0] (0.000:0.000:0.000))
(INTERCONNECT Flash_117.LO sram_addr0[1] (0.000:0.000:0.000))
(INTERCONNECT Flash_118.LO sram_addr0[2] (0.000:0.000:0.000))
(INTERCONNECT Flash_119.LO sram_addr0[3] (0.000:0.000:0.000))
(INTERCONNECT Flash_120.LO sram_addr0[4] (0.000:0.000:0.000))
(INTERCONNECT Flash_121.LO sram_addr0[5] (0.000:0.000:0.000))
(INTERCONNECT Flash_122.LO sram_addr0[6] (0.000:0.000:0.000))
(INTERCONNECT Flash_123.LO sram_addr0[7] (0.000:0.000:0.000))
(INTERCONNECT Flash_124.LO sram_addr0[8] (0.000:0.000:0.000))
(INTERCONNECT Flash_125.LO sram_din0[0] (0.000:0.000:0.000))
(INTERCONNECT Flash_126.LO sram_din0[1] (0.000:0.000:0.000))
(INTERCONNECT Flash_127.LO sram_din0[2] (0.000:0.000:0.000))
(INTERCONNECT Flash_128.LO sram_din0[3] (0.000:0.000:0.000))
(INTERCONNECT Flash_129.LO sram_din0[4] (0.000:0.000:0.000))
(INTERCONNECT Flash_130.LO sram_din0[5] (0.000:0.000:0.000))
(INTERCONNECT Flash_131.LO sram_din0[6] (0.000:0.000:0.000))
(INTERCONNECT Flash_132.LO sram_din0[7] (0.000:0.000:0.000))
(INTERCONNECT Flash_133.LO sram_din0[8] (0.000:0.000:0.000))
(INTERCONNECT Flash_134.LO sram_din0[9] (0.000:0.000:0.000))
(INTERCONNECT Flash_135.LO sram_din0[10] (0.000:0.000:0.000))
(INTERCONNECT Flash_136.LO sram_din0[11] (0.000:0.000:0.000))
(INTERCONNECT Flash_137.LO sram_din0[12] (0.000:0.000:0.000))
(INTERCONNECT Flash_138.LO sram_din0[13] (0.000:0.000:0.000))
(INTERCONNECT Flash_139.LO sram_din0[14] (0.000:0.000:0.000))
(INTERCONNECT Flash_140.LO sram_din0[15] (0.000:0.000:0.000))
(INTERCONNECT Flash_141.LO sram_din0[16] (0.000:0.000:0.000))
(INTERCONNECT Flash_142.LO sram_din0[17] (0.000:0.000:0.000))
(INTERCONNECT Flash_143.LO sram_din0[18] (0.000:0.000:0.000))
(INTERCONNECT Flash_144.LO sram_din0[19] (0.000:0.000:0.000))
(INTERCONNECT Flash_145.LO sram_din0[20] (0.000:0.000:0.000))
(INTERCONNECT Flash_146.LO sram_din0[21] (0.000:0.000:0.000))
(INTERCONNECT Flash_147.LO sram_din0[22] (0.000:0.000:0.000))
(INTERCONNECT Flash_148.LO sram_din0[23] (0.000:0.000:0.000))
(INTERCONNECT Flash_149.LO sram_din0[24] (0.000:0.000:0.000))
(INTERCONNECT Flash_150.LO sram_din0[25] (0.000:0.000:0.000))
(INTERCONNECT Flash_151.LO sram_din0[26] (0.000:0.000:0.000))
(INTERCONNECT Flash_152.LO sram_din0[27] (0.000:0.000:0.000))
(INTERCONNECT Flash_153.HI sram_web0 (0.000:0.000:0.000))
(INTERCONNECT Flash_154.HI sram_wmask0[0] (0.000:0.000:0.000))
(INTERCONNECT Flash_155.HI sram_wmask0[1] (0.000:0.000:0.000))
(INTERCONNECT Flash_156.HI sram_wmask0[2] (0.000:0.000:0.000))
(INTERCONNECT Flash_157.HI sram_wmask0[3] (0.000:0.000:0.000))
(INTERCONNECT Flash_158.HI flash_io0_we (0.000:0.000:0.000))
(INTERCONNECT Flash_159.HI sram_csb0 (0.000:0.000:0.000))
(INTERCONNECT _163_.X _164_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _163_.X _180_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _163_.X _282_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _164_.X _165_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _164_.X _174_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _164_.X _176_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _164_.X _178_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _164_.X _286_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _165_.X _166_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _166_.X output68.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _167_.X _169_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _168_.X _169_.D_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _168_.X _196_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _168_.X _237_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _168_.X _250_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _168_.X _263_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _169_.X _172_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _170_.X _172_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _171_.X _172_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _172_.X _173_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _173_.X output71.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _174_.X _175_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _175_.X output60.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _176_.X _177_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _177_.X output61.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _178_.X _179_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _179_.X output62.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _180_.X _181_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _180_.X _183_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _180_.X _185_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _180_.X _187_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _180_.X _189_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _181_.X _182_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _182_.X output63.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _183_.X _184_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _184_.X output64.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _185_.X _186_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _186_.X output65.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _187_.X _188_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _188_.X output66.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _189_.X _190_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _190_.X output67.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _191_.X _192_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _191_.X _234_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _191_.X _248_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _191_.X _261_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _191_.X _279_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _192_.X _193_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _192_.X _208_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _192_.X _221_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _192_.X _275_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _192_.X _277_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _193_.X _199_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _193_.X _201_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _193_.X _203_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _193_.X _205_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _193_.X _207_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _194_.X _195_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _194_.X _209_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _194_.X _222_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _194_.X _274_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _194_.X _276_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _195_.X _198_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _195_.X _200_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _195_.X _202_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _195_.X _204_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _195_.X _206_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _196_.X _197_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _196_.X _210_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _196_.X _223_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _196_.X _274_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _196_.X _276_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _197_.X _198_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _197_.X _200_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _197_.X _202_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _197_.X _204_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _197_.X _206_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _198_.Y _199_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _199_.Y _336_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _200_.Y _201_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _201_.Y _337_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _202_.Y _203_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _203_.Y _338_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _204_.Y _205_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _205_.Y _339_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _206_.Y _207_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _207_.Y _340_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _208_.X _212_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _208_.X _214_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _208_.X _216_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _208_.X _218_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _208_.X _220_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _209_.X _211_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _209_.X _213_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _209_.X _215_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _209_.X _217_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _209_.X _219_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _210_.X _211_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _210_.X _213_.B2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _210_.X _215_.B2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _210_.X _217_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _210_.X _219_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _211_.Y _212_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _212_.Y _341_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _213_.Y _214_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _214_.Y _342_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _215_.Y _216_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _216_.Y _343_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _217_.Y _218_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _218_.Y _344_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _219_.Y _220_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _220_.Y _345_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _221_.X _225_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _221_.X _227_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _221_.X _229_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _221_.X _231_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _221_.X _233_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _222_.X _224_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _222_.X _226_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _222_.X _228_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _222_.X _230_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _222_.X _232_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _223_.X _224_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _223_.X _226_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _223_.X _228_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _223_.X _230_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _223_.X _232_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _224_.Y _225_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _225_.Y _346_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _226_.Y _227_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _227_.Y _347_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _228_.Y _229_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _229_.Y _348_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _230_.Y _231_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _231_.Y _349_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _232_.Y _233_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _233_.Y _350_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _234_.X _239_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _234_.X _241_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _234_.X _243_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _234_.X _245_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _234_.X _247_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _235_.X _236_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _235_.X _249_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _235_.X _262_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _235_.X _278_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _235_.X _279_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _236_.X _238_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _236_.X _240_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _236_.X _242_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _236_.X _244_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _236_.X _246_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _237_.X _238_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _237_.X _240_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _237_.X _242_.B2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _237_.X _244_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _237_.X _246_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _238_.Y _239_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _239_.Y _351_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _240_.Y _241_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _241_.Y _352_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _242_.Y _243_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _243_.Y _353_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _244_.Y _245_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _245_.Y _354_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _246_.Y _247_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _247_.Y _355_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _248_.X _252_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _248_.X _254_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _248_.X _256_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _248_.X _258_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _248_.X _260_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _249_.X _251_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _249_.X _253_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _249_.X _255_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _249_.X _257_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _249_.X _259_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _250_.X _251_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _250_.X _253_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _250_.X _255_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _250_.X _257_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _250_.X _259_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _251_.Y _252_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _252_.Y _356_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _253_.Y _254_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _254_.Y _357_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _255_.Y _256_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _256_.Y _358_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _257_.Y _258_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _258_.Y _359_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _259_.Y _260_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _260_.Y _360_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _261_.X _265_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _261_.X _267_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _261_.X _269_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _261_.X _271_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _261_.X _273_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _262_.X _264_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _262_.X _266_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _262_.X _268_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _262_.X _270_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _262_.X _272_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _263_.X _264_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _263_.X _266_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _263_.X _268_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _263_.X _270_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _263_.X _272_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _264_.Y _265_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _265_.Y _361_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _266_.Y _267_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _267_.Y _362_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _268_.Y _269_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _269_.Y _363_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _270_.Y _271_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _271_.Y _364_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _272_.Y _273_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _273_.Y _365_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _274_.Y _275_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _275_.Y _366_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _276_.Y _277_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _277_.Y _367_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _278_.Y _280_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _278_.Y _285_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _278_.Y _287_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _279_.X _280_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _279_.X _285_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _280_.Y _284_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _280_.Y _368_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _281_.Y _282_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _281_.Y _285_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _282_.Y _283_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _282_.Y _296_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _282_.Y _319_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _283_.X _284_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _283_.X _288_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _283_.X _290_.S (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _283_.X _292_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _283_.X _294_.S (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _284_.X _369_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _285_.Y _286_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _285_.Y _287_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _286_.X _370_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _287_.Y _371_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _288_.X _289_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _289_.X _372_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _290_.X _291_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _291_.X _373_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _292_.X _293_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _293_.X _374_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _294_.X _295_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _295_.X _375_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _296_.X _297_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _296_.X _308_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _296_.X _330_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _296_.X _332_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _296_.X _334_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _297_.X _298_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _297_.X _300_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _297_.X _302_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _297_.X _304_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _297_.X _306_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _298_.X _299_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _299_.X _376_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _300_.X _301_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _301_.X _377_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _302_.X _303_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _303_.X _378_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _304_.X _305_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _305_.X _379_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _306_.X _307_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _307_.X _380_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _308_.X _309_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _308_.X _311_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _308_.X _313_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _308_.X _315_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _308_.X _317_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _309_.X _310_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _310_.X _381_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _311_.X _312_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _312_.X _382_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _313_.X _314_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _314_.X _383_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _315_.X _316_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _316_.X _384_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _317_.X _318_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _318_.X _385_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _319_.X _320_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _319_.X _322_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _319_.X _324_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _319_.X _326_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _319_.X _328_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _320_.X _321_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _321_.X _386_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _322_.X _323_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _323_.X _387_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _324_.X _325_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _325_.X _388_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _326_.X _327_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _327_.X _389_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _328_.X _329_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _329_.X _390_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _330_.X _331_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _331_.X _391_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _332_.X _333_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _333_.X _392_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _334_.X _335_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _335_.X _393_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _336_.Q _198_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _336_.Q output73.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _337_.Q _200_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _337_.Q output84.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _338_.Q _202_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _338_.Q output95.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _339_.Q _204_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _339_.Q output98.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _340_.Q _206_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _340_.Q output99.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _341_.Q _211_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _341_.Q output100.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _342_.Q _213_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _342_.Q output101.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _343_.Q _215_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _343_.Q output102.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _344_.Q _217_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _344_.Q output103.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _345_.Q _219_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _345_.Q output104.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _346_.Q _224_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _346_.Q output74.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _346_.Q INSDIODE2_1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _347_.Q _226_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _347_.Q output75.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _348_.Q _228_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _348_.Q output76.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _348_.Q INSDIODE2_2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _349_.Q _230_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _349_.Q output77.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _350_.Q _232_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _350_.Q output78.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _351_.Q _238_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _351_.Q output79.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _352_.Q _240_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _352_.Q output80.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _353_.Q _242_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _353_.Q output81.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _354_.Q _244_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _354_.Q output82.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _355_.Q _246_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _355_.Q output83.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _356_.Q _251_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _356_.Q output85.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _357_.Q _253_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _357_.Q output86.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _358_.Q _255_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _358_.Q output87.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _359_.Q _257_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _359_.Q output88.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _360_.Q _259_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _360_.Q output89.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _361_.Q _264_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _361_.Q output90.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _362_.Q _266_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _362_.Q output91.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _363_.Q _268_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _363_.Q output92.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _364_.Q _270_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _364_.Q output93.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _365_.Q _272_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _365_.Q output94.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _366_.Q _274_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _366_.Q output96.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _367_.Q _276_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _367_.Q output97.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _368_.Q output72.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _369_.Q _284_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _369_.Q output105.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _370_.Q _163_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _370_.Q _168_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _370_.Q _194_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _370_.Q _235_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _371_.Q _163_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _371_.Q _168_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _371_.Q _278_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _371_.Q _279_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _372_.Q _174_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _372_.Q _288_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _373_.Q _176_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _373_.Q _290_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _374_.Q _178_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _374_.Q _292_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _375_.Q _181_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _375_.Q _294_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _376_.Q _183_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _376_.Q _298_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _377_.Q _185_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _377_.Q _300_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _378_.Q _187_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _378_.Q _302_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _379_.Q _189_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _379_.Q _304_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _380_.Q _165_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _380_.Q _169_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _380_.Q _306_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _381_.Q _169_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _381_.Q _309_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _382_.Q _167_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _382_.Q _311_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _383_.Q _167_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _383_.Q _313_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _384_.Q _167_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _384_.Q _315_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _385_.Q _167_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _385_.Q _317_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _386_.Q _171_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _386_.Q _320_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _387_.Q _171_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _387_.Q _322_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _388_.Q _171_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _388_.Q _324_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _389_.Q _171_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _389_.Q _326_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _390_.Q _170_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _390_.Q _328_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _391_.Q _170_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _391_.Q _330_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _392_.Q _170_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _392_.Q _332_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _393_.Q _170_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _393_.Q _334_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _448_.X output69.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _449_.X output70.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input1.X _198_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input10.X _244_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT input11.X _246_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT input12.X _200_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input13.X _251_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT input13.X INSDIODE2_0.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT input14.X _253_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT input15.X _255_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT input16.X _257_.B1 (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT input17.X _259_.B1 (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT input18.X _264_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT input19.X _266_.B1 (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT input2.X _224_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input20.X _268_.B1 (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT input21.X _270_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT input22.X _272_.B1 (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT input23.X _202_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input24.X _274_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT input25.X _276_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input26.X _204_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input27.X _206_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input28.X _211_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input29.X _213_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input3.X _226_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input30.X _215_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input31.X _217_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input32.X _219_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input33.X _306_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input34.X _309_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input35.X _311_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input36.X _313_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input37.X _315_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input38.X _317_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input39.X _320_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input4.X _228_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input40.X _322_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input41.X _324_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input42.X _326_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input43.X _328_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input44.X _330_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input45.X _332_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input46.X _334_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input47.X _288_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input48.X _290_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input49.X _292_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input5.X _230_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input50.X _294_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input51.X _298_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input52.X _300_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input53.X _302_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input54.X _304_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input55.X _449_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT input55.X _448_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input55.X _393_.CLK (0.042:0.042:0.042) (0.038:0.038:0.038))
(INTERCONNECT input55.X _392_.CLK (0.042:0.042:0.042) (0.038:0.038:0.038))
(INTERCONNECT input55.X _391_.CLK (0.042:0.042:0.042) (0.038:0.038:0.038))
(INTERCONNECT input55.X _390_.CLK (0.042:0.042:0.042) (0.038:0.038:0.038))
(INTERCONNECT input55.X _389_.CLK (0.041:0.041:0.041) (0.038:0.038:0.038))
(INTERCONNECT input55.X _388_.CLK (0.041:0.041:0.041) (0.038:0.038:0.038))
(INTERCONNECT input55.X _387_.CLK (0.041:0.041:0.041) (0.038:0.038:0.038))
(INTERCONNECT input55.X _386_.CLK (0.041:0.041:0.041) (0.038:0.038:0.038))
(INTERCONNECT input55.X _385_.CLK (0.041:0.041:0.041) (0.037:0.037:0.037))
(INTERCONNECT input55.X _384_.CLK (0.041:0.041:0.041) (0.037:0.037:0.037))
(INTERCONNECT input55.X _383_.CLK (0.041:0.041:0.041) (0.037:0.037:0.037))
(INTERCONNECT input55.X _382_.CLK (0.040:0.040:0.040) (0.037:0.037:0.037))
(INTERCONNECT input55.X _381_.CLK (0.040:0.040:0.040) (0.037:0.037:0.037))
(INTERCONNECT input55.X _380_.CLK (0.040:0.040:0.040) (0.036:0.036:0.036))
(INTERCONNECT input55.X _379_.CLK (0.039:0.039:0.039) (0.036:0.036:0.036))
(INTERCONNECT input55.X _378_.CLK (0.039:0.039:0.039) (0.036:0.036:0.036))
(INTERCONNECT input55.X _377_.CLK (0.038:0.038:0.038) (0.035:0.035:0.035))
(INTERCONNECT input55.X _376_.CLK (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT input55.X _375_.CLK (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT input55.X _374_.CLK (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT input55.X _373_.CLK (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT input55.X _372_.CLK (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT input55.X _371_.CLK (0.016:0.016:0.016) (0.015:0.015:0.015))
(INTERCONNECT input55.X _370_.CLK (0.019:0.019:0.019) (0.018:0.018:0.018))
(INTERCONNECT input55.X _369_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input55.X _368_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input55.X _367_.CLK (0.024:0.024:0.024) (0.022:0.022:0.022))
(INTERCONNECT input55.X _366_.CLK (0.022:0.022:0.022) (0.020:0.020:0.020))
(INTERCONNECT input55.X _365_.CLK (0.024:0.024:0.024) (0.022:0.022:0.022))
(INTERCONNECT input55.X _364_.CLK (0.019:0.019:0.019) (0.018:0.018:0.018))
(INTERCONNECT input55.X _363_.CLK (0.036:0.036:0.036) (0.033:0.033:0.033))
(INTERCONNECT input55.X _362_.CLK (0.024:0.024:0.024) (0.022:0.022:0.022))
(INTERCONNECT input55.X _361_.CLK (0.024:0.024:0.024) (0.022:0.022:0.022))
(INTERCONNECT input55.X _360_.CLK (0.037:0.037:0.037) (0.034:0.034:0.034))
(INTERCONNECT input55.X _359_.CLK (0.038:0.038:0.038) (0.035:0.035:0.035))
(INTERCONNECT input55.X _358_.CLK (0.035:0.035:0.035) (0.032:0.032:0.032))
(INTERCONNECT input55.X _357_.CLK (0.039:0.039:0.039) (0.035:0.035:0.035))
(INTERCONNECT input55.X _356_.CLK (0.035:0.035:0.035) (0.032:0.032:0.032))
(INTERCONNECT input55.X _355_.CLK (0.034:0.034:0.034) (0.031:0.031:0.031))
(INTERCONNECT input55.X _354_.CLK (0.033:0.033:0.033) (0.030:0.030:0.030))
(INTERCONNECT input55.X _353_.CLK (0.032:0.032:0.032) (0.029:0.029:0.029))
(INTERCONNECT input55.X _352_.CLK (0.029:0.029:0.029) (0.027:0.027:0.027))
(INTERCONNECT input55.X _351_.CLK (0.031:0.031:0.031) (0.028:0.028:0.028))
(INTERCONNECT input55.X _350_.CLK (0.027:0.027:0.027) (0.025:0.025:0.025))
(INTERCONNECT input55.X _349_.CLK (0.028:0.028:0.028) (0.026:0.026:0.026))
(INTERCONNECT input55.X _348_.CLK (0.028:0.028:0.028) (0.026:0.026:0.026))
(INTERCONNECT input55.X _347_.CLK (0.027:0.027:0.027) (0.025:0.025:0.025))
(INTERCONNECT input55.X _346_.CLK (0.028:0.028:0.028) (0.026:0.026:0.026))
(INTERCONNECT input55.X _345_.CLK (0.025:0.025:0.025) (0.023:0.023:0.023))
(INTERCONNECT input55.X _344_.CLK (0.026:0.026:0.026) (0.024:0.024:0.024))
(INTERCONNECT input55.X _343_.CLK (0.026:0.026:0.026) (0.024:0.024:0.024))
(INTERCONNECT input55.X _342_.CLK (0.026:0.026:0.026) (0.024:0.024:0.024))
(INTERCONNECT input55.X _341_.CLK (0.025:0.025:0.025) (0.023:0.023:0.023))
(INTERCONNECT input55.X _340_.CLK (0.025:0.025:0.025) (0.023:0.023:0.023))
(INTERCONNECT input55.X _339_.CLK (0.025:0.025:0.025) (0.023:0.023:0.023))
(INTERCONNECT input55.X _338_.CLK (0.019:0.019:0.019) (0.018:0.018:0.018))
(INTERCONNECT input55.X _337_.CLK (0.017:0.017:0.017) (0.016:0.016:0.016))
(INTERCONNECT input55.X _336_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input56.X _281_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input57.X _282_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input57.X _191_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input58.X _281_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input59.X _287_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input59.X _286_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input6.X _232_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input7.X _238_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input8.X _240_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input9.X _242_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT output100.X wb_data_o[5] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output101.X wb_data_o[6] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output102.X wb_data_o[7] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output103.X wb_data_o[8] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output104.X wb_data_o[9] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output105.X wb_stall_o (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output60.X sram_addr1[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output61.X sram_addr1[1] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output62.X sram_addr1[2] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output63.X sram_addr1[3] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output64.X sram_addr1[4] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output65.X sram_addr1[5] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output66.X sram_addr1[6] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output67.X sram_addr1[7] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output68.X sram_addr1[8] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output69.X sram_clk0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output70.X sram_clk1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output71.X sram_csb1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output72.X wb_ack_o (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output73.X wb_data_o[0] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output74.X wb_data_o[10] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output75.X wb_data_o[11] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output76.X wb_data_o[12] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output77.X wb_data_o[13] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output78.X wb_data_o[14] (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT output79.X wb_data_o[15] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output80.X wb_data_o[16] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output81.X wb_data_o[17] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output82.X wb_data_o[18] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output83.X wb_data_o[19] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output84.X wb_data_o[1] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output85.X wb_data_o[20] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output86.X wb_data_o[21] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output87.X wb_data_o[22] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output88.X wb_data_o[23] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output89.X wb_data_o[24] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output90.X wb_data_o[25] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output91.X wb_data_o[26] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output92.X wb_data_o[27] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output93.X wb_data_o[28] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output94.X wb_data_o[29] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output95.X wb_data_o[2] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output96.X wb_data_o[30] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output97.X wb_data_o[31] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output98.X wb_data_o[3] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output99.X wb_data_o[4] (0.000:0.000:0.000) (0.000:0.000:0.000))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _163_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.185:0.185:0.185) (0.279:0.279:0.279))
(IOPATH B X (0.183:0.183:0.183) (0.261:0.261:0.261))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _164_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.198:0.198) (0.195:0.195:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _165_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.283:0.283:0.283) (0.228:0.228:0.228))
(IOPATH B X (0.284:0.284:0.284) (0.247:0.247:0.247))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _166_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.128:0.128:0.128) (0.126:0.126:0.126))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _167_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.113:0.113:0.113) (0.522:0.522:0.522))
(IOPATH B X (0.112:0.112:0.112) (0.504:0.504:0.504))
(IOPATH C X (0.110:0.110:0.110) (0.471:0.471:0.471))
(IOPATH D X (0.107:0.107:0.107) (0.403:0.403:0.403))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_2")
(INSTANCE _168_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.302:0.302:0.302) (0.292:0.292:0.292))
(IOPATH B X (0.246:0.246:0.246) (0.259:0.259:0.259))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4b_1")
(INSTANCE _169_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.569:0.569:0.569))
(IOPATH B X (0.137:0.137:0.137) (0.540:0.540:0.540))
(IOPATH C X (0.130:0.130:0.131) (0.520:0.520:0.521))
(IOPATH D_N X (0.209:0.209:0.209) (0.493:0.493:0.493))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _170_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.129:0.129:0.129) (0.546:0.546:0.546))
(IOPATH B X (0.127:0.127:0.127) (0.528:0.528:0.528))
(IOPATH C X (0.127:0.127:0.127) (0.496:0.496:0.496))
(IOPATH D X (0.125:0.125:0.125) (0.428:0.428:0.428))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _171_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.098:0.098:0.098) (0.495:0.495:0.495))
(IOPATH B X (0.095:0.095:0.095) (0.478:0.478:0.478))
(IOPATH C X (0.097:0.097:0.097) (0.446:0.446:0.446))
(IOPATH D X (0.094:0.094:0.094) (0.377:0.377:0.377))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _172_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.126:0.126:0.126) (0.405:0.405:0.405))
(IOPATH B X (0.119:0.120:0.120) (0.379:0.379:0.379))
(IOPATH C X (0.102:0.102:0.103) (0.330:0.330:0.331))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _173_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.161:0.161:0.161) (0.163:0.163:0.163))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _174_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.113:0.113:0.113) (0.126:0.126:0.126))
(IOPATH B X (0.144:0.144:0.144) (0.165:0.165:0.165))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _175_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.090:0.090:0.090) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _176_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.136:0.136:0.136) (0.141:0.141:0.141))
(IOPATH B X (0.160:0.160:0.160) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _177_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.093:0.093:0.093) (0.089:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _178_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.146:0.146:0.146) (0.147:0.147:0.147))
(IOPATH B X (0.168:0.168:0.168) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _179_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.091:0.091:0.091) (0.088:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _180_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.163:0.163:0.163) (0.139:0.139:0.140))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _181_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.166:0.166:0.166) (0.160:0.160:0.160))
(IOPATH B X (0.174:0.174:0.174) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _182_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.101:0.101:0.101) (0.096:0.096:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _183_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.187:0.187:0.187) (0.172:0.172:0.172))
(IOPATH B X (0.198:0.198:0.198) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _184_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.106:0.106:0.106) (0.100:0.100:0.101))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _185_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.207:0.207) (0.183:0.183:0.183))
(IOPATH B X (0.210:0.210:0.210) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _186_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.104:0.104:0.104) (0.100:0.101:0.101))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _187_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.222:0.222:0.222) (0.191:0.191:0.191))
(IOPATH B X (0.229:0.229:0.229) (0.213:0.213:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _188_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.115:0.115:0.115) (0.110:0.110:0.111))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _189_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.252:0.252:0.252) (0.208:0.208:0.208))
(IOPATH B X (0.258:0.258:0.258) (0.229:0.229:0.229))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _190_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.112:0.112:0.112) (0.113:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _191_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.223:0.223:0.223) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _192_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.184:0.184:0.184) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _193_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.175:0.175:0.175) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _194_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.182:0.182:0.182) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _195_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.184:0.184:0.184) (0.165:0.165:0.165))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _196_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.188:0.188:0.188) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _197_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.189:0.189:0.189) (0.165:0.165:0.165))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _198_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.178:0.178:0.178) (0.097:0.097:0.097))
(IOPATH A2 Y (0.186:0.186:0.186) (0.101:0.101:0.101))
(IOPATH B1 Y (0.134:0.134:0.134) (0.063:0.063:0.063))
(IOPATH B2 Y (0.167:0.167:0.167) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _199_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.106:0.106:0.106) (0.058:0.058:0.058))
(IOPATH B Y (0.081:0.083:0.085) (0.054:0.055:0.056))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _200_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.183:0.183:0.183) (0.099:0.099:0.099))
(IOPATH A2 Y (0.185:0.185:0.185) (0.093:0.093:0.093))
(IOPATH B1 Y (0.137:0.137:0.137) (0.063:0.063:0.063))
(IOPATH B2 Y (0.172:0.172:0.172) (0.084:0.084:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _201_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.098:0.098:0.098) (0.055:0.055:0.055))
(IOPATH B Y (0.074:0.076:0.078) (0.050:0.051:0.051))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _202_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.180:0.180:0.180) (0.098:0.098:0.098))
(IOPATH A2 Y (0.194:0.194:0.194) (0.109:0.109:0.109))
(IOPATH B1 Y (0.135:0.135:0.135) (0.062:0.062:0.062))
(IOPATH B2 Y (0.170:0.170:0.170) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _203_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.100:0.100:0.100) (0.056:0.056:0.056))
(IOPATH B Y (0.075:0.078:0.080) (0.051:0.051:0.052))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _204_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.190:0.190:0.190) (0.101:0.101:0.101))
(IOPATH A2 Y (0.203:0.203:0.203) (0.113:0.113:0.113))
(IOPATH B1 Y (0.143:0.143:0.143) (0.063:0.063:0.063))
(IOPATH B2 Y (0.178:0.178:0.178) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _205_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.101:0.101:0.101) (0.056:0.056:0.056))
(IOPATH B Y (0.078:0.081:0.083) (0.053:0.054:0.054))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _206_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.186:0.186:0.186) (0.100:0.100:0.100))
(IOPATH A2 Y (0.206:0.206:0.206) (0.120:0.120:0.120))
(IOPATH B1 Y (0.143:0.143:0.143) (0.070:0.070:0.070))
(IOPATH B2 Y (0.175:0.175:0.175) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _207_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.099:0.099:0.099) (0.055:0.055:0.055))
(IOPATH B Y (0.077:0.080:0.083) (0.051:0.052:0.052))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _208_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.182:0.182:0.182) (0.162:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _209_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.176:0.176:0.176) (0.159:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _210_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.174:0.174:0.174) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _211_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.174:0.174:0.174) (0.093:0.093:0.093))
(IOPATH A2 Y (0.200:0.200:0.200) (0.119:0.119:0.119))
(IOPATH B1 Y (0.132:0.132:0.132) (0.060:0.060:0.060))
(IOPATH B2 Y (0.161:0.161:0.161) (0.076:0.076:0.076))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _212_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.099:0.099:0.099) (0.057:0.057:0.057))
(IOPATH B Y (0.072:0.075:0.079) (0.049:0.049:0.050))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _213_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.183:0.183:0.183) (0.096:0.096:0.096))
(IOPATH A2 Y (0.197:0.197:0.197) (0.109:0.109:0.109))
(IOPATH B1 Y (0.140:0.140:0.140) (0.063:0.063:0.063))
(IOPATH B2 Y (0.170:0.170:0.170) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _214_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.103:0.103:0.103) (0.059:0.059:0.059))
(IOPATH B Y (0.077:0.079:0.082) (0.052:0.053:0.053))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _215_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.177:0.177:0.177) (0.094:0.094:0.094))
(IOPATH A2 Y (0.188:0.188:0.188) (0.101:0.101:0.101))
(IOPATH B1 Y (0.137:0.137:0.137) (0.068:0.068:0.068))
(IOPATH B2 Y (0.164:0.164:0.164) (0.077:0.077:0.077))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _216_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.105:0.105:0.105) (0.060:0.060:0.060))
(IOPATH B Y (0.080:0.081:0.082) (0.053:0.053:0.054))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _217_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.183:0.183:0.183) (0.096:0.096:0.096))
(IOPATH A2 Y (0.201:0.201:0.201) (0.113:0.113:0.113))
(IOPATH B1 Y (0.146:0.146:0.146) (0.075:0.075:0.075))
(IOPATH B2 Y (0.170:0.170:0.170) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _218_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.109:0.109:0.109) (0.061:0.061:0.061))
(IOPATH B Y (0.084:0.086:0.088) (0.056:0.056:0.057))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _219_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.184:0.184:0.184) (0.096:0.096:0.096))
(IOPATH A2 Y (0.201:0.201:0.201) (0.112:0.112:0.112))
(IOPATH B1 Y (0.150:0.150:0.150) (0.082:0.082:0.082))
(IOPATH B2 Y (0.170:0.170:0.170) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _220_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.105:0.105:0.105) (0.060:0.060:0.060))
(IOPATH B Y (0.080:0.082:0.084) (0.053:0.054:0.055))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _221_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.178:0.178:0.178) (0.159:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _222_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.182:0.182:0.182) (0.163:0.163:0.163))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _223_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.183:0.183:0.183) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _224_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.195:0.195:0.195) (0.102:0.102:0.102))
(IOPATH A2 Y (0.206:0.206:0.206) (0.112:0.112:0.112))
(IOPATH B1 Y (0.160:0.160:0.160) (0.086:0.086:0.086))
(IOPATH B2 Y (0.182:0.182:0.182) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _225_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.100:0.100:0.100) (0.057:0.057:0.057))
(IOPATH B Y (0.079:0.080:0.082) (0.052:0.053:0.053))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _226_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.186:0.186:0.186) (0.099:0.099:0.099))
(IOPATH A2 Y (0.204:0.204:0.204) (0.119:0.119:0.119))
(IOPATH B1 Y (0.151:0.151:0.151) (0.081:0.081:0.081))
(IOPATH B2 Y (0.174:0.174:0.174) (0.084:0.084:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _227_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.104:0.104:0.104) (0.058:0.058:0.058))
(IOPATH B Y (0.082:0.084:0.086) (0.054:0.055:0.055))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _228_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.199:0.199:0.199) (0.104:0.104:0.104))
(IOPATH A2 Y (0.207:0.207:0.207) (0.109:0.109:0.109))
(IOPATH B1 Y (0.176:0.176:0.176) (0.102:0.102:0.102))
(IOPATH B2 Y (0.186:0.186:0.186) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _229_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.112:0.112:0.112) (0.062:0.062:0.062))
(IOPATH B Y (0.091:0.095:0.098) (0.060:0.061:0.062))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _230_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.183:0.183:0.183) (0.098:0.098:0.098))
(IOPATH A2 Y (0.198:0.198:0.198) (0.111:0.111:0.111))
(IOPATH B1 Y (0.160:0.160:0.160) (0.084:0.084:0.084))
(IOPATH B2 Y (0.171:0.171:0.171) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _231_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.102:0.102:0.102) (0.057:0.057:0.057))
(IOPATH B Y (0.079:0.081:0.082) (0.052:0.053:0.053))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _232_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.182:0.182:0.182) (0.098:0.098:0.098))
(IOPATH A2 Y (0.190:0.190:0.190) (0.101:0.101:0.101))
(IOPATH B1 Y (0.149:0.149:0.149) (0.073:0.073:0.073))
(IOPATH B2 Y (0.170:0.170:0.170) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _233_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.100:0.100:0.100) (0.056:0.056:0.056))
(IOPATH B Y (0.077:0.078:0.079) (0.051:0.051:0.052))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _234_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.187:0.187:0.187) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _235_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.183:0.183:0.183) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _236_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.175:0.175:0.175) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _237_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.233:0.233:0.233) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _238_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.175:0.175:0.175) (0.092:0.092:0.092))
(IOPATH A2 Y (0.189:0.189:0.189) (0.104:0.104:0.104))
(IOPATH B1 Y (0.165:0.165:0.165) (0.089:0.089:0.089))
(IOPATH B2 Y (0.172:0.172:0.172) (0.096:0.096:0.096))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _239_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.111:0.111:0.111) (0.060:0.060:0.060))
(IOPATH B Y (0.090:0.093:0.095) (0.057:0.058:0.059))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _240_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.192:0.192:0.192) (0.099:0.099:0.099))
(IOPATH A2 Y (0.208:0.208:0.208) (0.113:0.113:0.113))
(IOPATH B1 Y (0.183:0.183:0.183) (0.098:0.098:0.098))
(IOPATH B2 Y (0.189:0.189:0.189) (0.104:0.104:0.104))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _241_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.101:0.101:0.101) (0.056:0.056:0.056))
(IOPATH B Y (0.083:0.086:0.088) (0.054:0.055:0.055))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _242_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.174:0.174:0.174) (0.092:0.092:0.092))
(IOPATH A2 Y (0.184:0.184:0.184) (0.097:0.097:0.097))
(IOPATH B1 Y (0.149:0.149:0.149) (0.085:0.085:0.085))
(IOPATH B2 Y (0.171:0.171:0.171) (0.095:0.095:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _243_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.101:0.101:0.101) (0.056:0.056:0.056))
(IOPATH B Y (0.080:0.082:0.084) (0.052:0.052:0.053))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _244_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.172:0.172:0.172) (0.091:0.091:0.091))
(IOPATH A2 Y (0.181:0.181:0.181) (0.095:0.095:0.095))
(IOPATH B1 Y (0.153:0.153:0.153) (0.091:0.091:0.091))
(IOPATH B2 Y (0.169:0.169:0.169) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _245_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.098:0.098:0.098) (0.054:0.054:0.054))
(IOPATH B Y (0.076:0.080:0.084) (0.050:0.050:0.051))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _246_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.177:0.177:0.177) (0.093:0.093:0.093))
(IOPATH A2 Y (0.185:0.185:0.185) (0.095:0.095:0.095))
(IOPATH B1 Y (0.163:0.163:0.163) (0.099:0.099:0.099))
(IOPATH B2 Y (0.174:0.174:0.174) (0.096:0.096:0.096))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _247_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.101:0.101:0.101) (0.056:0.056:0.056))
(IOPATH B Y (0.080:0.085:0.090) (0.052:0.053:0.054))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _248_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.189:0.189:0.189) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _249_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.236:0.236:0.236) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _250_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.222:0.222:0.222) (0.204:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _251_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.189:0.189:0.189) (0.123:0.123:0.123))
(IOPATH A2 Y (0.186:0.186:0.186) (0.100:0.100:0.100))
(IOPATH B1 Y (0.161:0.161:0.161) (0.087:0.087:0.087))
(IOPATH B2 Y (0.170:0.170:0.170) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _252_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.102:0.102:0.102) (0.057:0.057:0.057))
(IOPATH B Y (0.080:0.084:0.089) (0.052:0.053:0.053))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _253_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.193:0.193:0.193) (0.125:0.125:0.125))
(IOPATH A2 Y (0.202:0.202:0.202) (0.116:0.116:0.116))
(IOPATH B1 Y (0.164:0.164:0.164) (0.088:0.088:0.088))
(IOPATH B2 Y (0.174:0.174:0.174) (0.095:0.095:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _254_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.109:0.109:0.109) (0.060:0.060:0.060))
(IOPATH B Y (0.091:0.094:0.096) (0.057:0.058:0.058))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _255_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.202:0.202:0.202) (0.129:0.129:0.129))
(IOPATH A2 Y (0.203:0.203:0.203) (0.110:0.110:0.110))
(IOPATH B1 Y (0.161:0.161:0.161) (0.080:0.080:0.080))
(IOPATH B2 Y (0.183:0.183:0.183) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _256_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.103:0.103:0.103) (0.057:0.057:0.057))
(IOPATH B Y (0.084:0.088:0.092) (0.055:0.055:0.056))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _257_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.197:0.197:0.197) (0.127:0.127:0.127))
(IOPATH A2 Y (0.191:0.191:0.191) (0.096:0.096:0.096))
(IOPATH B1 Y (0.171:0.171:0.171) (0.092:0.092:0.092))
(IOPATH B2 Y (0.178:0.178:0.178) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _258_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.101:0.101:0.101) (0.056:0.056:0.056))
(IOPATH B Y (0.080:0.085:0.089) (0.053:0.053:0.054))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _259_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.188:0.188:0.188) (0.123:0.123:0.123))
(IOPATH A2 Y (0.190:0.190:0.190) (0.106:0.106:0.106))
(IOPATH B1 Y (0.166:0.166:0.166) (0.091:0.091:0.091))
(IOPATH B2 Y (0.169:0.169:0.169) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _260_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.104:0.104:0.104) (0.058:0.058:0.058))
(IOPATH B Y (0.084:0.088:0.091) (0.054:0.054:0.055))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _261_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.184:0.184:0.184) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _262_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.174:0.174:0.174) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _263_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.184:0.184:0.184) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _264_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.177:0.177:0.177) (0.093:0.093:0.093))
(IOPATH A2 Y (0.194:0.194:0.194) (0.109:0.109:0.109))
(IOPATH B1 Y (0.164:0.164:0.164) (0.089:0.089:0.089))
(IOPATH B2 Y (0.163:0.163:0.163) (0.077:0.077:0.077))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _265_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.098:0.098:0.098) (0.054:0.054:0.054))
(IOPATH B Y (0.076:0.080:0.083) (0.051:0.051:0.052))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _266_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.181:0.181:0.181) (0.094:0.094:0.094))
(IOPATH A2 Y (0.199:0.199:0.199) (0.110:0.110:0.110))
(IOPATH B1 Y (0.167:0.167:0.167) (0.090:0.090:0.090))
(IOPATH B2 Y (0.168:0.168:0.168) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _267_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.098:0.098:0.098) (0.054:0.054:0.054))
(IOPATH B Y (0.077:0.080:0.083) (0.051:0.052:0.053))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _268_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.181:0.181:0.181) (0.094:0.094:0.094))
(IOPATH A2 Y (0.193:0.193:0.193) (0.104:0.104:0.104))
(IOPATH B1 Y (0.172:0.172:0.172) (0.094:0.094:0.094))
(IOPATH B2 Y (0.168:0.168:0.168) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _269_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.106:0.106:0.106) (0.057:0.057:0.057))
(IOPATH B Y (0.085:0.089:0.093) (0.056:0.057:0.058))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _270_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.189:0.189:0.189) (0.097:0.097:0.097))
(IOPATH A2 Y (0.209:0.209:0.209) (0.118:0.118:0.118))
(IOPATH B1 Y (0.174:0.174:0.174) (0.093:0.093:0.093))
(IOPATH B2 Y (0.175:0.175:0.175) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _271_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.100:0.100:0.100) (0.055:0.055:0.055))
(IOPATH B Y (0.080:0.083:0.086) (0.053:0.054:0.055))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _272_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.176:0.176:0.176) (0.092:0.092:0.092))
(IOPATH A2 Y (0.199:0.199:0.199) (0.117:0.117:0.117))
(IOPATH B1 Y (0.160:0.160:0.160) (0.085:0.085:0.085))
(IOPATH B2 Y (0.163:0.163:0.163) (0.076:0.076:0.076))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _273_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.105:0.105:0.105) (0.057:0.057:0.057))
(IOPATH B Y (0.083:0.086:0.089) (0.055:0.056:0.057))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _274_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.178:0.178:0.178) (0.096:0.096:0.096))
(IOPATH A2 Y (0.193:0.193:0.193) (0.110:0.110:0.110))
(IOPATH B1 Y (0.156:0.156:0.156) (0.083:0.083:0.083))
(IOPATH B2 Y (0.164:0.164:0.164) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _275_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.099:0.099:0.099) (0.054:0.054:0.054))
(IOPATH B Y (0.077:0.079:0.081) (0.051:0.052:0.052))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _276_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.182:0.182:0.182) (0.098:0.098:0.098))
(IOPATH A2 Y (0.199:0.199:0.199) (0.113:0.113:0.113))
(IOPATH B1 Y (0.153:0.153:0.153) (0.078:0.078:0.078))
(IOPATH B2 Y (0.168:0.168:0.168) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _277_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.099:0.099:0.099) (0.055:0.055:0.055))
(IOPATH B Y (0.078:0.080:0.083) (0.052:0.053:0.053))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _278_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.223:0.223:0.223) (0.097:0.097:0.097))
(IOPATH B Y (0.216:0.216:0.216) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _279_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.153:0.153:0.153) (0.177:0.177:0.177))
(IOPATH A2 X (0.157:0.157:0.157) (0.207:0.207:0.207))
(IOPATH B1 X (0.133:0.133:0.133) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _280_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.171:0.171:0.172) (0.112:0.112:0.112))
(IOPATH B Y (0.150:0.151:0.151) (0.065:0.065:0.065))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _281_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.102:0.102:0.102) (0.090:0.090:0.090))
(IOPATH B Y (0.107:0.107:0.107) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_2")
(INSTANCE _282_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.361:0.361:0.361) (0.109:0.109:0.109))
(IOPATH B Y (0.342:0.342:0.342) (0.097:0.097:0.097))
(IOPATH C Y (0.292:0.292:0.292) (0.083:0.084:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _283_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.251:0.251:0.251) (0.162:0.166:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _284_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.112:0.112:0.112) (0.151:0.151:0.151))
(IOPATH A2 X (0.135:0.135:0.135) (0.171:0.177:0.184))
(IOPATH B1 X (0.099:0.099:0.099) (0.165:0.165:0.165))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _285_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.177:0.178:0.178) (0.126:0.126:0.126))
(IOPATH A2 Y (0.200:0.200:0.200) (0.096:0.097:0.097))
(IOPATH B1 Y (0.163:0.164:0.164) (0.059:0.059:0.059))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _286_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.148:0.148:0.148) (0.195:0.195:0.195))
(IOPATH A2 X (0.140:0.140:0.140) (0.187:0.187:0.187))
(IOPATH B1 X (0.152:0.154:0.156) (0.107:0.118:0.129))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21boi_1")
(INSTANCE _287_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.110:0.110:0.110) (0.069:0.069:0.069))
(IOPATH A2 Y (0.132:0.132:0.132) (0.095:0.095:0.095))
(IOPATH B1_N Y (0.161:0.163:0.164) (0.118:0.128:0.139))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _288_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.119:0.119:0.119) (0.272:0.272:0.272))
(IOPATH A1 X (0.120:0.120:0.120) (0.283:0.283:0.283))
(IOPATH S X (0.211:0.211:0.211) (0.331:0.331:0.331))
(IOPATH S X (0.150:0.150:0.150) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _289_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.073:0.073:0.073) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _290_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.128:0.128:0.128) (0.277:0.277:0.277))
(IOPATH A1 X (0.121:0.121:0.121) (0.285:0.285:0.285))
(IOPATH S X (0.213:0.213:0.213) (0.333:0.333:0.333))
(IOPATH S X (0.152:0.152:0.152) (0.322:0.322:0.322))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _291_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.074:0.074:0.075) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _292_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.135:0.135:0.135) (0.285:0.285:0.285))
(IOPATH A1 X (0.135:0.135:0.135) (0.294:0.294:0.294))
(IOPATH S X (0.218:0.218:0.218) (0.340:0.340:0.340))
(IOPATH S X (0.157:0.157:0.157) (0.328:0.328:0.328))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _293_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.080) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _294_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.134:0.134:0.134) (0.278:0.278:0.278))
(IOPATH A1 X (0.118:0.118:0.118) (0.281:0.281:0.281))
(IOPATH S X (0.210:0.210:0.210) (0.330:0.330:0.330))
(IOPATH S X (0.149:0.149:0.149) (0.318:0.318:0.318))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _295_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.080) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _296_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.270:0.270:0.270) (0.177:0.182:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _297_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _298_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.129:0.129:0.129) (0.275:0.275:0.275))
(IOPATH A1 X (0.119:0.119:0.119) (0.280:0.280:0.280))
(IOPATH S X (0.205:0.205:0.205) (0.326:0.326:0.326))
(IOPATH S X (0.145:0.145:0.145) (0.314:0.314:0.314))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _299_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.082:0.082) (0.092:0.092:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _300_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.144:0.144:0.144) (0.286:0.286:0.286))
(IOPATH A1 X (0.129:0.129:0.129) (0.288:0.288:0.288))
(IOPATH S X (0.211:0.211:0.211) (0.333:0.333:0.333))
(IOPATH S X (0.150:0.150:0.150) (0.321:0.321:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _301_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.078) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _302_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.139:0.139:0.139) (0.283:0.283:0.283))
(IOPATH A1 X (0.125:0.125:0.125) (0.286:0.286:0.286))
(IOPATH S X (0.210:0.210:0.210) (0.332:0.332:0.332))
(IOPATH S X (0.149:0.149:0.149) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _303_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.076) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _304_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.140:0.140:0.140) (0.283:0.283:0.283))
(IOPATH A1 X (0.123:0.123:0.123) (0.286:0.286:0.286))
(IOPATH S X (0.210:0.210:0.210) (0.333:0.333:0.333))
(IOPATH S X (0.150:0.150:0.150) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _305_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.077) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _306_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.150:0.150:0.150) (0.288:0.288:0.288))
(IOPATH A1 X (0.120:0.120:0.120) (0.282:0.282:0.282))
(IOPATH S X (0.208:0.208:0.208) (0.329:0.329:0.329))
(IOPATH S X (0.147:0.147:0.147) (0.317:0.317:0.317))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _307_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.080) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _308_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.210:0.210:0.210) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _309_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.122:0.122:0.122) (0.275:0.275:0.275))
(IOPATH A1 X (0.121:0.121:0.121) (0.284:0.284:0.284))
(IOPATH S X (0.215:0.215:0.215) (0.334:0.334:0.334))
(IOPATH S X (0.153:0.153:0.153) (0.323:0.323:0.323))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _310_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.075) (0.088:0.088:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _311_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.131:0.131:0.131) (0.284:0.284:0.284))
(IOPATH A1 X (0.135:0.135:0.135) (0.295:0.295:0.295))
(IOPATH S X (0.221:0.221:0.221) (0.342:0.342:0.342))
(IOPATH S X (0.159:0.159:0.159) (0.331:0.331:0.331))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _312_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.081) (0.092:0.092:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _313_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.123:0.123:0.123) (0.275:0.275:0.275))
(IOPATH A1 X (0.122:0.122:0.122) (0.285:0.285:0.285))
(IOPATH S X (0.215:0.215:0.215) (0.334:0.334:0.334))
(IOPATH S X (0.153:0.153:0.153) (0.323:0.323:0.323))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _314_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.076) (0.088:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _315_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.131:0.131:0.131) (0.284:0.284:0.284))
(IOPATH A1 X (0.134:0.134:0.134) (0.295:0.295:0.295))
(IOPATH S X (0.222:0.222:0.222) (0.343:0.343:0.343))
(IOPATH S X (0.160:0.160:0.160) (0.332:0.332:0.332))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _316_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.083) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _317_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.124:0.124:0.124) (0.276:0.276:0.276))
(IOPATH A1 X (0.121:0.121:0.121) (0.285:0.285:0.285))
(IOPATH S X (0.215:0.215:0.215) (0.334:0.334:0.334))
(IOPATH S X (0.153:0.153:0.153) (0.324:0.324:0.324))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _318_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.076:0.076) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _319_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.250:0.250:0.250) (0.162:0.166:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _320_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.119:0.119:0.119) (0.270:0.270:0.270))
(IOPATH A1 X (0.122:0.122:0.122) (0.281:0.281:0.281))
(IOPATH S X (0.208:0.208:0.208) (0.328:0.328:0.328))
(IOPATH S X (0.147:0.147:0.147) (0.316:0.316:0.316))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _321_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.077) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _322_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.120:0.120:0.120) (0.274:0.274:0.274))
(IOPATH A1 X (0.121:0.121:0.121) (0.284:0.284:0.284))
(IOPATH S X (0.212:0.212:0.212) (0.333:0.333:0.333))
(IOPATH S X (0.151:0.151:0.151) (0.321:0.321:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _323_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.074:0.075:0.075) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _324_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.122:0.122:0.122) (0.272:0.272:0.272))
(IOPATH A1 X (0.125:0.125:0.125) (0.283:0.283:0.283))
(IOPATH S X (0.209:0.209:0.209) (0.329:0.329:0.329))
(IOPATH S X (0.148:0.148:0.148) (0.318:0.318:0.318))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _325_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.076:0.076) (0.088:0.088:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _326_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.123:0.123:0.123) (0.274:0.274:0.274))
(IOPATH A1 X (0.122:0.122:0.122) (0.284:0.284:0.284))
(IOPATH S X (0.211:0.211:0.211) (0.332:0.332:0.332))
(IOPATH S X (0.150:0.150:0.150) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _327_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.073:0.074:0.074) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _328_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.122:0.122:0.122) (0.273:0.273:0.273))
(IOPATH A1 X (0.133:0.133:0.133) (0.287:0.287:0.287))
(IOPATH S X (0.211:0.211:0.211) (0.331:0.331:0.331))
(IOPATH S X (0.150:0.150:0.150) (0.319:0.319:0.319))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _329_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.074:0.075:0.075) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _330_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.117:0.117:0.117) (0.269:0.269:0.269))
(IOPATH A1 X (0.113:0.113:0.113) (0.278:0.278:0.278))
(IOPATH S X (0.203:0.203:0.203) (0.331:0.331:0.331))
(IOPATH S X (0.152:0.152:0.152) (0.312:0.312:0.312))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _331_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.077) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _332_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.125:0.125:0.125) (0.277:0.277:0.277))
(IOPATH A1 X (0.131:0.131:0.131) (0.289:0.289:0.289))
(IOPATH S X (0.209:0.209:0.209) (0.338:0.338:0.338))
(IOPATH S X (0.158:0.158:0.158) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _333_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.076) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _334_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.124:0.124:0.124) (0.275:0.275:0.275))
(IOPATH A1 X (0.127:0.127:0.127) (0.286:0.286:0.286))
(IOPATH S X (0.207:0.207:0.207) (0.335:0.335:0.335))
(IOPATH S X (0.156:0.156:0.156) (0.317:0.317:0.317))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _335_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.075) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _336_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.434:0.434:0.434) (0.396:0.396:0.396))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.010:-0.010:-0.010))
(HOLD (negedge D) (posedge CLK) (-0.004:-0.004:-0.005))
(SETUP (posedge D) (posedge CLK) (0.031:0.031:0.031))
(SETUP (negedge D) (posedge CLK) (0.059:0.060:0.060))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _337_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.412:0.412:0.412) (0.384:0.384:0.384))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.008:-0.008:-0.008))
(HOLD (negedge D) (posedge CLK) (-0.002:-0.003:-0.004))
(SETUP (posedge D) (posedge CLK) (0.029:0.029:0.029))
(SETUP (negedge D) (posedge CLK) (0.057:0.058:0.059))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _338_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.457:0.457:0.457) (0.409:0.409:0.409))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.008:-0.008:-0.008))
(HOLD (negedge D) (posedge CLK) (-0.002:-0.003:-0.004))
(SETUP (posedge D) (posedge CLK) (0.029:0.029:0.029))
(SETUP (negedge D) (posedge CLK) (0.057:0.058:0.059))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _339_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.458:0.458:0.458) (0.409:0.409:0.409))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.008:-0.008:-0.009))
(HOLD (negedge D) (posedge CLK) (-0.002:-0.003:-0.004))
(SETUP (posedge D) (posedge CLK) (0.029:0.029:0.029))
(SETUP (negedge D) (posedge CLK) (0.057:0.058:0.060))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _340_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.485:0.485:0.485) (0.424:0.424:0.424))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.008:-0.008:-0.008))
(HOLD (negedge D) (posedge CLK) (-0.002:-0.003:-0.004))
(SETUP (posedge D) (posedge CLK) (0.029:0.029:0.029))
(SETUP (negedge D) (posedge CLK) (0.057:0.058:0.059))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _341_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.490:0.490:0.490) (0.427:0.427:0.427))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.007:-0.007:-0.007))
(HOLD (negedge D) (posedge CLK) (-0.002:-0.002:-0.003))
(SETUP (posedge D) (posedge CLK) (0.028:0.028:0.028))
(SETUP (negedge D) (posedge CLK) (0.057:0.057:0.058))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _342_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.465:0.465:0.465) (0.425:0.425:0.425))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.008:-0.008:-0.008))
(HOLD (negedge D) (posedge CLK) (0.004:0.003:0.003))
(SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033))
(SETUP (negedge D) (posedge CLK) (0.060:0.061:0.062))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _343_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.451:0.451:0.451) (0.417:0.417:0.417))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.008:-0.009:-0.009))
(HOLD (negedge D) (posedge CLK) (0.004:0.003:0.003))
(SETUP (posedge D) (posedge CLK) (0.033:0.033:0.034))
(SETUP (negedge D) (posedge CLK) (0.061:0.061:0.061))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _344_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.477:0.477:0.477) (0.431:0.431:0.431))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.009:-0.009:-0.010))
(HOLD (negedge D) (posedge CLK) (0.003:0.003:0.002))
(SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034))
(SETUP (negedge D) (posedge CLK) (0.061:0.062:0.062))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _345_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.475:0.475:0.475) (0.430:0.430:0.430))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.008:-0.009:-0.009))
(HOLD (negedge D) (posedge CLK) (0.004:0.003:0.002))
(SETUP (posedge D) (posedge CLK) (0.033:0.033:0.034))
(SETUP (negedge D) (posedge CLK) (0.061:0.061:0.062))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _346_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.465:0.465:0.465) (0.425:0.425:0.425))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.008:-0.008:-0.008))
(HOLD (negedge D) (posedge CLK) (0.005:0.004:0.003))
(SETUP (posedge D) (posedge CLK) (0.032:0.032:0.033))
(SETUP (negedge D) (posedge CLK) (0.060:0.061:0.062))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _347_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.494:0.494:0.494) (0.440:0.440:0.440))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.009:-0.009:-0.009))
(HOLD (negedge D) (posedge CLK) (0.004:0.003:0.002))
(SETUP (posedge D) (posedge CLK) (0.033:0.034:0.034))
(SETUP (negedge D) (posedge CLK) (0.060:0.061:0.062))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _348_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.450:0.450:0.450) (0.417:0.417:0.417))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.011:-0.011:-0.011))
(HOLD (negedge D) (posedge CLK) (0.003:0.002:0.000))
(SETUP (posedge D) (posedge CLK) (0.036:0.036:0.036))
(SETUP (negedge D) (posedge CLK) (0.061:0.063:0.064))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _349_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.474:0.474:0.474) (0.430:0.430:0.430))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.008:-0.008:-0.008))
(HOLD (negedge D) (posedge CLK) (0.005:0.004:0.003))
(SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033))
(SETUP (negedge D) (posedge CLK) (0.060:0.061:0.061))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _350_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.448:0.448:0.448) (0.415:0.415:0.415))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.008:-0.008:-0.008))
(HOLD (negedge D) (posedge CLK) (0.005:0.004:0.004))
(SETUP (posedge D) (posedge CLK) (0.032:0.032:0.032))
(SETUP (negedge D) (posedge CLK) (0.060:0.060:0.061))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _351_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.461:0.461:0.461) (0.423:0.423:0.423))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.011:-0.011:-0.011))
(HOLD (negedge D) (posedge CLK) (0.004:0.003:0.002))
(SETUP (posedge D) (posedge CLK) (0.036:0.036:0.036))
(SETUP (negedge D) (posedge CLK) (0.060:0.061:0.062))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _352_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.467:0.467:0.467) (0.426:0.426:0.426))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.008:-0.008:-0.009))
(HOLD (negedge D) (posedge CLK) (0.005:0.004:0.002))
(SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033))
(SETUP (negedge D) (posedge CLK) (0.059:0.061:0.062))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _353_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.441:0.441:0.441) (0.412:0.412:0.412))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.008:-0.008:-0.008))
(HOLD (negedge D) (posedge CLK) (0.005:0.005:0.004))
(SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033))
(SETUP (negedge D) (posedge CLK) (0.059:0.060:0.061))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _354_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.440:0.440:0.440) (0.411:0.411:0.411))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.007:-0.007:-0.008))
(HOLD (negedge D) (posedge CLK) (0.006:0.005:0.005))
(SETUP (posedge D) (posedge CLK) (0.032:0.032:0.032))
(SETUP (negedge D) (posedge CLK) (0.058:0.059:0.060))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _355_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.435:0.435:0.435) (0.409:0.409:0.409))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.008:-0.008:-0.009))
(HOLD (negedge D) (posedge CLK) (0.006:0.005:0.004))
(SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033))
(SETUP (negedge D) (posedge CLK) (0.059:0.060:0.061))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _356_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.447:0.447:0.447) (0.415:0.415:0.415))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.008:-0.008:-0.008))
(HOLD (negedge D) (posedge CLK) (0.005:0.005:0.004))
(SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033))
(SETUP (negedge D) (posedge CLK) (0.059:0.060:0.060))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _357_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.478:0.478:0.478) (0.420:0.420:0.420))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.010:-0.010:-0.010))
(HOLD (negedge D) (posedge CLK) (-0.002:-0.003:-0.004))
(SETUP (posedge D) (posedge CLK) (0.031:0.031:0.031))
(SETUP (negedge D) (posedge CLK) (0.057:0.058:0.059))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _358_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.464:0.464:0.464) (0.424:0.424:0.424))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.008:-0.008:-0.009))
(HOLD (negedge D) (posedge CLK) (0.005:0.004:0.003))
(SETUP (posedge D) (posedge CLK) (0.033:0.033:0.034))
(SETUP (negedge D) (posedge CLK) (0.059:0.060:0.062))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _359_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.431:0.431:0.431) (0.407:0.407:0.407))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.007:-0.008:-0.008))
(HOLD (negedge D) (posedge CLK) (0.006:0.005:0.004))
(SETUP (posedge D) (posedge CLK) (0.032:0.032:0.033))
(SETUP (negedge D) (posedge CLK) (0.058:0.059:0.061))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _360_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.467:0.467:0.467) (0.426:0.426:0.426))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.008:-0.009:-0.009))
(HOLD (negedge D) (posedge CLK) (0.005:0.005:0.004))
(SETUP (posedge D) (posedge CLK) (0.033:0.033:0.034))
(SETUP (negedge D) (posedge CLK) (0.059:0.060:0.060))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _361_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.474:0.474:0.474) (0.429:0.429:0.429))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.008:-0.008:-0.008))
(HOLD (negedge D) (posedge CLK) (0.005:0.004:0.003))
(SETUP (posedge D) (posedge CLK) (0.032:0.033:0.033))
(SETUP (negedge D) (posedge CLK) (0.059:0.060:0.061))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _362_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.471:0.471:0.471) (0.427:0.427:0.427))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.008:-0.008:-0.008))
(HOLD (negedge D) (posedge CLK) (0.005:0.004:0.003))
(SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033))
(SETUP (negedge D) (posedge CLK) (0.059:0.060:0.061))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _363_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.453:0.453:0.453) (0.419:0.419:0.419))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.009:-0.009:-0.009))
(HOLD (negedge D) (posedge CLK) (0.005:0.004:0.003))
(SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034))
(SETUP (negedge D) (posedge CLK) (0.059:0.060:0.062))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _364_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.486:0.486:0.486) (0.435:0.435:0.435))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.008:-0.009:-0.009))
(HOLD (negedge D) (posedge CLK) (0.005:0.003:0.002))
(SETUP (posedge D) (posedge CLK) (0.033:0.033:0.034))
(SETUP (negedge D) (posedge CLK) (0.060:0.061:0.063))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _365_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.498:0.498:0.498) (0.441:0.441:0.441))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.010:-0.010:-0.010))
(HOLD (negedge D) (posedge CLK) (0.004:0.003:0.002))
(SETUP (posedge D) (posedge CLK) (0.034:0.035:0.035))
(SETUP (negedge D) (posedge CLK) (0.060:0.061:0.062))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _366_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.476:0.476:0.476) (0.430:0.430:0.430))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.008:-0.008:-0.008))
(HOLD (negedge D) (posedge CLK) (0.005:0.004:0.003))
(SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033))
(SETUP (negedge D) (posedge CLK) (0.059:0.060:0.061))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _367_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.480:0.480:0.480) (0.432:0.432:0.432))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.008:-0.008:-0.008))
(HOLD (negedge D) (posedge CLK) (0.005:0.004:0.003))
(SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033))
(SETUP (negedge D) (posedge CLK) (0.059:0.060:0.062))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _368_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.375:0.375:0.375) (0.362:0.362:0.362))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.007:-0.014:-0.021))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.063:0.070:0.077))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _369_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.405:0.405:0.405) (0.380:0.380:0.380))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.002:-0.003:-0.003))
(HOLD (negedge D) (posedge CLK) (-0.001:-0.002:-0.002))
(SETUP (posedge D) (posedge CLK) (0.023:0.023:0.023))
(SETUP (negedge D) (posedge CLK) (0.056:0.057:0.057))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _370_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.424:0.424:0.424) (0.390:0.390:0.390))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.006:-0.006:-0.007))
(HOLD (negedge D) (posedge CLK) (-0.004:-0.005:-0.006))
(SETUP (posedge D) (posedge CLK) (0.027:0.027:0.027))
(SETUP (negedge D) (posedge CLK) (0.059:0.060:0.062))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _371_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.424:0.424:0.424) (0.390:0.390:0.390))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.010:-0.011:-0.013))
(HOLD (negedge D) (posedge CLK) (-0.003:-0.007:-0.012))
(SETUP (posedge D) (posedge CLK) (0.031:0.033:0.034))
(SETUP (negedge D) (posedge CLK) (0.058:0.063:0.068))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _372_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.378:0.378:0.378) (0.364:0.364:0.364))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.002:-0.002:-0.002))
(HOLD (negedge D) (posedge CLK) (0.002:0.002:0.002))
(SETUP (posedge D) (posedge CLK) (0.022:0.022:0.022))
(SETUP (negedge D) (posedge CLK) (0.053:0.053:0.053))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _373_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.394:0.394:0.394) (0.374:0.374:0.374))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.002:-0.002:-0.002))
(HOLD (negedge D) (posedge CLK) (0.002:0.002:0.002))
(SETUP (posedge D) (posedge CLK) (0.023:0.023:0.023))
(SETUP (negedge D) (posedge CLK) (0.053:0.053:0.053))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _374_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.397:0.397:0.397) (0.376:0.376:0.376))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.003:-0.003:-0.003))
(HOLD (negedge D) (posedge CLK) (0.001:0.001:0.001))
(SETUP (posedge D) (posedge CLK) (0.023:0.023:0.023))
(SETUP (negedge D) (posedge CLK) (0.053:0.053:0.053))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _375_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.415:0.415:0.415) (0.386:0.386:0.386))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.004:-0.004:-0.004))
(HOLD (negedge D) (posedge CLK) (0.001:0.001:0.001))
(SETUP (posedge D) (posedge CLK) (0.024:0.024:0.024))
(SETUP (negedge D) (posedge CLK) (0.054:0.054:0.054))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _376_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.408:0.408:0.408) (0.383:0.383:0.383))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.005:-0.005:-0.005))
(HOLD (negedge D) (posedge CLK) (0.000:0.000:0.000))
(SETUP (posedge D) (posedge CLK) (0.025:0.025:0.025))
(SETUP (negedge D) (posedge CLK) (0.055:0.055:0.055))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _377_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.428:0.428:0.428) (0.394:0.394:0.394))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.002:-0.002:-0.002))
(HOLD (negedge D) (posedge CLK) (0.004:0.004:0.004))
(SETUP (posedge D) (posedge CLK) (0.022:0.022:0.022))
(SETUP (negedge D) (posedge CLK) (0.051:0.051:0.051))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _378_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.421:0.421:0.421) (0.391:0.391:0.391))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.001:-0.001:-0.001))
(HOLD (negedge D) (posedge CLK) (0.004:0.004:0.004))
(SETUP (posedge D) (posedge CLK) (0.022:0.022:0.022))
(SETUP (negedge D) (posedge CLK) (0.051:0.051:0.051))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _379_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.421:0.421:0.421) (0.391:0.391:0.391))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.001:-0.001:-0.001))
(HOLD (negedge D) (posedge CLK) (0.004:0.004:0.004))
(SETUP (posedge D) (posedge CLK) (0.022:0.022:0.022))
(SETUP (negedge D) (posedge CLK) (0.051:0.051:0.051))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _380_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.454:0.454:0.454) (0.408:0.408:0.408))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.002:-0.002:-0.002))
(HOLD (negedge D) (posedge CLK) (0.003:0.003:0.003))
(SETUP (posedge D) (posedge CLK) (0.023:0.023:0.023))
(SETUP (negedge D) (posedge CLK) (0.052:0.052:0.052))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _381_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.385:0.385:0.385) (0.369:0.369:0.369))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.001:-0.001:-0.001))
(HOLD (negedge D) (posedge CLK) (0.004:0.004:0.004))
(SETUP (posedge D) (posedge CLK) (0.021:0.021:0.021))
(SETUP (negedge D) (posedge CLK) (0.051:0.051:0.051))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _382_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.390:0.390:0.390) (0.372:0.372:0.372))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.002:-0.002:-0.002))
(HOLD (negedge D) (posedge CLK) (0.004:0.004:0.004))
(SETUP (posedge D) (posedge CLK) (0.022:0.022:0.022))
(SETUP (negedge D) (posedge CLK) (0.051:0.051:0.051))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _383_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.387:0.387:0.387) (0.370:0.370:0.370))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.001:-0.001:-0.001))
(HOLD (negedge D) (posedge CLK) (0.004:0.004:0.004))
(SETUP (posedge D) (posedge CLK) (0.021:0.021:0.021))
(SETUP (negedge D) (posedge CLK) (0.051:0.051:0.051))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _384_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.387:0.387:0.387) (0.370:0.370:0.370))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.002:-0.002:-0.002))
(HOLD (negedge D) (posedge CLK) (0.003:0.003:0.003))
(SETUP (posedge D) (posedge CLK) (0.023:0.023:0.023))
(SETUP (negedge D) (posedge CLK) (0.052:0.052:0.052))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _385_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.389:0.389:0.389) (0.372:0.372:0.372))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.001:-0.001:-0.001))
(HOLD (negedge D) (posedge CLK) (0.004:0.004:0.004))
(SETUP (posedge D) (posedge CLK) (0.022:0.022:0.022))
(SETUP (negedge D) (posedge CLK) (0.051:0.051:0.051))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _386_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.389:0.389:0.389) (0.372:0.372:0.372))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.002:-0.002:-0.002))
(HOLD (negedge D) (posedge CLK) (0.004:0.004:0.004))
(SETUP (posedge D) (posedge CLK) (0.022:0.022:0.022))
(SETUP (negedge D) (posedge CLK) (0.051:0.051:0.051))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _387_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.382:0.382:0.382) (0.367:0.367:0.367))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.001:-0.001:-0.001))
(HOLD (negedge D) (posedge CLK) (0.004:0.004:0.004))
(SETUP (posedge D) (posedge CLK) (0.021:0.021:0.021))
(SETUP (negedge D) (posedge CLK) (0.050:0.050:0.050))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _388_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.392:0.392:0.392) (0.373:0.373:0.373))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.001:-0.001:-0.001))
(HOLD (negedge D) (posedge CLK) (0.004:0.004:0.004))
(SETUP (posedge D) (posedge CLK) (0.022:0.022:0.022))
(SETUP (negedge D) (posedge CLK) (0.051:0.051:0.051))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _389_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.391:0.391:0.391) (0.373:0.373:0.373))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.001:-0.001:-0.001))
(HOLD (negedge D) (posedge CLK) (0.005:0.005:0.005))
(SETUP (posedge D) (posedge CLK) (0.021:0.021:0.021))
(SETUP (negedge D) (posedge CLK) (0.050:0.050:0.050))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _390_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.389:0.389:0.389) (0.372:0.372:0.372))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.001:-0.001:-0.001))
(HOLD (negedge D) (posedge CLK) (0.004:0.004:0.004))
(SETUP (posedge D) (posedge CLK) (0.021:0.021:0.021))
(SETUP (negedge D) (posedge CLK) (0.050:0.050:0.050))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _391_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.384:0.384:0.384) (0.368:0.368:0.368))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.002:-0.002:-0.002))
(HOLD (negedge D) (posedge CLK) (0.004:0.004:0.004))
(SETUP (posedge D) (posedge CLK) (0.022:0.022:0.022))
(SETUP (negedge D) (posedge CLK) (0.051:0.051:0.051))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _392_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.389:0.389:0.389) (0.371:0.371:0.371))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.001:-0.001:-0.001))
(HOLD (negedge D) (posedge CLK) (0.005:0.005:0.005))
(SETUP (posedge D) (posedge CLK) (0.021:0.021:0.021))
(SETUP (negedge D) (posedge CLK) (0.050:0.050:0.050))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _393_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.391:0.391:0.391) (0.373:0.373:0.373))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.001:-0.001:-0.001))
(HOLD (negedge D) (posedge CLK) (0.004:0.004:0.004))
(SETUP (posedge D) (posedge CLK) (0.021:0.021:0.021))
(SETUP (negedge D) (posedge CLK) (0.050:0.050:0.050))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _448_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.117:0.117:0.117) (0.115:0.115:0.115))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _449_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.118:0.118:0.118) (0.116:0.116:0.116))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input1)
(DELAY
(ABSOLUTE
(IOPATH A X (0.092:0.092:0.092) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE input10)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.203:0.203) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE input11)
(DELAY
(ABSOLUTE
(IOPATH A X (0.223:0.223:0.223) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input12)
(DELAY
(ABSOLUTE
(IOPATH A X (0.089:0.089:0.089) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE input13)
(DELAY
(ABSOLUTE
(IOPATH A X (0.204:0.204:0.204) (0.185:0.185:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE input14)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE input15)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.169:0.169) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE input16)
(DELAY
(ABSOLUTE
(IOPATH A X (0.212:0.212:0.212) (0.191:0.191:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE input17)
(DELAY
(ABSOLUTE
(IOPATH A X (0.223:0.223:0.223) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE input18)
(DELAY
(ABSOLUTE
(IOPATH A X (0.216:0.216:0.216) (0.195:0.195:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE input19)
(DELAY
(ABSOLUTE
(IOPATH A X (0.209:0.209:0.209) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.134:0.134:0.134) (0.148:0.148:0.148))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE input20)
(DELAY
(ABSOLUTE
(IOPATH A X (0.220:0.220:0.220) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE input21)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.207:0.207) (0.187:0.187:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE input22)
(DELAY
(ABSOLUTE
(IOPATH A X (0.200:0.200:0.200) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input23)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.086:0.086) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE input24)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE input25)
(DELAY
(ABSOLUTE
(IOPATH A X (0.176:0.176:0.176) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input26)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.083:0.083) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input27)
(DELAY
(ABSOLUTE
(IOPATH A X (0.101:0.101:0.101) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input28)
(DELAY
(ABSOLUTE
(IOPATH A X (0.087:0.087:0.087) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input29)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.084:0.084) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input3)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.146:0.146:0.146))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input30)
(DELAY
(ABSOLUTE
(IOPATH A X (0.101:0.101:0.101) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input31)
(DELAY
(ABSOLUTE
(IOPATH A X (0.111:0.111:0.111) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input32)
(DELAY
(ABSOLUTE
(IOPATH A X (0.125:0.125:0.125) (0.102:0.102:0.102))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input33)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.075:0.075:0.075))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input34)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.077) (0.074:0.074:0.074))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input35)
(DELAY
(ABSOLUTE
(IOPATH A X (0.091:0.091:0.091) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input36)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.076:0.076:0.076))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input37)
(DELAY
(ABSOLUTE
(IOPATH A X (0.087:0.087:0.087) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input38)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.076) (0.074:0.074:0.074))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input39)
(DELAY
(ABSOLUTE
(IOPATH A X (0.087:0.087:0.087) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input4)
(DELAY
(ABSOLUTE
(IOPATH A X (0.181:0.181:0.181) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input40)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.075:0.075:0.075))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input41)
(DELAY
(ABSOLUTE
(IOPATH A X (0.091:0.091:0.091) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input42)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.077:0.077:0.077))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input43)
(DELAY
(ABSOLUTE
(IOPATH A X (0.105:0.105:0.105) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input44)
(DELAY
(ABSOLUTE
(IOPATH A X (0.069:0.069:0.069) (0.069:0.069:0.069))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input45)
(DELAY
(ABSOLUTE
(IOPATH A X (0.093:0.093:0.093) (0.084:0.084:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input46)
(DELAY
(ABSOLUTE
(IOPATH A X (0.090:0.090:0.090) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input47)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.076) (0.074:0.074:0.074))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input48)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.076) (0.074:0.074:0.074))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input49)
(DELAY
(ABSOLUTE
(IOPATH A X (0.093:0.093:0.093) (0.084:0.084:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input5)
(DELAY
(ABSOLUTE
(IOPATH A X (0.161:0.161:0.161) (0.144:0.144:0.144))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input50)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.076) (0.074:0.074:0.074))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input51)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.077:0.077:0.077))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input52)
(DELAY
(ABSOLUTE
(IOPATH A X (0.089:0.089:0.089) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input53)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.084:0.084) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input54)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.076:0.076:0.076))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_12")
(INSTANCE input55)
(DELAY
(ABSOLUTE
(IOPATH A X (0.236:0.236:0.236) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input56)
(DELAY
(ABSOLUTE
(IOPATH A X (0.092:0.092:0.092) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input57)
(DELAY
(ABSOLUTE
(IOPATH A X (0.174:0.174:0.174) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input58)
(DELAY
(ABSOLUTE
(IOPATH A X (0.097:0.097:0.097) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input59)
(DELAY
(ABSOLUTE
(IOPATH A X (0.129:0.129:0.129) (0.103:0.103:0.103))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input6)
(DELAY
(ABSOLUTE
(IOPATH A X (0.135:0.135:0.135) (0.124:0.124:0.124))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input7)
(DELAY
(ABSOLUTE
(IOPATH A X (0.188:0.188:0.188) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input8)
(DELAY
(ABSOLUTE
(IOPATH A X (0.192:0.192:0.192) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE input9)
(DELAY
(ABSOLUTE
(IOPATH A X (0.176:0.176:0.176) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output100)
(DELAY
(ABSOLUTE
(IOPATH A X (0.250:0.250:0.250) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output101)
(DELAY
(ABSOLUTE
(IOPATH A X (0.234:0.234:0.234) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output102)
(DELAY
(ABSOLUTE
(IOPATH A X (0.229:0.229:0.229) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output103)
(DELAY
(ABSOLUTE
(IOPATH A X (0.239:0.239:0.239) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output104)
(DELAY
(ABSOLUTE
(IOPATH A X (0.238:0.238:0.238) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output105)
(DELAY
(ABSOLUTE
(IOPATH A X (0.217:0.217:0.217) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output60)
(DELAY
(ABSOLUTE
(IOPATH A X (0.205:0.205:0.205) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output61)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.203:0.203) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output62)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output63)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.203:0.203) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output64)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output65)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output66)
(DELAY
(ABSOLUTE
(IOPATH A X (0.202:0.202:0.202) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output67)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output68)
(DELAY
(ABSOLUTE
(IOPATH A X (0.205:0.205:0.205) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output69)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output70)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.203:0.203) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output71)
(DELAY
(ABSOLUTE
(IOPATH A X (0.227:0.227:0.227) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output72)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.207:0.207) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output73)
(DELAY
(ABSOLUTE
(IOPATH A X (0.228:0.228:0.228) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output74)
(DELAY
(ABSOLUTE
(IOPATH A X (0.233:0.233:0.233) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output75)
(DELAY
(ABSOLUTE
(IOPATH A X (0.245:0.245:0.245) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output76)
(DELAY
(ABSOLUTE
(IOPATH A X (0.227:0.227:0.227) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output77)
(DELAY
(ABSOLUTE
(IOPATH A X (0.237:0.237:0.237) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output78)
(DELAY
(ABSOLUTE
(IOPATH A X (0.228:0.228:0.228) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output79)
(DELAY
(ABSOLUTE
(IOPATH A X (0.232:0.232:0.232) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output80)
(DELAY
(ABSOLUTE
(IOPATH A X (0.234:0.234:0.234) (0.195:0.195:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output81)
(DELAY
(ABSOLUTE
(IOPATH A X (0.224:0.224:0.224) (0.187:0.187:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output82)
(DELAY
(ABSOLUTE
(IOPATH A X (0.223:0.223:0.223) (0.187:0.187:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output83)
(DELAY
(ABSOLUTE
(IOPATH A X (0.220:0.220:0.220) (0.185:0.185:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output84)
(DELAY
(ABSOLUTE
(IOPATH A X (0.217:0.217:0.217) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output85)
(DELAY
(ABSOLUTE
(IOPATH A X (0.226:0.226:0.226) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output86)
(DELAY
(ABSOLUTE
(IOPATH A X (0.244:0.244:0.244) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output87)
(DELAY
(ABSOLUTE
(IOPATH A X (0.233:0.233:0.233) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output88)
(DELAY
(ABSOLUTE
(IOPATH A X (0.218:0.218:0.218) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output89)
(DELAY
(ABSOLUTE
(IOPATH A X (0.234:0.234:0.234) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output90)
(DELAY
(ABSOLUTE
(IOPATH A X (0.237:0.237:0.237) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output91)
(DELAY
(ABSOLUTE
(IOPATH A X (0.236:0.236:0.236) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output92)
(DELAY
(ABSOLUTE
(IOPATH A X (0.229:0.229:0.229) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output93)
(DELAY
(ABSOLUTE
(IOPATH A X (0.242:0.242:0.242) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output94)
(DELAY
(ABSOLUTE
(IOPATH A X (0.250:0.250:0.250) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output95)
(DELAY
(ABSOLUTE
(IOPATH A X (0.237:0.237:0.237) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output96)
(DELAY
(ABSOLUTE
(IOPATH A X (0.242:0.242:0.242) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output97)
(DELAY
(ABSOLUTE
(IOPATH A X (0.250:0.250:0.250) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output98)
(DELAY
(ABSOLUTE
(IOPATH A X (0.237:0.237:0.237) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output99)
(DELAY
(ABSOLUTE
(IOPATH A X (0.247:0.247:0.247) (0.205:0.205:0.205))
)
)
)
)